From 899de7a0524c5e9588e6d585627cfac72322da57 Mon Sep 17 00:00:00 2001 From: lewishe Date: Wed, 31 Jan 2024 17:00:47 +0800 Subject: [PATCH] Rename shell size directory --- 3D_file/LCD_3D.stp | 3094 - ...LILYGO T-Display-S3 H569 Not Soldered.step | 41187 ------------ .../CapacitiveTouchScreen.stp | 0 .../LilyGo-Shell}/README.md | 0 .../LilyGo-Shell}/T-Display-s3-cova.stl | Bin .../LilyGo-Shell}/T-Display-s3-covb.stl | Bin .../LilyGo-Shell}/T-Display-s3-covc.stl | Bin .../LilyGo-Shell}/T-Display-s3-covd.stl | Bin .../LilyGo-Shell}/T-Display-s3-cove.stl | Bin .../LilyGo-Shell}/T-Display-s3-covf.stl | Bin .../LilyGo-Shell}/T-Display-s3-covg.stl | Bin ...ilygo_T-DisplayS3_Touch_from Stuttgart.zip | Bin 3D_file/Dimensions.dwg => dimensions/PCB.dwg | Bin {3D_file => dimensions}/README.MD | 0 .../TF-Shell.DXF => dimensions/SD-Shield.DXF | 0 .../t-display-s3-full.stl | Bin .../t-display-s3-full.stp | 55860 ++++++++-------- .../t-display-s3-pcb.stp | 49746 +++++++------- 18 files changed, 52803 insertions(+), 97084 deletions(-) delete mode 100644 3D_file/LCD_3D.stp delete mode 100644 3D_file/LILYGO T-Display-S3 H569 Not Soldered.step rename 3D_file/GlassScreen_3D.stp => dimensions/CapacitiveTouchScreen.stp (100%) rename {3D_file/Shell => dimensions/LilyGo-Shell}/README.md (100%) rename {3D_file/Shell => dimensions/LilyGo-Shell}/T-Display-s3-cova.stl (100%) rename {3D_file/Shell => dimensions/LilyGo-Shell}/T-Display-s3-covb.stl (100%) rename {3D_file/Shell => dimensions/LilyGo-Shell}/T-Display-s3-covc.stl (100%) rename {3D_file/Shell => dimensions/LilyGo-Shell}/T-Display-s3-covd.stl (100%) rename {3D_file/Shell => dimensions/LilyGo-Shell}/T-Display-s3-cove.stl (100%) rename {3D_file/Shell => dimensions/LilyGo-Shell}/T-Display-s3-covf.stl (100%) rename {3D_file/Shell => dimensions/LilyGo-Shell}/T-Display-s3-covg.stl (100%) rename {3D_file => dimensions}/Lilygo_T-DisplayS3_Touch_from Stuttgart.zip (100%) rename 3D_file/Dimensions.dwg => dimensions/PCB.dwg (100%) rename {3D_file => dimensions}/README.MD (100%) rename 3D_file/TF-Shell.DXF => dimensions/SD-Shield.DXF (100%) rename 3D_file/noTouch.stl => dimensions/t-display-s3-full.stl (100%) rename 3D_file/t-display-s3.stp => dimensions/t-display-s3-full.stp (97%) rename 3D_file/MainBoard_3D.stp => dimensions/t-display-s3-pcb.stp (97%) diff --git a/3D_file/LCD_3D.stp b/3D_file/LCD_3D.stp deleted file mode 100644 index 07154b7..0000000 --- a/3D_file/LCD_3D.stp +++ /dev/null @@ -1,3094 +0,0 @@ -ISO-10303-21; -HEADER; -FILE_DESCRIPTION((''),'2;1'); -FILE_NAME('S-WATCH_20220510_ASM_ASM_1_ASM__ASM','2022-12-09T18:30:51',('HR'), -(''),'CREO PARAMETRIC BY PTC INC, 2019010', -'CREO PARAMETRIC BY PTC INC, 2019010',''); -FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); -ENDSEC; -DATA; -#15=DIRECTION('',(0.E0,0.E0,1.E0)); -#16=VECTOR('',#15,1.53E0); -#17=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,0.E0)); -#18=LINE('',#17,#16); -#19=DIRECTION('',(0.E0,0.E0,-1.E0)); -#20=VECTOR('',#19,1.53E0); -#21=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,1.53E0)); -#22=LINE('',#21,#20); -#23=DIRECTION('',(0.E0,1.E0,0.E0)); -#24=VECTOR('',#23,4.987E1); -#25=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,0.E0)); -#26=LINE('',#25,#24); -#27=DIRECTION('',(1.E0,0.E0,0.E0)); -#28=VECTOR('',#27,2.595E1); -#29=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,0.E0)); -#30=LINE('',#29,#28); -#31=DIRECTION('',(0.E0,0.E0,-1.E0)); -#32=VECTOR('',#31,1.53E0); -#33=CARTESIAN_POINT('',(1.2975E1,2.29875E1,1.53E0)); -#34=LINE('',#33,#32); -#35=DIRECTION('',(0.E0,-1.E0,0.E0)); -#36=VECTOR('',#35,4.987E1); -#37=CARTESIAN_POINT('',(1.2975E1,2.29875E1,0.E0)); -#38=LINE('',#37,#36); -#39=DIRECTION('',(0.E0,0.E0,-1.E0)); -#40=VECTOR('',#39,1.53E0); -#41=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,1.53E0)); -#42=LINE('',#41,#40); -#43=DIRECTION('',(-1.E0,0.E0,0.E0)); -#44=VECTOR('',#43,2.595E1); -#45=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,0.E0)); -#46=LINE('',#45,#44); -#47=DIRECTION('',(0.E0,1.E0,0.E0)); -#48=VECTOR('',#47,4.987E1); -#49=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,1.53E0)); -#50=LINE('',#49,#48); -#51=DIRECTION('',(1.E0,0.E0,0.E0)); -#52=VECTOR('',#51,2.595E1); -#53=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,1.53E0)); -#54=LINE('',#53,#52); -#55=DIRECTION('',(0.E0,-1.E0,0.E0)); -#56=VECTOR('',#55,4.987E1); -#57=CARTESIAN_POINT('',(1.2975E1,2.29875E1,1.53E0)); -#58=LINE('',#57,#56); -#59=DIRECTION('',(-1.E0,0.E0,0.E0)); -#60=VECTOR('',#59,2.595E1); -#61=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,1.53E0)); -#62=LINE('',#61,#60); -#63=DIRECTION('',(0.E0,1.E0,0.E0)); -#64=VECTOR('',#63,4.272E1); -#65=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.53E0)); -#66=LINE('',#65,#64); -#67=DIRECTION('',(0.E0,0.E0,1.E0)); -#68=VECTOR('',#67,1.E-1); -#69=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.43E0)); -#70=LINE('',#69,#68); -#71=DIRECTION('',(1.E0,0.E0,0.E0)); -#72=VECTOR('',#71,2.2695E1); -#73=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.53E0)); -#74=LINE('',#73,#72); -#75=DIRECTION('',(0.E0,0.E0,1.E0)); -#76=VECTOR('',#75,1.E-1); -#77=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.43E0)); -#78=LINE('',#77,#76); -#79=DIRECTION('',(0.E0,-1.E0,0.E0)); -#80=VECTOR('',#79,4.272E1); -#81=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.53E0)); -#82=LINE('',#81,#80); -#83=DIRECTION('',(0.E0,0.E0,1.E0)); -#84=VECTOR('',#83,1.E-1); -#85=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.43E0)); -#86=LINE('',#85,#84); -#87=DIRECTION('',(-1.E0,0.E0,0.E0)); -#88=VECTOR('',#87,2.2695E1); -#89=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.53E0)); -#90=LINE('',#89,#88); -#91=DIRECTION('',(0.E0,0.E0,1.E0)); -#92=VECTOR('',#91,1.E-1); -#93=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.43E0)); -#94=LINE('',#93,#92); -#95=DIRECTION('',(0.E0,1.E0,0.E0)); -#96=VECTOR('',#95,4.272E1); -#97=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.43E0)); -#98=LINE('',#97,#96); -#99=DIRECTION('',(1.E0,0.E0,0.E0)); -#100=VECTOR('',#99,2.2695E1); -#101=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.43E0)); -#102=LINE('',#101,#100); -#103=DIRECTION('',(0.E0,-1.E0,0.E0)); -#104=VECTOR('',#103,4.272E1); -#105=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.43E0)); -#106=LINE('',#105,#104); -#107=DIRECTION('',(-1.E0,0.E0,0.E0)); -#108=VECTOR('',#107,2.2695E1); -#109=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.43E0)); -#110=LINE('',#109,#108); -#111=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,0.E0)); -#112=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,0.E0)); -#113=VERTEX_POINT('',#111); -#114=VERTEX_POINT('',#112); -#115=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,0.E0)); -#116=VERTEX_POINT('',#115); -#117=CARTESIAN_POINT('',(1.2975E1,2.29875E1,0.E0)); -#118=VERTEX_POINT('',#117); -#119=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,1.53E0)); -#120=VERTEX_POINT('',#119); -#121=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,1.53E0)); -#122=VERTEX_POINT('',#121); -#123=CARTESIAN_POINT('',(1.2975E1,2.29875E1,1.53E0)); -#124=VERTEX_POINT('',#123); -#125=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,1.53E0)); -#126=VERTEX_POINT('',#125); -#127=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.53E0)); -#128=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.53E0)); -#129=VERTEX_POINT('',#127); -#130=VERTEX_POINT('',#128); -#131=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.53E0)); -#132=VERTEX_POINT('',#131); -#133=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.53E0)); -#134=VERTEX_POINT('',#133); -#135=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.43E0)); -#136=VERTEX_POINT('',#135); -#137=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.43E0)); -#138=VERTEX_POINT('',#137); -#139=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.43E0)); -#140=VERTEX_POINT('',#139); -#141=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.43E0)); -#142=VERTEX_POINT('',#141); -#143=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#144=DIRECTION('',(0.E0,0.E0,1.E0)); -#145=DIRECTION('',(1.E0,0.E0,0.E0)); -#146=AXIS2_PLACEMENT_3D('',#143,#144,#145); -#147=PLANE('',#146); -#149=ORIENTED_EDGE('',*,*,#148,.F.); -#151=ORIENTED_EDGE('',*,*,#150,.F.); -#153=ORIENTED_EDGE('',*,*,#152,.F.); -#155=ORIENTED_EDGE('',*,*,#154,.F.); -#156=EDGE_LOOP('',(#149,#151,#153,#155)); -#157=FACE_OUTER_BOUND('',#156,.F.); -#159=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,1.43E0)); -#160=DIRECTION('',(-1.E0,0.E0,0.E0)); -#161=DIRECTION('',(0.E0,-1.E0,0.E0)); -#162=AXIS2_PLACEMENT_3D('',#159,#160,#161); -#163=PLANE('',#162); -#165=ORIENTED_EDGE('',*,*,#164,.T.); -#167=ORIENTED_EDGE('',*,*,#166,.F.); -#169=ORIENTED_EDGE('',*,*,#168,.T.); -#170=ORIENTED_EDGE('',*,*,#148,.T.); -#171=EDGE_LOOP('',(#165,#167,#169,#170)); -#172=FACE_OUTER_BOUND('',#171,.F.); -#174=CARTESIAN_POINT('',(1.2975E1,2.29875E1,1.43E0)); -#175=DIRECTION('',(0.E0,1.E0,0.E0)); -#176=DIRECTION('',(-1.E0,0.E0,0.E0)); -#177=AXIS2_PLACEMENT_3D('',#174,#175,#176); -#178=PLANE('',#177); -#180=ORIENTED_EDGE('',*,*,#179,.F.); -#182=ORIENTED_EDGE('',*,*,#181,.F.); -#183=ORIENTED_EDGE('',*,*,#164,.F.); -#184=ORIENTED_EDGE('',*,*,#154,.T.); -#185=EDGE_LOOP('',(#180,#182,#183,#184)); -#186=FACE_OUTER_BOUND('',#185,.F.); -#188=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,1.43E0)); -#189=DIRECTION('',(1.E0,0.E0,0.E0)); -#190=DIRECTION('',(0.E0,1.E0,0.E0)); -#191=AXIS2_PLACEMENT_3D('',#188,#189,#190); -#192=PLANE('',#191); -#194=ORIENTED_EDGE('',*,*,#193,.F.); -#196=ORIENTED_EDGE('',*,*,#195,.F.); -#197=ORIENTED_EDGE('',*,*,#179,.T.); -#198=ORIENTED_EDGE('',*,*,#152,.T.); -#199=EDGE_LOOP('',(#194,#196,#197,#198)); -#200=FACE_OUTER_BOUND('',#199,.F.); -#202=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,1.43E0)); -#203=DIRECTION('',(0.E0,-1.E0,0.E0)); -#204=DIRECTION('',(1.E0,0.E0,0.E0)); -#205=AXIS2_PLACEMENT_3D('',#202,#203,#204); -#206=PLANE('',#205); -#207=ORIENTED_EDGE('',*,*,#168,.F.); -#209=ORIENTED_EDGE('',*,*,#208,.F.); -#210=ORIENTED_EDGE('',*,*,#193,.T.); -#211=ORIENTED_EDGE('',*,*,#150,.T.); -#212=EDGE_LOOP('',(#207,#209,#210,#211)); -#213=FACE_OUTER_BOUND('',#212,.F.); -#215=CARTESIAN_POINT('',(0.E0,0.E0,1.53E0)); -#216=DIRECTION('',(0.E0,0.E0,1.E0)); -#217=DIRECTION('',(1.E0,0.E0,0.E0)); -#218=AXIS2_PLACEMENT_3D('',#215,#216,#217); -#219=PLANE('',#218); -#220=ORIENTED_EDGE('',*,*,#166,.T.); -#221=ORIENTED_EDGE('',*,*,#181,.T.); -#222=ORIENTED_EDGE('',*,*,#195,.T.); -#223=ORIENTED_EDGE('',*,*,#208,.T.); -#224=EDGE_LOOP('',(#220,#221,#222,#223)); -#225=FACE_OUTER_BOUND('',#224,.F.); -#227=ORIENTED_EDGE('',*,*,#226,.F.); -#229=ORIENTED_EDGE('',*,*,#228,.F.); -#231=ORIENTED_EDGE('',*,*,#230,.F.); -#233=ORIENTED_EDGE('',*,*,#232,.F.); -#234=EDGE_LOOP('',(#227,#229,#231,#233)); -#235=FACE_BOUND('',#234,.F.); -#237=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.53E0)); -#238=DIRECTION('',(-1.E0,0.E0,0.E0)); -#239=DIRECTION('',(0.E0,-1.E0,0.E0)); -#240=AXIS2_PLACEMENT_3D('',#237,#238,#239); -#241=PLANE('',#240); -#242=ORIENTED_EDGE('',*,*,#226,.T.); -#244=ORIENTED_EDGE('',*,*,#243,.F.); -#246=ORIENTED_EDGE('',*,*,#245,.F.); -#248=ORIENTED_EDGE('',*,*,#247,.T.); -#249=EDGE_LOOP('',(#242,#244,#246,#248)); -#250=FACE_OUTER_BOUND('',#249,.F.); -#252=FILL_AREA_STYLE_COLOUR('',#14); -#253=FILL_AREA_STYLE('',(#252)); -#254=SURFACE_STYLE_FILL_AREA(#253); -#255=SURFACE_SIDE_STYLE('',(#254)); -#256=SURFACE_STYLE_USAGE(.POSITIVE.,#255); -#257=PRESENTATION_STYLE_ASSIGNMENT((#256)); -#258=STYLED_ITEM('',(#257),#251); -#259=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.53E0)); -#260=DIRECTION('',(0.E0,1.E0,0.E0)); -#261=DIRECTION('',(-1.E0,0.E0,0.E0)); -#262=AXIS2_PLACEMENT_3D('',#259,#260,#261); -#263=PLANE('',#262); -#264=ORIENTED_EDGE('',*,*,#232,.T.); -#266=ORIENTED_EDGE('',*,*,#265,.F.); -#268=ORIENTED_EDGE('',*,*,#267,.F.); -#269=ORIENTED_EDGE('',*,*,#243,.T.); -#270=EDGE_LOOP('',(#264,#266,#268,#269)); -#271=FACE_OUTER_BOUND('',#270,.F.); -#273=FILL_AREA_STYLE_COLOUR('',#14); -#274=FILL_AREA_STYLE('',(#273)); -#275=SURFACE_STYLE_FILL_AREA(#274); -#276=SURFACE_SIDE_STYLE('',(#275)); -#277=SURFACE_STYLE_USAGE(.POSITIVE.,#276); -#278=PRESENTATION_STYLE_ASSIGNMENT((#277)); -#279=STYLED_ITEM('',(#278),#272); -#280=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.53E0)); -#281=DIRECTION('',(1.E0,0.E0,0.E0)); -#282=DIRECTION('',(0.E0,1.E0,0.E0)); -#283=AXIS2_PLACEMENT_3D('',#280,#281,#282); -#284=PLANE('',#283); -#285=ORIENTED_EDGE('',*,*,#230,.T.); -#287=ORIENTED_EDGE('',*,*,#286,.F.); -#289=ORIENTED_EDGE('',*,*,#288,.F.); -#290=ORIENTED_EDGE('',*,*,#265,.T.); -#291=EDGE_LOOP('',(#285,#287,#289,#290)); -#292=FACE_OUTER_BOUND('',#291,.F.); -#294=FILL_AREA_STYLE_COLOUR('',#14); -#295=FILL_AREA_STYLE('',(#294)); -#296=SURFACE_STYLE_FILL_AREA(#295); -#297=SURFACE_SIDE_STYLE('',(#296)); -#298=SURFACE_STYLE_USAGE(.POSITIVE.,#297); -#299=PRESENTATION_STYLE_ASSIGNMENT((#298)); -#300=STYLED_ITEM('',(#299),#293); -#301=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.53E0)); -#302=DIRECTION('',(0.E0,-1.E0,0.E0)); -#303=DIRECTION('',(1.E0,0.E0,0.E0)); -#304=AXIS2_PLACEMENT_3D('',#301,#302,#303); -#305=PLANE('',#304); -#306=ORIENTED_EDGE('',*,*,#228,.T.); -#307=ORIENTED_EDGE('',*,*,#247,.F.); -#309=ORIENTED_EDGE('',*,*,#308,.F.); -#310=ORIENTED_EDGE('',*,*,#286,.T.); -#311=EDGE_LOOP('',(#306,#307,#309,#310)); -#312=FACE_OUTER_BOUND('',#311,.F.); -#314=FILL_AREA_STYLE_COLOUR('',#14); -#315=FILL_AREA_STYLE('',(#314)); -#316=SURFACE_STYLE_FILL_AREA(#315); -#317=SURFACE_SIDE_STYLE('',(#316)); -#318=SURFACE_STYLE_USAGE(.POSITIVE.,#317); -#319=PRESENTATION_STYLE_ASSIGNMENT((#318)); -#320=STYLED_ITEM('',(#319),#313); -#321=CARTESIAN_POINT('',(0.E0,0.E0,1.43E0)); -#322=DIRECTION('',(0.E0,0.E0,1.E0)); -#323=DIRECTION('',(1.E0,0.E0,0.E0)); -#324=AXIS2_PLACEMENT_3D('',#321,#322,#323); -#325=PLANE('',#324); -#326=ORIENTED_EDGE('',*,*,#245,.T.); -#327=ORIENTED_EDGE('',*,*,#267,.T.); -#328=ORIENTED_EDGE('',*,*,#288,.T.); -#329=ORIENTED_EDGE('',*,*,#308,.T.); -#330=EDGE_LOOP('',(#326,#327,#328,#329)); -#331=FACE_OUTER_BOUND('',#330,.F.); -#333=FILL_AREA_STYLE_COLOUR('',#14); -#334=FILL_AREA_STYLE('',(#333)); -#335=SURFACE_STYLE_FILL_AREA(#334); -#336=SURFACE_SIDE_STYLE('',(#335)); -#337=SURFACE_STYLE_USAGE(.POSITIVE.,#336); -#338=PRESENTATION_STYLE_ASSIGNMENT((#337)); -#339=STYLED_ITEM('',(#338),#332); -#340=CLOSED_SHELL('',(#158,#173,#187,#201,#214,#236,#251,#272,#293,#313,#332)); -#341=MANIFOLD_SOLID_BREP('',#340); -#344=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2),#343); -#345=(CONVERSION_BASED_UNIT('DEGREE',#344)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); -#347=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(5.623617416073E-3),#342, -'distance_accuracy_value', -'Maximum model space distance between geometric entities at asserted connectivities'); -#349=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#350=DIRECTION('',(0.E0,0.E0,1.E0)); -#351=DIRECTION('',(1.E0,0.E0,0.E0)); -#354=APPLICATION_CONTEXT('automotive_design'); -#355=APPLICATION_PROTOCOL_DEFINITION('international standard', -'automotive_design',2001,#354); -#356=PRODUCT_DEFINITION_CONTEXT('part definition',#354,'design'); -#357=PRODUCT_CONTEXT('',#354,'mechanical'); -#358=PRODUCT('NFP190B-01A_9_2_1','NFP190B-01A_9_2_1','NOT SPECIFIED',(#357)); -#359=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#358); -#367=DERIVED_UNIT_ELEMENT(#366,2.E0); -#368=DERIVED_UNIT((#367)); -#369=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE -(2.8333452E3),#368); -#374=DERIVED_UNIT_ELEMENT(#373,3.E0); -#375=DERIVED_UNIT((#374)); -#376=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE(1.883060505E3), -#375); -#380=CARTESIAN_POINT('centre point',(0.E0,-2.047770832986E0,7.281868315352E-1)); -#385=DERIVED_UNIT_ELEMENT(#384,2.E0); -#386=DERIVED_UNIT((#385)); -#387=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE -(2.8333452E3),#386); -#392=DERIVED_UNIT_ELEMENT(#391,3.E0); -#393=DERIVED_UNIT((#392)); -#394=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE(1.883060505E3), -#393); -#398=CARTESIAN_POINT('centre point',(0.E0,-2.047770832986E0,7.281868315352E-1)); -#408=CARTESIAN_POINT('centre point',(0.E0,-2.047770832986E0,7.281868315352E-1)); -#412=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#413=DIRECTION('',(0.E0,0.E0,1.E0)); -#414=DIRECTION('',(1.E0,0.E0,0.E0)); -#415=AXIS2_PLACEMENT_3D('',#412,#413,#414); -#416=ITEM_DEFINED_TRANSFORMATION('','',#352,#415); -#417=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#418=DIRECTION('',(0.E0,0.E0,1.E0)); -#419=DIRECTION('',(1.E0,0.E0,0.E0)); -#421=(REPRESENTATION_RELATIONSHIP('','',#353,#405)REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#416)SHAPE_REPRESENTATION_RELATIONSHIP()); -#422=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#421,#407); -#425=DIRECTION('',(1.E0,-3.153918441507E-8,0.E0)); -#426=VECTOR('',#425,2.000152182926E1); -#427=CARTESIAN_POINT('',(-1.000076856946E1,2.311753480007E1,2.930000289008E0)); -#428=LINE('',#427,#426); -#429=DRAUGHTING_PRE_DEFINED_CURVE_FONT('continuous'); -#430=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#431=PRESENTATION_STYLE_ASSIGNMENT((#430)); -#432=STYLED_ITEM('',(#431),#428); -#433=CARTESIAN_POINT('',(1.000075325980E1,2.311753416924E1,2.930000289008E0)); -#434=CARTESIAN_POINT('',(1.017424710729E1,2.311770646555E1,2.930000289008E0)); -#435=CARTESIAN_POINT('',(1.045746399317E1,2.309583240537E1,2.929999877568E0)); -#436=CARTESIAN_POINT('',(1.083209091076E1,2.301515518230E1,2.930000037238E0)); -#437=CARTESIAN_POINT('',(1.116238588082E1,2.289786855658E1,2.929999990544E0)); -#438=CARTESIAN_POINT('',(1.155892410867E1,2.269383625955E1,2.930000005611E0)); -#439=CARTESIAN_POINT('',(1.199694524061E1,2.235788785735E1,2.929999997320E0)); -#440=CARTESIAN_POINT('',(1.248296871493E1,2.177611147624E1,2.930000003452E0)); -#441=CARTESIAN_POINT('',(1.289815523927E1,2.102192463682E1,2.929999988872E0)); -#442=CARTESIAN_POINT('',(1.323060220611E1,2.006051163056E1,2.930000038282E0)); -#443=CARTESIAN_POINT('',(1.344682415718E1,1.895047415734E1,2.929999854122E0)); -#444=CARTESIAN_POINT('',(1.350001143352E1,1.807741860440E1,2.930000288732E0)); -#445=CARTESIAN_POINT('',(1.350001143352E1,1.762085474214E1,2.930000288732E0)); -#447=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#448=PRESENTATION_STYLE_ASSIGNMENT((#447)); -#449=STYLED_ITEM('',(#448),#446); -#450=DIRECTION('',(-6.492152046562E-9,-1.E0,0.E0)); -#451=VECTOR('',#450,3.900668553494E1); -#452=CARTESIAN_POINT('',(1.350001143352E1,1.762085474214E1,2.930000288732E0)); -#453=LINE('',#452,#451); -#454=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#455=PRESENTATION_STYLE_ASSIGNMENT((#454)); -#456=STYLED_ITEM('',(#455),#453); -#457=CARTESIAN_POINT('',(1.350001118028E1,-2.138583079280E1,2.930000288732E0)); -#458=CARTESIAN_POINT('',(1.350001118028E1,-2.188061890539E1,2.930000288732E0)); -#459=CARTESIAN_POINT('',(1.344241210662E1,-2.274736584483E1,2.929999877242E0)); -#460=CARTESIAN_POINT('',(1.321571497326E1,-2.388169030976E1,2.930000036162E0)); -#461=CARTESIAN_POINT('',(1.288773830304E1,-2.480967352008E1,2.929999990579E0)); -#462=CARTESIAN_POINT('',(1.246975212503E1,-2.555705077747E1,2.930000003066E0)); -#463=CARTESIAN_POINT('',(1.199656791684E1,-2.612329452907E1,2.929999997140E0)); -#464=CARTESIAN_POINT('',(1.155109094106E1,-2.646468278811E1,2.930000006513E0)); -#465=CARTESIAN_POINT('',(1.115388212062E1,-2.666664299899E1,2.929999988728E0)); -#466=CARTESIAN_POINT('',(1.081222290904E1,-2.678561012200E1,2.930000039453E0)); -#467=CARTESIAN_POINT('',(1.044751994953E1,-2.686241883806E1,2.929999854521E0)); -#468=CARTESIAN_POINT('',(1.016074329130E1,-2.688269667695E1,2.930000289011E0)); -#469=CARTESIAN_POINT('',(1.000076856916E1,-2.688253491549E1,2.930000289011E0)); -#471=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#472=PRESENTATION_STYLE_ASSIGNMENT((#471)); -#473=STYLED_ITEM('',(#472),#470); -#474=DIRECTION('',(-1.E0,2.153221032386E-8,-1.498596721535E-14)); -#475=VECTOR('',#474,2.000272737430E1); -#476=CARTESIAN_POINT('',(1.000076856916E1,-2.688253491549E1,2.930000289011E0)); -#477=LINE('',#476,#475); -#478=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#479=PRESENTATION_STYLE_ASSIGNMENT((#478)); -#480=STYLED_ITEM('',(#479),#477); -#481=CARTESIAN_POINT('',(-1.000195880514E1,-2.688253448478E1,2.930000289011E0)); -#482=CARTESIAN_POINT('',(-1.017493014949E1,-2.688265293722E1,2.930000289011E0)); -#483=CARTESIAN_POINT('',(-1.045775464193E1,-2.686076920449E1,2.929999877269E0)); -#484=CARTESIAN_POINT('',(-1.083209073742E1,-2.678015456049E1,2.930000037366E0)); -#485=CARTESIAN_POINT('',(-1.116238563048E1,-2.666286797683E1,2.929999990511E0)); -#486=CARTESIAN_POINT('',(-1.155892376064E1,-2.645883572515E1,2.930000005630E0)); -#487=CARTESIAN_POINT('',(-1.199694479340E1,-2.612288740292E1,2.929999997314E0)); -#488=CARTESIAN_POINT('',(-1.248296818774E1,-2.554111112340E1,2.930000003454E0)); -#489=CARTESIAN_POINT('',(-1.289815464655E1,-2.478692438480E1,2.929999988872E0)); -#490=CARTESIAN_POINT('',(-1.323060162550E1,-2.382551147086E1,2.930000038281E0)); -#491=CARTESIAN_POINT('',(-1.344682340007E1,-2.271547408078E1,2.929999854123E0)); -#492=CARTESIAN_POINT('',(-1.350001105374E1,-2.184241858054E1,2.930000288729E0)); -#493=CARTESIAN_POINT('',(-1.350001105373E1,-2.138585474203E1,2.930000288729E0)); -#495=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#496=PRESENTATION_STYLE_ASSIGNMENT((#495)); -#497=STYLED_ITEM('',(#496),#494); -#498=DIRECTION('',(-1.350621029200E-9,1.E0,0.E0)); -#499=VECTOR('',#498,3.900668553482E1); -#500=CARTESIAN_POINT('',(-1.350001105373E1,-2.138585474203E1,2.930000288729E0)); -#501=LINE('',#500,#499); -#502=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#503=PRESENTATION_STYLE_ASSIGNMENT((#502)); -#504=STYLED_ITEM('',(#503),#501); -#505=CARTESIAN_POINT('',(-1.350001110642E1,1.762083079279E1,2.930000288730E0)); -#506=CARTESIAN_POINT('',(-1.350001110642E1,1.811561890524E1,2.930000288730E0)); -#507=CARTESIAN_POINT('',(-1.344241213356E1,1.898236584444E1,2.929999877242E0)); -#508=CARTESIAN_POINT('',(-1.321571496136E1,2.011669030893E1,2.930000036161E0)); -#509=CARTESIAN_POINT('',(-1.288773830242E1,2.104467351887E1,2.929999990578E0)); -#510=CARTESIAN_POINT('',(-1.246975212180E1,2.179205077549E1,2.930000003066E0)); -#511=CARTESIAN_POINT('',(-1.199656791479E1,2.235829452764E1,2.929999997140E0)); -#512=CARTESIAN_POINT('',(-1.155109093932E1,2.269968278295E1,2.930000006513E0)); -#513=CARTESIAN_POINT('',(-1.115388211939E1,2.290164300047E1,2.929999988728E0)); -#514=CARTESIAN_POINT('',(-1.081222290822E1,2.302061010363E1,2.930000039453E0)); -#515=CARTESIAN_POINT('',(-1.044751994910E1,2.309741889144E1,2.929999854523E0)); -#516=CARTESIAN_POINT('',(-1.016074329138E1,2.311769656152E1,2.930000289008E0)); -#517=CARTESIAN_POINT('',(-1.000076856946E1,2.311753480007E1,2.930000289008E0)); -#519=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#520=PRESENTATION_STYLE_ASSIGNMENT((#519)); -#521=STYLED_ITEM('',(#520),#518); -#522=CARTESIAN_POINT('',(9.999999992762E0,2.561762056159E1,2.329998391442E0)); -#523=CARTESIAN_POINT('',(9.999999989543E0,2.561793659338E1,2.357309923190E0)); -#524=CARTESIAN_POINT('',(9.999999958239E0,2.560692197195E1,2.408737013130E0)); -#525=CARTESIAN_POINT('',(9.999999971343E0,2.554968220916E1,2.492543281658E0)); -#526=CARTESIAN_POINT('',(9.999999973176E0,2.547993410051E1,2.550151823037E0)); -#527=CARTESIAN_POINT('',(9.999999947253E0,2.537220536850E1,2.616353439212E0)); -#528=CARTESIAN_POINT('',(1.000000003301E1,2.523952800035E1,2.672521031966E0)); -#529=CARTESIAN_POINT('',(9.999999869400E0,2.517159474238E1,2.696596753268E0)); -#530=CARTESIAN_POINT('',(9.999999937604E0,2.505860899538E1,2.732369256088E0)); -#531=CARTESIAN_POINT('',(1.000000080644E1,2.492593525342E1,2.767646920229E0)); -#532=CARTESIAN_POINT('',(9.999995561665E0,2.468731243623E1,2.817894289193E0)); -#533=CARTESIAN_POINT('',(1.000000679701E1,2.442688784530E1,2.855890874138E0)); -#534=CARTESIAN_POINT('',(1.000001619124E1,2.433612598222E1,2.866663180925E0)); -#535=CARTESIAN_POINT('',(9.999985517495E0,2.414855973369E1,2.887130861773E0)); -#536=CARTESIAN_POINT('',(9.999901311113E0,2.390119351560E1,2.906974097791E0)); -#537=CARTESIAN_POINT('',(1.000038112937E1,2.357563899075E1,2.924161301671E0)); -#538=CARTESIAN_POINT('',(1.000061759259E1,2.328278942181E1,2.930007061821E0)); -#539=CARTESIAN_POINT('',(1.000075325980E1,2.311753416924E1,2.930000289008E0)); -#541=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#542=PRESENTATION_STYLE_ASSIGNMENT((#541)); -#543=STYLED_ITEM('',(#542),#540); -#544=CARTESIAN_POINT('',(-1.000076856946E1,2.311753480007E1,2.930000289008E0)); -#545=CARTESIAN_POINT('',(-1.000066607525E1,2.326276396163E1,2.930006241073E0)); -#546=CARTESIAN_POINT('',(-1.000046889006E1,2.352097716462E1,2.925426340533E0)); -#547=CARTESIAN_POINT('',(-9.999993341179E0,2.379971695986E1,2.912818159637E0)); -#548=CARTESIAN_POINT('',(-9.999947493221E0,2.403218026112E1,2.897038741562E0)); -#549=CARTESIAN_POINT('',(-9.999996880198E0,2.422489094499E1,2.879119549757E0)); -#550=CARTESIAN_POINT('',(-1.000001620531E1,2.434287715029E1,2.865896295324E0)); -#551=CARTESIAN_POINT('',(-1.000000166744E1,2.452232144261E1,2.843580186624E0)); -#552=CARTESIAN_POINT('',(-9.999995556012E0,2.478039026165E1,2.799458766840E0)); -#553=CARTESIAN_POINT('',(-1.000000126233E1,2.492072020448E1,2.767889538441E0)); -#554=CARTESIAN_POINT('',(-9.999999900726E0,2.504578417580E1,2.735910417337E0)); -#555=CARTESIAN_POINT('',(-9.999999800821E0,2.513524196842E1,2.708360676504E0)); -#556=CARTESIAN_POINT('',(-1.000000006837E1,2.520512875739E1,2.685331267007E0)); -#557=CARTESIAN_POINT('',(-9.999999924050E0,2.533604036955E1,2.633212172616E0)); -#558=CARTESIAN_POINT('',(-9.999999794716E0,2.543942778551E1,2.576922700757E0)); -#559=CARTESIAN_POINT('',(-1.000000043081E1,2.551046020388E1,2.525451632836E0)); -#560=CARTESIAN_POINT('',(-1.000000006414E1,2.556036226500E1,2.478791987494E0)); -#561=CARTESIAN_POINT('',(-9.999998368701E0,2.560804926819E1,2.403278457887E0)); -#562=CARTESIAN_POINT('',(-9.999999281509E0,2.561792552772E1,2.356284907037E0)); -#563=CARTESIAN_POINT('',(-9.999999985663E0,2.561762135674E1,2.329998388274E0)); -#565=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#566=PRESENTATION_STYLE_ASSIGNMENT((#565)); -#567=STYLED_ITEM('',(#566),#564); -#568=DIRECTION('',(-1.E0,3.975710591700E-8,-1.583959409789E-10)); -#569=VECTOR('',#568,1.999999997842E1); -#570=CARTESIAN_POINT('',(9.999999992762E0,2.561762056159E1,2.329998391442E0)); -#571=LINE('',#570,#569); -#572=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#573=PRESENTATION_STYLE_ASSIGNMENT((#572)); -#574=STYLED_ITEM('',(#573),#571); -#575=DIRECTION('',(3.472267480555E-8,-2.471678398507E-4,-9.999999694540E-1)); -#576=VECTOR('',#575,3.999984004925E-1); -#577=CARTESIAN_POINT('',(-9.999999985663E0,2.561762135674E1,2.329998388274E0)); -#578=LINE('',#577,#576); -#579=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#580=PRESENTATION_STYLE_ASSIGNMENT((#579)); -#581=STYLED_ITEM('',(#580),#578); -#582=DIRECTION('',(4.071634847089E-8,2.471923812548E-4,9.999999694480E-1)); -#583=VECTOR('',#582,3.999984036628E-1); -#584=CARTESIAN_POINT('',(9.999999976475E0,2.561752168504E1,1.93E0)); -#585=LINE('',#584,#583); -#586=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#587=PRESENTATION_STYLE_ASSIGNMENT((#586)); -#588=STYLED_ITEM('',(#587),#585); -#589=DIRECTION('',(2.478517959585E-4,-9.389861590011E-11,-9.999999692847E-1)); -#590=VECTOR('',#589,3.999983242803E-1); -#591=CARTESIAN_POINT('',(-1.600010586855E1,1.762083079281E1,2.329998311994E0)); -#592=LINE('',#591,#590); -#593=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#594=PRESENTATION_STYLE_ASSIGNMENT((#593)); -#595=STYLED_ITEM('',(#594),#592); -#596=CARTESIAN_POINT('',(-1.600010586855E1,1.762083079281E1,2.329998311994E0)); -#597=CARTESIAN_POINT('',(-1.600010586855E1,1.821732141241E1,2.329998311994E0)); -#598=CARTESIAN_POINT('',(-1.592997658342E1,1.929442116865E1,2.330000349002E0)); -#599=CARTESIAN_POINT('',(-1.563846200348E1,2.076635043275E1,2.329999562308E0)); -#600=CARTESIAN_POINT('',(-1.518845574217E1,2.205234485744E1,2.329999787910E0)); -#601=CARTESIAN_POINT('',(-1.455522339707E1,2.319717816424E1,2.329999726274E0)); -#602=CARTESIAN_POINT('',(-1.376130869286E1,2.415762540455E1,2.329999754919E0)); -#603=CARTESIAN_POINT('',(-1.292079623634E1,2.481069314175E1,2.329999710698E0)); -#604=CARTESIAN_POINT('',(-1.213407578025E1,2.520934748427E1,2.329999794258E0)); -#605=CARTESIAN_POINT('',(-1.148057878181E1,2.543716918512E1,2.329999556008E0)); -#606=CARTESIAN_POINT('',(-1.078633270303E1,2.558239444253E1,2.330000423970E0)); -#607=CARTESIAN_POINT('',(-1.026661025224E1,2.561781741194E1,2.329998388274E0)); -#608=CARTESIAN_POINT('',(-9.999999985663E0,2.561762135674E1,2.329998388274E0)); -#610=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#611=PRESENTATION_STYLE_ASSIGNMENT((#610)); -#612=STYLED_ITEM('',(#611),#609); -#613=CARTESIAN_POINT('',(-1.350001110642E1,1.762083079279E1,2.930000288730E0)); -#614=CARTESIAN_POINT('',(-1.375834468465E1,1.762083079279E1,2.930000301407E0)); -#615=CARTESIAN_POINT('',(-1.413526944861E1,1.762083079281E1,2.919422427783E0)); -#616=CARTESIAN_POINT('',(-1.461317671214E1,1.762083079281E1,2.880663380291E0)); -#617=CARTESIAN_POINT('',(-1.495733377590E1,1.762083079280E1,2.837320137066E0)); -#618=CARTESIAN_POINT('',(-1.528396188250E1,1.762083079280E1,2.776449030670E0)); -#619=CARTESIAN_POINT('',(-1.553696874079E1,1.762083079280E1,2.706536854793E0)); -#620=CARTESIAN_POINT('',(-1.572098306782E1,1.762083079280E1,2.632222663491E0)); -#621=CARTESIAN_POINT('',(-1.584672986386E1,1.762083079280E1,2.564204757806E0)); -#622=CARTESIAN_POINT('',(-1.595092197540E1,1.762083079280E1,2.474701978801E0)); -#623=CARTESIAN_POINT('',(-1.600010593405E1,1.762083079280E1,2.394581797048E0)); -#624=CARTESIAN_POINT('',(-1.600010586855E1,1.762083079281E1,2.329998311994E0)); -#626=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#627=PRESENTATION_STYLE_ASSIGNMENT((#626)); -#628=STYLED_ITEM('',(#627),#625); -#629=CARTESIAN_POINT('',(-1.350001105373E1,-2.138585474203E1,2.930000288729E0)); -#630=CARTESIAN_POINT('',(-1.375168410311E1,-2.138585474203E1,2.930010959985E0)); -#631=CARTESIAN_POINT('',(-1.412534004129E1,-2.138585474209E1,2.919776608138E0)); -#632=CARTESIAN_POINT('',(-1.460345703468E1,-2.138585474208E1,2.881772116948E0)); -#633=CARTESIAN_POINT('',(-1.495604199984E1,-2.138585474208E1,2.837667847837E0)); -#634=CARTESIAN_POINT('',(-1.529032002963E1,-2.138585474208E1,2.775260466062E0)); -#635=CARTESIAN_POINT('',(-1.554855752844E1,-2.138585474208E1,2.702914595064E0)); -#636=CARTESIAN_POINT('',(-1.573571561076E1,-2.138585474208E1,2.625633334592E0)); -#637=CARTESIAN_POINT('',(-1.586191824082E1,-2.138585474208E1,2.553431714485E0)); -#638=CARTESIAN_POINT('',(-1.594946774463E1,-2.138585474208E1,2.475882089626E0)); -#639=CARTESIAN_POINT('',(-1.599136065829E1,-2.138585474208E1,2.396771378835E0)); -#640=CARTESIAN_POINT('',(-1.600035748779E1,-2.138585474208E1,2.351731836413E0)); -#641=CARTESIAN_POINT('',(-1.600010597220E1,-2.138585474208E1,2.329998315162E0)); -#643=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#644=PRESENTATION_STYLE_ASSIGNMENT((#643)); -#645=STYLED_ITEM('',(#644),#642); -#646=DIRECTION('',(-2.657199602519E-9,-1.E0,8.121476543622E-11)); -#647=VECTOR('',#646,3.900668553489E1); -#648=CARTESIAN_POINT('',(-1.600010586855E1,1.762083079281E1,2.329998311994E0)); -#649=LINE('',#648,#647); -#650=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#651=PRESENTATION_STYLE_ASSIGNMENT((#650)); -#652=STYLED_ITEM('',(#651),#649); -#653=DIRECTION('',(4.773883466705E-8,2.471923784037E-4,-9.999999694480E-1)); -#654=VECTOR('',#653,3.999984036628E-1); -#655=CARTESIAN_POINT('',(-9.999999998482E0,-2.938262056160E1,2.329998391442E0)); -#656=LINE('',#655,#654); -#657=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#658=PRESENTATION_STYLE_ASSIGNMENT((#657)); -#659=STYLED_ITEM('',(#658),#656); -#660=DIRECTION('',(-2.478518000707E-4,3.733029507213E-11,9.999999692847E-1)); -#661=VECTOR('',#660,3.999983274482E-1); -#662=CARTESIAN_POINT('',(-1.600000683190E1,-2.138585474210E1,1.93E0)); -#663=LINE('',#662,#661); -#664=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#665=PRESENTATION_STYLE_ASSIGNMENT((#664)); -#666=STYLED_ITEM('',(#665),#663); -#667=CARTESIAN_POINT('',(-9.999999998482E0,-2.938262056160E1,2.329998391442E0)); -#668=CARTESIAN_POINT('',(-1.028915900539E1,-2.938282971417E1,2.329998391442E0)); -#669=CARTESIAN_POINT('',(-1.080646235240E1,-2.934434947468E1,2.330000321463E0)); -#670=CARTESIAN_POINT('',(-1.151782084035E1,-2.919190561650E1,2.329999571195E0)); -#671=CARTESIAN_POINT('',(-1.215185854393E1,-2.896656818284E1,2.329999790755E0)); -#672=CARTESIAN_POINT('',(-1.293519224253E1,-2.856462670775E1,2.329999719883E0)); -#673=CARTESIAN_POINT('',(-1.376212671320E1,-2.792181328490E1,2.329999759089E0)); -#674=CARTESIAN_POINT('',(-1.457726752490E1,-2.693532922122E1,2.329999729314E0)); -#675=CARTESIAN_POINT('',(-1.520331496557E1,-2.578346217159E1,2.329999801411E0)); -#676=CARTESIAN_POINT('',(-1.565822134686E1,-2.445679150729E1,2.329999556552E0)); -#677=CARTESIAN_POINT('',(-1.593539624838E1,-2.301839476433E1,2.330000469344E0)); -#678=CARTESIAN_POINT('',(-1.600010597219E1,-2.193626905745E1,2.329998315162E0)); -#679=CARTESIAN_POINT('',(-1.600010597220E1,-2.138585474208E1,2.329998315162E0)); -#681=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#682=PRESENTATION_STYLE_ASSIGNMENT((#681)); -#683=STYLED_ITEM('',(#682),#680); -#684=CARTESIAN_POINT('',(-9.999999998482E0,-2.938262056160E1,2.329998391442E0)); -#685=CARTESIAN_POINT('',(-9.999999276509E0,-2.938294247228E1,2.357817979074E0)); -#686=CARTESIAN_POINT('',(-1.000000603731E1,-2.937149441209E1,2.409692698320E0)); -#687=CARTESIAN_POINT('',(-1.000005032787E1,-2.931410630717E1,2.493103223510E0)); -#688=CARTESIAN_POINT('',(-1.000010048719E1,-2.924341260528E1,2.551547203994E0)); -#689=CARTESIAN_POINT('',(-1.000018208901E1,-2.913072110503E1,2.619482398949E0)); -#690=CARTESIAN_POINT('',(-1.000027240964E1,-2.900593294304E1,2.671822062477E0)); -#691=CARTESIAN_POINT('',(-1.000032359868E1,-2.893504881423E1,2.697209565855E0)); -#692=CARTESIAN_POINT('',(-1.000040533359E1,-2.882239415621E1,2.732640143655E0)); -#693=CARTESIAN_POINT('',(-1.000050047788E1,-2.869066018742E1,2.767853160862E0)); -#694=CARTESIAN_POINT('',(-1.000067846280E1,-2.844347629109E1,2.819393359673E0)); -#695=CARTESIAN_POINT('',(-1.000085919703E1,-2.819632413035E1,2.855193701050E0)); -#696=CARTESIAN_POINT('',(-1.000092853437E1,-2.810309255101E1,2.866477082005E0)); -#697=CARTESIAN_POINT('',(-1.000105913101E1,-2.791579190386E1,2.886893676456E0)); -#698=CARTESIAN_POINT('',(-1.000121764689E1,-2.767066462140E1,2.906693024720E0)); -#699=CARTESIAN_POINT('',(-1.000155183550E1,-2.734149160040E1,2.924164684783E0)); -#700=CARTESIAN_POINT('',(-1.000181243837E1,-2.704807535922E1,2.930007070865E0)); -#701=CARTESIAN_POINT('',(-1.000195880514E1,-2.688253448478E1,2.930000289011E0)); -#703=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#704=PRESENTATION_STYLE_ASSIGNMENT((#703)); -#705=STYLED_ITEM('',(#704),#702); -#706=CARTESIAN_POINT('',(1.000076856916E1,-2.688253491549E1,2.930000289011E0)); -#707=CARTESIAN_POINT('',(1.000066607514E1,-2.702776396872E1,2.930006238733E0)); -#708=CARTESIAN_POINT('',(1.000046889010E1,-2.728597718896E1,2.925426341222E0)); -#709=CARTESIAN_POINT('',(9.999993341077E0,-2.756471702542E1,2.912818155967E0)); -#710=CARTESIAN_POINT('',(9.999947493239E0,-2.779718033684E1,2.897038735537E0)); -#711=CARTESIAN_POINT('',(9.999996880214E0,-2.798989099809E1,2.879119544220E0)); -#712=CARTESIAN_POINT('',(1.000001620530E1,-2.810787722974E1,2.865896286356E0)); -#713=CARTESIAN_POINT('',(1.000000166744E1,-2.828732155907E1,2.843580169531E0)); -#714=CARTESIAN_POINT('',(9.999995556015E0,-2.854539032947E1,2.799458752893E0)); -#715=CARTESIAN_POINT('',(1.000000126233E1,-2.868572026408E1,2.767889524423E0)); -#716=CARTESIAN_POINT('',(9.999999900726E0,-2.881078422178E1,2.735910404268E0)); -#717=CARTESIAN_POINT('',(9.999999800821E0,-2.890024200049E1,2.708360666010E0)); -#718=CARTESIAN_POINT('',(1.000000006837E1,-2.897012878699E1,2.685331256670E0)); -#719=CARTESIAN_POINT('',(9.999999924050E0,-2.910104040583E1,2.633212155679E0)); -#720=CARTESIAN_POINT('',(9.999999794716E0,-2.920442780223E1,2.576922689579E0)); -#721=CARTESIAN_POINT('',(1.000000043081E1,-2.927546021371E1,2.525451624717E0)); -#722=CARTESIAN_POINT('',(1.000000006414E1,-2.932536227043E1,2.478791980618E0)); -#723=CARTESIAN_POINT('',(9.999998368701E0,-2.937304926956E1,2.403278453545E0)); -#724=CARTESIAN_POINT('',(9.999999281510E0,-2.938292552769E1,2.356284905136E0)); -#725=CARTESIAN_POINT('',(9.999999985664E0,-2.938262135673E1,2.329998388274E0)); -#727=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#728=PRESENTATION_STYLE_ASSIGNMENT((#727)); -#729=STYLED_ITEM('',(#728),#726); -#730=DIRECTION('',(1.E0,-3.975691405909E-8,-1.583959409336E-10)); -#731=VECTOR('',#730,1.999999998415E1); -#732=CARTESIAN_POINT('',(-9.999999998482E0,-2.938262056160E1,2.329998391442E0)); -#733=LINE('',#732,#731); -#734=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#735=PRESENTATION_STYLE_ASSIGNMENT((#734)); -#736=STYLED_ITEM('',(#735),#733); -#737=DIRECTION('',(-3.471168799456E-8,2.471678378256E-4,-9.999999694540E-1)); -#738=VECTOR('',#737,3.999984004925E-1); -#739=CARTESIAN_POINT('',(9.999999985664E0,-2.938262135673E1,2.329998388274E0)); -#740=LINE('',#739,#738); -#741=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#742=PRESENTATION_STYLE_ASSIGNMENT((#741)); -#743=STYLED_ITEM('',(#742),#740); -#744=DIRECTION('',(-2.478517961450E-4,9.984055442046E-11,-9.999999692847E-1)); -#745=VECTOR('',#744,3.999983242803E-1); -#746=CARTESIAN_POINT('',(1.600010586855E1,-2.138583079281E1,2.329998311994E0)); -#747=LINE('',#746,#745); -#748=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#749=PRESENTATION_STYLE_ASSIGNMENT((#748)); -#750=STYLED_ITEM('',(#749),#747); -#751=CARTESIAN_POINT('',(1.600010586855E1,-2.138583079281E1,2.329998311994E0)); -#752=CARTESIAN_POINT('',(1.600010586855E1,-2.198232141241E1,2.329998311994E0)); -#753=CARTESIAN_POINT('',(1.592997658342E1,-2.305942116865E1,2.330000349002E0)); -#754=CARTESIAN_POINT('',(1.563846200348E1,-2.453135043275E1,2.329999562308E0)); -#755=CARTESIAN_POINT('',(1.518845574217E1,-2.581734485744E1,2.329999787910E0)); -#756=CARTESIAN_POINT('',(1.455522339707E1,-2.696217816424E1,2.329999726274E0)); -#757=CARTESIAN_POINT('',(1.376130869286E1,-2.792262540455E1,2.329999754919E0)); -#758=CARTESIAN_POINT('',(1.292079623634E1,-2.857569314175E1,2.329999710698E0)); -#759=CARTESIAN_POINT('',(1.213407578025E1,-2.897434748426E1,2.329999794258E0)); -#760=CARTESIAN_POINT('',(1.148057878181E1,-2.920216918512E1,2.329999556008E0)); -#761=CARTESIAN_POINT('',(1.078633270303E1,-2.934739444253E1,2.330000423970E0)); -#762=CARTESIAN_POINT('',(1.026661025224E1,-2.938281741194E1,2.329998388274E0)); -#763=CARTESIAN_POINT('',(9.999999985664E0,-2.938262135673E1,2.329998388274E0)); -#765=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#766=PRESENTATION_STYLE_ASSIGNMENT((#765)); -#767=STYLED_ITEM('',(#766),#764); -#768=CARTESIAN_POINT('',(1.350001118028E1,-2.138583079280E1,2.930000288732E0)); -#769=CARTESIAN_POINT('',(1.375834475740E1,-2.138583079281E1,2.930000301351E0)); -#770=CARTESIAN_POINT('',(1.413526943363E1,-2.138583079281E1,2.919422427703E0)); -#771=CARTESIAN_POINT('',(1.461317671514E1,-2.138583079281E1,2.880663380291E0)); -#772=CARTESIAN_POINT('',(1.495733377509E1,-2.138583079281E1,2.837320137066E0)); -#773=CARTESIAN_POINT('',(1.528396188272E1,-2.138583079281E1,2.776449030670E0)); -#774=CARTESIAN_POINT('',(1.553696874076E1,-2.138583079280E1,2.706536854793E0)); -#775=CARTESIAN_POINT('',(1.572098306783E1,-2.138583079281E1,2.632222663491E0)); -#776=CARTESIAN_POINT('',(1.584672986386E1,-2.138583079280E1,2.564204757806E0)); -#777=CARTESIAN_POINT('',(1.595092197540E1,-2.138583079280E1,2.474701978801E0)); -#778=CARTESIAN_POINT('',(1.600010593405E1,-2.138583079280E1,2.394581797049E0)); -#779=CARTESIAN_POINT('',(1.600010586855E1,-2.138583079281E1,2.329998311994E0)); -#781=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#782=PRESENTATION_STYLE_ASSIGNMENT((#781)); -#783=STYLED_ITEM('',(#782),#780); -#784=CARTESIAN_POINT('',(1.350001143352E1,1.762085474214E1,2.930000288732E0)); -#785=CARTESIAN_POINT('',(1.375168441922E1,1.762085474214E1,2.930010956757E0)); -#786=CARTESIAN_POINT('',(1.412534005017E1,1.762085474207E1,2.919776603688E0)); -#787=CARTESIAN_POINT('',(1.460345704382E1,1.762085474209E1,2.881772116947E0)); -#788=CARTESIAN_POINT('',(1.495604199739E1,1.762085474208E1,2.837667847837E0)); -#789=CARTESIAN_POINT('',(1.529032003030E1,1.762085474208E1,2.775260466062E0)); -#790=CARTESIAN_POINT('',(1.554855752836E1,1.762085474208E1,2.702914595064E0)); -#791=CARTESIAN_POINT('',(1.573571561078E1,1.762085474208E1,2.625633334592E0)); -#792=CARTESIAN_POINT('',(1.586191824082E1,1.762085474208E1,2.553431714485E0)); -#793=CARTESIAN_POINT('',(1.594946774463E1,1.762085474208E1,2.475882089626E0)); -#794=CARTESIAN_POINT('',(1.599136065829E1,1.762085474208E1,2.396771378835E0)); -#795=CARTESIAN_POINT('',(1.600035748779E1,1.762085474208E1,2.351731836414E0)); -#796=CARTESIAN_POINT('',(1.600010597220E1,1.762085474208E1,2.329998315162E0)); -#798=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#799=PRESENTATION_STYLE_ASSIGNMENT((#798)); -#800=STYLED_ITEM('',(#799),#797); -#801=DIRECTION('',(2.657179747164E-9,1.E0,8.121477682117E-11)); -#802=VECTOR('',#801,3.900668553489E1); -#803=CARTESIAN_POINT('',(1.600010586855E1,-2.138583079281E1,2.329998311994E0)); -#804=LINE('',#803,#802); -#805=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#806=PRESENTATION_STYLE_ASSIGNMENT((#805)); -#807=STYLED_ITEM('',(#806),#804); -#808=DIRECTION('',(2.478517983121E-4,-3.308478447387E-11,9.999999692847E-1)); -#809=VECTOR('',#808,3.999983274482E-1); -#810=CARTESIAN_POINT('',(1.600000683190E1,1.762085474210E1,1.93E0)); -#811=LINE('',#810,#809); -#812=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#813=PRESENTATION_STYLE_ASSIGNMENT((#812)); -#814=STYLED_ITEM('',(#813),#811); -#815=CARTESIAN_POINT('',(9.999999992762E0,2.561762056159E1,2.329998391442E0)); -#816=CARTESIAN_POINT('',(1.028915900209E1,2.561782971446E1,2.329998391442E0)); -#817=CARTESIAN_POINT('',(1.080646235037E1,2.557934947505E1,2.330000321463E0)); -#818=CARTESIAN_POINT('',(1.151782084044E1,2.542690561650E1,2.329999571195E0)); -#819=CARTESIAN_POINT('',(1.215185854391E1,2.520156818284E1,2.329999790755E0)); -#820=CARTESIAN_POINT('',(1.293519224254E1,2.479962670774E1,2.329999719883E0)); -#821=CARTESIAN_POINT('',(1.376212671321E1,2.415681328489E1,2.329999759089E0)); -#822=CARTESIAN_POINT('',(1.457726752490E1,2.317032922122E1,2.329999729314E0)); -#823=CARTESIAN_POINT('',(1.520331496557E1,2.201846217160E1,2.329999801411E0)); -#824=CARTESIAN_POINT('',(1.565822134686E1,2.069179150729E1,2.329999556552E0)); -#825=CARTESIAN_POINT('',(1.593539624837E1,1.925339476433E1,2.330000469344E0)); -#826=CARTESIAN_POINT('',(1.600010597220E1,1.817126905744E1,2.329998315162E0)); -#827=CARTESIAN_POINT('',(1.600010597220E1,1.762085474208E1,2.329998315162E0)); -#829=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#830=PRESENTATION_STYLE_ASSIGNMENT((#829)); -#831=STYLED_ITEM('',(#830),#828); -#832=CARTESIAN_POINT('',(-9.999999971774E0,2.561752248999E1,1.93E0)); -#833=CARTESIAN_POINT('',(-1.055543806295E1,2.561793094167E1,1.93E0)); -#834=CARTESIAN_POINT('',(-1.155013800046E1,2.546494431263E1,1.93E0)); -#835=CARTESIAN_POINT('',(-1.276069852615E1,2.493508184824E1,1.93E0)); -#836=CARTESIAN_POINT('',(-1.376130899558E1,2.415762676713E1,1.93E0)); -#837=CARTESIAN_POINT('',(-1.483416481839E1,2.285972287147E1,1.93E0)); -#838=CARTESIAN_POINT('',(-1.572630291265E1,2.082669127426E1,1.93E0)); -#839=CARTESIAN_POINT('',(-1.600000672825E1,1.876792813818E1,1.93E0)); -#840=CARTESIAN_POINT('',(-1.600000672825E1,1.762083079277E1,1.93E0)); -#842=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#843=PRESENTATION_STYLE_ASSIGNMENT((#842)); -#844=STYLED_ITEM('',(#843),#841); -#845=DIRECTION('',(-2.657137395148E-9,-1.E0,0.E0)); -#846=VECTOR('',#845,3.900668553486E1); -#847=CARTESIAN_POINT('',(-1.600000672825E1,1.762083079277E1,1.93E0)); -#848=LINE('',#847,#846); -#849=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#850=PRESENTATION_STYLE_ASSIGNMENT((#849)); -#851=STYLED_ITEM('',(#850),#848); -#852=CARTESIAN_POINT('',(-1.600000683190E1,-2.138585474210E1,1.93E0)); -#853=CARTESIAN_POINT('',(-1.600000683189E1,-2.253255123242E1,1.93E0)); -#854=CARTESIAN_POINT('',(-1.572644585619E1,-2.459095587798E1,1.93E0)); -#855=CARTESIAN_POINT('',(-1.483467868077E1,-2.662380715028E1,1.93E0)); -#856=CARTESIAN_POINT('',(-1.376212704514E1,-2.792181455141E1,1.93E0)); -#857=CARTESIAN_POINT('',(-1.276180264505E1,-2.869940537543E1,1.93E0)); -#858=CARTESIAN_POINT('',(-1.155113632533E1,-2.922970440545E1,1.93E0)); -#859=CARTESIAN_POINT('',(-1.055607503059E1,-2.938292390517E1,1.93E0)); -#860=CARTESIAN_POINT('',(-9.999999979387E0,-2.938252168504E1,1.93E0)); -#862=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#863=PRESENTATION_STYLE_ASSIGNMENT((#862)); -#864=STYLED_ITEM('',(#863),#861); -#865=DIRECTION('',(1.E0,-4.024776255442E-8,0.E0)); -#866=VECTOR('',#865,1.999999995117E1); -#867=CARTESIAN_POINT('',(-9.999999979387E0,-2.938252168504E1,1.93E0)); -#868=LINE('',#867,#866); -#869=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#870=PRESENTATION_STYLE_ASSIGNMENT((#869)); -#871=STYLED_ITEM('',(#870),#868); -#872=CARTESIAN_POINT('',(9.999999971779E0,-2.938252248999E1,1.93E0)); -#873=CARTESIAN_POINT('',(1.055543806295E1,-2.938293094167E1,1.93E0)); -#874=CARTESIAN_POINT('',(1.155013800046E1,-2.922994431263E1,1.93E0)); -#875=CARTESIAN_POINT('',(1.276069852615E1,-2.870008184824E1,1.93E0)); -#876=CARTESIAN_POINT('',(1.376130899558E1,-2.792262676713E1,1.93E0)); -#877=CARTESIAN_POINT('',(1.483416481839E1,-2.662472287147E1,1.93E0)); -#878=CARTESIAN_POINT('',(1.572630291265E1,-2.459169127426E1,1.93E0)); -#879=CARTESIAN_POINT('',(1.600000672825E1,-2.253292813818E1,1.93E0)); -#880=CARTESIAN_POINT('',(1.600000672825E1,-2.138583079277E1,1.93E0)); -#882=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#883=PRESENTATION_STYLE_ASSIGNMENT((#882)); -#884=STYLED_ITEM('',(#883),#881); -#885=DIRECTION('',(2.657137395148E-9,1.E0,0.E0)); -#886=VECTOR('',#885,3.900668553486E1); -#887=CARTESIAN_POINT('',(1.600000672825E1,-2.138583079277E1,1.93E0)); -#888=LINE('',#887,#886); -#889=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#890=PRESENTATION_STYLE_ASSIGNMENT((#889)); -#891=STYLED_ITEM('',(#890),#888); -#892=CARTESIAN_POINT('',(1.600000683190E1,1.762085474210E1,1.93E0)); -#893=CARTESIAN_POINT('',(1.600000683189E1,1.876755123242E1,1.93E0)); -#894=CARTESIAN_POINT('',(1.572644585618E1,2.082595587798E1,1.93E0)); -#895=CARTESIAN_POINT('',(1.483467868080E1,2.285880715028E1,1.93E0)); -#896=CARTESIAN_POINT('',(1.376212704510E1,2.415681455141E1,1.93E0)); -#897=CARTESIAN_POINT('',(1.276180264522E1,2.493440537543E1,1.93E0)); -#898=CARTESIAN_POINT('',(1.155113632373E1,2.546470440587E1,1.93E0)); -#899=CARTESIAN_POINT('',(1.055607502945E1,2.561792390554E1,1.93E0)); -#900=CARTESIAN_POINT('',(9.999999976475E0,2.561752168504E1,1.93E0)); -#902=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#903=PRESENTATION_STYLE_ASSIGNMENT((#902)); -#904=STYLED_ITEM('',(#903),#901); -#905=DIRECTION('',(-1.E0,4.024797145985E-8,0.E0)); -#906=VECTOR('',#905,1.999999994825E1); -#907=CARTESIAN_POINT('',(9.999999976475E0,2.561752168504E1,1.93E0)); -#908=LINE('',#907,#906); -#909=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#910=PRESENTATION_STYLE_ASSIGNMENT((#909)); -#911=STYLED_ITEM('',(#910),#908); -#912=DIRECTION('',(-6.E-1,-8.E-1,0.E0)); -#913=VECTOR('',#912,3.125E0); -#914=CARTESIAN_POINT('',(1.235E1,-2.365E1,1.93E0)); -#915=LINE('',#914,#913); -#916=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#917=PRESENTATION_STYLE_ASSIGNMENT((#916)); -#918=STYLED_ITEM('',(#917),#915); -#919=DIRECTION('',(-1.E0,0.E0,0.E0)); -#920=VECTOR('',#919,2.095E1); -#921=CARTESIAN_POINT('',(1.0475E1,-2.615E1,1.93E0)); -#922=LINE('',#921,#920); -#923=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#924=PRESENTATION_STYLE_ASSIGNMENT((#923)); -#925=STYLED_ITEM('',(#924),#922); -#926=DIRECTION('',(-6.E-1,8.E-1,0.E0)); -#927=VECTOR('',#926,3.125E0); -#928=CARTESIAN_POINT('',(-1.0475E1,-2.615E1,1.93E0)); -#929=LINE('',#928,#927); -#930=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#931=PRESENTATION_STYLE_ASSIGNMENT((#930)); -#932=STYLED_ITEM('',(#931),#929); -#933=DIRECTION('',(1.E0,0.E0,0.E0)); -#934=VECTOR('',#933,2.47E1); -#935=CARTESIAN_POINT('',(-1.235E1,2.235E1,1.93E0)); -#936=LINE('',#935,#934); -#937=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#938=PRESENTATION_STYLE_ASSIGNMENT((#937)); -#939=STYLED_ITEM('',(#938),#936); -#940=DIRECTION('',(0.E0,-1.E0,0.E0)); -#941=VECTOR('',#940,1.3E0); -#942=CARTESIAN_POINT('',(1.235E1,-2.235E1,1.73E0)); -#943=LINE('',#942,#941); -#944=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#945=PRESENTATION_STYLE_ASSIGNMENT((#944)); -#946=STYLED_ITEM('',(#945),#943); -#947=DIRECTION('',(0.E0,1.E0,0.E0)); -#948=VECTOR('',#947,4.6E1); -#949=CARTESIAN_POINT('',(1.235E1,-2.365E1,1.93E0)); -#950=LINE('',#949,#948); -#951=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#952=PRESENTATION_STYLE_ASSIGNMENT((#951)); -#953=STYLED_ITEM('',(#952),#950); -#954=DIRECTION('',(0.E0,0.E0,-1.E0)); -#955=VECTOR('',#954,4.E-1); -#956=CARTESIAN_POINT('',(1.235E1,2.235E1,1.93E0)); -#957=LINE('',#956,#955); -#958=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#959=PRESENTATION_STYLE_ASSIGNMENT((#958)); -#960=STYLED_ITEM('',(#959),#957); -#961=DIRECTION('',(0.E0,-1.E0,0.E0)); -#962=VECTOR('',#961,4.47E1); -#963=CARTESIAN_POINT('',(1.235E1,2.235E1,1.53E0)); -#964=LINE('',#963,#962); -#965=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#966=PRESENTATION_STYLE_ASSIGNMENT((#965)); -#967=STYLED_ITEM('',(#966),#964); -#968=DIRECTION('',(0.E0,0.E0,-1.E0)); -#969=VECTOR('',#968,2.E-1); -#970=CARTESIAN_POINT('',(1.235E1,-2.235E1,1.73E0)); -#971=LINE('',#970,#969); -#972=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#973=PRESENTATION_STYLE_ASSIGNMENT((#972)); -#974=STYLED_ITEM('',(#973),#971); -#975=DIRECTION('',(-1.E0,0.E0,0.E0)); -#976=VECTOR('',#975,2.47E1); -#977=CARTESIAN_POINT('',(1.235E1,-2.235E1,1.53E0)); -#978=LINE('',#977,#976); -#979=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#980=PRESENTATION_STYLE_ASSIGNMENT((#979)); -#981=STYLED_ITEM('',(#980),#978); -#982=DIRECTION('',(-1.E0,0.E0,0.E0)); -#983=VECTOR('',#982,2.47E1); -#984=CARTESIAN_POINT('',(1.235E1,-2.235E1,1.73E0)); -#985=LINE('',#984,#983); -#986=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#987=PRESENTATION_STYLE_ASSIGNMENT((#986)); -#988=STYLED_ITEM('',(#987),#985); -#989=DIRECTION('',(6.E-1,-8.E-1,0.E0)); -#990=VECTOR('',#989,3.125E0); -#991=CARTESIAN_POINT('',(-1.235E1,-2.365E1,1.73E0)); -#992=LINE('',#991,#990); -#993=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#994=PRESENTATION_STYLE_ASSIGNMENT((#993)); -#995=STYLED_ITEM('',(#994),#992); -#996=DIRECTION('',(6.E-1,8.E-1,0.E0)); -#997=VECTOR('',#996,3.125E0); -#998=CARTESIAN_POINT('',(1.0475E1,-2.615E1,1.73E0)); -#999=LINE('',#998,#997); -#1000=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1001=PRESENTATION_STYLE_ASSIGNMENT((#1000)); -#1002=STYLED_ITEM('',(#1001),#999); -#1003=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1004=VECTOR('',#1003,4.6E1); -#1005=CARTESIAN_POINT('',(-1.235E1,2.235E1,1.93E0)); -#1006=LINE('',#1005,#1004); -#1007=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1008=PRESENTATION_STYLE_ASSIGNMENT((#1007)); -#1009=STYLED_ITEM('',(#1008),#1006); -#1010=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1011=VECTOR('',#1010,2.E-1); -#1012=CARTESIAN_POINT('',(-1.235E1,-2.365E1,1.93E0)); -#1013=LINE('',#1012,#1011); -#1014=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1015=PRESENTATION_STYLE_ASSIGNMENT((#1014)); -#1016=STYLED_ITEM('',(#1015),#1013); -#1017=DIRECTION('',(0.E0,1.E0,0.E0)); -#1018=VECTOR('',#1017,1.3E0); -#1019=CARTESIAN_POINT('',(-1.235E1,-2.365E1,1.73E0)); -#1020=LINE('',#1019,#1018); -#1021=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1022=PRESENTATION_STYLE_ASSIGNMENT((#1021)); -#1023=STYLED_ITEM('',(#1022),#1020); -#1024=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1025=VECTOR('',#1024,2.E-1); -#1026=CARTESIAN_POINT('',(-1.235E1,-2.235E1,1.73E0)); -#1027=LINE('',#1026,#1025); -#1028=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1029=PRESENTATION_STYLE_ASSIGNMENT((#1028)); -#1030=STYLED_ITEM('',(#1029),#1027); -#1031=DIRECTION('',(0.E0,1.E0,0.E0)); -#1032=VECTOR('',#1031,4.47E1); -#1033=CARTESIAN_POINT('',(-1.235E1,-2.235E1,1.53E0)); -#1034=LINE('',#1033,#1032); -#1035=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1036=PRESENTATION_STYLE_ASSIGNMENT((#1035)); -#1037=STYLED_ITEM('',(#1036),#1034); -#1038=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1039=VECTOR('',#1038,2.E-1); -#1040=CARTESIAN_POINT('',(-1.0475E1,-2.615E1,1.93E0)); -#1041=LINE('',#1040,#1039); -#1042=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1043=PRESENTATION_STYLE_ASSIGNMENT((#1042)); -#1044=STYLED_ITEM('',(#1043),#1041); -#1045=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1046=VECTOR('',#1045,2.E-1); -#1047=CARTESIAN_POINT('',(1.0475E1,-2.615E1,1.93E0)); -#1048=LINE('',#1047,#1046); -#1049=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1050=PRESENTATION_STYLE_ASSIGNMENT((#1049)); -#1051=STYLED_ITEM('',(#1050),#1048); -#1052=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1053=VECTOR('',#1052,2.095E1); -#1054=CARTESIAN_POINT('',(1.0475E1,-2.615E1,1.73E0)); -#1055=LINE('',#1054,#1053); -#1056=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1057=PRESENTATION_STYLE_ASSIGNMENT((#1056)); -#1058=STYLED_ITEM('',(#1057),#1055); -#1059=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1060=VECTOR('',#1059,2.E-1); -#1061=CARTESIAN_POINT('',(1.235E1,-2.365E1,1.93E0)); -#1062=LINE('',#1061,#1060); -#1063=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1064=PRESENTATION_STYLE_ASSIGNMENT((#1063)); -#1065=STYLED_ITEM('',(#1064),#1062); -#1066=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1067=VECTOR('',#1066,4.E-1); -#1068=CARTESIAN_POINT('',(-1.235E1,2.235E1,1.93E0)); -#1069=LINE('',#1068,#1067); -#1070=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1071=PRESENTATION_STYLE_ASSIGNMENT((#1070)); -#1072=STYLED_ITEM('',(#1071),#1069); -#1073=DIRECTION('',(1.E0,0.E0,0.E0)); -#1074=VECTOR('',#1073,2.47E1); -#1075=CARTESIAN_POINT('',(-1.235E1,2.235E1,1.53E0)); -#1076=LINE('',#1075,#1074); -#1077=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1078=PRESENTATION_STYLE_ASSIGNMENT((#1077)); -#1079=STYLED_ITEM('',(#1078),#1076); -#1080=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1081=VECTOR('',#1080,4.E-1); -#1082=CARTESIAN_POINT('',(-1.135E1,2.135E1,1.93E0)); -#1083=LINE('',#1082,#1081); -#1084=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1085=PRESENTATION_STYLE_ASSIGNMENT((#1084)); -#1086=STYLED_ITEM('',(#1085),#1083); -#1087=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1088=VECTOR('',#1087,4.27E1); -#1089=CARTESIAN_POINT('',(-1.135E1,2.135E1,1.53E0)); -#1090=LINE('',#1089,#1088); -#1091=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1092=PRESENTATION_STYLE_ASSIGNMENT((#1091)); -#1093=STYLED_ITEM('',(#1092),#1090); -#1094=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1095=VECTOR('',#1094,4.27E1); -#1096=CARTESIAN_POINT('',(-1.135E1,2.135E1,1.93E0)); -#1097=LINE('',#1096,#1095); -#1098=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1099=PRESENTATION_STYLE_ASSIGNMENT((#1098)); -#1100=STYLED_ITEM('',(#1099),#1097); -#1101=DIRECTION('',(1.E0,0.E0,0.E0)); -#1102=VECTOR('',#1101,2.27E1); -#1103=CARTESIAN_POINT('',(-1.135E1,-2.135E1,1.93E0)); -#1104=LINE('',#1103,#1102); -#1105=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1106=PRESENTATION_STYLE_ASSIGNMENT((#1105)); -#1107=STYLED_ITEM('',(#1106),#1104); -#1108=DIRECTION('',(0.E0,1.E0,0.E0)); -#1109=VECTOR('',#1108,4.27E1); -#1110=CARTESIAN_POINT('',(1.135E1,-2.135E1,1.93E0)); -#1111=LINE('',#1110,#1109); -#1112=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1113=PRESENTATION_STYLE_ASSIGNMENT((#1112)); -#1114=STYLED_ITEM('',(#1113),#1111); -#1115=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1116=VECTOR('',#1115,2.27E1); -#1117=CARTESIAN_POINT('',(1.135E1,2.135E1,1.93E0)); -#1118=LINE('',#1117,#1116); -#1119=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1120=PRESENTATION_STYLE_ASSIGNMENT((#1119)); -#1121=STYLED_ITEM('',(#1120),#1118); -#1122=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1123=VECTOR('',#1122,4.E-1); -#1124=CARTESIAN_POINT('',(-1.135E1,-2.135E1,1.93E0)); -#1125=LINE('',#1124,#1123); -#1126=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1127=PRESENTATION_STYLE_ASSIGNMENT((#1126)); -#1128=STYLED_ITEM('',(#1127),#1125); -#1129=DIRECTION('',(1.E0,0.E0,0.E0)); -#1130=VECTOR('',#1129,2.27E1); -#1131=CARTESIAN_POINT('',(-1.135E1,-2.135E1,1.53E0)); -#1132=LINE('',#1131,#1130); -#1133=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1134=PRESENTATION_STYLE_ASSIGNMENT((#1133)); -#1135=STYLED_ITEM('',(#1134),#1132); -#1136=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1137=VECTOR('',#1136,4.E-1); -#1138=CARTESIAN_POINT('',(1.135E1,-2.135E1,1.93E0)); -#1139=LINE('',#1138,#1137); -#1140=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1141=PRESENTATION_STYLE_ASSIGNMENT((#1140)); -#1142=STYLED_ITEM('',(#1141),#1139); -#1143=DIRECTION('',(0.E0,1.E0,0.E0)); -#1144=VECTOR('',#1143,4.27E1); -#1145=CARTESIAN_POINT('',(1.135E1,-2.135E1,1.53E0)); -#1146=LINE('',#1145,#1144); -#1147=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1148=PRESENTATION_STYLE_ASSIGNMENT((#1147)); -#1149=STYLED_ITEM('',(#1148),#1146); -#1150=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1151=VECTOR('',#1150,4.E-1); -#1152=CARTESIAN_POINT('',(1.135E1,2.135E1,1.93E0)); -#1153=LINE('',#1152,#1151); -#1154=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1155=PRESENTATION_STYLE_ASSIGNMENT((#1154)); -#1156=STYLED_ITEM('',(#1155),#1153); -#1157=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1158=VECTOR('',#1157,2.27E1); -#1159=CARTESIAN_POINT('',(1.135E1,2.135E1,1.53E0)); -#1160=LINE('',#1159,#1158); -#1161=CURVE_STYLE('',#429,POSITIVE_LENGTH_MEASURE(2.E-2),#423); -#1162=PRESENTATION_STYLE_ASSIGNMENT((#1161)); -#1163=STYLED_ITEM('',(#1162),#1160); -#1164=CARTESIAN_POINT('',(-1.000076856946E1,2.311753480007E1,2.930000289008E0)); -#1165=CARTESIAN_POINT('',(1.000075325980E1,2.311753416924E1,2.930000289008E0)); -#1166=VERTEX_POINT('',#1164); -#1167=VERTEX_POINT('',#1165); -#1168=VERTEX_POINT('',#445); -#1169=CARTESIAN_POINT('',(1.350001118028E1,-2.138583079280E1,2.930000288732E0)); -#1170=VERTEX_POINT('',#1169); -#1171=VERTEX_POINT('',#469); -#1172=CARTESIAN_POINT('',(-1.000195880514E1,-2.688253448478E1, -2.930000289011E0)); -#1173=VERTEX_POINT('',#1172); -#1174=VERTEX_POINT('',#493); -#1175=CARTESIAN_POINT('',(-1.350001110642E1,1.762083079279E1,2.930000288730E0)); -#1176=VERTEX_POINT('',#1175); -#1177=CARTESIAN_POINT('',(9.999999992762E0,2.561762056159E1,2.329998391442E0)); -#1178=CARTESIAN_POINT('',(-9.999999985663E0,2.561762135674E1,2.329998388274E0)); -#1179=VERTEX_POINT('',#1177); -#1180=VERTEX_POINT('',#1178); -#1181=CARTESIAN_POINT('',(-9.999999971774E0,2.561752248999E1,1.93E0)); -#1182=VERTEX_POINT('',#1181); -#1183=CARTESIAN_POINT('',(9.999999976475E0,2.561752168504E1,1.93E0)); -#1184=VERTEX_POINT('',#1183); -#1185=VERTEX_POINT('',#596); -#1186=CARTESIAN_POINT('',(-1.600000672825E1,1.762083079277E1,1.93E0)); -#1187=VERTEX_POINT('',#1186); -#1188=CARTESIAN_POINT('',(-1.600010597220E1,-2.138585474208E1, -2.329998315162E0)); -#1189=VERTEX_POINT('',#1188); -#1190=CARTESIAN_POINT('',(-1.600000683190E1,-2.138585474210E1,1.93E0)); -#1191=VERTEX_POINT('',#1190); -#1192=VERTEX_POINT('',#667); -#1193=CARTESIAN_POINT('',(-9.999999979387E0,-2.938252168504E1,1.93E0)); -#1194=VERTEX_POINT('',#1193); -#1195=CARTESIAN_POINT('',(9.999999985664E0,-2.938262135673E1,2.329998388274E0)); -#1196=VERTEX_POINT('',#1195); -#1197=CARTESIAN_POINT('',(9.999999971779E0,-2.938252248999E1,1.93E0)); -#1198=VERTEX_POINT('',#1197); -#1199=VERTEX_POINT('',#751); -#1200=CARTESIAN_POINT('',(1.600000672825E1,-2.138583079277E1,1.93E0)); -#1201=VERTEX_POINT('',#1200); -#1202=CARTESIAN_POINT('',(1.600010597220E1,1.762085474208E1,2.329998315162E0)); -#1203=VERTEX_POINT('',#1202); -#1204=CARTESIAN_POINT('',(1.600000683190E1,1.762085474210E1,1.93E0)); -#1205=VERTEX_POINT('',#1204); -#1206=CARTESIAN_POINT('',(1.235E1,-2.365E1,1.93E0)); -#1207=CARTESIAN_POINT('',(1.235E1,2.235E1,1.93E0)); -#1208=VERTEX_POINT('',#1206); -#1209=VERTEX_POINT('',#1207); -#1210=CARTESIAN_POINT('',(1.0475E1,-2.615E1,1.93E0)); -#1211=VERTEX_POINT('',#1210); -#1212=CARTESIAN_POINT('',(-1.0475E1,-2.615E1,1.93E0)); -#1213=VERTEX_POINT('',#1212); -#1214=CARTESIAN_POINT('',(-1.235E1,-2.365E1,1.93E0)); -#1215=VERTEX_POINT('',#1214); -#1216=CARTESIAN_POINT('',(-1.235E1,2.235E1,1.93E0)); -#1217=VERTEX_POINT('',#1216); -#1218=CARTESIAN_POINT('',(1.235E1,-2.235E1,1.73E0)); -#1219=CARTESIAN_POINT('',(1.235E1,-2.235E1,1.53E0)); -#1220=VERTEX_POINT('',#1218); -#1221=VERTEX_POINT('',#1219); -#1222=CARTESIAN_POINT('',(1.235E1,-2.365E1,1.73E0)); -#1223=VERTEX_POINT('',#1222); -#1224=CARTESIAN_POINT('',(1.235E1,2.235E1,1.53E0)); -#1225=VERTEX_POINT('',#1224); -#1226=CARTESIAN_POINT('',(-1.235E1,-2.235E1,1.73E0)); -#1227=VERTEX_POINT('',#1226); -#1228=CARTESIAN_POINT('',(-1.235E1,-2.235E1,1.53E0)); -#1229=VERTEX_POINT('',#1228); -#1230=CARTESIAN_POINT('',(-1.235E1,-2.365E1,1.73E0)); -#1231=VERTEX_POINT('',#1230); -#1232=CARTESIAN_POINT('',(-1.0475E1,-2.615E1,1.73E0)); -#1233=VERTEX_POINT('',#1232); -#1234=CARTESIAN_POINT('',(1.0475E1,-2.615E1,1.73E0)); -#1235=VERTEX_POINT('',#1234); -#1236=CARTESIAN_POINT('',(-1.235E1,2.235E1,1.53E0)); -#1237=VERTEX_POINT('',#1236); -#1238=CARTESIAN_POINT('',(-1.135E1,2.135E1,1.53E0)); -#1239=CARTESIAN_POINT('',(-1.135E1,-2.135E1,1.53E0)); -#1240=VERTEX_POINT('',#1238); -#1241=VERTEX_POINT('',#1239); -#1242=CARTESIAN_POINT('',(1.135E1,2.135E1,1.53E0)); -#1243=VERTEX_POINT('',#1242); -#1244=CARTESIAN_POINT('',(1.135E1,-2.135E1,1.53E0)); -#1245=VERTEX_POINT('',#1244); -#1246=CARTESIAN_POINT('',(-1.135E1,2.135E1,1.93E0)); -#1247=CARTESIAN_POINT('',(-1.135E1,-2.135E1,1.93E0)); -#1248=VERTEX_POINT('',#1246); -#1249=VERTEX_POINT('',#1247); -#1250=CARTESIAN_POINT('',(1.135E1,-2.135E1,1.93E0)); -#1251=VERTEX_POINT('',#1250); -#1252=CARTESIAN_POINT('',(1.135E1,2.135E1,1.93E0)); -#1253=VERTEX_POINT('',#1252); -#1254=CARTESIAN_POINT('',(0.E0,0.E0,2.93E0)); -#1255=DIRECTION('',(0.E0,0.E0,1.E0)); -#1256=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1257=AXIS2_PLACEMENT_3D('',#1254,#1255,#1256); -#1258=PLANE('',#1257); -#1260=ORIENTED_EDGE('',*,*,#1259,.T.); -#1262=ORIENTED_EDGE('',*,*,#1261,.T.); -#1264=ORIENTED_EDGE('',*,*,#1263,.T.); -#1266=ORIENTED_EDGE('',*,*,#1265,.T.); -#1268=ORIENTED_EDGE('',*,*,#1267,.T.); -#1270=ORIENTED_EDGE('',*,*,#1269,.T.); -#1272=ORIENTED_EDGE('',*,*,#1271,.T.); -#1274=ORIENTED_EDGE('',*,*,#1273,.T.); -#1275=EDGE_LOOP('',(#1260,#1262,#1264,#1266,#1268,#1270,#1272,#1274)); -#1276=FACE_OUTER_BOUND('',#1275,.F.); -#1278=CARTESIAN_POINT('',(9.999999969012E0,2.561368248068E1,2.291332489871E0)); -#1279=CARTESIAN_POINT('',(9.999999969012E0,2.561648729282E1,2.305620257005E0)); -#1280=CARTESIAN_POINT('',(9.999999969012E0,2.562014706627E1,2.342244913191E0)); -#1281=CARTESIAN_POINT('',(9.999999969012E0,2.560865354514E1,2.396773648750E0)); -#1282=CARTESIAN_POINT('',(9.999999969012E0,2.556710645025E1,2.475880603466E0)); -#1283=CARTESIAN_POINT('',(9.999999969012E0,2.547937119558E1,2.553432218539E0)); -#1284=CARTESIAN_POINT('',(9.999999969012E0,2.535322793593E1,2.625633202579E0)); -#1285=CARTESIAN_POINT('',(9.999999969012E0,2.516605041282E1,2.702914671065E0)); -#1286=CARTESIAN_POINT('',(9.999999969012E0,2.490782244438E1,2.775260442115E0)); -#1287=CARTESIAN_POINT('',(9.999999969012E0,2.457354136252E1,2.837667847633E0)); -#1288=CARTESIAN_POINT('',(9.999999969012E0,2.422095716921E1,2.881772141710E0)); -#1289=CARTESIAN_POINT('',(9.999999969012E0,2.374284622341E1,2.919775987715E0)); -#1290=CARTESIAN_POINT('',(9.999999969012E0,2.335674866904E1,2.930352153285E0)); -#1291=CARTESIAN_POINT('',(9.999999969012E0,2.309193475619E1,2.929982464586E0)); -#1292=CARTESIAN_POINT('',(9.999999969012E0,2.307911123570E1,2.929947368044E0)); -#1294=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1295=VECTOR('',#1294,1.E0); -#1296=SURFACE_OF_LINEAR_EXTRUSION('',#1293,#1295); -#1298=ORIENTED_EDGE('',*,*,#1297,.F.); -#1300=ORIENTED_EDGE('',*,*,#1299,.T.); -#1301=ORIENTED_EDGE('',*,*,#1259,.F.); -#1303=ORIENTED_EDGE('',*,*,#1302,.T.); -#1304=EDGE_LOOP('',(#1298,#1300,#1301,#1303)); -#1305=FACE_OUTER_BOUND('',#1304,.F.); -#1307=CARTESIAN_POINT('',(1.6E1,2.56175E1,1.83E0)); -#1308=DIRECTION('',(0.E0,1.E0,0.E0)); -#1309=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1310=AXIS2_PLACEMENT_3D('',#1307,#1308,#1309); -#1311=PLANE('',#1310); -#1312=ORIENTED_EDGE('',*,*,#1297,.T.); -#1314=ORIENTED_EDGE('',*,*,#1313,.T.); -#1316=ORIENTED_EDGE('',*,*,#1315,.F.); -#1318=ORIENTED_EDGE('',*,*,#1317,.T.); -#1319=EDGE_LOOP('',(#1312,#1314,#1316,#1318)); -#1320=FACE_OUTER_BOUND('',#1319,.F.); -#1322=CARTESIAN_POINT('',(-1.599958846678E1,1.750889684599E1, --1.258272933163E2)); -#1323=CARTESIAN_POINT('',(-1.599987211940E1,1.754635015097E1, --1.258272933163E2)); -#1324=CARTESIAN_POINT('',(-1.600437527856E1,1.873512030790E1, --1.258272933163E2)); -#1325=CARTESIAN_POINT('',(-1.572629959996E1,2.082669111435E1, --1.258272933163E2)); -#1326=CARTESIAN_POINT('',(-1.483416523585E1,2.285972332652E1, --1.258272933163E2)); -#1327=CARTESIAN_POINT('',(-1.376130891646E1,2.415762598162E1, --1.258272933163E2)); -#1328=CARTESIAN_POINT('',(-1.276069854931E1,2.493508484535E1, --1.258272933163E2)); -#1329=CARTESIAN_POINT('',(-1.155013797908E1,2.546493341424E1, --1.258272933163E2)); -#1330=CARTESIAN_POINT('',(-1.052282899555E1,2.562296929497E1, --1.258272933163E2)); -#1331=CARTESIAN_POINT('',(-9.924351693555E0,2.561714711362E1, --1.258272933163E2)); -#1332=CARTESIAN_POINT('',(-9.886005784652E0,2.561642667348E1, --1.258272933163E2)); -#1334=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1335=VECTOR('',#1334,1.E0); -#1336=SURFACE_OF_LINEAR_EXTRUSION('',#1333,#1335); -#1338=ORIENTED_EDGE('',*,*,#1337,.F.); -#1340=ORIENTED_EDGE('',*,*,#1339,.T.); -#1342=ORIENTED_EDGE('',*,*,#1341,.F.); -#1343=ORIENTED_EDGE('',*,*,#1313,.F.); -#1344=EDGE_LOOP('',(#1338,#1340,#1342,#1343)); -#1345=FACE_OUTER_BOUND('',#1344,.F.); -#1347=CARTESIAN_POINT('',(-9.887850756639E0,2.561451240399E1,2.297165037800E0)); -#1348=CARTESIAN_POINT('',(-1.019692635005E1,2.562023187772E1,2.297165037800E0)); -#1349=CARTESIAN_POINT('',(-1.075545492002E1,2.558690266874E1,2.297165037800E0)); -#1350=CARTESIAN_POINT('',(-1.148005790748E1,2.543527533341E1,2.297165037800E0)); -#1351=CARTESIAN_POINT('',(-1.213331187738E1,2.520755199558E1,2.297165037800E0)); -#1352=CARTESIAN_POINT('',(-1.291972873859E1,2.480904622866E1,2.297165037800E0)); -#1353=CARTESIAN_POINT('',(-1.375993357889E1,2.415622368619E1,2.297165037800E0)); -#1354=CARTESIAN_POINT('',(-1.455359729716E1,2.319608294155E1,2.297165037800E0)); -#1355=CARTESIAN_POINT('',(-1.518666565775E1,2.205155957895E1,2.297165037800E0)); -#1356=CARTESIAN_POINT('',(-1.563656230176E1,2.076584411462E1,2.297165037800E0)); -#1357=CARTESIAN_POINT('',(-1.593288322147E1,1.926991541640E1,2.297165037800E0)); -#1358=CARTESIAN_POINT('',(-1.600153600830E1,1.816257428932E1,2.297165037800E0)); -#1359=CARTESIAN_POINT('',(-1.599780524735E1,1.753336112524E1,2.297165037800E0)); -#1360=CARTESIAN_POINT('',(-9.887672687749E0,2.562414816027E1,2.381681422109E0)); -#1361=CARTESIAN_POINT('',(-1.019721181655E1,2.562987689402E1,2.381681422109E0)); -#1362=CARTESIAN_POINT('',(-1.075670396380E1,2.559649429203E1,2.381681422109E0)); -#1363=CARTESIAN_POINT('',(-1.148263442290E1,2.544459113616E1,2.381681422109E0)); -#1364=CARTESIAN_POINT('',(-1.213709052910E1,2.521644822136E1,2.381681422109E0)); -#1365=CARTESIAN_POINT('',(-1.292500895958E1,2.481718417730E1,2.381681422109E0)); -#1366=CARTESIAN_POINT('',(-1.376673666472E1,2.416316011863E1,2.381681422109E0)); -#1367=CARTESIAN_POINT('',(-1.456163679671E1,2.320149971437E1,2.381681422109E0)); -#1368=CARTESIAN_POINT('',(-1.519553494717E1,2.205544415742E1,2.381681422109E0)); -#1369=CARTESIAN_POINT('',(-1.564590195896E1,2.076834855776E1,2.381681422109E0)); -#1370=CARTESIAN_POINT('',(-1.594247707241E1,1.927109693472E1,2.381681422109E0)); -#1371=CARTESIAN_POINT('',(-1.601117587271E1,1.816292527E1,2.381681422109E0)); -#1372=CARTESIAN_POINT('',(-1.600744258676E1,1.753330400940E1,2.381681422109E0)); -#1373=CARTESIAN_POINT('',(-9.888618660789E0,2.557295917577E1,2.469945292125E0)); -#1374=CARTESIAN_POINT('',(-1.019569530456E1,2.557863871661E1,2.469945292125E0)); -#1375=CARTESIAN_POINT('',(-1.075006854449E1,2.554553975958E1,2.469945292125E0)); -#1376=CARTESIAN_POINT('',(-1.146894694430E1,2.539510187244E1,2.469945292125E0)); -#1377=CARTESIAN_POINT('',(-1.211701682250E1,2.516918791808E1,2.469945292125E0)); -#1378=CARTESIAN_POINT('',(-1.289695831747E1,2.477395214488E1,2.469945292125E0)); -#1379=CARTESIAN_POINT('',(-1.373059595654E1,2.412631102003E1,2.469945292125E0)); -#1380=CARTESIAN_POINT('',(-1.451892776522E1,2.317272365442E1,2.469945292125E0)); -#1381=CARTESIAN_POINT('',(-1.514841774053E1,2.203480772556E1,2.469945292125E0)); -#1382=CARTESIAN_POINT('',(-1.559628597098E1,2.075504395586E1,2.469945292125E0)); -#1383=CARTESIAN_POINT('',(-1.589151070570E1,1.926482023763E1,2.469945292125E0)); -#1384=CARTESIAN_POINT('',(-1.595996506413E1,1.816106072053E1,2.469945292125E0)); -#1385=CARTESIAN_POINT('',(-1.595624519197E1,1.753360743148E1,2.469945292125E0)); -#1386=CARTESIAN_POINT('',(-9.890647134969E0,2.546319332746E1,2.564204935247E0)); -#1387=CARTESIAN_POINT('',(-1.019244340916E1,2.546876738268E1,2.564204935247E0)); -#1388=CARTESIAN_POINT('',(-1.073584004524E1,2.543627665276E1,2.564204935247E0)); -#1389=CARTESIAN_POINT('',(-1.143959653395E1,2.528898077881E1,2.564204935247E0)); -#1390=CARTESIAN_POINT('',(-1.207397226016E1,2.506784644133E1,2.564204935247E0)); -#1391=CARTESIAN_POINT('',(-1.283680860833E1,2.468124859232E1,2.564204935247E0)); -#1392=CARTESIAN_POINT('',(-1.365309851192E1,2.404729455569E1,2.564204935247E0)); -#1393=CARTESIAN_POINT('',(-1.442734569697E1,2.311101841329E1,2.564204935247E0)); -#1394=CARTESIAN_POINT('',(-1.504738310957E1,2.199055649710E1,2.564204935247E0)); -#1395=CARTESIAN_POINT('',(-1.548989313932E1,2.072651455784E1,2.564204935247E0)); -#1396=CARTESIAN_POINT('',(-1.578222222240E1,1.925136095556E1,2.564204935247E0)); -#1397=CARTESIAN_POINT('',(-1.585015241787E1,1.815706251942E1,2.564204935247E0)); -#1398=CARTESIAN_POINT('',(-1.584646130924E1,1.753425806720E1,2.564204935247E0)); -#1399=CARTESIAN_POINT('',(-9.892970245493E0,2.533748396430E1,2.632222616984E0)); -#1400=CARTESIAN_POINT('',(-1.018871917519E1,2.534293721210E1,2.632222616984E0)); -#1401=CARTESIAN_POINT('',(-1.071954485316E1,2.531114305442E1,2.632222616984E0)); -#1402=CARTESIAN_POINT('',(-1.140598296971E1,2.516744557180E1,2.632222616984E0)); -#1403=CARTESIAN_POINT('',(-1.202467546519E1,2.495178509165E1,2.632222616984E0)); -#1404=CARTESIAN_POINT('',(-1.276792214004E1,2.457507982732E1,2.632222616984E0)); -#1405=CARTESIAN_POINT('',(-1.356434454555E1,2.395680093163E1,2.632222616984E0)); -#1406=CARTESIAN_POINT('',(-1.432246131212E1,2.304035047161E1,2.632222616984E0)); -#1407=CARTESIAN_POINT('',(-1.493167317509E1,2.193987776746E1,2.632222616984E0)); -#1408=CARTESIAN_POINT('',(-1.536804672426E1,2.069384125814E1,2.632222616984E0)); -#1409=CARTESIAN_POINT('',(-1.565705956162E1,1.923594670966E1,2.632222616984E0)); -#1410=CARTESIAN_POINT('',(-1.572438945933E1,1.815248357868E1,2.632222616984E0)); -#1411=CARTESIAN_POINT('',(-1.572073129215E1,1.753500320791E1,2.632222616984E0)); -#1412=CARTESIAN_POINT('',(-9.896370299199E0,2.515349849230E1,2.706536881743E0)); -#1413=CARTESIAN_POINT('',(-1.018326846787E1,2.515877492901E1,2.706536881743E0)); -#1414=CARTESIAN_POINT('',(-1.069569556678E1,2.512800025921E1,2.706536881743E0)); -#1415=CARTESIAN_POINT('',(-1.135678689294E1,2.498956930337E1,2.706536881743E0)); -#1416=CARTESIAN_POINT('',(-1.195252575525E1,2.478192024098E1,2.706536881743E0)); -#1417=CARTESIAN_POINT('',(-1.266710141305E1,2.441969354703E1,2.706536881743E0)); -#1418=CARTESIAN_POINT('',(-1.343444618329E1,2.382435644447E1,2.706536881743E0)); -#1419=CARTESIAN_POINT('',(-1.416895482251E1,2.293692241918E1,2.706536881743E0)); -#1420=CARTESIAN_POINT('',(-1.476232264803E1,2.186570548818E1,2.706536881743E0)); -#1421=CARTESIAN_POINT('',(-1.518971497854E1,2.064602133197E1,2.706536881743E0)); -#1422=CARTESIAN_POINT('',(-1.547387423127E1,1.921338675678E1,2.706536881743E0)); -#1423=CARTESIAN_POINT('',(-1.554032554634E1,1.814578194127E1,2.706536881743E0)); -#1424=CARTESIAN_POINT('',(-1.553671559153E1,1.753609377954E1,2.706536881743E0)); -#1425=CARTESIAN_POINT('',(-9.901044972258E0,2.490054015589E1,2.776449020651E0)); -#1426=CARTESIAN_POINT('',(-1.017577438783E1,2.490557349816E1,2.776449020651E0)); -#1427=CARTESIAN_POINT('',(-1.066290560927E1,2.487620050403E1,2.776449020651E0)); -#1428=CARTESIAN_POINT('',(-1.128914808643E1,2.474501040173E1,2.776449020651E0)); -#1429=CARTESIAN_POINT('',(-1.185332840883E1,2.454837609440E1,2.776449020651E0)); -#1430=CARTESIAN_POINT('',(-1.252848479784E1,2.420605572802E1,2.776449020651E0)); -#1431=CARTESIAN_POINT('',(-1.325585124716E1,2.364226088673E1,2.776449020651E0)); -#1432=CARTESIAN_POINT('',(-1.395790149411E1,2.279472103883E1,2.776449020651E0)); -#1433=CARTESIAN_POINT('',(-1.452948563577E1,2.176372734734E1,2.776449020651E0)); -#1434=CARTESIAN_POINT('',(-1.494452984931E1,2.058027457034E1,2.776449020651E0)); -#1435=CARTESIAN_POINT('',(-1.522201599529E1,1.918236948088E1,2.776449020651E0)); -#1436=CARTESIAN_POINT('',(-1.528725936278E1,1.813656797979E1,2.776449020651E0)); -#1437=CARTESIAN_POINT('',(-1.528371569431E1,1.753759318699E1,2.776449020651E0)); -#1438=CARTESIAN_POINT('',(-9.907079975779E0,2.457397091105E1,2.837320142797E0)); -#1439=CARTESIAN_POINT('',(-1.016609952953E1,2.457869041839E1,2.837320142797E0)); -#1440=CARTESIAN_POINT('',(-1.062057376991E1,2.455112698773E1,2.837320142797E0)); -#1441=CARTESIAN_POINT('',(-1.120182637854E1,2.442928482827E1,2.837320142797E0)); -#1442=CARTESIAN_POINT('',(-1.172526462185E1,2.424687057114E1,2.837320142797E0)); -#1443=CARTESIAN_POINT('',(-1.234953072978E1,2.393024927622E1,2.837320142797E0)); -#1444=CARTESIAN_POINT('',(-1.302528516167E1,2.340717549829E1,2.837320142797E0)); -#1445=CARTESIAN_POINT('',(-1.368543162001E1,2.261113903852E1,2.837320142797E0)); -#1446=CARTESIAN_POINT('',(-1.422889302302E1,2.163207355442E1,2.837320142797E0)); -#1447=CARTESIAN_POINT('',(-1.462799581596E1,2.049539549261E1,2.837320142797E0)); -#1448=CARTESIAN_POINT('',(-1.489686698026E1,1.914232617370E1,2.837320142797E0)); -#1449=CARTESIAN_POINT('',(-1.496055088728E1,1.812467275433E1,2.837320142797E0)); -#1450=CARTESIAN_POINT('',(-1.495709279449E1,1.753952892219E1,2.837320142797E0)); -#1451=CARTESIAN_POINT('',(-9.913438835917E0,2.422987696875E1,2.880663367386E0)); -#1452=CARTESIAN_POINT('',(-1.015590548895E1,2.423426579984E1,2.880663367386E0)); -#1453=CARTESIAN_POINT('',(-1.057597027549E1,2.420860903930E1,2.880663367386E0)); -#1454=CARTESIAN_POINT('',(-1.110981872260E1,2.409661646168E1,2.880663367386E0)); -#1455=CARTESIAN_POINT('',(-1.159032854314E1,2.392918534583E1,2.880663367386E0)); -#1456=CARTESIAN_POINT('',(-1.216097344295E1,2.363964221260E1,2.880663367386E0)); -#1457=CARTESIAN_POINT('',(-1.278234619979E1,2.315947471394E1,2.880663367386E0)); -#1458=CARTESIAN_POINT('',(-1.339834018499E1,2.241770547053E1,2.880663367386E0)); -#1459=CARTESIAN_POINT('',(-1.391216969777E1,2.149335481913E1,2.880663367386E0)); -#1460=CARTESIAN_POINT('',(-1.429447560507E1,2.040596154580E1,2.880663367386E0)); -#1461=CARTESIAN_POINT('',(-1.455426948162E1,1.910013402104E1,2.880663367386E0)); -#1462=CARTESIAN_POINT('',(-1.461631024277E1,1.811213919494E1,2.880663367386E0)); -#1463=CARTESIAN_POINT('',(-1.461294231788E1,1.754156853482E1,2.880663367386E0)); -#1464=CARTESIAN_POINT('',(-9.922482249991E0,2.374051504964E1,2.920358691050E0)); -#1465=CARTESIAN_POINT('',(-1.014140777583E1,2.374443360111E1,2.920358691050E0)); -#1466=CARTESIAN_POINT('',(-1.051253628566E1,2.372148845981E1,2.920358691050E0)); -#1467=CARTESIAN_POINT('',(-1.097896770332E1,2.362350371458E1,2.920358691050E0)); -#1468=CARTESIAN_POINT('',(-1.139842577962E1,2.347738125276E1,2.920358691050E0)); -#1469=CARTESIAN_POINT('',(-1.189281191960E1,2.322634801331E1,2.920358691050E0)); -#1470=CARTESIAN_POINT('',(-1.243684439927E1,2.280720076694E1,2.920358691050E0)); -#1471=CARTESIAN_POINT('',(-1.299004582599E1,2.214260902035E1,2.920358691050E0)); -#1472=CARTESIAN_POINT('',(-1.346173359524E1,2.129607245471E1,2.920358691050E0)); -#1473=CARTESIAN_POINT('',(-1.382015138601E1,2.027877079215E1,2.920358691050E0)); -#1474=CARTESIAN_POINT('',(-1.406703576777E1,1.904012938201E1,2.920358691050E0)); -#1475=CARTESIAN_POINT('',(-1.412673968739E1,1.809431427587E1,2.920358691050E0)); -#1476=CARTESIAN_POINT('',(-1.412349999709E1,1.754446922160E1,2.920358691050E0)); -#1477=CARTESIAN_POINT('',(-9.929682161264E0,2.335090971148E1,2.930662145004E0)); -#1478=CARTESIAN_POINT('',(-1.012986542609E1,2.335445384996E1,2.930662145004E0)); -#1479=CARTESIAN_POINT('',(-1.046203333461E1,2.333366756347E1,2.930662145004E0)); -#1480=CARTESIAN_POINT('',(-1.087479070541E1,2.324683514960E1,2.930662145004E0)); -#1481=CARTESIAN_POINT('',(-1.124564245473E1,2.311767756600E1,2.930662145004E0)); -#1482=CARTESIAN_POINT('',(-1.167931520702E1,2.289730396638E1,2.930662145004E0)); -#1483=CARTESIAN_POINT('',(-1.216177324933E1,2.252673797469E1,2.930662145004E0)); -#1484=CARTESIAN_POINT('',(-1.266498240034E1,2.192359106797E1,2.930662145004E0)); -#1485=CARTESIAN_POINT('',(-1.310311903373E1,2.113900616226E1,2.930662145004E0)); -#1486=CARTESIAN_POINT('',(-1.344251830802E1,2.017750791359E1,2.930662145004E0)); -#1487=CARTESIAN_POINT('',(-1.367912479956E1,1.899235670751E1,2.930662145004E0)); -#1488=CARTESIAN_POINT('',(-1.373696824353E1,1.808012297215E1,2.930662145004E0)); -#1489=CARTESIAN_POINT('',(-1.373383064716E1,1.754677860247E1,2.930662145004E0)); -#1490=CARTESIAN_POINT('',(-9.934699023997E0,2.307943462980E1,2.929950759891E0)); -#1491=CARTESIAN_POINT('',(-1.012182277362E1,2.308271787915E1,2.929950759891E0)); -#1492=CARTESIAN_POINT('',(-1.042684312692E1,2.306343587208E1,2.929950759891E0)); -#1493=CARTESIAN_POINT('',(-1.080220068612E1,2.298437434779E1,2.929950759891E0)); -#1494=CARTESIAN_POINT('',(-1.113918378607E1,2.286703780782E1,2.929950759891E0)); -#1495=CARTESIAN_POINT('',(-1.153055174870E1,2.266802769430E1,2.929950759891E0)); -#1496=CARTESIAN_POINT('',(-1.197010502618E1,2.233131288173E1,2.929950759891E0)); -#1497=CARTESIAN_POINT('',(-1.243847980557E1,2.177098043694E1,2.929950759891E0)); -#1498=CARTESIAN_POINT('',(-1.285323817265E1,2.102956314288E1,2.929950759891E0)); -#1499=CARTESIAN_POINT('',(-1.317938543829E1,2.010694843831E1,2.929950759891E0)); -#1500=CARTESIAN_POINT('',(-1.340883034652E1,1.895906894308E1,2.929950759891E0)); -#1501=CARTESIAN_POINT('',(-1.346537742022E1,1.807023454158E1,2.929950759891E0)); -#1502=CARTESIAN_POINT('',(-1.346231096239E1,1.754838776769E1,2.929950759891E0)); -#1503=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#1347,#1348,#1349,#1350,#1351,#1352, -#1353,#1354,#1355,#1356,#1357,#1358,#1359),(#1360,#1361,#1362,#1363,#1364,#1365, -#1366,#1367,#1368,#1369,#1370,#1371,#1372),(#1373,#1374,#1375,#1376,#1377,#1378, -#1379,#1380,#1381,#1382,#1383,#1384,#1385),(#1386,#1387,#1388,#1389,#1390,#1391, -#1392,#1393,#1394,#1395,#1396,#1397,#1398),(#1399,#1400,#1401,#1402,#1403,#1404, -#1405,#1406,#1407,#1408,#1409,#1410,#1411),(#1412,#1413,#1414,#1415,#1416,#1417, -#1418,#1419,#1420,#1421,#1422,#1423,#1424),(#1425,#1426,#1427,#1428,#1429,#1430, -#1431,#1432,#1433,#1434,#1435,#1436,#1437),(#1438,#1439,#1440,#1441,#1442,#1443, -#1444,#1445,#1446,#1447,#1448,#1449,#1450),(#1451,#1452,#1453,#1454,#1455,#1456, -#1457,#1458,#1459,#1460,#1461,#1462,#1463),(#1464,#1465,#1466,#1467,#1468,#1469, -#1470,#1471,#1472,#1473,#1474,#1475,#1476),(#1477,#1478,#1479,#1480,#1481,#1482, -#1483,#1484,#1485,#1486,#1487,#1488,#1489),(#1490,#1491,#1492,#1493,#1494,#1495, -#1496,#1497,#1498,#1499,#1500,#1501,#1502)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1, -1,1,1,1,1,4),(4,1,1,1,1,1,1,1,1,1,4),(-9.963421140500E-3,6.25E-2,1.25E-1, -1.875E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,1.012077357243E0),( --7.937654442628E-3,5.710211269858E-2,1.189627347887E-1,1.799393633266E-1, -2.459973775759E-1,3.730320203631E-1,5.000666631503E-1,6.220199202260E-1, -7.541359487247E-1,8.721506933369E-1,1.006231551519E0),.UNSPECIFIED.); -#1504=ORIENTED_EDGE('',*,*,#1337,.T.); -#1505=ORIENTED_EDGE('',*,*,#1302,.F.); -#1506=ORIENTED_EDGE('',*,*,#1273,.F.); -#1508=ORIENTED_EDGE('',*,*,#1507,.T.); -#1509=EDGE_LOOP('',(#1504,#1505,#1506,#1508)); -#1510=FACE_OUTER_BOUND('',#1509,.F.); -#1512=CARTESIAN_POINT('',(-1.599618243408E1,1.762083079281E1,2.291332252507E0)); -#1513=CARTESIAN_POINT('',(-1.599898725951E1,1.762083079281E1,2.305620017952E0)); -#1514=CARTESIAN_POINT('',(-1.600264708820E1,1.762083079281E1,2.342244792967E0)); -#1515=CARTESIAN_POINT('',(-1.599115357218E1,1.762083079281E1,2.396773597239E0)); -#1516=CARTESIAN_POINT('',(-1.594960645025E1,1.762083079281E1,2.475880603466E0)); -#1517=CARTESIAN_POINT('',(-1.586187119558E1,1.762083079281E1,2.553432218539E0)); -#1518=CARTESIAN_POINT('',(-1.573572793593E1,1.762083079281E1,2.625633202579E0)); -#1519=CARTESIAN_POINT('',(-1.554855041282E1,1.762083079281E1,2.702914671065E0)); -#1520=CARTESIAN_POINT('',(-1.529032244438E1,1.762083079281E1,2.775260442115E0)); -#1521=CARTESIAN_POINT('',(-1.495604136252E1,1.762083079281E1,2.837667847633E0)); -#1522=CARTESIAN_POINT('',(-1.460345716921E1,1.762083079281E1,2.881772141710E0)); -#1523=CARTESIAN_POINT('',(-1.412534012507E1,1.762083079281E1,2.919776472459E0)); -#1524=CARTESIAN_POINT('',(-1.373923576646E1,1.762083079281E1,2.930352496130E0)); -#1525=CARTESIAN_POINT('',(-1.347441481172E1,1.762083079281E1,2.929982427879E0)); -#1526=CARTESIAN_POINT('',(-1.346159119383E1,1.762083079281E1,2.929947313179E0)); -#1528=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1529=VECTOR('',#1528,1.E0); -#1530=SURFACE_OF_LINEAR_EXTRUSION('',#1527,#1529); -#1532=ORIENTED_EDGE('',*,*,#1531,.F.); -#1533=ORIENTED_EDGE('',*,*,#1507,.F.); -#1534=ORIENTED_EDGE('',*,*,#1271,.F.); -#1536=ORIENTED_EDGE('',*,*,#1535,.T.); -#1537=EDGE_LOOP('',(#1532,#1533,#1534,#1536)); -#1538=FACE_OUTER_BOUND('',#1537,.F.); -#1540=CARTESIAN_POINT('',(-1.6E1,2.56175E1,1.83E0)); -#1541=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1542=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1543=AXIS2_PLACEMENT_3D('',#1540,#1541,#1542); -#1544=PLANE('',#1543); -#1545=ORIENTED_EDGE('',*,*,#1531,.T.); -#1547=ORIENTED_EDGE('',*,*,#1546,.F.); -#1549=ORIENTED_EDGE('',*,*,#1548,.F.); -#1550=ORIENTED_EDGE('',*,*,#1339,.F.); -#1551=EDGE_LOOP('',(#1545,#1547,#1549,#1550)); -#1552=FACE_OUTER_BOUND('',#1551,.F.); -#1554=CARTESIAN_POINT('',(-9.888059599325E0,-2.938146491353E1, --1.258272933163E2)); -#1555=CARTESIAN_POINT('',(-9.925708409949E0,-2.938215958114E1, --1.258272933163E2)); -#1556=CARTESIAN_POINT('',(-1.052405269673E1,-2.938787688040E1, --1.258272933163E2)); -#1557=CARTESIAN_POINT('',(-1.155113630184E1,-2.922969391118E1, --1.258272933163E2)); -#1558=CARTESIAN_POINT('',(-1.276180266890E1,-2.869940826007E1, --1.258272933163E2)); -#1559=CARTESIAN_POINT('',(-1.376212696469E1,-2.792181379538E1, --1.258272933163E2)); -#1560=CARTESIAN_POINT('',(-1.483467910487E1,-2.662380758826E1, --1.258272933163E2)); -#1561=CARTESIAN_POINT('',(-1.572644249154E1,-2.459095572413E1, --1.258272933163E2)); -#1562=CARTESIAN_POINT('',(-1.600440755746E1,-2.249949069321E1, --1.258272933163E2)); -#1563=CARTESIAN_POINT('',(-1.599987014648E1,-2.131080235902E1, --1.258272933163E2)); -#1564=CARTESIAN_POINT('',(-1.599958211036E1,-2.127305989003E1, --1.258272933163E2)); -#1566=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1567=VECTOR('',#1566,1.E0); -#1568=SURFACE_OF_LINEAR_EXTRUSION('',#1565,#1567); -#1570=ORIENTED_EDGE('',*,*,#1569,.F.); -#1572=ORIENTED_EDGE('',*,*,#1571,.T.); -#1574=ORIENTED_EDGE('',*,*,#1573,.F.); -#1575=ORIENTED_EDGE('',*,*,#1546,.T.); -#1576=EDGE_LOOP('',(#1570,#1572,#1574,#1575)); -#1577=FACE_OUTER_BOUND('',#1576,.F.); -#1579=CARTESIAN_POINT('',(-1.599781004111E1,-2.129916386121E1, -2.297165042269E0)); -#1580=CARTESIAN_POINT('',(-1.600123387576E1,-2.188174174924E1, -2.297165042269E0)); -#1581=CARTESIAN_POINT('',(-1.593815900709E1,-2.299400372074E1, -2.297165042269E0)); -#1582=CARTESIAN_POINT('',(-1.565631718319E1,-2.445629951437E1, -2.297165042269E0)); -#1583=CARTESIAN_POINT('',(-1.520152196962E1,-2.578268556332E1, -2.297165042269E0)); -#1584=CARTESIAN_POINT('',(-1.457563441625E1,-2.693424250517E1, -2.297165042269E0)); -#1585=CARTESIAN_POINT('',(-1.376075128382E1,-2.792041181620E1, -2.297165042269E0)); -#1586=CARTESIAN_POINT('',(-1.293411962951E1,-2.856298408916E1, -2.297165042269E0)); -#1587=CARTESIAN_POINT('',(-1.215108741076E1,-2.896477535553E1, -2.297165042269E0)); -#1588=CARTESIAN_POINT('',(-1.151728642551E1,-2.919001600306E1, -2.297165042269E0)); -#1589=CARTESIAN_POINT('',(-1.077565245302E1,-2.934899304958E1, -2.297165042269E0)); -#1590=CARTESIAN_POINT('',(-1.021975562503E1,-2.938565905269E1, -2.297165042269E0)); -#1591=CARTESIAN_POINT('',(-9.887656197882E0,-2.937950879444E1, -2.297165042269E0)); -#1592=CARTESIAN_POINT('',(-1.600744738298E1,-2.129910724695E1, -2.381681423780E0)); -#1593=CARTESIAN_POINT('',(-1.601087352350E1,-2.188206306179E1, -2.381681423780E0)); -#1594=CARTESIAN_POINT('',(-1.594775659907E1,-2.299515022046E1, -2.381681423780E0)); -#1595=CARTESIAN_POINT('',(-1.566567561931E1,-2.445873310147E1, -2.381681423780E0)); -#1596=CARTESIAN_POINT('',(-1.521040838598E1,-2.578652722077E1, -2.381681423780E0)); -#1597=CARTESIAN_POINT('',(-1.458370794518E1,-2.693961722111E1, -2.381681423780E0)); -#1598=CARTESIAN_POINT('',(-1.376755606842E1,-2.792734668508E1, -2.381681423780E0)); -#1599=CARTESIAN_POINT('',(-1.293942515008E1,-2.857110191578E1, -2.381681423780E0)); -#1600=CARTESIAN_POINT('',(-1.215490183295E1,-2.897365614019E1, -2.381681423780E0)); -#1601=CARTESIAN_POINT('',(-1.151992991790E1,-2.919931327061E1, -2.381681423780E0)); -#1602=CARTESIAN_POINT('',(-1.077694107329E1,-2.935857927025E1, -2.381681423780E0)); -#1603=CARTESIAN_POINT('',(-1.022007533348E1,-2.939530485757E1, -2.381681423780E0)); -#1604=CARTESIAN_POINT('',(-9.887477994333E0,-2.938914452736E1, -2.381681423780E0)); -#1605=CARTESIAN_POINT('',(-1.595624996743E1,-2.129940800458E1, -2.469945292733E0)); -#1606=CARTESIAN_POINT('',(-1.595966385835E1,-2.188035612097E1, -2.469945292733E0)); -#1607=CARTESIAN_POINT('',(-1.589677035093E1,-2.298905955530E1, -2.469945292733E0)); -#1608=CARTESIAN_POINT('',(-1.561595986273E1,-2.444580491318E1, -2.469945292733E0)); -#1609=CARTESIAN_POINT('',(-1.516320018729E1,-2.576611879957E1, -2.469945292733E0)); -#1610=CARTESIAN_POINT('',(-1.454081812957E1,-2.691106457993E1, -2.469945292733E0)); -#1611=CARTESIAN_POINT('',(-1.373140633041E1,-2.789050588723E1, -2.469945292733E0)); -#1612=CARTESIAN_POINT('',(-1.291124010228E1,-2.852797677323E1, -2.469945292733E0)); -#1613=CARTESIAN_POINT('',(-1.213463809629E1,-2.892647785930E1, -2.469945292733E0)); -#1614=CARTESIAN_POINT('',(-1.150588662873E1,-2.914992246596E1, -2.469945292733E0)); -#1615=CARTESIAN_POINT('',(-1.077009540689E1,-2.930765343106E1, -2.469945292733E0)); -#1616=CARTESIAN_POINT('',(-1.021837691426E1,-2.934406248323E1, -2.469945292733E0)); -#1617=CARTESIAN_POINT('',(-9.888424682877E0,-2.933795565929E1, -2.469945292733E0)); -#1618=CARTESIAN_POINT('',(-1.584646604033E1,-2.130005292685E1, -2.564204935247E0)); -#1619=CARTESIAN_POINT('',(-1.584985366414E1,-2.187669588415E1, -2.564204935247E0)); -#1620=CARTESIAN_POINT('',(-1.578743923551E1,-2.297599918629E1, -2.564204935247E0)); -#1621=CARTESIAN_POINT('',(-1.550935309484E1,-2.441808266850E1, -2.564204935247E0)); -#1622=CARTESIAN_POINT('',(-1.506197043988E1,-2.572235650022E1, -2.564204935247E0)); -#1623=CARTESIAN_POINT('',(-1.444884840141E1,-2.684983842149E1, -2.564204935247E0)); -#1624=CARTESIAN_POINT('',(-1.365388952297E1,-2.781150722248E1, -2.564204935247E0)); -#1625=CARTESIAN_POINT('',(-1.285080218369E1,-2.843550242751E1, -2.564204935247E0)); -#1626=CARTESIAN_POINT('',(-1.209118604770E1,-2.882531226539E1, -2.564204935247E0)); -#1627=CARTESIAN_POINT('',(-1.147577324472E1,-2.904401250081E1, -2.564204935247E0)); -#1628=CARTESIAN_POINT('',(-1.075541606941E1,-2.919845185211E1, -2.564204935247E0)); -#1629=CARTESIAN_POINT('',(-1.021473495052E1,-2.923418214984E1, -2.564204935247E0)); -#1630=CARTESIAN_POINT('',(-9.890454691328E0,-2.922819006078E1, -2.564204935247E0)); -#1631=CARTESIAN_POINT('',(-1.572073597162E1,-2.130079152424E1, -2.632222616984E0)); -#1632=CARTESIAN_POINT('',(-1.572409351301E1,-2.187250399707E1, -2.632222616984E0)); -#1633=CARTESIAN_POINT('',(-1.566222774948E1,-2.296104179558E1, -2.632222616984E0)); -#1634=CARTESIAN_POINT('',(-1.538726166847E1,-2.438633376115E1, -2.632222616984E0)); -#1635=CARTESIAN_POINT('',(-1.494603704752E1,-2.567223771644E1, -2.632222616984E0)); -#1636=CARTESIAN_POINT('',(-1.434352004829E1,-2.677971914892E1, -2.632222616984E0)); -#1637=CARTESIAN_POINT('',(-1.356511338074E1,-2.772103398284E1, -2.632222616984E0)); -#1638=CARTESIAN_POINT('',(-1.278158564300E1,-2.832959616101E1, -2.632222616984E0)); -#1639=CARTESIAN_POINT('',(-1.204142257869E1,-2.870945234483E1, -2.632222616984E0)); -#1640=CARTESIAN_POINT('',(-1.144128588449E1,-2.892271908794E1, -2.632222616984E0)); -#1641=CARTESIAN_POINT('',(-1.073860455464E1,-2.907338871775E1, -2.632222616984E0)); -#1642=CARTESIAN_POINT('',(-1.021056399068E1,-2.910834167181E1, -2.632222616984E0)); -#1643=CARTESIAN_POINT('',(-9.892779558989E0,-2.910248098290E1, -2.632222616984E0)); -#1644=CARTESIAN_POINT('',(-1.553672019544E1,-2.130187251921E1, -2.706536881743E0)); -#1645=CARTESIAN_POINT('',(-1.554003370886E1,-2.186636884288E1, -2.706536881743E0)); -#1646=CARTESIAN_POINT('',(-1.547897095955E1,-2.293915048596E1, -2.706536881743E0)); -#1647=CARTESIAN_POINT('',(-1.520857132956E1,-2.433986675538E1, -2.706536881743E0)); -#1648=CARTESIAN_POINT('',(-1.477635947237E1,-2.559888496166E1, -2.706536881743E0)); -#1649=CARTESIAN_POINT('',(-1.418936377642E1,-2.667709411658E1, -2.706536881743E0)); -#1650=CARTESIAN_POINT('',(-1.343518256240E1,-2.758861932986E1, -2.706536881743E0)); -#1651=CARTESIAN_POINT('',(-1.268028182928E1,-2.817459406779E1, -2.706536881743E0)); -#1652=CARTESIAN_POINT('',(-1.196858985482E1,-2.853988230142E1, -2.706536881743E0)); -#1653=CARTESIAN_POINT('',(-1.139081093904E1,-2.874519670413E1, -2.706536881743E0)); -#1654=CARTESIAN_POINT('',(-1.071399958964E1,-2.889034905208E1, -2.706536881743E0)); -#1655=CARTESIAN_POINT('',(-1.020445946515E1,-2.892416430297E1, -2.706536881743E0)); -#1656=CARTESIAN_POINT('',(-9.896182184403E0,-2.891849592842E1, -2.706536881743E0)); -#1657=CARTESIAN_POINT('',(-1.528372019433E1,-2.130335875987E1, -2.776449020651E0)); -#1658=CARTESIAN_POINT('',(-1.528697317448E1,-2.185793372908E1, -2.776449020651E0)); -#1659=CARTESIAN_POINT('',(-1.522701447509E1,-2.290905251569E1, -2.776449020651E0)); -#1660=CARTESIAN_POINT('',(-1.496289317697E1,-2.427598009983E1, -2.776449020651E0)); -#1661=CARTESIAN_POINT('',(-1.454307280755E1,-2.549803357041E1, -2.776449020651E0)); -#1662=CARTESIAN_POINT('',(-1.397741707402E1,-2.653599679420E1, -2.776449020651E0)); -#1663=CARTESIAN_POINT('',(-1.325654300298E1,-2.740656479060E1, -2.776449020651E0)); -#1664=CARTESIAN_POINT('',(-1.254100102675E1,-2.796148446071E1, -2.776449020651E0)); -#1665=CARTESIAN_POINT('',(-1.186845344477E1,-2.830674348005E1, -2.776449020651E0)); -#1666=CARTESIAN_POINT('',(-1.132141383885E1,-2.850112435212E1, -2.776449020651E0)); -#1667=CARTESIAN_POINT('',(-1.068017066314E1,-2.863869108789E1, -2.776449020651E0)); -#1668=CARTESIAN_POINT('',(-1.019606646215E1,-2.867094213101E1, -2.776449020651E0)); -#1669=CARTESIAN_POINT('',(-9.900860393259E0,-2.866553816605E1, -2.776449020651E0)); -#1670=CARTESIAN_POINT('',(-1.495709716040E1,-2.130527749674E1, -2.837320142797E0)); -#1671=CARTESIAN_POINT('',(-1.496027199207E1,-2.184704399608E1, -2.837320142797E0)); -#1672=CARTESIAN_POINT('',(-1.490173862126E1,-2.287019603222E1, -2.837320142797E0)); -#1673=CARTESIAN_POINT('',(-1.464572265040E1,-2.419350241929E1, -2.837320142797E0)); -#1674=CARTESIAN_POINT('',(-1.424189969329E1,-2.536783441134E1, -2.837320142797E0)); -#1675=CARTESIAN_POINT('',(-1.370379385397E1,-2.635384013287E1, -2.837320142797E0)); -#1676=CARTESIAN_POINT('',(-1.302591930880E1,-2.717153235702E1, -2.837320142797E0)); -#1677=CARTESIAN_POINT('',(-1.236118949280E1,-2.768635993059E1, -2.837320142797E0)); -#1678=CARTESIAN_POINT('',(-1.173917732652E1,-2.800576123170E1, -2.837320142797E0)); -#1679=CARTESIAN_POINT('',(-1.123182217360E1,-2.818602691430E1, -2.837320142797E0)); -#1680=CARTESIAN_POINT('',(-1.063649751467E1,-2.831380062376E1, -2.837320142797E0)); -#1681=CARTESIAN_POINT('',(-1.018523109418E1,-2.834403227446E1, -2.837320142797E0)); -#1682=CARTESIAN_POINT('',(-9.906899961493E0,-2.833896966231E1, -2.837320142797E0)); -#1683=CARTESIAN_POINT('',(-1.461294654248E1,-2.130729919888E1, -2.880663367386E0)); -#1684=CARTESIAN_POINT('',(-1.461603903204E1,-2.183556988693E1, -2.880663367386E0)); -#1685=CARTESIAN_POINT('',(-1.455900747725E1,-2.282925439184E1, -2.880663367386E0)); -#1686=CARTESIAN_POINT('',(-1.431153179012E1,-2.410659873593E1, -2.880663367386E0)); -#1687=CARTESIAN_POINT('',(-1.392456471506E1,-2.523064836998E1, -2.880663367386E0)); -#1688=CARTESIAN_POINT('',(-1.341548718094E1,-2.616190839188E1, -2.880663367386E0)); -#1689=CARTESIAN_POINT('',(-1.278291964679E1,-2.692388736926E1, -2.880663367386E0)); -#1690=CARTESIAN_POINT('',(-1.217172872584E1,-2.739647138262E1, -2.880663367386E0)); -#1691=CARTESIAN_POINT('',(-1.160296385916E1,-2.768862736182E1, -2.880663367386E0)); -#1692=CARTESIAN_POINT('',(-1.113742274749E1,-2.785402039101E1, -2.880663367386E0)); -#1693=CARTESIAN_POINT('',(-1.059048073243E1,-2.797147555064E1, -2.880663367386E0)); -#1694=CARTESIAN_POINT('',(-1.017381426747E1,-2.799957944219E1, -2.880663367386E0)); -#1695=CARTESIAN_POINT('',(-9.913263631300E0,-2.799487650087E1, -2.880663367386E0)); -#1696=CARTESIAN_POINT('',(-1.412350391703E1,-2.131017441439E1, -2.920358699461E0)); -#1697=CARTESIAN_POINT('',(-1.412647930158E1,-2.181925168841E1, -2.920358699461E0)); -#1698=CARTESIAN_POINT('',(-1.407158359298E1,-2.277102818820E1, -2.920358699461E0)); -#1699=CARTESIAN_POINT('',(-1.383625368933E1,-2.398300643438E1, -2.920358699461E0)); -#1700=CARTESIAN_POINT('',(-1.347325863910E1,-2.503554572373E1, -2.920358699461E0)); -#1701=CARTESIAN_POINT('',(-1.300546445354E1,-2.588894774520E1, -2.920358699461E0)); -#1702=CARTESIAN_POINT('',(-1.243733144681E1,-2.657169270016E1, -2.920358699461E0)); -#1703=CARTESIAN_POINT('',(-1.190228223823E1,-2.698419895123E1, -2.920358699461E0)); -#1704=CARTESIAN_POINT('',(-1.140924438396E1,-2.723760729727E1, -2.920358699461E0)); -#1705=CARTESIAN_POINT('',(-1.100317018320E1,-2.738184880108E1, -2.920358699461E0)); -#1706=CARTESIAN_POINT('',(-1.052503678565E1,-2.748462917049E1, -2.920358699461E0)); -#1707=CARTESIAN_POINT('',(-1.015757753463E1,-2.750970701479E1, -2.920358699461E0)); -#1708=CARTESIAN_POINT('',(-9.922313887484E0,-2.750551558860E1, -2.920358699461E0)); -#1709=CARTESIAN_POINT('',(-1.373383429235E1,-2.131246351651E1, -2.930662150780E0)); -#1710=CARTESIAN_POINT('',(-1.373671644378E1,-2.180625995871E1, -2.930662150780E0)); -#1711=CARTESIAN_POINT('',(-1.368352118859E1,-2.272467141063E1, -2.930662150780E0)); -#1712=CARTESIAN_POINT('',(-1.345786114745E1,-2.388460845419E1, -2.930662150780E0)); -#1713=CARTESIAN_POINT('',(-1.311395141885E1,-2.488021480038E1, -2.930662150780E0)); -#1714=CARTESIAN_POINT('',(-1.267902496099E1,-2.567163019415E1, -2.930662150780E0)); -#1715=CARTESIAN_POINT('',(-1.216219148726E1,-2.629129300183E1, -2.930662150780E0)); -#1716=CARTESIAN_POINT('',(-1.168776248405E1,-2.665596835737E1, -2.930662150780E0)); -#1717=CARTESIAN_POINT('',(-1.125501467190E1,-2.687852778492E1, -2.930662150780E0)); -#1718=CARTESIAN_POINT('',(-1.089628503748E1,-2.700592950705E1, -2.930662150780E0)); -#1719=CARTESIAN_POINT('',(-1.047293360369E1,-2.709702654586E1, -2.930662150780E0)); -#1720=CARTESIAN_POINT('',(-1.014465066381E1,-2.711969520341E1, -2.930662150780E0)); -#1721=CARTESIAN_POINT('',(-9.929519246698E0,-2.711591101985E1, -2.930662150780E0)); -#1722=CARTESIAN_POINT('',(-1.346231437732E1,-2.131405855183E1, -2.929950759007E0)); -#1723=CARTESIAN_POINT('',(-1.346513156438E1,-2.179720738411E1, -2.929950759007E0)); -#1724=CARTESIAN_POINT('',(-1.341312117692E1,-2.269237023162E1, -2.929950759007E0)); -#1725=CARTESIAN_POINT('',(-1.319419904932E1,-2.381604521620E1, -2.929950759007E0)); -#1726=CARTESIAN_POINT('',(-1.286358788077E1,-2.477198096219E1, -2.929950759007E0)); -#1727=CARTESIAN_POINT('',(-1.245156349705E1,-2.552020437275E1, -2.929950759007E0)); -#1728=CARTESIAN_POINT('',(-1.197047529047E1,-2.609591184446E1, -2.929950759007E0)); -#1729=CARTESIAN_POINT('',(-1.153828615401E1,-2.642725886271E1, -2.929950759007E0)); -#1730=CARTESIAN_POINT('',(-1.114754815242E1,-2.662832291262E1, -2.929950759007E0)); -#1731=CARTESIAN_POINT('',(-1.082180798347E1,-2.674399075611E1, -2.929950759007E0)); -#1732=CARTESIAN_POINT('',(-1.043662835779E1,-2.682694690651E1, -2.929950759007E0)); -#1733=CARTESIAN_POINT('',(-1.013564328257E1,-2.684793685432E1, -2.929950759007E0)); -#1734=CARTESIAN_POINT('',(-9.934539906246E0,-2.684443643546E1, -2.929950759007E0)); -#1735=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#1579,#1580,#1581,#1582,#1583,#1584, -#1585,#1586,#1587,#1588,#1589,#1590,#1591),(#1592,#1593,#1594,#1595,#1596,#1597, -#1598,#1599,#1600,#1601,#1602,#1603,#1604),(#1605,#1606,#1607,#1608,#1609,#1610, -#1611,#1612,#1613,#1614,#1615,#1616,#1617),(#1618,#1619,#1620,#1621,#1622,#1623, -#1624,#1625,#1626,#1627,#1628,#1629,#1630),(#1631,#1632,#1633,#1634,#1635,#1636, -#1637,#1638,#1639,#1640,#1641,#1642,#1643),(#1644,#1645,#1646,#1647,#1648,#1649, -#1650,#1651,#1652,#1653,#1654,#1655,#1656),(#1657,#1658,#1659,#1660,#1661,#1662, -#1663,#1664,#1665,#1666,#1667,#1668,#1669),(#1670,#1671,#1672,#1673,#1674,#1675, -#1676,#1677,#1678,#1679,#1680,#1681,#1682),(#1683,#1684,#1685,#1686,#1687,#1688, -#1689,#1690,#1691,#1692,#1693,#1694,#1695),(#1696,#1697,#1698,#1699,#1700,#1701, -#1702,#1703,#1704,#1705,#1706,#1707,#1708),(#1709,#1710,#1711,#1712,#1713,#1714, -#1715,#1716,#1717,#1718,#1719,#1720,#1721),(#1722,#1723,#1724,#1725,#1726,#1727, -#1728,#1729,#1730,#1731,#1732,#1733,#1734)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1, -1,1,1,1,1,4),(4,1,1,1,1,1,1,1,1,1,4),(-9.963419863320E-3,6.25E-2,1.25E-1, -1.875E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,1.012077465743E0),( --6.176242524142E-3,1.179737176938E-1,2.457785785287E-1,3.677217359377E-1, -4.998268231309E-1,6.268509454319E-1,7.538750677330E-1,8.148466464375E-1, -8.808991900341E-1,9.380675788177E-1,1.007951426584E0),.UNSPECIFIED.); -#1736=ORIENTED_EDGE('',*,*,#1569,.T.); -#1737=ORIENTED_EDGE('',*,*,#1535,.F.); -#1738=ORIENTED_EDGE('',*,*,#1269,.F.); -#1740=ORIENTED_EDGE('',*,*,#1739,.F.); -#1741=EDGE_LOOP('',(#1736,#1737,#1738,#1740)); -#1742=FACE_OUTER_BOUND('',#1741,.F.); -#1744=CARTESIAN_POINT('',(-9.999999969012E0,-2.937868248039E1, -2.291332488318E0)); -#1745=CARTESIAN_POINT('',(-9.999999969012E0,-2.938148729274E1, -2.305620256081E0)); -#1746=CARTESIAN_POINT('',(-9.999999969012E0,-2.938514706633E1, -2.342244912847E0)); -#1747=CARTESIAN_POINT('',(-9.999999969012E0,-2.937365354514E1, -2.396773648750E0)); -#1748=CARTESIAN_POINT('',(-9.999999969012E0,-2.933210645025E1, -2.475880603466E0)); -#1749=CARTESIAN_POINT('',(-9.999999969012E0,-2.924437119558E1, -2.553432218539E0)); -#1750=CARTESIAN_POINT('',(-9.999999969012E0,-2.911822793593E1, -2.625633202579E0)); -#1751=CARTESIAN_POINT('',(-9.999999969012E0,-2.893105041282E1, -2.702914671065E0)); -#1752=CARTESIAN_POINT('',(-9.999999969012E0,-2.867282244438E1, -2.775260442115E0)); -#1753=CARTESIAN_POINT('',(-9.999999969012E0,-2.833854136252E1, -2.837667847633E0)); -#1754=CARTESIAN_POINT('',(-9.999999969012E0,-2.798595716921E1, -2.881772141710E0)); -#1755=CARTESIAN_POINT('',(-9.999999969012E0,-2.750784632837E1, -2.919775979373E0)); -#1756=CARTESIAN_POINT('',(-9.999999969012E0,-2.712174885261E1, -2.930352148439E0)); -#1757=CARTESIAN_POINT('',(-9.999999969012E0,-2.685693502024E1, -2.929982465107E0)); -#1758=CARTESIAN_POINT('',(-9.999999969012E0,-2.684411146167E1, -2.929947368662E0)); -#1760=DIRECTION('',(1.E0,0.E0,0.E0)); -#1761=VECTOR('',#1760,1.E0); -#1762=SURFACE_OF_LINEAR_EXTRUSION('',#1759,#1761); -#1764=ORIENTED_EDGE('',*,*,#1763,.F.); -#1765=ORIENTED_EDGE('',*,*,#1739,.T.); -#1766=ORIENTED_EDGE('',*,*,#1267,.F.); -#1768=ORIENTED_EDGE('',*,*,#1767,.T.); -#1769=EDGE_LOOP('',(#1764,#1765,#1766,#1768)); -#1770=FACE_OUTER_BOUND('',#1769,.F.); -#1772=CARTESIAN_POINT('',(-1.6E1,-2.93825E1,1.83E0)); -#1773=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1774=DIRECTION('',(1.E0,0.E0,0.E0)); -#1775=AXIS2_PLACEMENT_3D('',#1772,#1773,#1774); -#1776=PLANE('',#1775); -#1777=ORIENTED_EDGE('',*,*,#1763,.T.); -#1779=ORIENTED_EDGE('',*,*,#1778,.T.); -#1781=ORIENTED_EDGE('',*,*,#1780,.F.); -#1782=ORIENTED_EDGE('',*,*,#1571,.F.); -#1783=EDGE_LOOP('',(#1777,#1779,#1781,#1782)); -#1784=FACE_OUTER_BOUND('',#1783,.F.); -#1786=CARTESIAN_POINT('',(1.599958846678E1,-2.127389684599E1, --1.258272933163E2)); -#1787=CARTESIAN_POINT('',(1.599987211940E1,-2.131135015097E1, --1.258272933163E2)); -#1788=CARTESIAN_POINT('',(1.600437527856E1,-2.250012030790E1, --1.258272933163E2)); -#1789=CARTESIAN_POINT('',(1.572629959996E1,-2.459169111435E1, --1.258272933163E2)); -#1790=CARTESIAN_POINT('',(1.483416523585E1,-2.662472332652E1, --1.258272933163E2)); -#1791=CARTESIAN_POINT('',(1.376130891646E1,-2.792262598162E1, --1.258272933163E2)); -#1792=CARTESIAN_POINT('',(1.276069854931E1,-2.870008484535E1, --1.258272933163E2)); -#1793=CARTESIAN_POINT('',(1.155013797909E1,-2.922993341424E1, --1.258272933163E2)); -#1794=CARTESIAN_POINT('',(1.052282899556E1,-2.938796929497E1, --1.258272933163E2)); -#1795=CARTESIAN_POINT('',(9.924351693559E0,-2.938214711362E1, --1.258272933163E2)); -#1796=CARTESIAN_POINT('',(9.886005784655E0,-2.938142667348E1, --1.258272933163E2)); -#1798=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1799=VECTOR('',#1798,1.E0); -#1800=SURFACE_OF_LINEAR_EXTRUSION('',#1797,#1799); -#1802=ORIENTED_EDGE('',*,*,#1801,.F.); -#1804=ORIENTED_EDGE('',*,*,#1803,.T.); -#1806=ORIENTED_EDGE('',*,*,#1805,.F.); -#1807=ORIENTED_EDGE('',*,*,#1778,.F.); -#1808=EDGE_LOOP('',(#1802,#1804,#1806,#1807)); -#1809=FACE_OUTER_BOUND('',#1808,.F.); -#1811=CARTESIAN_POINT('',(9.887850756640E0,-2.937951240400E1,2.297165037827E0)); -#1812=CARTESIAN_POINT('',(1.019692635005E1,-2.938523187773E1,2.297165037827E0)); -#1813=CARTESIAN_POINT('',(1.075545492002E1,-2.935190266875E1,2.297165037827E0)); -#1814=CARTESIAN_POINT('',(1.148005790748E1,-2.920027533341E1,2.297165037827E0)); -#1815=CARTESIAN_POINT('',(1.213331187738E1,-2.897255199559E1,2.297165037827E0)); -#1816=CARTESIAN_POINT('',(1.291972873859E1,-2.857404622866E1,2.297165037827E0)); -#1817=CARTESIAN_POINT('',(1.375993357889E1,-2.792122368619E1,2.297165037827E0)); -#1818=CARTESIAN_POINT('',(1.455359729717E1,-2.696108294155E1,2.297165037827E0)); -#1819=CARTESIAN_POINT('',(1.518666565775E1,-2.581655957895E1,2.297165037827E0)); -#1820=CARTESIAN_POINT('',(1.563656230176E1,-2.453084411462E1,2.297165037827E0)); -#1821=CARTESIAN_POINT('',(1.593288322147E1,-2.303491541640E1,2.297165037827E0)); -#1822=CARTESIAN_POINT('',(1.600153600831E1,-2.192757428932E1,2.297165037827E0)); -#1823=CARTESIAN_POINT('',(1.599780524735E1,-2.129836112524E1,2.297165037827E0)); -#1824=CARTESIAN_POINT('',(9.887672687749E0,-2.938914816025E1,2.381681422119E0)); -#1825=CARTESIAN_POINT('',(1.019721181656E1,-2.939487689400E1,2.381681422119E0)); -#1826=CARTESIAN_POINT('',(1.075670396380E1,-2.936149429203E1,2.381681422119E0)); -#1827=CARTESIAN_POINT('',(1.148263442290E1,-2.920959113616E1,2.381681422119E0)); -#1828=CARTESIAN_POINT('',(1.213709052909E1,-2.898144822135E1,2.381681422119E0)); -#1829=CARTESIAN_POINT('',(1.292500895958E1,-2.858218417730E1,2.381681422119E0)); -#1830=CARTESIAN_POINT('',(1.376673666472E1,-2.792816011863E1,2.381681422119E0)); -#1831=CARTESIAN_POINT('',(1.456163679670E1,-2.696649971437E1,2.381681422119E0)); -#1832=CARTESIAN_POINT('',(1.519553494716E1,-2.582044415742E1,2.381681422119E0)); -#1833=CARTESIAN_POINT('',(1.564590195896E1,-2.453334855776E1,2.381681422119E0)); -#1834=CARTESIAN_POINT('',(1.594247707240E1,-2.303609693472E1,2.381681422119E0)); -#1835=CARTESIAN_POINT('',(1.601117587271E1,-2.192792527E1,2.381681422119E0)); -#1836=CARTESIAN_POINT('',(1.600744258676E1,-2.129830400940E1,2.381681422119E0)); -#1837=CARTESIAN_POINT('',(9.888618660790E0,-2.933795917576E1,2.469945292128E0)); -#1838=CARTESIAN_POINT('',(1.019569530456E1,-2.934363871661E1,2.469945292128E0)); -#1839=CARTESIAN_POINT('',(1.075006854449E1,-2.931053975958E1,2.469945292128E0)); -#1840=CARTESIAN_POINT('',(1.146894694430E1,-2.916010187243E1,2.469945292128E0)); -#1841=CARTESIAN_POINT('',(1.211701682250E1,-2.893418791807E1,2.469945292128E0)); -#1842=CARTESIAN_POINT('',(1.289695831747E1,-2.853895214487E1,2.469945292128E0)); -#1843=CARTESIAN_POINT('',(1.373059595654E1,-2.789131102003E1,2.469945292128E0)); -#1844=CARTESIAN_POINT('',(1.451892776522E1,-2.693772365442E1,2.469945292128E0)); -#1845=CARTESIAN_POINT('',(1.514841774052E1,-2.579980772556E1,2.469945292128E0)); -#1846=CARTESIAN_POINT('',(1.559628597098E1,-2.452004395586E1,2.469945292128E0)); -#1847=CARTESIAN_POINT('',(1.589151070569E1,-2.302982023762E1,2.469945292128E0)); -#1848=CARTESIAN_POINT('',(1.595996506413E1,-2.192606072053E1,2.469945292128E0)); -#1849=CARTESIAN_POINT('',(1.595624519197E1,-2.129860743148E1,2.469945292128E0)); -#1850=CARTESIAN_POINT('',(9.890647134970E0,-2.922819332746E1,2.564204935247E0)); -#1851=CARTESIAN_POINT('',(1.019244340915E1,-2.923376738267E1,2.564204935247E0)); -#1852=CARTESIAN_POINT('',(1.073584004524E1,-2.920127665276E1,2.564204935247E0)); -#1853=CARTESIAN_POINT('',(1.143959653395E1,-2.905398077881E1,2.564204935247E0)); -#1854=CARTESIAN_POINT('',(1.207397226016E1,-2.883284644133E1,2.564204935247E0)); -#1855=CARTESIAN_POINT('',(1.283680860833E1,-2.844624859232E1,2.564204935247E0)); -#1856=CARTESIAN_POINT('',(1.365309851192E1,-2.781229455569E1,2.564204935247E0)); -#1857=CARTESIAN_POINT('',(1.442734569697E1,-2.687601841329E1,2.564204935247E0)); -#1858=CARTESIAN_POINT('',(1.504738310957E1,-2.575555649710E1,2.564204935247E0)); -#1859=CARTESIAN_POINT('',(1.548989313932E1,-2.449151455784E1,2.564204935247E0)); -#1860=CARTESIAN_POINT('',(1.578222222240E1,-2.301636095556E1,2.564204935247E0)); -#1861=CARTESIAN_POINT('',(1.585015241787E1,-2.192206251942E1,2.564204935247E0)); -#1862=CARTESIAN_POINT('',(1.584646130924E1,-2.129925806720E1,2.564204935247E0)); -#1863=CARTESIAN_POINT('',(9.892970245494E0,-2.910248396430E1,2.632222616984E0)); -#1864=CARTESIAN_POINT('',(1.018871917519E1,-2.910793721210E1,2.632222616984E0)); -#1865=CARTESIAN_POINT('',(1.071954485316E1,-2.907614305442E1,2.632222616984E0)); -#1866=CARTESIAN_POINT('',(1.140598296971E1,-2.893244557180E1,2.632222616984E0)); -#1867=CARTESIAN_POINT('',(1.202467546519E1,-2.871678509165E1,2.632222616984E0)); -#1868=CARTESIAN_POINT('',(1.276792214004E1,-2.834007982732E1,2.632222616984E0)); -#1869=CARTESIAN_POINT('',(1.356434454555E1,-2.772180093163E1,2.632222616984E0)); -#1870=CARTESIAN_POINT('',(1.432246131212E1,-2.680535047161E1,2.632222616984E0)); -#1871=CARTESIAN_POINT('',(1.493167317509E1,-2.570487776746E1,2.632222616984E0)); -#1872=CARTESIAN_POINT('',(1.536804672426E1,-2.445884125814E1,2.632222616984E0)); -#1873=CARTESIAN_POINT('',(1.565705956162E1,-2.300094670966E1,2.632222616984E0)); -#1874=CARTESIAN_POINT('',(1.572438945933E1,-2.191748357868E1,2.632222616984E0)); -#1875=CARTESIAN_POINT('',(1.572073129215E1,-2.130000320791E1,2.632222616984E0)); -#1876=CARTESIAN_POINT('',(9.896370299200E0,-2.891849849230E1,2.706536881743E0)); -#1877=CARTESIAN_POINT('',(1.018326846787E1,-2.892377492901E1,2.706536881743E0)); -#1878=CARTESIAN_POINT('',(1.069569556678E1,-2.889300025921E1,2.706536881743E0)); -#1879=CARTESIAN_POINT('',(1.135678689294E1,-2.875456930337E1,2.706536881743E0)); -#1880=CARTESIAN_POINT('',(1.195252575525E1,-2.854692024098E1,2.706536881743E0)); -#1881=CARTESIAN_POINT('',(1.266710141305E1,-2.818469354703E1,2.706536881743E0)); -#1882=CARTESIAN_POINT('',(1.343444618329E1,-2.758935644447E1,2.706536881743E0)); -#1883=CARTESIAN_POINT('',(1.416895482251E1,-2.670192241918E1,2.706536881743E0)); -#1884=CARTESIAN_POINT('',(1.476232264803E1,-2.563070548818E1,2.706536881743E0)); -#1885=CARTESIAN_POINT('',(1.518971497854E1,-2.441102133197E1,2.706536881743E0)); -#1886=CARTESIAN_POINT('',(1.547387423127E1,-2.297838675678E1,2.706536881743E0)); -#1887=CARTESIAN_POINT('',(1.554032554634E1,-2.191078194127E1,2.706536881743E0)); -#1888=CARTESIAN_POINT('',(1.553671559153E1,-2.130109377954E1,2.706536881743E0)); -#1889=CARTESIAN_POINT('',(9.901044972259E0,-2.866554015589E1,2.776449020651E0)); -#1890=CARTESIAN_POINT('',(1.017577438784E1,-2.867057349816E1,2.776449020651E0)); -#1891=CARTESIAN_POINT('',(1.066290560927E1,-2.864120050403E1,2.776449020651E0)); -#1892=CARTESIAN_POINT('',(1.128914808643E1,-2.851001040173E1,2.776449020651E0)); -#1893=CARTESIAN_POINT('',(1.185332840883E1,-2.831337609440E1,2.776449020651E0)); -#1894=CARTESIAN_POINT('',(1.252848479784E1,-2.797105572802E1,2.776449020651E0)); -#1895=CARTESIAN_POINT('',(1.325585124716E1,-2.740726088673E1,2.776449020651E0)); -#1896=CARTESIAN_POINT('',(1.395790149411E1,-2.655972103883E1,2.776449020651E0)); -#1897=CARTESIAN_POINT('',(1.452948563577E1,-2.552872734734E1,2.776449020651E0)); -#1898=CARTESIAN_POINT('',(1.494452984931E1,-2.434527457034E1,2.776449020651E0)); -#1899=CARTESIAN_POINT('',(1.522201599529E1,-2.294736948088E1,2.776449020651E0)); -#1900=CARTESIAN_POINT('',(1.528725936278E1,-2.190156797979E1,2.776449020651E0)); -#1901=CARTESIAN_POINT('',(1.528371569431E1,-2.130259318699E1,2.776449020651E0)); -#1902=CARTESIAN_POINT('',(9.907079975780E0,-2.833897091105E1,2.837320142797E0)); -#1903=CARTESIAN_POINT('',(1.016609952953E1,-2.834369041839E1,2.837320142797E0)); -#1904=CARTESIAN_POINT('',(1.062057376991E1,-2.831612698773E1,2.837320142797E0)); -#1905=CARTESIAN_POINT('',(1.120182637854E1,-2.819428482827E1,2.837320142797E0)); -#1906=CARTESIAN_POINT('',(1.172526462185E1,-2.801187057114E1,2.837320142797E0)); -#1907=CARTESIAN_POINT('',(1.234953072978E1,-2.769524927622E1,2.837320142797E0)); -#1908=CARTESIAN_POINT('',(1.302528516167E1,-2.717217549829E1,2.837320142797E0)); -#1909=CARTESIAN_POINT('',(1.368543162001E1,-2.637613903852E1,2.837320142797E0)); -#1910=CARTESIAN_POINT('',(1.422889302302E1,-2.539707355442E1,2.837320142797E0)); -#1911=CARTESIAN_POINT('',(1.462799581596E1,-2.426039549261E1,2.837320142797E0)); -#1912=CARTESIAN_POINT('',(1.489686698026E1,-2.290732617370E1,2.837320142797E0)); -#1913=CARTESIAN_POINT('',(1.496055088728E1,-2.188967275433E1,2.837320142797E0)); -#1914=CARTESIAN_POINT('',(1.495709279449E1,-2.130452892219E1,2.837320142797E0)); -#1915=CARTESIAN_POINT('',(9.913438835919E0,-2.799487696875E1,2.880663367386E0)); -#1916=CARTESIAN_POINT('',(1.015590548895E1,-2.799926579985E1,2.880663367386E0)); -#1917=CARTESIAN_POINT('',(1.057597027549E1,-2.797360903930E1,2.880663367386E0)); -#1918=CARTESIAN_POINT('',(1.110981872260E1,-2.786161646168E1,2.880663367386E0)); -#1919=CARTESIAN_POINT('',(1.159032854314E1,-2.769418534583E1,2.880663367386E0)); -#1920=CARTESIAN_POINT('',(1.216097344295E1,-2.740464221260E1,2.880663367386E0)); -#1921=CARTESIAN_POINT('',(1.278234619979E1,-2.692447471394E1,2.880663367386E0)); -#1922=CARTESIAN_POINT('',(1.339834018499E1,-2.618270547053E1,2.880663367386E0)); -#1923=CARTESIAN_POINT('',(1.391216969777E1,-2.525835481913E1,2.880663367386E0)); -#1924=CARTESIAN_POINT('',(1.429447560507E1,-2.417096154580E1,2.880663367386E0)); -#1925=CARTESIAN_POINT('',(1.455426948163E1,-2.286513402104E1,2.880663367386E0)); -#1926=CARTESIAN_POINT('',(1.461631024277E1,-2.187713919494E1,2.880663367386E0)); -#1927=CARTESIAN_POINT('',(1.461294231788E1,-2.130656853482E1,2.880663367386E0)); -#1928=CARTESIAN_POINT('',(9.922482249974E0,-2.750551505061E1,2.920358690970E0)); -#1929=CARTESIAN_POINT('',(1.014140777587E1,-2.750943360209E1,2.920358690970E0)); -#1930=CARTESIAN_POINT('',(1.051253628579E1,-2.748648846079E1,2.920358690970E0)); -#1931=CARTESIAN_POINT('',(1.097896770358E1,-2.738850371552E1,2.920358690970E0)); -#1932=CARTESIAN_POINT('',(1.139842578001E1,-2.724238125366E1,2.920358690970E0)); -#1933=CARTESIAN_POINT('',(1.189281192013E1,-2.699134801413E1,2.920358690970E0)); -#1934=CARTESIAN_POINT('',(1.243684439997E1,-2.657220076764E1,2.920358690970E0)); -#1935=CARTESIAN_POINT('',(1.299004582681E1,-2.590760902090E1,2.920358690970E0)); -#1936=CARTESIAN_POINT('',(1.346173359614E1,-2.506107245510E1,2.920358690970E0)); -#1937=CARTESIAN_POINT('',(1.382015138696E1,-2.404377079240E1,2.920358690970E0)); -#1938=CARTESIAN_POINT('',(1.406703576874E1,-2.280512938213E1,2.920358690970E0)); -#1939=CARTESIAN_POINT('',(1.412673968837E1,-2.185931427591E1,2.920358690970E0)); -#1940=CARTESIAN_POINT('',(1.412349999807E1,-2.130946922159E1,2.920358690970E0)); -#1941=CARTESIAN_POINT('',(9.929682161228E0,-2.711590971354E1,2.930662144949E0)); -#1942=CARTESIAN_POINT('',(1.012986542616E1,-2.711945385202E1,2.930662144949E0)); -#1943=CARTESIAN_POINT('',(1.046203333488E1,-2.709866756553E1,2.930662144949E0)); -#1944=CARTESIAN_POINT('',(1.087479070596E1,-2.701183515160E1,2.930662144949E0)); -#1945=CARTESIAN_POINT('',(1.124564245553E1,-2.688267756790E1,2.930662144949E0)); -#1946=CARTESIAN_POINT('',(1.167931520815E1,-2.666230396813E1,2.930662144949E0)); -#1947=CARTESIAN_POINT('',(1.216177325079E1,-2.629173797618E1,2.930662144949E0)); -#1948=CARTESIAN_POINT('',(1.266498240205E1,-2.568859106913E1,2.930662144949E0)); -#1949=CARTESIAN_POINT('',(1.310311903563E1,-2.490400616310E1,2.930662144949E0)); -#1950=CARTESIAN_POINT('',(1.344251831003E1,-2.394250791413E1,2.930662144949E0)); -#1951=CARTESIAN_POINT('',(1.367912480162E1,-2.275735670776E1,2.930662144949E0)); -#1952=CARTESIAN_POINT('',(1.373696824559E1,-2.184512297222E1,2.930662144949E0)); -#1953=CARTESIAN_POINT('',(1.373383064922E1,-2.131177860246E1,2.930662144949E0)); -#1954=CARTESIAN_POINT('',(9.934699023938E0,-2.684443463299E1,2.929950759900E0)); -#1955=CARTESIAN_POINT('',(1.012182277371E1,-2.684771788234E1,2.929950759900E0)); -#1956=CARTESIAN_POINT('',(1.042684312733E1,-2.682843587525E1,2.929950759900E0)); -#1957=CARTESIAN_POINT('',(1.080220068697E1,-2.674937435087E1,2.929950759900E0)); -#1958=CARTESIAN_POINT('',(1.113918378732E1,-2.663203781075E1,2.929950759900E0)); -#1959=CARTESIAN_POINT('',(1.153055175044E1,-2.643302769700E1,2.929950759900E0)); -#1960=CARTESIAN_POINT('',(1.197010502843E1,-2.609631288403E1,2.929950759900E0)); -#1961=CARTESIAN_POINT('',(1.243847980822E1,-2.553598043873E1,2.929950759900E0)); -#1962=CARTESIAN_POINT('',(1.285323817558E1,-2.479456314417E1,2.929950759900E0)); -#1963=CARTESIAN_POINT('',(1.317938544138E1,-2.387194843914E1,2.929950759900E0)); -#1964=CARTESIAN_POINT('',(1.340883034968E1,-2.272406894348E1,2.929950759900E0)); -#1965=CARTESIAN_POINT('',(1.346537742340E1,-2.183523454170E1,2.929950759900E0)); -#1966=CARTESIAN_POINT('',(1.346231096558E1,-2.131338776766E1,2.929950759900E0)); -#1967=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#1811,#1812,#1813,#1814,#1815,#1816, -#1817,#1818,#1819,#1820,#1821,#1822,#1823),(#1824,#1825,#1826,#1827,#1828,#1829, -#1830,#1831,#1832,#1833,#1834,#1835,#1836),(#1837,#1838,#1839,#1840,#1841,#1842, -#1843,#1844,#1845,#1846,#1847,#1848,#1849),(#1850,#1851,#1852,#1853,#1854,#1855, -#1856,#1857,#1858,#1859,#1860,#1861,#1862),(#1863,#1864,#1865,#1866,#1867,#1868, -#1869,#1870,#1871,#1872,#1873,#1874,#1875),(#1876,#1877,#1878,#1879,#1880,#1881, -#1882,#1883,#1884,#1885,#1886,#1887,#1888),(#1889,#1890,#1891,#1892,#1893,#1894, -#1895,#1896,#1897,#1898,#1899,#1900,#1901),(#1902,#1903,#1904,#1905,#1906,#1907, -#1908,#1909,#1910,#1911,#1912,#1913,#1914),(#1915,#1916,#1917,#1918,#1919,#1920, -#1921,#1922,#1923,#1924,#1925,#1926,#1927),(#1928,#1929,#1930,#1931,#1932,#1933, -#1934,#1935,#1936,#1937,#1938,#1939,#1940),(#1941,#1942,#1943,#1944,#1945,#1946, -#1947,#1948,#1949,#1950,#1951,#1952,#1953),(#1954,#1955,#1956,#1957,#1958,#1959, -#1960,#1961,#1962,#1963,#1964,#1965,#1966)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1, -1,1,1,1,1,4),(4,1,1,1,1,1,1,1,1,1,4),(-9.963421132703E-3,6.25E-2,1.25E-1, -1.875E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,1.012077356217E0),( --7.937654442569E-3,5.710211269858E-2,1.189627347887E-1,1.799393633266E-1, -2.459973775759E-1,3.730320203631E-1,5.000666631503E-1,6.220199202260E-1, -7.541359487247E-1,8.721506933369E-1,1.006231551519E0),.UNSPECIFIED.); -#1968=ORIENTED_EDGE('',*,*,#1801,.T.); -#1969=ORIENTED_EDGE('',*,*,#1767,.F.); -#1970=ORIENTED_EDGE('',*,*,#1265,.F.); -#1972=ORIENTED_EDGE('',*,*,#1971,.T.); -#1973=EDGE_LOOP('',(#1968,#1969,#1970,#1972)); -#1974=FACE_OUTER_BOUND('',#1973,.F.); -#1976=CARTESIAN_POINT('',(1.599618243439E1,-2.138583079281E1,2.291332254072E0)); -#1977=CARTESIAN_POINT('',(1.599898725960E1,-2.138583079281E1,2.305620018884E0)); -#1978=CARTESIAN_POINT('',(1.600264708813E1,-2.138583079281E1,2.342244793314E0)); -#1979=CARTESIAN_POINT('',(1.599115357218E1,-2.138583079281E1,2.396773597239E0)); -#1980=CARTESIAN_POINT('',(1.594960645025E1,-2.138583079281E1,2.475880603466E0)); -#1981=CARTESIAN_POINT('',(1.586187119558E1,-2.138583079281E1,2.553432218539E0)); -#1982=CARTESIAN_POINT('',(1.573572793593E1,-2.138583079281E1,2.625633202579E0)); -#1983=CARTESIAN_POINT('',(1.554855041282E1,-2.138583079281E1,2.702914671065E0)); -#1984=CARTESIAN_POINT('',(1.529032244438E1,-2.138583079281E1,2.775260442115E0)); -#1985=CARTESIAN_POINT('',(1.495604136252E1,-2.138583079281E1,2.837667847633E0)); -#1986=CARTESIAN_POINT('',(1.460345716921E1,-2.138583079281E1,2.881772141710E0)); -#1987=CARTESIAN_POINT('',(1.412534014610E1,-2.138583079281E1,2.919776470786E0)); -#1988=CARTESIAN_POINT('',(1.373923584784E1,-2.138583079281E1,2.930352493938E0)); -#1989=CARTESIAN_POINT('',(1.347441495638E1,-2.138583079281E1,2.929982428111E0)); -#1990=CARTESIAN_POINT('',(1.346159137690E1,-2.138583079281E1,2.929947313679E0)); -#1992=DIRECTION('',(0.E0,1.E0,0.E0)); -#1993=VECTOR('',#1992,1.E0); -#1994=SURFACE_OF_LINEAR_EXTRUSION('',#1991,#1993); -#1996=ORIENTED_EDGE('',*,*,#1995,.F.); -#1997=ORIENTED_EDGE('',*,*,#1971,.F.); -#1998=ORIENTED_EDGE('',*,*,#1263,.F.); -#2000=ORIENTED_EDGE('',*,*,#1999,.T.); -#2001=EDGE_LOOP('',(#1996,#1997,#1998,#2000)); -#2002=FACE_OUTER_BOUND('',#2001,.F.); -#2004=CARTESIAN_POINT('',(1.6E1,-2.93825E1,1.83E0)); -#2005=DIRECTION('',(1.E0,0.E0,0.E0)); -#2006=DIRECTION('',(0.E0,1.E0,0.E0)); -#2007=AXIS2_PLACEMENT_3D('',#2004,#2005,#2006); -#2008=PLANE('',#2007); -#2009=ORIENTED_EDGE('',*,*,#1995,.T.); -#2011=ORIENTED_EDGE('',*,*,#2010,.F.); -#2013=ORIENTED_EDGE('',*,*,#2012,.F.); -#2014=ORIENTED_EDGE('',*,*,#1803,.F.); -#2015=EDGE_LOOP('',(#2009,#2011,#2013,#2014)); -#2016=FACE_OUTER_BOUND('',#2015,.F.); -#2018=CARTESIAN_POINT('',(9.888059595071E0,2.561646491345E1,-1.258272933163E2)); -#2019=CARTESIAN_POINT('',(9.925708406390E0,2.561715958110E1,-1.258272933163E2)); -#2020=CARTESIAN_POINT('',(1.052405269488E1,2.562287688067E1,-1.258272933163E2)); -#2021=CARTESIAN_POINT('',(1.155113630133E1,2.546469391140E1,-1.258272933163E2)); -#2022=CARTESIAN_POINT('',(1.276180266890E1,2.493440826007E1,-1.258272933163E2)); -#2023=CARTESIAN_POINT('',(1.376212696469E1,2.415681379538E1,-1.258272933163E2)); -#2024=CARTESIAN_POINT('',(1.483467910487E1,2.285880758826E1,-1.258272933163E2)); -#2025=CARTESIAN_POINT('',(1.572644249154E1,2.082595572413E1,-1.258272933163E2)); -#2026=CARTESIAN_POINT('',(1.600440755746E1,1.873449069319E1,-1.258272933163E2)); -#2027=CARTESIAN_POINT('',(1.599987014648E1,1.754580235900E1,-1.258272933163E2)); -#2028=CARTESIAN_POINT('',(1.599958211036E1,1.750805988999E1,-1.258272933163E2)); -#2030=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2031=VECTOR('',#2030,1.E0); -#2032=SURFACE_OF_LINEAR_EXTRUSION('',#2029,#2031); -#2034=ORIENTED_EDGE('',*,*,#2033,.F.); -#2035=ORIENTED_EDGE('',*,*,#1317,.F.); -#2037=ORIENTED_EDGE('',*,*,#2036,.F.); -#2038=ORIENTED_EDGE('',*,*,#2010,.T.); -#2039=EDGE_LOOP('',(#2034,#2035,#2037,#2038)); -#2040=FACE_OUTER_BOUND('',#2039,.F.); -#2042=CARTESIAN_POINT('',(1.599780996533E1,1.753415096600E1,2.297165042465E0)); -#2043=CARTESIAN_POINT('',(1.600123433442E1,1.811673366173E1,2.297165042465E0)); -#2044=CARTESIAN_POINT('',(1.593815969758E1,1.922900013828E1,2.297165042465E0)); -#2045=CARTESIAN_POINT('',(1.565631718321E1,2.069129951438E1,2.297165042465E0)); -#2046=CARTESIAN_POINT('',(1.520152196964E1,2.201768556334E1,2.297165042465E0)); -#2047=CARTESIAN_POINT('',(1.457563441626E1,2.316924250519E1,2.297165042465E0)); -#2048=CARTESIAN_POINT('',(1.376075128385E1,2.415541181621E1,2.297165042465E0)); -#2049=CARTESIAN_POINT('',(1.293411962951E1,2.479798408917E1,2.297165042465E0)); -#2050=CARTESIAN_POINT('',(1.215108741077E1,2.519977535556E1,2.297165042465E0)); -#2051=CARTESIAN_POINT('',(1.151728642551E1,2.542501600309E1,2.297165042465E0)); -#2052=CARTESIAN_POINT('',(1.077517639787E1,2.558409509703E1,2.297165042465E0)); -#2053=CARTESIAN_POINT('',(1.021867087803E1,2.562073053183E1,2.297165042465E0)); -#2054=CARTESIAN_POINT('',(9.885888799955E0,2.561447581963E1,2.297165042465E0)); -#2055=CARTESIAN_POINT('',(1.600744730711E1,1.753409434337E1,2.381681423852E0)); -#2056=CARTESIAN_POINT('',(1.601087398239E1,1.811705496828E1,2.381681423852E0)); -#2057=CARTESIAN_POINT('',(1.594775729010E1,1.923014663482E1,2.381681423852E0)); -#2058=CARTESIAN_POINT('',(1.566567561928E1,2.069373310146E1,2.381681423852E0)); -#2059=CARTESIAN_POINT('',(1.521040838594E1,2.202152722076E1,2.381681423852E0)); -#2060=CARTESIAN_POINT('',(1.458370794515E1,2.317461722108E1,2.381681423852E0)); -#2061=CARTESIAN_POINT('',(1.376755606839E1,2.416234668505E1,2.381681423852E0)); -#2062=CARTESIAN_POINT('',(1.293942515006E1,2.480610191573E1,2.381681423852E0)); -#2063=CARTESIAN_POINT('',(1.215490183294E1,2.520865614015E1,2.381681423852E0)); -#2064=CARTESIAN_POINT('',(1.151992991789E1,2.543431327057E1,2.381681423852E0)); -#2065=CARTESIAN_POINT('',(1.077646414843E1,2.559368150311E1,2.381681423852E0)); -#2066=CARTESIAN_POINT('',(1.021898869583E1,2.563037645279E1,2.381681423852E0)); -#2067=CARTESIAN_POINT('',(9.885707947276E0,2.562411149848E1,2.381681423852E0)); -#2068=CARTESIAN_POINT('',(1.595624989182E1,1.753439514543E1,2.469945292760E0)); -#2069=CARTESIAN_POINT('',(1.595966431563E1,1.811534805934E1,2.469945292760E0)); -#2070=CARTESIAN_POINT('',(1.589677103885E1,1.922405598643E1,2.469945292760E0)); -#2071=CARTESIAN_POINT('',(1.561595986269E1,2.068080491318E1,2.469945292760E0)); -#2072=CARTESIAN_POINT('',(1.516320018726E1,2.200111879956E1,2.469945292760E0)); -#2073=CARTESIAN_POINT('',(1.454081812954E1,2.314606457991E1,2.469945292760E0)); -#2074=CARTESIAN_POINT('',(1.373140633038E1,2.412550588720E1,2.469945292760E0)); -#2075=CARTESIAN_POINT('',(1.291124010226E1,2.476297677320E1,2.469945292760E0)); -#2076=CARTESIAN_POINT('',(1.213463809628E1,2.516147785927E1,2.469945292760E0)); -#2077=CARTESIAN_POINT('',(1.150588662871E1,2.538492246593E1,2.469945292760E0)); -#2078=CARTESIAN_POINT('',(1.076962310219E1,2.554275467861E1,2.469945292760E0)); -#2079=CARTESIAN_POINT('',(1.021730032048E1,2.557913346148E1,2.469945292760E0)); -#2080=CARTESIAN_POINT('',(9.886668709065E0,2.557292291728E1,2.469945292760E0)); -#2081=CARTESIAN_POINT('',(1.584646596534E1,1.753504016300E1,2.564204935247E0)); -#2082=CARTESIAN_POINT('',(1.584985411796E1,1.811168789088E1,2.564204935247E0)); -#2083=CARTESIAN_POINT('',(1.578743991679E1,1.921099565334E1,2.564204935247E0)); -#2084=CARTESIAN_POINT('',(1.550935309484E1,2.065308266850E1,2.564204935247E0)); -#2085=CARTESIAN_POINT('',(1.506197043988E1,2.195735650022E1,2.564204935247E0)); -#2086=CARTESIAN_POINT('',(1.444884840141E1,2.308483842149E1,2.564204935247E0)); -#2087=CARTESIAN_POINT('',(1.365388952297E1,2.404650722248E1,2.564204935247E0)); -#2088=CARTESIAN_POINT('',(1.285080218369E1,2.467050242751E1,2.564204935247E0)); -#2089=CARTESIAN_POINT('',(1.209118604770E1,2.506031226539E1,2.564204935247E0)); -#2090=CARTESIAN_POINT('',(1.147577324472E1,2.527901250081E1,2.564204935247E0)); -#2091=CARTESIAN_POINT('',(1.075495367182E1,2.543355098679E1,2.564204935247E0)); -#2092=CARTESIAN_POINT('',(1.021367989403E1,2.546925180514E1,2.564204935247E0)); -#2093=CARTESIAN_POINT('',(9.888728895133E0,2.546315793393E1,2.564204935247E0)); -#2094=CARTESIAN_POINT('',(1.572073589729E1,1.753577886952E1,2.632222616984E0)); -#2095=CARTESIAN_POINT('',(1.572409396285E1,1.810749608207E1,2.632222616984E0)); -#2096=CARTESIAN_POINT('',(1.566222842311E1,1.919603830376E1,2.632222616984E0)); -#2097=CARTESIAN_POINT('',(1.538726166847E1,2.062133376115E1,2.632222616984E0)); -#2098=CARTESIAN_POINT('',(1.494603704752E1,2.190723771644E1,2.632222616984E0)); -#2099=CARTESIAN_POINT('',(1.434352004829E1,2.301471914892E1,2.632222616984E0)); -#2100=CARTESIAN_POINT('',(1.356511338074E1,2.395603398284E1,2.632222616984E0)); -#2101=CARTESIAN_POINT('',(1.278158564300E1,2.456459616101E1,2.632222616984E0)); -#2102=CARTESIAN_POINT('',(1.204142257869E1,2.494445234483E1,2.632222616984E0)); -#2103=CARTESIAN_POINT('',(1.144128588449E1,2.515771908794E1,2.632222616984E0)); -#2104=CARTESIAN_POINT('',(1.073815350320E1,2.530848543265E1,2.632222616984E0)); -#2105=CARTESIAN_POINT('',(1.020953359977E1,2.534340981197E1,2.632222616984E0)); -#2106=CARTESIAN_POINT('',(9.891088323720E0,2.533744956052E1,2.632222616984E0)); -#2107=CARTESIAN_POINT('',(1.553672012210E1,1.753686002421E1,2.706536881743E0)); -#2108=CARTESIAN_POINT('',(1.554003415285E1,1.810136104245E1,2.706536881743E0)); -#2109=CARTESIAN_POINT('',(1.547897162201E1,1.917414705436E1,2.706536881743E0)); -#2110=CARTESIAN_POINT('',(1.520857132956E1,2.057486675538E1,2.706536881743E0)); -#2111=CARTESIAN_POINT('',(1.477635947237E1,2.183388496166E1,2.706536881743E0)); -#2112=CARTESIAN_POINT('',(1.418936377642E1,2.291209411658E1,2.706536881743E0)); -#2113=CARTESIAN_POINT('',(1.343518256240E1,2.382361932986E1,2.706536881743E0)); -#2114=CARTESIAN_POINT('',(1.268028182928E1,2.440959406779E1,2.706536881743E0)); -#2115=CARTESIAN_POINT('',(1.196858985482E1,2.477488230142E1,2.706536881743E0)); -#2116=CARTESIAN_POINT('',(1.139081093904E1,2.498019670413E1,2.706536881743E0)); -#2117=CARTESIAN_POINT('',(1.071356514416E1,2.512544222544E1,2.706536881743E0)); -#2118=CARTESIAN_POINT('',(1.020346517427E1,2.515923022558E1,2.706536881743E0)); -#2119=CARTESIAN_POINT('',(9.894541531749E0,2.515346553710E1,2.706536881743E0)); -#2120=CARTESIAN_POINT('',(1.528372012233E1,1.753834648448E1,2.776449020651E0)); -#2121=CARTESIAN_POINT('',(1.528697361045E1,1.809292608618E1,2.776449020651E0)); -#2122=CARTESIAN_POINT('',(1.522701512215E1,1.914404916687E1,2.776449020651E0)); -#2123=CARTESIAN_POINT('',(1.496289317697E1,2.051098009983E1,2.776449020651E0)); -#2124=CARTESIAN_POINT('',(1.454307280755E1,2.173303357041E1,2.776449020651E0)); -#2125=CARTESIAN_POINT('',(1.397741707402E1,2.277099679420E1,2.776449020651E0)); -#2126=CARTESIAN_POINT('',(1.325654300298E1,2.364156479060E1,2.776449020651E0)); -#2127=CARTESIAN_POINT('',(1.254100102675E1,2.419648446071E1,2.776449020651E0)); -#2128=CARTESIAN_POINT('',(1.186845344477E1,2.454174348005E1,2.776449020651E0)); -#2129=CARTESIAN_POINT('',(1.132141383885E1,2.473612435212E1,2.776449020651E0)); -#2130=CARTESIAN_POINT('',(1.067975904887E1,2.487377939204E1,2.776449020651E0)); -#2131=CARTESIAN_POINT('',(1.019512180452E1,2.490600500475E1,2.776449020651E0)); -#2132=CARTESIAN_POINT('',(9.899289285735E0,2.490050919231E1,2.776449020651E0)); -#2133=CARTESIAN_POINT('',(1.495709709011E1,1.754026550487E1,2.837320142797E0)); -#2134=CARTESIAN_POINT('',(1.496027241768E1,1.808203655652E1,2.837320142797E0)); -#2135=CARTESIAN_POINT('',(1.490173924847E1,1.910519279026E1,2.837320142797E0)); -#2136=CARTESIAN_POINT('',(1.464572265040E1,2.042850241929E1,2.837320142797E0)); -#2137=CARTESIAN_POINT('',(1.424189969329E1,2.160283441134E1,2.837320142797E0)); -#2138=CARTESIAN_POINT('',(1.370379385397E1,2.258884013287E1,2.837320142797E0)); -#2139=CARTESIAN_POINT('',(1.302591930880E1,2.340653235702E1,2.837320142797E0)); -#2140=CARTESIAN_POINT('',(1.236118949280E1,2.392135993059E1,2.837320142797E0)); -#2141=CARTESIAN_POINT('',(1.173917732652E1,2.424076123170E1,2.837320142797E0)); -#2142=CARTESIAN_POINT('',(1.123182217360E1,2.442102691430E1,2.837320142797E0)); -#2143=CARTESIAN_POINT('',(1.063611537553E1,2.454888264176E1,2.837320142797E0)); -#2144=CARTESIAN_POINT('',(1.018435051311E1,2.457909121210E1,2.837320142797E0)); -#2145=CARTESIAN_POINT('',(9.905418636744E0,2.457394251866E1,2.837320142797E0)); -#2146=CARTESIAN_POINT('',(1.461294647404E1,1.754228750573E1,2.880663367386E0)); -#2147=CARTESIAN_POINT('',(1.461603944672E1,1.807056266163E1,2.880663367386E0)); -#2148=CARTESIAN_POINT('',(1.455900808354E1,1.906425126248E1,2.880663367386E0)); -#2149=CARTESIAN_POINT('',(1.431153179012E1,2.034159873593E1,2.880663367386E0)); -#2150=CARTESIAN_POINT('',(1.392456471506E1,2.146564836998E1,2.880663367386E0)); -#2151=CARTESIAN_POINT('',(1.341548718094E1,2.239690839188E1,2.880663367386E0)); -#2152=CARTESIAN_POINT('',(1.278291964679E1,2.315888736926E1,2.880663367386E0)); -#2153=CARTESIAN_POINT('',(1.217172872584E1,2.363147138262E1,2.880663367386E0)); -#2154=CARTESIAN_POINT('',(1.160296385916E1,2.392362736182E1,2.880663367386E0)); -#2155=CARTESIAN_POINT('',(1.113742274749E1,2.408902039101E1,2.880663367386E0)); -#2156=CARTESIAN_POINT('',(1.059012965012E1,2.420655094515E1,2.880663367386E0)); -#2157=CARTESIAN_POINT('',(1.017300120147E1,2.423463423251E1,2.880663367386E0)); -#2158=CARTESIAN_POINT('',(9.911876907341E0,2.422985128553E1,2.880663367386E0)); -#2159=CARTESIAN_POINT('',(1.412350404563E1,1.754516314494E1,2.920358683688E0)); -#2160=CARTESIAN_POINT('',(1.412647989525E1,1.805424477432E1,2.920358683688E0)); -#2161=CARTESIAN_POINT('',(1.407158436318E1,1.900602524212E1,2.920358683688E0)); -#2162=CARTESIAN_POINT('',(1.383625387818E1,2.021800648349E1,2.920358683688E0)); -#2163=CARTESIAN_POINT('',(1.347325881842E1,2.127054580125E1,2.920358683688E0)); -#2164=CARTESIAN_POINT('',(1.300546461645E1,2.212394785365E1,2.920358683688E0)); -#2165=CARTESIAN_POINT('',(1.243733158412E1,2.280669284009E1,2.920358683688E0)); -#2166=CARTESIAN_POINT('',(1.190228234529E1,2.321919911503E1,2.920358683688E0)); -#2167=CARTESIAN_POINT('',(1.140924446094E1,2.347260747648E1,2.920358683688E0)); -#2168=CARTESIAN_POINT('',(1.100317023654E1,2.361684898869E1,2.920358683688E0)); -#2169=CARTESIAN_POINT('',(1.052472989760E1,2.371969533870E1,2.920358683688E0)); -#2170=CARTESIAN_POINT('',(1.015686049337E1,2.374475610156E1,2.920358683688E0)); -#2171=CARTESIAN_POINT('',(9.921061698817E0,2.374049331006E1,2.920358683688E0)); -#2172=CARTESIAN_POINT('',(1.373383463820E1,1.754745258404E1,2.930662139948E0)); -#2173=CARTESIAN_POINT('',(1.373671724033E1,1.804125329439E1,2.930662139948E0)); -#2174=CARTESIAN_POINT('',(1.368352214941E1,1.895966861766E1,2.930662139948E0)); -#2175=CARTESIAN_POINT('',(1.345786154525E1,2.011960855764E1,2.930662139948E0)); -#2176=CARTESIAN_POINT('',(1.311395179658E1,2.111521496368E1,2.930662139948E0)); -#2177=CARTESIAN_POINT('',(1.267902530417E1,2.190663042261E1,2.930662139948E0)); -#2178=CARTESIAN_POINT('',(1.216219177651E1,2.252629329660E1,2.930662139948E0)); -#2179=CARTESIAN_POINT('',(1.168776270957E1,2.289096870245E1,2.930662139948E0)); -#2180=CARTESIAN_POINT('',(1.125501483405E1,2.311352816242E1,2.930662139948E0)); -#2181=CARTESIAN_POINT('',(1.089628514984E1,2.324092990225E1,2.930662139948E0)); -#2182=CARTESIAN_POINT('',(1.047266190896E1,2.333208542858E1,2.930662139948E0)); -#2183=CARTESIAN_POINT('',(1.014401007461E1,2.335473980971E1,2.930662139948E0)); -#2184=CARTESIAN_POINT('',(9.928374167135E0,2.335089113981E1,2.930662139948E0)); -#2185=CARTESIAN_POINT('',(1.346231494734E1,1.754904785372E1,2.929950760664E0)); -#2186=CARTESIAN_POINT('',(1.346513257509E1,1.803220089626E1,2.929950760664E0)); -#2187=CARTESIAN_POINT('',(1.341312234303E1,1.892736755396E1,2.929950760664E0)); -#2188=CARTESIAN_POINT('',(1.319419966341E1,2.005104537588E1,2.929950760664E0)); -#2189=CARTESIAN_POINT('',(1.286358846389E1,2.100698121426E1,2.929950760664E0)); -#2190=CARTESIAN_POINT('',(1.245156402681E1,2.175520472543E1,2.929950760664E0)); -#2191=CARTESIAN_POINT('',(1.197047573699E1,2.233091229951E1,2.929950760664E0)); -#2192=CARTESIAN_POINT('',(1.153828650215E1,2.266225939538E1,2.929950760664E0)); -#2193=CARTESIAN_POINT('',(1.114754840272E1,2.286332349536E1,2.929950760664E0)); -#2194=CARTESIAN_POINT('',(1.082180815693E1,2.297899136617E1,2.929950760664E0)); -#2195=CARTESIAN_POINT('',(1.043638119533E1,2.306200078513E1,2.929950760664E0)); -#2196=CARTESIAN_POINT('',(1.013505596718E1,2.308297841152E1,2.929950760664E0)); -#2197=CARTESIAN_POINT('',(9.933469458425E0,2.307941829947E1,2.929950760664E0)); -#2198=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2042,#2043,#2044,#2045,#2046,#2047, -#2048,#2049,#2050,#2051,#2052,#2053,#2054),(#2055,#2056,#2057,#2058,#2059,#2060, -#2061,#2062,#2063,#2064,#2065,#2066,#2067),(#2068,#2069,#2070,#2071,#2072,#2073, -#2074,#2075,#2076,#2077,#2078,#2079,#2080),(#2081,#2082,#2083,#2084,#2085,#2086, -#2087,#2088,#2089,#2090,#2091,#2092,#2093),(#2094,#2095,#2096,#2097,#2098,#2099, -#2100,#2101,#2102,#2103,#2104,#2105,#2106),(#2107,#2108,#2109,#2110,#2111,#2112, -#2113,#2114,#2115,#2116,#2117,#2118,#2119),(#2120,#2121,#2122,#2123,#2124,#2125, -#2126,#2127,#2128,#2129,#2130,#2131,#2132),(#2133,#2134,#2135,#2136,#2137,#2138, -#2139,#2140,#2141,#2142,#2143,#2144,#2145),(#2146,#2147,#2148,#2149,#2150,#2151, -#2152,#2153,#2154,#2155,#2156,#2157,#2158),(#2159,#2160,#2161,#2162,#2163,#2164, -#2165,#2166,#2167,#2168,#2169,#2170,#2171),(#2172,#2173,#2174,#2175,#2176,#2177, -#2178,#2179,#2180,#2181,#2182,#2183,#2184),(#2185,#2186,#2187,#2188,#2189,#2190, -#2191,#2192,#2193,#2194,#2195,#2196,#2197)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1, -1,1,1,1,1,4),(4,1,1,1,1,1,1,1,1,1,4),(-9.963419807196E-3,6.25E-2,1.25E-1, -1.875E-1,2.5E-1,3.75E-1,5.E-1,6.25E-1,7.5E-1,1.012077262279E0),( --6.177158533543E-3,1.179737176938E-1,2.457785785287E-1,3.677217359377E-1, -4.998268231309E-1,6.268509454319E-1,7.538750677330E-1,8.148466464375E-1, -8.808991900341E-1,9.380675788177E-1,1.008075380624E0),.UNSPECIFIED.); -#2199=ORIENTED_EDGE('',*,*,#2033,.T.); -#2200=ORIENTED_EDGE('',*,*,#1999,.F.); -#2201=ORIENTED_EDGE('',*,*,#1261,.F.); -#2202=ORIENTED_EDGE('',*,*,#1299,.F.); -#2203=EDGE_LOOP('',(#2199,#2200,#2201,#2202)); -#2204=FACE_OUTER_BOUND('',#2203,.F.); -#2206=CARTESIAN_POINT('',(0.E0,0.E0,1.93E0)); -#2207=DIRECTION('',(0.E0,0.E0,1.E0)); -#2208=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2209=AXIS2_PLACEMENT_3D('',#2206,#2207,#2208); -#2210=PLANE('',#2209); -#2211=ORIENTED_EDGE('',*,*,#1341,.T.); -#2212=ORIENTED_EDGE('',*,*,#1548,.T.); -#2213=ORIENTED_EDGE('',*,*,#1573,.T.); -#2214=ORIENTED_EDGE('',*,*,#1780,.T.); -#2215=ORIENTED_EDGE('',*,*,#1805,.T.); -#2216=ORIENTED_EDGE('',*,*,#2012,.T.); -#2217=ORIENTED_EDGE('',*,*,#2036,.T.); -#2218=ORIENTED_EDGE('',*,*,#1315,.T.); -#2219=EDGE_LOOP('',(#2211,#2212,#2213,#2214,#2215,#2216,#2217,#2218)); -#2220=FACE_OUTER_BOUND('',#2219,.F.); -#2222=ORIENTED_EDGE('',*,*,#2221,.F.); -#2224=ORIENTED_EDGE('',*,*,#2223,.T.); -#2226=ORIENTED_EDGE('',*,*,#2225,.T.); -#2228=ORIENTED_EDGE('',*,*,#2227,.T.); -#2230=ORIENTED_EDGE('',*,*,#2229,.F.); -#2232=ORIENTED_EDGE('',*,*,#2231,.T.); -#2233=EDGE_LOOP('',(#2222,#2224,#2226,#2228,#2230,#2232)); -#2234=FACE_BOUND('',#2233,.F.); -#2236=CARTESIAN_POINT('',(1.235E1,2.235E1,1.83E0)); -#2237=DIRECTION('',(1.E0,0.E0,0.E0)); -#2238=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2239=AXIS2_PLACEMENT_3D('',#2236,#2237,#2238); -#2240=PLANE('',#2239); -#2242=ORIENTED_EDGE('',*,*,#2241,.F.); -#2244=ORIENTED_EDGE('',*,*,#2243,.T.); -#2246=ORIENTED_EDGE('',*,*,#2245,.F.); -#2247=ORIENTED_EDGE('',*,*,#2221,.T.); -#2249=ORIENTED_EDGE('',*,*,#2248,.T.); -#2251=ORIENTED_EDGE('',*,*,#2250,.T.); -#2252=EDGE_LOOP('',(#2242,#2244,#2246,#2247,#2249,#2251)); -#2253=FACE_OUTER_BOUND('',#2252,.F.); -#2255=CARTESIAN_POINT('',(1.235E1,-2.235E1,1.83E0)); -#2256=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2257=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2258=AXIS2_PLACEMENT_3D('',#2255,#2256,#2257); -#2259=PLANE('',#2258); -#2261=ORIENTED_EDGE('',*,*,#2260,.F.); -#2262=ORIENTED_EDGE('',*,*,#2241,.T.); -#2264=ORIENTED_EDGE('',*,*,#2263,.T.); -#2266=ORIENTED_EDGE('',*,*,#2265,.F.); -#2267=EDGE_LOOP('',(#2261,#2262,#2264,#2266)); -#2268=FACE_OUTER_BOUND('',#2267,.F.); -#2270=CARTESIAN_POINT('',(0.E0,0.E0,1.73E0)); -#2271=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2272=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2273=AXIS2_PLACEMENT_3D('',#2270,#2271,#2272); -#2274=PLANE('',#2273); -#2275=ORIENTED_EDGE('',*,*,#2260,.T.); -#2277=ORIENTED_EDGE('',*,*,#2276,.F.); -#2279=ORIENTED_EDGE('',*,*,#2278,.T.); -#2281=ORIENTED_EDGE('',*,*,#2280,.F.); -#2283=ORIENTED_EDGE('',*,*,#2282,.T.); -#2284=ORIENTED_EDGE('',*,*,#2243,.F.); -#2285=EDGE_LOOP('',(#2275,#2277,#2279,#2281,#2283,#2284)); -#2286=FACE_OUTER_BOUND('',#2285,.F.); -#2288=CARTESIAN_POINT('',(-1.235E1,-2.235E1,1.83E0)); -#2289=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2290=DIRECTION('',(0.E0,1.E0,0.E0)); -#2291=AXIS2_PLACEMENT_3D('',#2288,#2289,#2290); -#2292=PLANE('',#2291); -#2293=ORIENTED_EDGE('',*,*,#2229,.T.); -#2295=ORIENTED_EDGE('',*,*,#2294,.T.); -#2296=ORIENTED_EDGE('',*,*,#2276,.T.); -#2297=ORIENTED_EDGE('',*,*,#2265,.T.); -#2299=ORIENTED_EDGE('',*,*,#2298,.T.); -#2301=ORIENTED_EDGE('',*,*,#2300,.F.); -#2302=EDGE_LOOP('',(#2293,#2295,#2296,#2297,#2299,#2301)); -#2303=FACE_OUTER_BOUND('',#2302,.F.); -#2305=CARTESIAN_POINT('',(-1.085E1,-2.565E1,1.83E0)); -#2306=DIRECTION('',(-8.E-1,-6.E-1,0.E0)); -#2307=DIRECTION('',(-6.E-1,8.E-1,0.E0)); -#2308=AXIS2_PLACEMENT_3D('',#2305,#2306,#2307); -#2309=PLANE('',#2308); -#2310=ORIENTED_EDGE('',*,*,#2227,.F.); -#2312=ORIENTED_EDGE('',*,*,#2311,.T.); -#2313=ORIENTED_EDGE('',*,*,#2278,.F.); -#2314=ORIENTED_EDGE('',*,*,#2294,.F.); -#2315=EDGE_LOOP('',(#2310,#2312,#2313,#2314)); -#2316=FACE_OUTER_BOUND('',#2315,.F.); -#2318=CARTESIAN_POINT('',(1.085E1,-2.615E1,1.83E0)); -#2319=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2320=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2321=AXIS2_PLACEMENT_3D('',#2318,#2319,#2320); -#2322=PLANE('',#2321); -#2323=ORIENTED_EDGE('',*,*,#2225,.F.); -#2325=ORIENTED_EDGE('',*,*,#2324,.T.); -#2326=ORIENTED_EDGE('',*,*,#2280,.T.); -#2327=ORIENTED_EDGE('',*,*,#2311,.F.); -#2328=EDGE_LOOP('',(#2323,#2325,#2326,#2327)); -#2329=FACE_OUTER_BOUND('',#2328,.F.); -#2331=CARTESIAN_POINT('',(1.235E1,-2.365E1,1.83E0)); -#2332=DIRECTION('',(8.E-1,-6.E-1,0.E0)); -#2333=DIRECTION('',(-6.E-1,-8.E-1,0.E0)); -#2334=AXIS2_PLACEMENT_3D('',#2331,#2332,#2333); -#2335=PLANE('',#2334); -#2336=ORIENTED_EDGE('',*,*,#2223,.F.); -#2337=ORIENTED_EDGE('',*,*,#2245,.T.); -#2338=ORIENTED_EDGE('',*,*,#2282,.F.); -#2339=ORIENTED_EDGE('',*,*,#2324,.F.); -#2340=EDGE_LOOP('',(#2336,#2337,#2338,#2339)); -#2341=FACE_OUTER_BOUND('',#2340,.F.); -#2343=CARTESIAN_POINT('',(0.E0,0.E0,1.53E0)); -#2344=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2345=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2346=AXIS2_PLACEMENT_3D('',#2343,#2344,#2345); -#2347=PLANE('',#2346); -#2348=ORIENTED_EDGE('',*,*,#2250,.F.); -#2350=ORIENTED_EDGE('',*,*,#2349,.F.); -#2351=ORIENTED_EDGE('',*,*,#2298,.F.); -#2352=ORIENTED_EDGE('',*,*,#2263,.F.); -#2353=EDGE_LOOP('',(#2348,#2350,#2351,#2352)); -#2354=FACE_OUTER_BOUND('',#2353,.F.); -#2356=ORIENTED_EDGE('',*,*,#2355,.F.); -#2358=ORIENTED_EDGE('',*,*,#2357,.F.); -#2360=ORIENTED_EDGE('',*,*,#2359,.F.); -#2362=ORIENTED_EDGE('',*,*,#2361,.F.); -#2363=EDGE_LOOP('',(#2356,#2358,#2360,#2362)); -#2364=FACE_BOUND('',#2363,.F.); -#2366=CARTESIAN_POINT('',(-1.235E1,2.235E1,1.83E0)); -#2367=DIRECTION('',(0.E0,1.E0,0.E0)); -#2368=DIRECTION('',(1.E0,0.E0,0.E0)); -#2369=AXIS2_PLACEMENT_3D('',#2366,#2367,#2368); -#2370=PLANE('',#2369); -#2371=ORIENTED_EDGE('',*,*,#2231,.F.); -#2372=ORIENTED_EDGE('',*,*,#2300,.T.); -#2373=ORIENTED_EDGE('',*,*,#2349,.T.); -#2374=ORIENTED_EDGE('',*,*,#2248,.F.); -#2375=EDGE_LOOP('',(#2371,#2372,#2373,#2374)); -#2376=FACE_OUTER_BOUND('',#2375,.F.); -#2378=CARTESIAN_POINT('',(-1.135E1,2.135E1,1.83E0)); -#2379=DIRECTION('',(1.E0,0.E0,0.E0)); -#2380=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2381=AXIS2_PLACEMENT_3D('',#2378,#2379,#2380); -#2382=PLANE('',#2381); -#2384=ORIENTED_EDGE('',*,*,#2383,.F.); -#2386=ORIENTED_EDGE('',*,*,#2385,.T.); -#2387=ORIENTED_EDGE('',*,*,#2355,.T.); -#2389=ORIENTED_EDGE('',*,*,#2388,.F.); -#2390=EDGE_LOOP('',(#2384,#2386,#2387,#2389)); -#2391=FACE_OUTER_BOUND('',#2390,.F.); -#2393=CARTESIAN_POINT('',(0.E0,0.E0,1.93E0)); -#2394=DIRECTION('',(0.E0,0.E0,1.E0)); -#2395=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2396=AXIS2_PLACEMENT_3D('',#2393,#2394,#2395); -#2397=PLANE('',#2396); -#2398=ORIENTED_EDGE('',*,*,#2383,.T.); -#2400=ORIENTED_EDGE('',*,*,#2399,.T.); -#2402=ORIENTED_EDGE('',*,*,#2401,.T.); -#2404=ORIENTED_EDGE('',*,*,#2403,.T.); -#2405=EDGE_LOOP('',(#2398,#2400,#2402,#2404)); -#2406=FACE_OUTER_BOUND('',#2405,.F.); -#2408=CARTESIAN_POINT('',(-1.135E1,-2.135E1,1.83E0)); -#2409=DIRECTION('',(0.E0,1.E0,0.E0)); -#2410=DIRECTION('',(1.E0,0.E0,0.E0)); -#2411=AXIS2_PLACEMENT_3D('',#2408,#2409,#2410); -#2412=PLANE('',#2411); -#2413=ORIENTED_EDGE('',*,*,#2399,.F.); -#2414=ORIENTED_EDGE('',*,*,#2388,.T.); -#2415=ORIENTED_EDGE('',*,*,#2361,.T.); -#2417=ORIENTED_EDGE('',*,*,#2416,.F.); -#2418=EDGE_LOOP('',(#2413,#2414,#2415,#2417)); -#2419=FACE_OUTER_BOUND('',#2418,.F.); -#2421=CARTESIAN_POINT('',(1.135E1,-2.135E1,1.83E0)); -#2422=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2423=DIRECTION('',(0.E0,1.E0,0.E0)); -#2424=AXIS2_PLACEMENT_3D('',#2421,#2422,#2423); -#2425=PLANE('',#2424); -#2426=ORIENTED_EDGE('',*,*,#2401,.F.); -#2427=ORIENTED_EDGE('',*,*,#2416,.T.); -#2428=ORIENTED_EDGE('',*,*,#2359,.T.); -#2430=ORIENTED_EDGE('',*,*,#2429,.F.); -#2431=EDGE_LOOP('',(#2426,#2427,#2428,#2430)); -#2432=FACE_OUTER_BOUND('',#2431,.F.); -#2434=CARTESIAN_POINT('',(1.135E1,2.135E1,1.83E0)); -#2435=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2436=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2437=AXIS2_PLACEMENT_3D('',#2434,#2435,#2436); -#2438=PLANE('',#2437); -#2439=ORIENTED_EDGE('',*,*,#2403,.F.); -#2440=ORIENTED_EDGE('',*,*,#2429,.T.); -#2441=ORIENTED_EDGE('',*,*,#2357,.T.); -#2442=ORIENTED_EDGE('',*,*,#2385,.F.); -#2443=EDGE_LOOP('',(#2439,#2440,#2441,#2442)); -#2444=FACE_OUTER_BOUND('',#2443,.F.); -#2446=CLOSED_SHELL('',(#1277,#1306,#1321,#1346,#1511,#1539,#1553,#1578,#1743, -#1771,#1785,#1810,#1975,#2003,#2017,#2041,#2205,#2235,#2254,#2269,#2287,#2304, -#2317,#2330,#2342,#2365,#2377,#2392,#2407,#2420,#2433,#2445)); -#2447=MANIFOLD_SOLID_BREP('',#2446); -#2448=FILL_AREA_STYLE_COLOUR('',#423); -#2449=FILL_AREA_STYLE('',(#2448)); -#2450=SURFACE_STYLE_FILL_AREA(#2449); -#2451=SURFACE_SIDE_STYLE('',(#2450)); -#2452=SURFACE_STYLE_USAGE(.BOTH.,#2451); -#2453=PRESENTATION_STYLE_ASSIGNMENT((#2452)); -#424=STYLED_ITEM('',(#2453),#2447); -#2456=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), -#2455); -#2457=(CONVERSION_BASED_UNIT('DEGREE',#2456)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); -#2459=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(6.385592689043E-3),#2454, -'distance_accuracy_value', -'Maximum model space distance between geometric entities at asserted connectivities'); -#2461=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#2462=DIRECTION('',(0.E0,0.E0,1.E0)); -#2463=DIRECTION('',(1.E0,0.E0,0.E0)); -#2466=PRODUCT_CONTEXT('',#354,'mechanical'); -#2467=PRODUCT('S-WATCH_TOUCH-SCREEN_15_1_1','S-WATCH_TOUCH-SCREEN_15_1_1', -'NOT SPECIFIED',(#2466)); -#2468=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#2467); -#2476=DERIVED_UNIT_ELEMENT(#2475,2.E0); -#2477=DERIVED_UNIT((#2476)); -#2478=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -3.649545661569E3),#2477); -#2483=DERIVED_UNIT_ELEMENT(#2482,3.E0); -#2484=DERIVED_UNIT((#2483)); -#2485=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( -1.748091962635E3),#2484); -#2489=CARTESIAN_POINT('centre point',(5.924360965941E-8,-2.052061329140E0, -2.392446215234E0)); -#2494=DERIVED_UNIT_ELEMENT(#2493,2.E0); -#2495=DERIVED_UNIT((#2494)); -#2496=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -3.649545661569E3),#2495); -#2501=DERIVED_UNIT_ELEMENT(#2500,3.E0); -#2502=DERIVED_UNIT((#2501)); -#2503=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( -1.748091962635E3),#2502); -#2507=CARTESIAN_POINT('centre point',(5.924360965941E-8,-2.052061329140E0, -2.392446215234E0)); -#2514=CARTESIAN_POINT('centre point',(5.924360965941E-8,-2.052061329140E0, -2.392446215234E0)); -#2518=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#2519=DIRECTION('',(0.E0,0.E0,1.E0)); -#2520=DIRECTION('',(1.E0,0.E0,0.E0)); -#2521=AXIS2_PLACEMENT_3D('',#2518,#2519,#2520); -#2522=ITEM_DEFINED_TRANSFORMATION('','',#2464,#2521); -#2523=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#2524=DIRECTION('',(0.E0,0.E0,1.E0)); -#2525=DIRECTION('',(1.E0,0.E0,0.E0)); -#2527=(REPRESENTATION_RELATIONSHIP('','',#2465,#405)REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2522)SHAPE_REPRESENTATION_RELATIONSHIP()); -#2528=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2527,#2513); -#2531=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), -#2530); -#2532=(CONVERSION_BASED_UNIT('DEGREE',#2531)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); -#2534=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(6.390960988837E-3),#2529, -'distance_accuracy_value', -'Maximum model space distance between geometric entities at asserted connectivities'); -#2536=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#2537=DIRECTION('',(0.E0,0.E0,1.E0)); -#2538=DIRECTION('',(1.E0,0.E0,0.E0)); -#2540=PRODUCT_DEFINITION_CONTEXT('part definition',#354,'design'); -#2541=PRODUCT_CONTEXT('',#354,'mechanical'); -#2542=PRODUCT('S-WATCH_20220510_ASM_11_ASM_1_A_ASM', -'S-WATCH_20220510_ASM_11_ASM_1_A_ASM','NOT SPECIFIED',(#2541)); -#2543=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#2542); -#2546=DERIVED_UNIT_ELEMENT(#2545,2.E0); -#2547=DERIVED_UNIT((#2546)); -#2548=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -6.482890861569E3),#2547); -#2553=DERIVED_UNIT_ELEMENT(#2552,3.E0); -#2554=DERIVED_UNIT((#2553)); -#2555=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( -3.631152467635E3),#2554); -#2559=CARTESIAN_POINT('centre point',(2.852077397346E-8,-2.049836343016E0, -1.529386582390E0)); -#2568=CARTESIAN_POINT('centre point',(2.852077397346E-8,-2.049836343016E0, -1.529386582390E0)); -#2572=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#2573=DIRECTION('',(0.E0,0.E0,1.E0)); -#2574=DIRECTION('',(1.E0,0.E0,0.E0)); -#2575=AXIS2_PLACEMENT_3D('',#2572,#2573,#2574); -#2576=ITEM_DEFINED_TRANSFORMATION('','',#420,#2575); -#2577=(REPRESENTATION_RELATIONSHIP('','',#405,#2565)REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2576)SHAPE_REPRESENTATION_RELATIONSHIP()); -#2578=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2577,#2567); -#2581=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), -#2580); -#2582=(CONVERSION_BASED_UNIT('DEGREE',#2581)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); -#2584=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(6.390960988837E-3),#2579, -'distance_accuracy_value', -'Maximum model space distance between geometric entities at asserted connectivities'); -#2586=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#2587=DIRECTION('',(0.E0,0.E0,1.E0)); -#2588=DIRECTION('',(1.E0,0.E0,0.E0)); -#2590=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#258,#279, -#300,#320,#339,#432,#449,#456,#473,#480,#497,#504,#521,#543,#567,#574,#581,#588, -#595,#612,#628,#645,#652,#659,#666,#683,#705,#729,#736,#743,#750,#767,#783,#800, -#807,#814,#831,#844,#851,#864,#871,#884,#891,#904,#911,#918,#925,#932,#939,#946, -#953,#960,#967,#974,#981,#988,#995,#1002,#1009,#1016,#1023,#1030,#1037,#1044, -#1051,#1058,#1065,#1072,#1079,#1086,#1093,#1100,#1107,#1114,#1121,#1128,#1135, -#1142,#1149,#1156,#1163,#424),#2585); -#2591=PRODUCT_CONTEXT('',#354,'mechanical'); -#2592=PRODUCT('S-WATCH_20220510_ASM_ASM_1_ASM__ASM', -'S-WATCH_20220510_ASM_ASM_1_ASM__ASM','NOT SPECIFIED',(#2591)); -#2593=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#2592); -#2596=DERIVED_UNIT_ELEMENT(#2595,2.E0); -#2597=DERIVED_UNIT((#2596)); -#2598=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -6.482890861569E3),#2597); -#2603=DERIVED_UNIT_ELEMENT(#2602,3.E0); -#2604=DERIVED_UNIT((#2603)); -#2605=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( -3.631152467635E3),#2604); -#2609=CARTESIAN_POINT('centre point',(2.852077397346E-8,-2.049836343016E0, -1.529386582390E0)); -#2613=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#2542,#2592,#358,#2467)); -#1=DRAUGHTING_PRE_DEFINED_COLOUR('green'); -#2=COLOUR_RGB('',1.1E-2,1.2E-2,1.E0); -#3=COLOUR_RGB('',4.1E-1,2.61589E-1,1.12848E-1); -#4=COLOUR_RGB('',5.04854E-1,1.5455E-2,1.5455E-2); -#5=COLOUR_RGB('',6.35294E-1,6.86275E-1,7.4902E-1); -#6=COLOUR_RGB('',6.40777E-1,6.40777E-1,6.40777E-1); -#7=COLOUR_RGB('',6.69062E-1,6.69903E-1,6.55217E-1); -#8=COLOUR_RGB('',9.21569E-1,7.05882E-1,4.70588E-1); -#9=DRAUGHTING_PRE_DEFINED_COLOUR('red'); -#10=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); -#11=COLOUR_RGB('',1.E0,1.E0,5.3E-1); -#12=COLOUR_RGB('',1.E0,1.E0,9.49E-1); -#13=DRAUGHTING_PRE_DEFINED_COLOUR('white'); -#14=COLOUR_RGB('',2.52E-1,2.52E-1,2.52E-1); -#148=EDGE_CURVE('',#113,#114,#26,.T.); -#150=EDGE_CURVE('',#116,#113,#46,.T.); -#152=EDGE_CURVE('',#118,#116,#38,.T.); -#154=EDGE_CURVE('',#114,#118,#30,.T.); -#158=ADVANCED_FACE('',(#157),#147,.F.); -#164=EDGE_CURVE('',#114,#120,#18,.T.); -#166=EDGE_CURVE('',#122,#120,#50,.T.); -#168=EDGE_CURVE('',#122,#113,#22,.T.); -#173=ADVANCED_FACE('',(#172),#163,.T.); -#179=EDGE_CURVE('',#124,#118,#34,.T.); -#181=EDGE_CURVE('',#120,#124,#54,.T.); -#187=ADVANCED_FACE('',(#186),#178,.T.); -#193=EDGE_CURVE('',#126,#116,#42,.T.); -#195=EDGE_CURVE('',#124,#126,#58,.T.); -#201=ADVANCED_FACE('',(#200),#192,.T.); -#208=EDGE_CURVE('',#126,#122,#62,.T.); -#214=ADVANCED_FACE('',(#213),#206,.T.); -#226=EDGE_CURVE('',#129,#130,#66,.T.); -#228=EDGE_CURVE('',#132,#129,#90,.T.); -#230=EDGE_CURVE('',#134,#132,#82,.T.); -#232=EDGE_CURVE('',#130,#134,#74,.T.); -#236=ADVANCED_FACE('',(#225,#235),#219,.T.); -#243=EDGE_CURVE('',#136,#130,#78,.T.); -#245=EDGE_CURVE('',#138,#136,#98,.T.); -#247=EDGE_CURVE('',#138,#129,#70,.T.); -#251=ADVANCED_FACE('',(#250),#241,.F.); -#265=EDGE_CURVE('',#140,#134,#86,.T.); -#267=EDGE_CURVE('',#136,#140,#102,.T.); -#272=ADVANCED_FACE('',(#271),#263,.F.); -#286=EDGE_CURVE('',#142,#132,#94,.T.); -#288=EDGE_CURVE('',#140,#142,#106,.T.); -#293=ADVANCED_FACE('',(#292),#284,.F.); -#308=EDGE_CURVE('',#142,#138,#110,.T.); -#313=ADVANCED_FACE('',(#312),#305,.F.); -#332=ADVANCED_FACE('',(#331),#325,.T.); -#342=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#343=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); -#346=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); -#348=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( -#347))GLOBAL_UNIT_ASSIGNED_CONTEXT((#342,#345,#346))REPRESENTATION_CONTEXT -('ID1','3')); -#352=AXIS2_PLACEMENT_3D('',#349,#350,#351); -#353=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#352,#341),#348); -#360=PRODUCT_DEFINITION('part definition','',#359,#356); -#361=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR NFP190B-01A_9_2_1.',#360); -#362=SHAPE_ASPECT('','solid data associated with NFP190B-01A_9_2_1',#361,.F.); -#363=PROPERTY_DEFINITION('', -'shape for solid data with which properties are associated',#362); -#364=SHAPE_REPRESENTATION('',(#341),#348); -#365=SHAPE_DEFINITION_REPRESENTATION(#363,#364); -#366=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#370=PROPERTY_DEFINITION('geometric validation property', -'area of NFP190B-01A_9_2_1',#362); -#371=REPRESENTATION('surface area',(#369),#348); -#372=PROPERTY_DEFINITION_REPRESENTATION(#370,#371); -#373=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#377=PROPERTY_DEFINITION('geometric validation property', -'volume of NFP190B-01A_9_2_1',#362); -#378=REPRESENTATION('volume',(#376),#348); -#379=PROPERTY_DEFINITION_REPRESENTATION(#377,#378); -#381=PROPERTY_DEFINITION('geometric validation property', -'centroid of NFP190B-01A_9_2_1',#362); -#382=REPRESENTATION('centroid',(#380),#348); -#383=PROPERTY_DEFINITION_REPRESENTATION(#381,#382); -#384=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#388=PROPERTY_DEFINITION('geometric validation property', -'area of NFP190B-01A_9_2_1',#361); -#389=REPRESENTATION('surface area',(#387),#348); -#390=PROPERTY_DEFINITION_REPRESENTATION(#388,#389); -#391=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#395=PROPERTY_DEFINITION('geometric validation property', -'volume of NFP190B-01A_9_2_1',#361); -#396=REPRESENTATION('volume',(#394),#348); -#397=PROPERTY_DEFINITION_REPRESENTATION(#395,#396); -#399=PROPERTY_DEFINITION('geometric validation property', -'centroid of NFP190B-01A_9_2_1',#361); -#400=REPRESENTATION('centroid',(#398),#348); -#401=PROPERTY_DEFINITION_REPRESENTATION(#399,#400); -#402=SHAPE_DEFINITION_REPRESENTATION(#361,#353); -#403=SHAPE_DEFINITION_REPRESENTATION(#404,#405); -#404=PRODUCT_DEFINITION_SHAPE('', -'SHAPE FOR S-WATCH_20220510_ASM_11_ASM_1_A_ASM.',#2544); -#405=SHAPE_REPRESENTATION('',(#420,#415,#2526,#2521,#2539),#2535); -#406=NEXT_ASSEMBLY_USAGE_OCCURRENCE('0','Next assembly relationship', -'NFP190B-01A',#2544,#360,$); -#407=PRODUCT_DEFINITION_SHAPE('Placement #0', -'Placement of NFP190B-01A_9_2_1 with respect to S-WATCH_20220510_ASM_11_ASM_1_A_ASM',#406); -#409=PROPERTY_DEFINITION('geometric validation property', -'centroid of NFP190B-01A',#407); -#410=REPRESENTATION('centroid',(#408),#348); -#411=PROPERTY_DEFINITION_REPRESENTATION(#409,#410); -#420=AXIS2_PLACEMENT_3D('',#417,#418,#419); -#423=COLOUR_RGB('',1.E0,6.7451E-1,7.2549E-1); -#446=B_SPLINE_CURVE_WITH_KNOTS('',3,(#433,#434,#435,#436,#437,#438,#439,#440, -#441,#442,#443,#444,#445),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, -6.205044497464E-2,1.192116410675E-1,1.852558742056E-1,2.462197817176E-1, -3.732279223678E-1,5.002360630179E-1,6.323245292942E-1,7.542523443183E-1, -8.820411252730E-1,1.E0),.UNSPECIFIED.); -#470=B_SPLINE_CURVE_WITH_KNOTS('',3,(#457,#458,#459,#460,#461,#462,#463,#464, -#465,#466,#467,#468,#469),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, -1.278329933291E-1,2.458326794795E-1,3.779318502188E-1,4.998695462859E-1, -6.268879796890E-1,7.539064130922E-1,8.199559984618E-1,8.809248464954E-1, -9.427775752853E-1,1.E0),.UNSPECIFIED.); -#494=B_SPLINE_CURVE_WITH_KNOTS('',3,(#481,#482,#483,#484,#485,#486,#487,#488, -#489,#490,#491,#492,#493),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, -6.191557484219E-2,1.190849902876E-1,1.851387200926E-1,2.461113937587E-1, -3.731377972297E-1,5.001642007007E-1,6.322716603107E-1,7.542170076429E-1, -8.820241636687E-1,1.E0),.UNSPECIFIED.); -#518=B_SPLINE_CURVE_WITH_KNOTS('',3,(#505,#506,#507,#508,#509,#510,#511,#512, -#513,#514,#515,#516,#517),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, -1.278329933325E-1,2.458326794856E-1,3.779318502280E-1,4.998695462979E-1, -6.268879797041E-1,7.539064131102E-1,8.199559984814E-1,8.809248465164E-1, -9.427775753077E-1,1.E0),.UNSPECIFIED.); -#540=B_SPLINE_CURVE_WITH_KNOTS('',3,(#522,#523,#524,#525,#526,#527,#528,#529, -#530,#531,#532,#533,#534,#535,#536,#537,#538,#539),.UNSPECIFIED.,.F.,.F.,(4,1,1, -1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,6.666666666667E-2,1.333333333333E-1,2.E-1, -2.666666666667E-1,3.333333333333E-1,4.E-1,4.666666666667E-1,5.333333333333E-1, -6.E-1,6.666666666667E-1,7.333333333333E-1,8.E-1,8.666666666667E-1, -9.333333333333E-1,1.E0),.UNSPECIFIED.); -#564=B_SPLINE_CURVE_WITH_KNOTS('',3,(#544,#545,#546,#547,#548,#549,#550,#551, -#552,#553,#554,#555,#556,#557,#558,#559,#560,#561,#562,#563),.UNSPECIFIED.,.F., -.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,5.882352941176E-2, -1.176470588235E-1,1.764705882353E-1,2.352941176471E-1,2.941176470588E-1, -3.529411764706E-1,4.117647058824E-1,4.705882352941E-1,5.294117647059E-1, -5.882352941176E-1,6.470588235294E-1,7.058823529412E-1,7.647058823529E-1, -8.235294117647E-1,8.823529411765E-1,9.411764705882E-1,1.E0),.UNSPECIFIED.); -#609=B_SPLINE_CURVE_WITH_KNOTS('',3,(#596,#597,#598,#599,#600,#601,#602,#603, -#604,#605,#606,#607,#608),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, -1.278493071724E-1,2.458640522548E-1,3.779800812799E-1,4.999333388414E-1, -6.269679821348E-1,7.540026254281E-1,8.200606399405E-1,8.810372687214E-1, -9.428978910580E-1,1.E0),.UNSPECIFIED.); -#625=B_SPLINE_CURVE_WITH_KNOTS('',3,(#613,#614,#615,#616,#617,#618,#619,#620, -#621,#622,#623,#624),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.E0, -2.500001476831E-1,3.750001091610E-1,5.000000706388E-1,6.250000321167E-1, -7.499999935946E-1,8.124999743336E-1,8.749999550725E-1,9.374999358115E-1,1.E0), -.UNSPECIFIED.); -#642=B_SPLINE_CURVE_WITH_KNOTS('',3,(#629,#630,#631,#632,#633,#634,#635,#636, -#637,#638,#639,#640,#641),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, -2.435134478501E-1,3.714612987325E-1,4.994091496150E-1,6.273570004974E-1, -7.553048513798E-1,8.192787768210E-1,8.832527022623E-1,9.472266277035E-1, -9.792135904241E-1,1.E0),.UNSPECIFIED.); -#680=B_SPLINE_CURVE_WITH_KNOTS('',3,(#667,#668,#669,#670,#671,#672,#673,#674, -#675,#676,#677,#678,#679),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, -6.193241664628E-2,1.191008057063E-1,1.851533496223E-1,2.461249286217E-1, -3.731490515371E-1,5.001731744523E-1,6.322782622843E-1,7.542214202830E-1, -8.820262817360E-1,1.E0),.UNSPECIFIED.); -#702=B_SPLINE_CURVE_WITH_KNOTS('',3,(#684,#685,#686,#687,#688,#689,#690,#691, -#692,#693,#694,#695,#696,#697,#698,#699,#700,#701),.UNSPECIFIED.,.F.,.F.,(4,1,1, -1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,6.666666666667E-2,1.333333333333E-1,2.E-1, -2.666666666667E-1,3.333333333333E-1,4.E-1,4.666666666667E-1,5.333333333333E-1, -6.E-1,6.666666666667E-1,7.333333333333E-1,8.E-1,8.666666666667E-1, -9.333333333333E-1,1.E0),.UNSPECIFIED.); -#726=B_SPLINE_CURVE_WITH_KNOTS('',3,(#706,#707,#708,#709,#710,#711,#712,#713, -#714,#715,#716,#717,#718,#719,#720,#721,#722,#723,#724,#725),.UNSPECIFIED.,.F., -.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,5.882352941176E-2, -1.176470588235E-1,1.764705882353E-1,2.352941176471E-1,2.941176470588E-1, -3.529411764706E-1,4.117647058824E-1,4.705882352941E-1,5.294117647059E-1, -5.882352941176E-1,6.470588235294E-1,7.058823529412E-1,7.647058823529E-1, -8.235294117647E-1,8.823529411765E-1,9.411764705882E-1,1.E0),.UNSPECIFIED.); -#764=B_SPLINE_CURVE_WITH_KNOTS('',3,(#751,#752,#753,#754,#755,#756,#757,#758, -#759,#760,#761,#762,#763),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, -1.278493071721E-1,2.458640522545E-1,3.779800812796E-1,4.999333388412E-1, -6.269679821346E-1,7.540026254280E-1,8.200606399405E-1,8.810372687213E-1, -9.428978910579E-1,1.E0),.UNSPECIFIED.); -#780=B_SPLINE_CURVE_WITH_KNOTS('',3,(#768,#769,#770,#771,#772,#773,#774,#775, -#776,#777,#778,#779),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,4),(0.E0, -2.500001469210E-1,3.750001085259E-1,5.000000701308E-1,6.250000317357E-1, -7.499999933407E-1,8.124999741431E-1,8.749999549456E-1,9.374999357481E-1,1.E0), -.UNSPECIFIED.); -#797=B_SPLINE_CURVE_WITH_KNOTS('',3,(#784,#785,#786,#787,#788,#789,#790,#791, -#792,#793,#794,#795,#796),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, -2.435134036022E-1,3.714612619684E-1,4.994091203346E-1,6.273569787009E-1, -7.553048370671E-1,8.192787662502E-1,8.832526954334E-1,9.472266246165E-1, -9.792135892080E-1,1.E0),.UNSPECIFIED.); -#828=B_SPLINE_CURVE_WITH_KNOTS('',3,(#815,#816,#817,#818,#819,#820,#821,#822, -#823,#824,#825,#826,#827),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,4),(0.E0, -6.193241707486E-2,1.191008061088E-1,1.851533499946E-1,2.461249289661E-1, -3.731490518235E-1,5.001731746807E-1,6.322782624524E-1,7.542214203954E-1, -8.820262817900E-1,1.E0),.UNSPECIFIED.); -#841=B_SPLINE_CURVE_WITH_KNOTS('',3,(#832,#833,#834,#835,#836,#837,#838,#839, -#840),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.189627336088E-1, -2.459973765661E-1,3.730320195233E-1,5.000666624806E-1,7.541359483953E-1,1.E0), -.UNSPECIFIED.); -#861=B_SPLINE_CURVE_WITH_KNOTS('',3,(#852,#853,#854,#855,#856,#857,#858,#859, -#860),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,2.457785790071E-1, -4.998268241047E-1,6.268509466537E-1,7.538750692025E-1,8.808991917511E-1,1.E0), -.UNSPECIFIED.); -#881=B_SPLINE_CURVE_WITH_KNOTS('',3,(#872,#873,#874,#875,#876,#877,#878,#879, -#880),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,1.189627336086E-1, -2.459973765659E-1,3.730320195232E-1,5.000666624805E-1,7.541359483953E-1,1.E0), -.UNSPECIFIED.); -#901=B_SPLINE_CURVE_WITH_KNOTS('',3,(#892,#893,#894,#895,#896,#897,#898,#899, -#900),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4),(0.E0,2.457785789339E-1, -4.998268239555E-1,6.268509464666E-1,7.538750689774E-1,8.808991914883E-1,1.E0), -.UNSPECIFIED.); -#1259=EDGE_CURVE('',#1166,#1167,#428,.T.); -#1261=EDGE_CURVE('',#1167,#1168,#446,.T.); -#1263=EDGE_CURVE('',#1168,#1170,#453,.T.); -#1265=EDGE_CURVE('',#1170,#1171,#470,.T.); -#1267=EDGE_CURVE('',#1171,#1173,#477,.T.); -#1269=EDGE_CURVE('',#1173,#1174,#494,.T.); -#1271=EDGE_CURVE('',#1174,#1176,#501,.T.); -#1273=EDGE_CURVE('',#1176,#1166,#518,.T.); -#1277=ADVANCED_FACE('',(#1276),#1258,.T.); -#1293=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1278,#1279,#1280,#1281,#1282,#1283,#1284, -#1285,#1286,#1287,#1288,#1289,#1290,#1291,#1292),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, -1,1,1,1,1,1,1,1,4),(0.E0,1.085623838629E-2,3.116572567689E-2,6.241889457313E-2, -1.249252323656E-1,1.874315701581E-1,2.499379079506E-1,3.749505835356E-1, -4.999632591206E-1,6.249759347056E-1,7.499886102906E-1,9.879095425387E-1,1.E0), -.UNSPECIFIED.); -#1297=EDGE_CURVE('',#1179,#1180,#571,.T.); -#1299=EDGE_CURVE('',#1179,#1167,#540,.T.); -#1302=EDGE_CURVE('',#1166,#1180,#564,.T.); -#1306=ADVANCED_FACE('',(#1305),#1296,.F.); -#1313=EDGE_CURVE('',#1180,#1182,#578,.T.); -#1315=EDGE_CURVE('',#1184,#1182,#908,.T.); -#1317=EDGE_CURVE('',#1184,#1179,#585,.T.); -#1321=ADVANCED_FACE('',(#1320),#1311,.T.); -#1333=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1322,#1323,#1324,#1325,#1326,#1327,#1328, -#1329,#1330,#1331,#1332),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, -7.841085359719E-3,2.498258129199E-1,4.998863098983E-1,6.249165583874E-1, -7.499468068764E-1,8.749770553656E-1,9.920627583663E-1,1.E0),.UNSPECIFIED.); -#1337=EDGE_CURVE('',#1185,#1180,#609,.T.); -#1339=EDGE_CURVE('',#1185,#1187,#592,.T.); -#1341=EDGE_CURVE('',#1182,#1187,#841,.T.); -#1346=ADVANCED_FACE('',(#1345),#1336,.T.); -#1507=EDGE_CURVE('',#1176,#1185,#625,.T.); -#1511=ADVANCED_FACE('',(#1510),#1503,.F.); -#1527=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1512,#1513,#1514,#1515,#1516,#1517,#1518, -#1519,#1520,#1521,#1522,#1523,#1524,#1525,#1526),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, -1,1,1,1,1,1,1,1,4),(0.E0,1.085615519560E-2,3.116558761877E-2,6.241855778259E-2, -1.249244981102E-1,1.874304384378E-1,2.499363787655E-1,3.749482594206E-1, -4.999601400759E-1,6.249720207312E-1,7.499839013864E-1,9.879095445034E-1,1.E0), -.UNSPECIFIED.); -#1531=EDGE_CURVE('',#1185,#1189,#649,.T.); -#1535=EDGE_CURVE('',#1174,#1189,#642,.T.); -#1539=ADVANCED_FACE('',(#1538),#1530,.F.); -#1546=EDGE_CURVE('',#1191,#1189,#663,.T.); -#1548=EDGE_CURVE('',#1187,#1191,#848,.T.); -#1553=ADVANCED_FACE('',(#1552),#1544,.T.); -#1565=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1554,#1555,#1556,#1557,#1558,#1559,#1560, -#1561,#1562,#1563,#1564),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, -7.796272432899E-3,1.250274277587E-1,2.500575136123E-1,3.750875994659E-1, -5.001176853197E-1,7.501778570269E-1,9.920981806450E-1,1.E0),.UNSPECIFIED.); -#1569=EDGE_CURVE('',#1192,#1189,#680,.T.); -#1571=EDGE_CURVE('',#1192,#1194,#656,.T.); -#1573=EDGE_CURVE('',#1191,#1194,#861,.T.); -#1578=ADVANCED_FACE('',(#1577),#1568,.T.); -#1739=EDGE_CURVE('',#1192,#1173,#702,.T.); -#1743=ADVANCED_FACE('',(#1742),#1735,.F.); -#1759=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1744,#1745,#1746,#1747,#1748,#1749,#1750, -#1751,#1752,#1753,#1754,#1755,#1756,#1757,#1758),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, -1,1,1,1,1,1,1,1,4),(0.E0,1.085623954660E-2,3.116572827160E-2,6.241889937510E-2, -1.249252415821E-1,1.874315837891E-1,2.499379259962E-1,3.749506104102E-1, -4.999632948242E-1,6.249759792382E-1,7.499886636522E-1,9.879095055855E-1,1.E0), -.UNSPECIFIED.); -#1763=EDGE_CURVE('',#1192,#1196,#733,.T.); -#1767=EDGE_CURVE('',#1171,#1196,#726,.T.); -#1771=ADVANCED_FACE('',(#1770),#1762,.F.); -#1778=EDGE_CURVE('',#1196,#1198,#740,.T.); -#1780=EDGE_CURVE('',#1194,#1198,#868,.T.); -#1785=ADVANCED_FACE('',(#1784),#1776,.T.); -#1797=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1786,#1787,#1788,#1789,#1790,#1791,#1792, -#1793,#1794,#1795,#1796),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, -7.841085359719E-3,2.498258129200E-1,4.998863098984E-1,6.249165583875E-1, -7.499468068766E-1,8.749770553657E-1,9.920627583661E-1,1.E0),.UNSPECIFIED.); -#1801=EDGE_CURVE('',#1199,#1196,#764,.T.); -#1803=EDGE_CURVE('',#1199,#1201,#747,.T.); -#1805=EDGE_CURVE('',#1198,#1201,#881,.T.); -#1810=ADVANCED_FACE('',(#1809),#1800,.T.); -#1971=EDGE_CURVE('',#1170,#1199,#780,.T.); -#1975=ADVANCED_FACE('',(#1974),#1967,.F.); -#1991=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1976,#1977,#1978,#1979,#1980,#1981,#1982, -#1983,#1984,#1985,#1986,#1987,#1988,#1989,#1990),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, -1,1,1,1,1,1,1,1,4),(0.E0,1.085615542801E-2,3.116558902788E-2,6.241856100213E-2, -1.249245049506E-1,1.874304488991E-1,2.499363928476E-1,3.749482807446E-1, -4.999601686416E-1,6.249720565386E-1,7.499839444356E-1,9.879095798631E-1,1.E0), -.UNSPECIFIED.); -#1995=EDGE_CURVE('',#1199,#1203,#804,.T.); -#1999=EDGE_CURVE('',#1168,#1203,#797,.T.); -#2003=ADVANCED_FACE('',(#2002),#1994,.F.); -#2010=EDGE_CURVE('',#1205,#1203,#811,.T.); -#2012=EDGE_CURVE('',#1201,#1205,#888,.T.); -#2017=ADVANCED_FACE('',(#2016),#2008,.T.); -#2029=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2018,#2019,#2020,#2021,#2022,#2023,#2024, -#2025,#2026,#2027,#2028),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0, -7.796272569154E-3,1.250274280152E-1,2.500575138318E-1,3.750875996483E-1, -5.001176854650E-1,7.501778570981E-1,9.920981806447E-1,1.E0),.UNSPECIFIED.); -#2033=EDGE_CURVE('',#1179,#1203,#828,.T.); -#2036=EDGE_CURVE('',#1205,#1184,#901,.T.); -#2041=ADVANCED_FACE('',(#2040),#2032,.T.); -#2205=ADVANCED_FACE('',(#2204),#2198,.F.); -#2221=EDGE_CURVE('',#1208,#1209,#950,.T.); -#2223=EDGE_CURVE('',#1208,#1211,#915,.T.); -#2225=EDGE_CURVE('',#1211,#1213,#922,.T.); -#2227=EDGE_CURVE('',#1213,#1215,#929,.T.); -#2229=EDGE_CURVE('',#1217,#1215,#1006,.T.); -#2231=EDGE_CURVE('',#1217,#1209,#936,.T.); -#2235=ADVANCED_FACE('',(#2220,#2234),#2210,.F.); -#2241=EDGE_CURVE('',#1220,#1221,#971,.T.); -#2243=EDGE_CURVE('',#1220,#1223,#943,.T.); -#2245=EDGE_CURVE('',#1208,#1223,#1062,.T.); -#2248=EDGE_CURVE('',#1209,#1225,#957,.T.); -#2250=EDGE_CURVE('',#1225,#1221,#964,.T.); -#2254=ADVANCED_FACE('',(#2253),#2240,.T.); -#2260=EDGE_CURVE('',#1220,#1227,#985,.T.); -#2263=EDGE_CURVE('',#1221,#1229,#978,.T.); -#2265=EDGE_CURVE('',#1227,#1229,#1027,.T.); -#2269=ADVANCED_FACE('',(#2268),#2259,.T.); -#2276=EDGE_CURVE('',#1231,#1227,#1020,.T.); -#2278=EDGE_CURVE('',#1231,#1233,#992,.T.); -#2280=EDGE_CURVE('',#1235,#1233,#1055,.T.); -#2282=EDGE_CURVE('',#1235,#1223,#999,.T.); -#2287=ADVANCED_FACE('',(#2286),#2274,.T.); -#2294=EDGE_CURVE('',#1215,#1231,#1013,.T.); -#2298=EDGE_CURVE('',#1229,#1237,#1034,.T.); -#2300=EDGE_CURVE('',#1217,#1237,#1069,.T.); -#2304=ADVANCED_FACE('',(#2303),#2292,.T.); -#2311=EDGE_CURVE('',#1213,#1233,#1041,.T.); -#2317=ADVANCED_FACE('',(#2316),#2309,.T.); -#2324=EDGE_CURVE('',#1211,#1235,#1048,.T.); -#2330=ADVANCED_FACE('',(#2329),#2322,.T.); -#2342=ADVANCED_FACE('',(#2341),#2335,.T.); -#2349=EDGE_CURVE('',#1237,#1225,#1076,.T.); -#2355=EDGE_CURVE('',#1240,#1241,#1090,.T.); -#2357=EDGE_CURVE('',#1243,#1240,#1160,.T.); -#2359=EDGE_CURVE('',#1245,#1243,#1146,.T.); -#2361=EDGE_CURVE('',#1241,#1245,#1132,.T.); -#2365=ADVANCED_FACE('',(#2354,#2364),#2347,.T.); -#2377=ADVANCED_FACE('',(#2376),#2370,.T.); -#2383=EDGE_CURVE('',#1248,#1249,#1097,.T.); -#2385=EDGE_CURVE('',#1248,#1240,#1083,.T.); -#2388=EDGE_CURVE('',#1249,#1241,#1125,.T.); -#2392=ADVANCED_FACE('',(#2391),#2382,.T.); -#2399=EDGE_CURVE('',#1249,#1251,#1104,.T.); -#2401=EDGE_CURVE('',#1251,#1253,#1111,.T.); -#2403=EDGE_CURVE('',#1253,#1248,#1118,.T.); -#2407=ADVANCED_FACE('',(#2406),#2397,.F.); -#2416=EDGE_CURVE('',#1251,#1245,#1139,.T.); -#2420=ADVANCED_FACE('',(#2419),#2412,.T.); -#2429=EDGE_CURVE('',#1253,#1243,#1153,.T.); -#2433=ADVANCED_FACE('',(#2432),#2425,.T.); -#2445=ADVANCED_FACE('',(#2444),#2438,.T.); -#2454=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#2455=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); -#2458=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); -#2460=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( -#2459))GLOBAL_UNIT_ASSIGNED_CONTEXT((#2454,#2457,#2458))REPRESENTATION_CONTEXT( -'ID2','3')); -#2464=AXIS2_PLACEMENT_3D('',#2461,#2462,#2463); -#2465=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2464,#2447),#2460); -#2469=PRODUCT_DEFINITION('part definition','',#2468,#356); -#2470=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR S-WATCH_TOUCH-SCREEN_15_1_1.', -#2469); -#2471=SHAPE_ASPECT('','solid data associated with S-WATCH_TOUCH-SCREEN_15_1_1', -#2470,.F.); -#2472=PROPERTY_DEFINITION('', -'shape for solid data with which properties are associated',#2471); -#2473=SHAPE_REPRESENTATION('',(#2447),#2460); -#2474=SHAPE_DEFINITION_REPRESENTATION(#2472,#2473); -#2475=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#2479=PROPERTY_DEFINITION('geometric validation property', -'area of S-WATCH_TOUCH-SCREEN_15_1_1',#2471); -#2480=REPRESENTATION('surface area',(#2478),#2460); -#2481=PROPERTY_DEFINITION_REPRESENTATION(#2479,#2480); -#2482=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#2486=PROPERTY_DEFINITION('geometric validation property', -'volume of S-WATCH_TOUCH-SCREEN_15_1_1',#2471); -#2487=REPRESENTATION('volume',(#2485),#2460); -#2488=PROPERTY_DEFINITION_REPRESENTATION(#2486,#2487); -#2490=PROPERTY_DEFINITION('geometric validation property', -'centroid of S-WATCH_TOUCH-SCREEN_15_1_1',#2471); -#2491=REPRESENTATION('centroid',(#2489),#2460); -#2492=PROPERTY_DEFINITION_REPRESENTATION(#2490,#2491); -#2493=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#2497=PROPERTY_DEFINITION('geometric validation property', -'area of S-WATCH_TOUCH-SCREEN_15_1_1',#2470); -#2498=REPRESENTATION('surface area',(#2496),#2460); -#2499=PROPERTY_DEFINITION_REPRESENTATION(#2497,#2498); -#2500=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#2504=PROPERTY_DEFINITION('geometric validation property', -'volume of S-WATCH_TOUCH-SCREEN_15_1_1',#2470); -#2505=REPRESENTATION('volume',(#2503),#2460); -#2506=PROPERTY_DEFINITION_REPRESENTATION(#2504,#2505); -#2508=PROPERTY_DEFINITION('geometric validation property', -'centroid of S-WATCH_TOUCH-SCREEN_15_1_1',#2470); -#2509=REPRESENTATION('centroid',(#2507),#2460); -#2510=PROPERTY_DEFINITION_REPRESENTATION(#2508,#2509); -#2511=SHAPE_DEFINITION_REPRESENTATION(#2470,#2465); -#2512=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1','Next assembly relationship', -'S-WATCH_TOUCH-SCREEN',#2544,#2469,$); -#2513=PRODUCT_DEFINITION_SHAPE('Placement #1', -'Placement of S-WATCH_TOUCH-SCREEN_15_1_1 with respect to S-WATCH_20220510_ASM_11_ASM_1_A_ASM',#2512); -#2515=PROPERTY_DEFINITION('geometric validation property', -'centroid of S-WATCH_TOUCH-SCREEN',#2513); -#2516=REPRESENTATION('centroid',(#2514),#2460); -#2517=PROPERTY_DEFINITION_REPRESENTATION(#2515,#2516); -#2526=AXIS2_PLACEMENT_3D('',#2523,#2524,#2525); -#2529=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#2530=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); -#2533=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); -#2535=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( -#2534))GLOBAL_UNIT_ASSIGNED_CONTEXT((#2529,#2532,#2533))REPRESENTATION_CONTEXT( -'ID3','3')); -#2539=AXIS2_PLACEMENT_3D('',#2536,#2537,#2538); -#2544=PRODUCT_DEFINITION('part definition','',#2543,#2540); -#2545=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#2549=PROPERTY_DEFINITION('geometric validation property', -'area of S-WATCH_20220510_ASM_11_ASM_1_A_ASM',#404); -#2550=REPRESENTATION('surface area',(#2548),#2535); -#2551=PROPERTY_DEFINITION_REPRESENTATION(#2549,#2550); -#2552=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#2556=PROPERTY_DEFINITION('geometric validation property', -'volume of S-WATCH_20220510_ASM_11_ASM_1_A_ASM',#404); -#2557=REPRESENTATION('volume',(#2555),#2535); -#2558=PROPERTY_DEFINITION_REPRESENTATION(#2556,#2557); -#2560=PROPERTY_DEFINITION('geometric validation property', -'centroid of S-WATCH_20220510_ASM_11_ASM_1_A_ASM',#404); -#2561=REPRESENTATION('centroid',(#2559),#2535); -#2562=PROPERTY_DEFINITION_REPRESENTATION(#2560,#2561); -#2563=SHAPE_DEFINITION_REPRESENTATION(#2564,#2565); -#2564=PRODUCT_DEFINITION_SHAPE('', -'SHAPE FOR S-WATCH_20220510_ASM_ASM_1_ASM__ASM.',#2594); -#2565=SHAPE_REPRESENTATION('',(#2575,#2589),#2585); -#2566=NEXT_ASSEMBLY_USAGE_OCCURRENCE('2','Next assembly relationship', -'S-WATCH_20220510_ASM_11',#2594,#2544,$); -#2567=PRODUCT_DEFINITION_SHAPE('Placement #2', -'Placement of S-WATCH_20220510_ASM_11_ASM_1_A_ASM with respect to S-WATCH_20220510_ASM_ASM_1_ASM__ASM',#2566); -#2569=PROPERTY_DEFINITION('geometric validation property', -'centroid of S-WATCH_20220510_ASM_11',#2567); -#2570=REPRESENTATION('centroid',(#2568),#2535); -#2571=PROPERTY_DEFINITION_REPRESENTATION(#2569,#2570); -#2579=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#2580=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); -#2583=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); -#2585=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( -#2584))GLOBAL_UNIT_ASSIGNED_CONTEXT((#2579,#2582,#2583))REPRESENTATION_CONTEXT( -'ID4','3')); -#2589=AXIS2_PLACEMENT_3D('',#2586,#2587,#2588); -#2594=PRODUCT_DEFINITION('part definition','',#2593,#2540); -#2595=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#2599=PROPERTY_DEFINITION('geometric validation property', -'area of S-WATCH_20220510_ASM_ASM_1_ASM__ASM',#2564); -#2600=REPRESENTATION('surface area',(#2598),#2585); -#2601=PROPERTY_DEFINITION_REPRESENTATION(#2599,#2600); -#2602=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#2606=PROPERTY_DEFINITION('geometric validation property', -'volume of S-WATCH_20220510_ASM_ASM_1_ASM__ASM',#2564); -#2607=REPRESENTATION('volume',(#2605),#2585); -#2608=PROPERTY_DEFINITION_REPRESENTATION(#2606,#2607); -#2610=PROPERTY_DEFINITION('geometric validation property', -'centroid of S-WATCH_20220510_ASM_ASM_1_ASM__ASM',#2564); -#2611=REPRESENTATION('centroid',(#2609),#2585); -#2612=PROPERTY_DEFINITION_REPRESENTATION(#2610,#2611); -ENDSEC; -END-ISO-10303-21; diff --git a/3D_file/LILYGO T-Display-S3 H569 Not Soldered.step b/3D_file/LILYGO T-Display-S3 H569 Not Soldered.step deleted file mode 100644 index b5230a7..0000000 --- a/3D_file/LILYGO T-Display-S3 H569 Not Soldered.step +++ /dev/null @@ -1,41187 +0,0 @@ -ISO-10303-21; -HEADER; -/* Generated by software containing ST-Developer - * from STEP Tools, Inc. (www.steptools.com) - */ - -FILE_DESCRIPTION( -/* description */ (''), -/* implementation_level */ '2;1'); - -FILE_NAME( -/* name */ 'LILYGO T-Display-S3 H587 Not Soldered.step', -/* time_stamp */ '2023-06-19T20:21:02-04:00', -/* author */ (''), -/* organization */ (''), -/* preprocessor_version */ 'ST-DEVELOPER v19.2', -/* originating_system */ 'Autodesk Translation Framework v12.6.0.85', -/* authorisation */ ''); - -FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); -ENDSEC; - -DATA; -#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#47,#48, -#49,#50,#51,#52,#53,#54,#55,#56,#57,#58,#59,#60,#61,#62,#63,#64,#65,#66, -#67,#68,#69,#70,#71,#72,#73,#74,#75,#76,#77),#40597); -#11=ITEM_DEFINED_TRANSFORMATION($,$,#25092,#26790); -#12=ITEM_DEFINED_TRANSFORMATION($,$,#25891,#26791); -#13=( -REPRESENTATION_RELATIONSHIP($,$,#40611,#40610) -REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#11) -SHAPE_REPRESENTATION_RELATIONSHIP() -); -#14=( -REPRESENTATION_RELATIONSHIP($,$,#40612,#40610) -REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#12) -SHAPE_REPRESENTATION_RELATIONSHIP() -); -#15=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#13,#40608); -#16=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#14,#40609); -#17=NEXT_ASSEMBLY_USAGE_OCCURRENCE( -'USB Connector Type C female 6pin v1:1', -'USB Connector Type C female 6pin v1:1', -'USB Connector Type C female 6pin v1:1',#40614,#40615, -'USB Connector Type C female 6pin v1:1'); -#18=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LCD:1','LCD:1','LCD:1',#40614,#40616, -'LCD:1'); -#19=TOROIDAL_SURFACE('',#26081,0.75,0.3); -#20=TOROIDAL_SURFACE('',#26093,0.75,0.3); -#21=TOROIDAL_SURFACE('',#26098,0.75,0.3); -#22=TOROIDAL_SURFACE('',#26109,0.75,0.3); -#23=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#40611,#26); -#24=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#40612,#27); -#25=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#40610,#28); -#26=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#78,#79,#80,#81,#82,#83,#84, -#85,#86,#87,#88,#89,#90,#91,#92,#93),#40595); -#27=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#94,#95),#40596); -#28=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#96),#40594); -#29=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(2,1,((#36030,#36031),(#36032,#36033),(#36034,#36035)), - .UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((3,3),(2,2),(0.,1.),(0.,0.0924), - .UNSPECIFIED.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,1.),(0.819152044288992,0.819152044288992), -(1.,1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#30=( -BOUNDED_SURFACE() -B_SPLINE_SURFACE(2,1,((#36036,#36037),(#36038,#36039),(#36040,#36041)), - .UNSPECIFIED.,.F.,.F.,.F.) -B_SPLINE_SURFACE_WITH_KNOTS((3,3),(2,2),(0.,1.),(0.,0.0924), - .UNSPECIFIED.) -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_SURFACE(((1.,1.),(0.819152044288992,0.819152044288992), -(1.,1.))) -REPRESENTATION_ITEM('') -SURFACE() -); -#31=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#35577,#35578,#35579,#35580,#35581, -#35582),(#35583,#35584,#35585,#35586,#35587,#35588)),.UNSPECIFIED.,.F., - .F.,.F.,(2,2),(4,2,4),(0.,1.),(0.399109713235897,0.426655118973266,0.429409659547003), - .UNSPECIFIED.); -#32=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#35608,#35609,#35610,#35611,#35612, -#35613,#35614,#35615,#35616,#35617,#35618,#35619,#35620,#35621,#35622,#35623, -#35624,#35625,#35626,#35627,#35628,#35629,#35630,#35631,#35632,#35633,#35634, -#35635,#35636,#35637,#35638,#35639,#35640),(#35641,#35642,#35643,#35644, -#35645,#35646,#35647,#35648,#35649,#35650,#35651,#35652,#35653,#35654,#35655, -#35656,#35657,#35658,#35659,#35660,#35661,#35662,#35663,#35664,#35665,#35666, -#35667,#35668,#35669,#35670,#35671,#35672,#35673)),.UNSPECIFIED.,.F.,.T., - .F.,(2,2),(4,3,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-1.20475625960315E-7,1.), -(-0.0275454057373691,0.,0.0151946030353536,0.0303892060707072,0.0607784121414144, -0.121556824282829,0.243113648565658,0.364670472848487,0.486227297131316, -0.607784121414144,0.729340945696973,0.850897769979802,0.911676182121216, -0.942065388191924,0.957259991227277,0.972454594262631),.UNSPECIFIED.); -#33=( -BOUNDED_CURVE() -B_SPLINE_CURVE(2,(#35543,#35544,#35545,#35546,#35547),.UNSPECIFIED.,.F., - .F.) -B_SPLINE_CURVE_WITH_KNOTS((3,1,1,3),(0.0219379648996757,0.0375509021569976, -0.108853034359778,0.1802775637732),.UNSPECIFIED.) -CURVE() -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_CURVE((3.85714285714286,4.80796832735893,9.57915975358218, -13.4999999999998,13.4999999999997)) -REPRESENTATION_ITEM('') -); -#34=( -BOUNDED_CURVE() -B_SPLINE_CURVE(2,(#35551,#35552,#35553,#35554,#35555),.UNSPECIFIED.,.F., - .F.) -B_SPLINE_CURVE_WITH_KNOTS((3,1,1,3),(0.180277563768149,0.23023456360887, -0.311339458449889,0.338617162646722),.UNSPECIFIED.) -CURVE() -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_CURVE((13.4999999999997,13.5000000000968,10.9817465189129, -5.51835083434189,3.85714285714304)) -REPRESENTATION_ITEM('') -); -#35=CONICAL_SURFACE('',#25736,0.299999999999999,0.785398163397448); -#36=CONICAL_SURFACE('',#25738,0.299999999999999,0.785398163397448); -#37=B_SPLINE_CURVE_WITH_KNOTS('',3,(#35470,#35471,#35472,#35473,#35474, -#35475,#35476,#35477,#35478,#35479),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.051717164537645, --0.0453190485314214,-0.0378102052932038,-0.0213937146806803,-7.58903951723351E-5), - .UNSPECIFIED.); -#38=B_SPLINE_CURVE_WITH_KNOTS('',3,(#35482,#35483,#35484,#35485,#35486, -#35487,#35488,#35489,#35490,#35491),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.0301958627086757, --0.0177094826502868,-0.00812265492458269,-0.00373737821107852,0.), - .UNSPECIFIED.); -#39=B_SPLINE_CURVE_WITH_KNOTS('',3,(#35497,#35498,#35499,#35500,#35501, -#35502,#35503,#35504,#35505,#35506),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.0301958627086879, --0.0177094826502888,-0.00812265492458249,-0.00373737821107855,0.), - .UNSPECIFIED.); -#40=B_SPLINE_CURVE_WITH_KNOTS('',3,(#35509,#35510,#35511,#35512,#35513, -#35514,#35515,#35516,#35517,#35518),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.0517171645376418, --0.045319048531418,-0.037810205293198,-0.0213937146806752,-7.58903951724746E-5), - .UNSPECIFIED.); -#41=B_SPLINE_CURVE_WITH_KNOTS('',3,(#35590,#35591,#35592,#35593,#35594, -#35595),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.5,1.),.UNSPECIFIED.); -#42=B_SPLINE_CURVE_WITH_KNOTS('',3,(#35596,#35597,#35598,#35599,#35600, -#35601,#35602,#35603,#35604,#35605,#35606,#35607),.UNSPECIFIED.,.F.,.F., -(4,2,2,2,2,4),(0.,0.0177134330776646,0.0255730664790433,0.0298535554372935, -0.0357147338441283,0.0378850248333819),.UNSPECIFIED.); -#43=B_SPLINE_CURVE_WITH_KNOTS('',3,(#35675,#35676,#35677,#35678,#35679, -#35680),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.5,1.),.UNSPECIFIED.); -#44=B_SPLINE_CURVE_WITH_KNOTS('',3,(#35681,#35682,#35683,#35684,#35685, -#35686,#35687,#35688,#35689,#35690,#35691,#35692,#35693,#35694,#35695,#35696, -#35697,#35698),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(1.00102775235525E-6, -2.10354201726234E-5,0.000201674484817841,0.000471426305312594,0.00115693141062131, -0.0012460053352503,0.00479743373230688,0.00942505752007311,0.0137214416599579), - .UNSPECIFIED.); -#45=B_SPLINE_CURVE_WITH_KNOTS('',3,(#36000,#36001,#36002,#36003), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); -#46=B_SPLINE_CURVE_WITH_KNOTS('',3,(#36005,#36006,#36007,#36008), - .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); -#47=STYLED_ITEM('',(#40632),#78); -#48=STYLED_ITEM('',(#40633),#79); -#49=STYLED_ITEM('',(#40633),#80); -#50=STYLED_ITEM('',(#40633),#81); -#51=STYLED_ITEM('',(#40633),#82); -#52=STYLED_ITEM('',(#40633),#83); -#53=STYLED_ITEM('',(#40633),#84); -#54=STYLED_ITEM('',(#40633),#85); -#55=STYLED_ITEM('',(#40633),#86); -#56=STYLED_ITEM('',(#40634),#87); -#57=STYLED_ITEM('',(#40633),#88); -#58=STYLED_ITEM('',(#40633),#89); -#59=STYLED_ITEM('',(#40633),#90); -#60=STYLED_ITEM('',(#40633),#91); -#61=STYLED_ITEM('',(#40633),#92); -#62=STYLED_ITEM('',(#40633),#93); -#63=STYLED_ITEM('',(#40629),#94); -#64=STYLED_ITEM('',(#40629),#95); -#65=STYLED_ITEM('',(#40630),#24302); -#66=STYLED_ITEM('',(#40630),#24305); -#67=STYLED_ITEM('',(#40630),#24306); -#68=STYLED_ITEM('',(#40630),#24355); -#69=STYLED_ITEM('',(#40630),#24356); -#70=STYLED_ITEM('',(#40630),#24357); -#71=STYLED_ITEM('',(#40630),#24358); -#72=STYLED_ITEM('',(#40630),#24359); -#73=STYLED_ITEM('',(#40630),#24360); -#74=STYLED_ITEM('',(#40630),#24361); -#75=STYLED_ITEM('',(#40631),#24606); -#76=STYLED_ITEM('',(#40631),#24607); -#77=STYLED_ITEM('',(#40629),#96); -#78=MANIFOLD_SOLID_BREP('Body1',#25049); -#79=MANIFOLD_SOLID_BREP('Body2',#25050); -#80=MANIFOLD_SOLID_BREP('Body3',#25051); -#81=MANIFOLD_SOLID_BREP('Body4',#25052); -#82=MANIFOLD_SOLID_BREP('Body5',#25053); -#83=MANIFOLD_SOLID_BREP('Body6',#25054); -#84=MANIFOLD_SOLID_BREP('Body7',#25055); -#85=MANIFOLD_SOLID_BREP('Body8',#25056); -#86=MANIFOLD_SOLID_BREP('Body9',#25057); -#87=MANIFOLD_SOLID_BREP('Body10',#25058); -#88=MANIFOLD_SOLID_BREP('Body11',#25059); -#89=MANIFOLD_SOLID_BREP('Body12',#25060); -#90=MANIFOLD_SOLID_BREP('Body13',#25061); -#91=MANIFOLD_SOLID_BREP('Body14',#25062); -#92=MANIFOLD_SOLID_BREP('Body15',#25063); -#93=MANIFOLD_SOLID_BREP('Body16',#25064); -#94=MANIFOLD_SOLID_BREP('Body1',#25065); -#95=MANIFOLD_SOLID_BREP('Body2',#25066); -#96=MANIFOLD_SOLID_BREP('Body1',#25067); -#97=FACE_BOUND('',#2675,.T.); -#98=FACE_BOUND('',#2676,.T.); -#99=FACE_BOUND('',#2677,.T.); -#100=FACE_BOUND('',#2678,.T.); -#101=FACE_BOUND('',#2683,.T.); -#102=FACE_BOUND('',#2685,.T.); -#103=FACE_BOUND('',#2688,.T.); -#104=FACE_BOUND('',#2697,.T.); -#105=FACE_BOUND('',#2714,.T.); -#106=FACE_BOUND('',#2715,.T.); -#107=FACE_BOUND('',#2716,.T.); -#108=FACE_BOUND('',#2717,.T.); -#109=FACE_BOUND('',#3059,.T.); -#110=FACE_BOUND('',#3205,.T.); -#111=FACE_BOUND('',#3237,.T.); -#112=FACE_BOUND('',#3238,.T.); -#113=FACE_BOUND('',#3243,.T.); -#114=FACE_BOUND('',#3244,.T.); -#115=FACE_BOUND('',#3245,.T.); -#116=FACE_BOUND('',#3246,.T.); -#117=FACE_BOUND('',#3247,.T.); -#118=FACE_BOUND('',#3248,.T.); -#119=FACE_BOUND('',#3249,.T.); -#120=FACE_BOUND('',#3250,.T.); -#121=FACE_BOUND('',#3251,.T.); -#122=FACE_BOUND('',#3252,.T.); -#123=FACE_BOUND('',#3253,.T.); -#124=FACE_BOUND('',#3254,.T.); -#125=FACE_BOUND('',#3255,.T.); -#126=FACE_BOUND('',#3256,.T.); -#127=FACE_BOUND('',#3257,.T.); -#128=FACE_BOUND('',#3258,.T.); -#129=FACE_BOUND('',#3259,.T.); -#130=FACE_BOUND('',#3260,.T.); -#131=FACE_BOUND('',#3261,.T.); -#132=FACE_BOUND('',#3262,.T.); -#133=FACE_BOUND('',#3263,.T.); -#134=FACE_BOUND('',#3264,.T.); -#135=FACE_BOUND('',#3265,.T.); -#136=FACE_BOUND('',#3266,.T.); -#137=FACE_BOUND('',#3267,.T.); -#138=FACE_BOUND('',#3268,.T.); -#139=FACE_BOUND('',#3269,.T.); -#140=FACE_BOUND('',#3270,.T.); -#141=FACE_BOUND('',#3271,.T.); -#142=FACE_BOUND('',#3275,.T.); -#143=FACE_BOUND('',#3276,.T.); -#144=FACE_BOUND('',#3277,.T.); -#145=FACE_BOUND('',#3278,.T.); -#146=FACE_BOUND('',#3279,.T.); -#147=FACE_BOUND('',#3280,.T.); -#148=FACE_BOUND('',#3281,.T.); -#149=FACE_BOUND('',#3282,.T.); -#150=FACE_BOUND('',#3283,.T.); -#151=FACE_BOUND('',#3284,.T.); -#152=FACE_BOUND('',#3285,.T.); -#153=FACE_BOUND('',#3286,.T.); -#154=FACE_BOUND('',#3287,.T.); -#155=FACE_BOUND('',#3288,.T.); -#156=FACE_BOUND('',#3289,.T.); -#157=FACE_BOUND('',#3290,.T.); -#158=FACE_BOUND('',#3291,.T.); -#159=FACE_BOUND('',#3292,.T.); -#160=FACE_BOUND('',#3293,.T.); -#161=FACE_BOUND('',#3294,.T.); -#162=FACE_BOUND('',#3295,.T.); -#163=FACE_BOUND('',#3296,.T.); -#164=FACE_BOUND('',#3297,.T.); -#165=FACE_BOUND('',#3298,.T.); -#166=FACE_BOUND('',#3299,.T.); -#167=FACE_BOUND('',#3300,.T.); -#168=FACE_BOUND('',#3301,.T.); -#169=FACE_BOUND('',#3302,.T.); -#170=FACE_BOUND('',#3303,.T.); -#171=FACE_BOUND('',#3304,.T.); -#172=FACE_BOUND('',#3305,.T.); -#173=FACE_BOUND('',#3306,.T.); -#174=FACE_BOUND('',#3307,.T.); -#175=FACE_BOUND('',#3308,.T.); -#176=FACE_BOUND('',#3309,.T.); -#177=FACE_BOUND('',#3310,.T.); -#178=FACE_BOUND('',#3311,.T.); -#179=FACE_BOUND('',#3312,.T.); -#180=FACE_BOUND('',#3313,.T.); -#181=FACE_BOUND('',#3314,.T.); -#182=FACE_BOUND('',#3315,.T.); -#183=FACE_BOUND('',#3316,.T.); -#184=FACE_BOUND('',#3317,.T.); -#185=FACE_BOUND('',#3318,.T.); -#186=FACE_BOUND('',#3319,.T.); -#187=FACE_BOUND('',#3320,.T.); -#188=FACE_BOUND('',#3321,.T.); -#189=FACE_BOUND('',#3322,.T.); -#190=FACE_BOUND('',#3323,.T.); -#191=FACE_BOUND('',#3324,.T.); -#192=FACE_BOUND('',#3325,.T.); -#193=FACE_BOUND('',#3326,.T.); -#194=FACE_BOUND('',#3327,.T.); -#195=FACE_BOUND('',#3328,.T.); -#196=FACE_BOUND('',#3329,.T.); -#197=FACE_BOUND('',#3330,.T.); -#198=FACE_BOUND('',#3331,.T.); -#199=FACE_BOUND('',#3332,.T.); -#200=FACE_BOUND('',#3333,.T.); -#201=FACE_BOUND('',#3334,.T.); -#202=FACE_BOUND('',#3335,.T.); -#203=FACE_BOUND('',#3336,.T.); -#204=FACE_BOUND('',#3337,.T.); -#205=FACE_BOUND('',#3338,.T.); -#206=FACE_BOUND('',#3339,.T.); -#207=FACE_BOUND('',#3340,.T.); -#208=FACE_BOUND('',#3341,.T.); -#209=FACE_BOUND('',#3342,.T.); -#210=FACE_BOUND('',#3343,.T.); -#211=FACE_BOUND('',#3344,.T.); -#212=FACE_BOUND('',#3345,.T.); -#213=FACE_BOUND('',#3346,.T.); -#214=FACE_BOUND('',#3347,.T.); -#215=FACE_BOUND('',#3348,.T.); -#216=FACE_BOUND('',#3349,.T.); -#217=FACE_BOUND('',#3350,.T.); -#218=FACE_BOUND('',#3351,.T.); -#219=FACE_BOUND('',#3352,.T.); -#220=FACE_BOUND('',#3353,.T.); -#221=FACE_BOUND('',#3354,.T.); -#222=FACE_BOUND('',#3355,.T.); -#223=FACE_BOUND('',#3356,.T.); -#224=FACE_BOUND('',#3357,.T.); -#225=FACE_BOUND('',#3358,.T.); -#226=FACE_BOUND('',#3359,.T.); -#227=FACE_BOUND('',#3360,.T.); -#228=FACE_BOUND('',#3361,.T.); -#229=FACE_BOUND('',#3362,.T.); -#230=FACE_BOUND('',#3363,.T.); -#231=FACE_BOUND('',#3364,.T.); -#232=FACE_BOUND('',#3365,.T.); -#233=FACE_BOUND('',#3366,.T.); -#234=FACE_BOUND('',#3367,.T.); -#235=FACE_BOUND('',#3368,.T.); -#236=FACE_BOUND('',#3369,.T.); -#237=FACE_BOUND('',#3370,.T.); -#238=FACE_BOUND('',#3371,.T.); -#239=FACE_BOUND('',#3372,.T.); -#240=FACE_BOUND('',#3373,.T.); -#241=FACE_BOUND('',#3374,.T.); -#242=FACE_BOUND('',#3375,.T.); -#243=FACE_BOUND('',#3376,.T.); -#244=FACE_BOUND('',#3377,.T.); -#245=FACE_BOUND('',#3378,.T.); -#246=FACE_BOUND('',#3379,.T.); -#247=FACE_BOUND('',#3380,.T.); -#248=FACE_BOUND('',#3381,.T.); -#249=FACE_BOUND('',#3382,.T.); -#250=FACE_BOUND('',#3383,.T.); -#251=FACE_BOUND('',#3442,.T.); -#252=FACE_BOUND('',#3444,.T.); -#253=FACE_BOUND('',#3489,.T.); -#254=FACE_BOUND('',#3536,.T.); -#255=FACE_BOUND('',#3555,.T.); -#256=FACE_BOUND('',#3556,.T.); -#257=FACE_BOUND('',#3557,.T.); -#258=FACE_BOUND('',#3558,.T.); -#259=FACE_BOUND('',#3561,.T.); -#260=FACE_BOUND('',#3562,.T.); -#261=FACE_BOUND('',#3563,.T.); -#262=FACE_BOUND('',#3564,.T.); -#263=FACE_BOUND('',#3688,.T.); -#264=FACE_BOUND('',#3692,.T.); -#265=PLANE('',#25108); -#266=PLANE('',#25109); -#267=PLANE('',#25128); -#268=PLANE('',#25129); -#269=PLANE('',#25134); -#270=PLANE('',#25137); -#271=PLANE('',#25148); -#272=PLANE('',#25159); -#273=PLANE('',#25160); -#274=PLANE('',#25177); -#275=PLANE('',#25180); -#276=PLANE('',#25184); -#277=PLANE('',#25186); -#278=PLANE('',#25193); -#279=PLANE('',#25196); -#280=PLANE('',#25213); -#281=PLANE('',#25216); -#282=PLANE('',#25220); -#283=PLANE('',#25222); -#284=PLANE('',#25229); -#285=PLANE('',#25232); -#286=PLANE('',#25233); -#287=PLANE('',#25237); -#288=PLANE('',#25240); -#289=PLANE('',#25241); -#290=PLANE('',#25247); -#291=PLANE('',#25268); -#292=PLANE('',#25276); -#293=PLANE('',#25282); -#294=PLANE('',#25289); -#295=PLANE('',#25290); -#296=PLANE('',#25291); -#297=PLANE('',#25292); -#298=PLANE('',#25293); -#299=PLANE('',#25294); -#300=PLANE('',#25296); -#301=PLANE('',#25298); -#302=PLANE('',#25300); -#303=PLANE('',#25302); -#304=PLANE('',#25304); -#305=PLANE('',#25305); -#306=PLANE('',#25307); -#307=PLANE('',#25308); -#308=PLANE('',#25309); -#309=PLANE('',#25310); -#310=PLANE('',#25313); -#311=PLANE('',#25314); -#312=PLANE('',#25315); -#313=PLANE('',#25318); -#314=PLANE('',#25320); -#315=PLANE('',#25322); -#316=PLANE('',#25324); -#317=PLANE('',#25325); -#318=PLANE('',#25327); -#319=PLANE('',#25329); -#320=PLANE('',#25331); -#321=PLANE('',#25333); -#322=PLANE('',#25335); -#323=PLANE('',#25337); -#324=PLANE('',#25338); -#325=PLANE('',#25340); -#326=PLANE('',#25342); -#327=PLANE('',#25344); -#328=PLANE('',#25346); -#329=PLANE('',#25348); -#330=PLANE('',#25350); -#331=PLANE('',#25352); -#332=PLANE('',#25354); -#333=PLANE('',#25355); -#334=PLANE('',#25357); -#335=PLANE('',#25359); -#336=PLANE('',#25361); -#337=PLANE('',#25363); -#338=PLANE('',#25365); -#339=PLANE('',#25367); -#340=PLANE('',#25369); -#341=PLANE('',#25371); -#342=PLANE('',#25372); -#343=PLANE('',#25379); -#344=PLANE('',#25380); -#345=PLANE('',#25381); -#346=PLANE('',#25382); -#347=PLANE('',#25389); -#348=PLANE('',#25390); -#349=PLANE('',#25391); -#350=PLANE('',#25392); -#351=PLANE('',#25393); -#352=PLANE('',#25400); -#353=PLANE('',#25407); -#354=PLANE('',#25408); -#355=PLANE('',#25409); -#356=PLANE('',#25410); -#357=PLANE('',#25411); -#358=PLANE('',#25412); -#359=PLANE('',#25413); -#360=PLANE('',#25420); -#361=PLANE('',#25421); -#362=PLANE('',#25422); -#363=PLANE('',#25423); -#364=PLANE('',#25430); -#365=PLANE('',#25431); -#366=PLANE('',#25432); -#367=PLANE('',#25433); -#368=PLANE('',#25434); -#369=PLANE('',#25441); -#370=PLANE('',#25448); -#371=PLANE('',#25449); -#372=PLANE('',#25450); -#373=PLANE('',#25451); -#374=PLANE('',#25452); -#375=PLANE('',#25453); -#376=PLANE('',#25455); -#377=PLANE('',#25457); -#378=PLANE('',#25459); -#379=PLANE('',#25463); -#380=PLANE('',#25465); -#381=PLANE('',#25467); -#382=PLANE('',#25470); -#383=PLANE('',#25474); -#384=PLANE('',#25476); -#385=PLANE('',#25478); -#386=PLANE('',#25479); -#387=PLANE('',#25483); -#388=PLANE('',#25485); -#389=PLANE('',#25487); -#390=PLANE('',#25489); -#391=PLANE('',#25491); -#392=PLANE('',#25493); -#393=PLANE('',#25495); -#394=PLANE('',#25498); -#395=PLANE('',#25502); -#396=PLANE('',#25504); -#397=PLANE('',#25505); -#398=PLANE('',#25506); -#399=PLANE('',#25511); -#400=PLANE('',#25516); -#401=PLANE('',#25519); -#402=PLANE('',#25520); -#403=PLANE('',#25523); -#404=PLANE('',#25528); -#405=PLANE('',#25531); -#406=PLANE('',#25532); -#407=PLANE('',#25535); -#408=PLANE('',#25536); -#409=PLANE('',#25541); -#410=PLANE('',#25542); -#411=PLANE('',#25543); -#412=PLANE('',#25544); -#413=PLANE('',#25556); -#414=PLANE('',#25558); -#415=PLANE('',#25560); -#416=PLANE('',#25562); -#417=PLANE('',#25565); -#418=PLANE('',#25567); -#419=PLANE('',#25570); -#420=PLANE('',#25572); -#421=PLANE('',#25575); -#422=PLANE('',#25577); -#423=PLANE('',#25579); -#424=PLANE('',#25581); -#425=PLANE('',#25584); -#426=PLANE('',#25586); -#427=PLANE('',#25589); -#428=PLANE('',#25591); -#429=PLANE('',#25593); -#430=PLANE('',#25594); -#431=PLANE('',#25598); -#432=PLANE('',#25599); -#433=PLANE('',#25600); -#434=PLANE('',#25601); -#435=PLANE('',#25602); -#436=PLANE('',#25603); -#437=PLANE('',#25604); -#438=PLANE('',#25605); -#439=PLANE('',#25609); -#440=PLANE('',#25610); -#441=PLANE('',#25611); -#442=PLANE('',#25612); -#443=PLANE('',#25613); -#444=PLANE('',#25614); -#445=PLANE('',#25615); -#446=PLANE('',#25616); -#447=PLANE('',#25620); -#448=PLANE('',#25621); -#449=PLANE('',#25622); -#450=PLANE('',#25623); -#451=PLANE('',#25624); -#452=PLANE('',#25625); -#453=PLANE('',#25626); -#454=PLANE('',#25627); -#455=PLANE('',#25631); -#456=PLANE('',#25632); -#457=PLANE('',#25633); -#458=PLANE('',#25634); -#459=PLANE('',#25635); -#460=PLANE('',#25636); -#461=PLANE('',#25637); -#462=PLANE('',#25638); -#463=PLANE('',#25642); -#464=PLANE('',#25643); -#465=PLANE('',#25644); -#466=PLANE('',#25645); -#467=PLANE('',#25646); -#468=PLANE('',#25647); -#469=PLANE('',#25648); -#470=PLANE('',#25649); -#471=PLANE('',#25653); -#472=PLANE('',#25654); -#473=PLANE('',#25655); -#474=PLANE('',#25656); -#475=PLANE('',#25657); -#476=PLANE('',#25658); -#477=PLANE('',#25662); -#478=PLANE('',#25669); -#479=PLANE('',#25673); -#480=PLANE('',#25677); -#481=PLANE('',#25678); -#482=PLANE('',#25679); -#483=PLANE('',#25680); -#484=PLANE('',#25681); -#485=PLANE('',#25682); -#486=PLANE('',#25683); -#487=PLANE('',#25687); -#488=PLANE('',#25691); -#489=PLANE('',#25698); -#490=PLANE('',#25702); -#491=PLANE('',#25703); -#492=PLANE('',#25704); -#493=PLANE('',#25705); -#494=PLANE('',#25706); -#495=PLANE('',#25707); -#496=PLANE('',#25708); -#497=PLANE('',#25709); -#498=PLANE('',#25710); -#499=PLANE('',#25714); -#500=PLANE('',#25715); -#501=PLANE('',#25725); -#502=PLANE('',#25726); -#503=PLANE('',#25733); -#504=PLANE('',#25734); -#505=PLANE('',#25735); -#506=PLANE('',#25740); -#507=PLANE('',#25741); -#508=PLANE('',#25748); -#509=PLANE('',#25752); -#510=PLANE('',#25754); -#511=PLANE('',#25755); -#512=PLANE('',#25756); -#513=PLANE('',#25757); -#514=PLANE('',#25758); -#515=PLANE('',#25759); -#516=PLANE('',#25760); -#517=PLANE('',#25761); -#518=PLANE('',#25762); -#519=PLANE('',#25763); -#520=PLANE('',#25764); -#521=PLANE('',#25765); -#522=PLANE('',#25766); -#523=PLANE('',#25767); -#524=PLANE('',#25768); -#525=PLANE('',#25769); -#526=PLANE('',#25770); -#527=PLANE('',#25771); -#528=PLANE('',#25772); -#529=PLANE('',#25773); -#530=PLANE('',#25774); -#531=PLANE('',#25775); -#532=PLANE('',#25776); -#533=PLANE('',#25777); -#534=PLANE('',#25778); -#535=PLANE('',#25779); -#536=PLANE('',#25780); -#537=PLANE('',#25781); -#538=PLANE('',#25782); -#539=PLANE('',#25783); -#540=PLANE('',#25784); -#541=PLANE('',#25786); -#542=PLANE('',#25788); -#543=PLANE('',#25790); -#544=PLANE('',#25792); -#545=PLANE('',#25793); -#546=PLANE('',#25794); -#547=PLANE('',#25799); -#548=PLANE('',#25800); -#549=PLANE('',#25801); -#550=PLANE('',#25804); -#551=PLANE('',#25811); -#552=PLANE('',#25812); -#553=PLANE('',#25813); -#554=PLANE('',#25814); -#555=PLANE('',#25815); -#556=PLANE('',#25816); -#557=PLANE('',#25817); -#558=PLANE('',#25818); -#559=PLANE('',#25819); -#560=PLANE('',#25820); -#561=PLANE('',#25821); -#562=PLANE('',#25822); -#563=PLANE('',#25823); -#564=PLANE('',#25824); -#565=PLANE('',#25825); -#566=PLANE('',#25826); -#567=PLANE('',#25827); -#568=PLANE('',#25828); -#569=PLANE('',#25829); -#570=PLANE('',#25830); -#571=PLANE('',#25831); -#572=PLANE('',#25832); -#573=PLANE('',#25833); -#574=PLANE('',#25834); -#575=PLANE('',#25835); -#576=PLANE('',#25836); -#577=PLANE('',#25837); -#578=PLANE('',#25838); -#579=PLANE('',#25839); -#580=PLANE('',#25840); -#581=PLANE('',#25841); -#582=PLANE('',#25842); -#583=PLANE('',#25843); -#584=PLANE('',#25844); -#585=PLANE('',#25845); -#586=PLANE('',#25846); -#587=PLANE('',#25847); -#588=PLANE('',#25848); -#589=PLANE('',#25849); -#590=PLANE('',#25850); -#591=PLANE('',#25851); -#592=PLANE('',#25852); -#593=PLANE('',#25853); -#594=PLANE('',#25854); -#595=PLANE('',#25855); -#596=PLANE('',#25856); -#597=PLANE('',#25857); -#598=PLANE('',#25858); -#599=PLANE('',#25859); -#600=PLANE('',#25860); -#601=PLANE('',#25861); -#602=PLANE('',#25862); -#603=PLANE('',#25863); -#604=PLANE('',#25864); -#605=PLANE('',#25865); -#606=PLANE('',#25866); -#607=PLANE('',#25867); -#608=PLANE('',#25868); -#609=PLANE('',#25869); -#610=PLANE('',#25870); -#611=PLANE('',#25871); -#612=PLANE('',#25872); -#613=PLANE('',#25873); -#614=PLANE('',#25874); -#615=PLANE('',#25875); -#616=PLANE('',#25876); -#617=PLANE('',#25877); -#618=PLANE('',#25878); -#619=PLANE('',#25879); -#620=PLANE('',#25880); -#621=PLANE('',#25881); -#622=PLANE('',#25882); -#623=PLANE('',#25883); -#624=PLANE('',#25884); -#625=PLANE('',#25885); -#626=PLANE('',#25886); -#627=PLANE('',#25887); -#628=PLANE('',#25888); -#629=PLANE('',#25889); -#630=PLANE('',#25890); -#631=PLANE('',#25892); -#632=PLANE('',#25893); -#633=PLANE('',#25894); -#634=PLANE('',#25895); -#635=PLANE('',#25896); -#636=PLANE('',#25897); -#637=PLANE('',#25898); -#638=PLANE('',#25899); -#639=PLANE('',#25900); -#640=PLANE('',#25901); -#641=PLANE('',#25902); -#642=PLANE('',#25903); -#643=PLANE('',#25905); -#644=PLANE('',#25907); -#645=PLANE('',#25908); -#646=PLANE('',#25909); -#647=PLANE('',#25910); -#648=PLANE('',#25911); -#649=PLANE('',#25912); -#650=PLANE('',#25913); -#651=PLANE('',#25914); -#652=PLANE('',#25915); -#653=PLANE('',#25916); -#654=PLANE('',#25917); -#655=PLANE('',#25918); -#656=PLANE('',#25919); -#657=PLANE('',#25920); -#658=PLANE('',#25924); -#659=PLANE('',#25928); -#660=PLANE('',#25929); -#661=PLANE('',#25930); -#662=PLANE('',#25938); -#663=PLANE('',#25942); -#664=PLANE('',#25943); -#665=PLANE('',#25944); -#666=PLANE('',#25945); -#667=PLANE('',#25946); -#668=PLANE('',#25947); -#669=PLANE('',#25948); -#670=PLANE('',#25979); -#671=PLANE('',#25980); -#672=PLANE('',#25981); -#673=PLANE('',#26043); -#674=PLANE('',#26044); -#675=PLANE('',#26045); -#676=PLANE('',#26046); -#677=PLANE('',#26047); -#678=PLANE('',#26048); -#679=PLANE('',#26049); -#680=PLANE('',#26050); -#681=PLANE('',#26051); -#682=PLANE('',#26052); -#683=PLANE('',#26053); -#684=PLANE('',#26054); -#685=PLANE('',#26055); -#686=PLANE('',#26056); -#687=PLANE('',#26057); -#688=PLANE('',#26058); -#689=PLANE('',#26059); -#690=PLANE('',#26060); -#691=PLANE('',#26061); -#692=PLANE('',#26062); -#693=PLANE('',#26063); -#694=PLANE('',#26064); -#695=PLANE('',#26065); -#696=PLANE('',#26067); -#697=PLANE('',#26069); -#698=PLANE('',#26071); -#699=PLANE('',#26072); -#700=PLANE('',#26073); -#701=PLANE('',#26076); -#702=PLANE('',#26085); -#703=PLANE('',#26088); -#704=PLANE('',#26101); -#705=PLANE('',#26103); -#706=PLANE('',#26106); -#707=PLANE('',#26112); -#708=PLANE('',#26113); -#709=PLANE('',#26114); -#710=PLANE('',#26115); -#711=PLANE('',#26116); -#712=PLANE('',#26117); -#713=PLANE('',#26118); -#714=PLANE('',#26119); -#715=PLANE('',#26120); -#716=PLANE('',#26121); -#717=PLANE('',#26122); -#718=PLANE('',#26123); -#719=PLANE('',#26124); -#720=PLANE('',#26125); -#721=PLANE('',#26126); -#722=PLANE('',#26127); -#723=PLANE('',#26128); -#724=PLANE('',#26129); -#725=PLANE('',#26130); -#726=PLANE('',#26131); -#727=PLANE('',#26132); -#728=PLANE('',#26133); -#729=PLANE('',#26134); -#730=PLANE('',#26135); -#731=PLANE('',#26136); -#732=PLANE('',#26137); -#733=PLANE('',#26138); -#734=PLANE('',#26139); -#735=PLANE('',#26140); -#736=PLANE('',#26141); -#737=PLANE('',#26142); -#738=PLANE('',#26143); -#739=PLANE('',#26144); -#740=PLANE('',#26145); -#741=PLANE('',#26146); -#742=PLANE('',#26147); -#743=PLANE('',#26148); -#744=PLANE('',#26149); -#745=PLANE('',#26150); -#746=PLANE('',#26151); -#747=PLANE('',#26152); -#748=PLANE('',#26153); -#749=PLANE('',#26154); -#750=PLANE('',#26155); -#751=PLANE('',#26156); -#752=PLANE('',#26157); -#753=PLANE('',#26158); -#754=PLANE('',#26159); -#755=PLANE('',#26160); -#756=PLANE('',#26161); -#757=PLANE('',#26162); -#758=PLANE('',#26163); -#759=PLANE('',#26164); -#760=PLANE('',#26165); -#761=PLANE('',#26166); -#762=PLANE('',#26167); -#763=PLANE('',#26168); -#764=PLANE('',#26169); -#765=PLANE('',#26170); -#766=PLANE('',#26171); -#767=PLANE('',#26172); -#768=PLANE('',#26173); -#769=PLANE('',#26174); -#770=PLANE('',#26175); -#771=PLANE('',#26176); -#772=PLANE('',#26177); -#773=PLANE('',#26178); -#774=PLANE('',#26179); -#775=PLANE('',#26180); -#776=PLANE('',#26181); -#777=PLANE('',#26182); -#778=PLANE('',#26185); -#779=PLANE('',#26186); -#780=PLANE('',#26187); -#781=PLANE('',#26188); -#782=PLANE('',#26189); -#783=PLANE('',#26190); -#784=PLANE('',#26191); -#785=PLANE('',#26193); -#786=PLANE('',#26194); -#787=PLANE('',#26196); -#788=PLANE('',#26198); -#789=PLANE('',#26200); -#790=PLANE('',#26202); -#791=PLANE('',#26203); -#792=PLANE('',#26205); -#793=PLANE('',#26206); -#794=PLANE('',#26207); -#795=PLANE('',#26208); -#796=PLANE('',#26209); -#797=PLANE('',#26210); -#798=PLANE('',#26211); -#799=PLANE('',#26212); -#800=PLANE('',#26213); -#801=PLANE('',#26214); -#802=PLANE('',#26215); -#803=PLANE('',#26216); -#804=PLANE('',#26217); -#805=PLANE('',#26218); -#806=PLANE('',#26219); -#807=PLANE('',#26220); -#808=PLANE('',#26221); -#809=PLANE('',#26222); -#810=PLANE('',#26223); -#811=PLANE('',#26224); -#812=PLANE('',#26225); -#813=PLANE('',#26226); -#814=PLANE('',#26227); -#815=PLANE('',#26228); -#816=PLANE('',#26229); -#817=PLANE('',#26230); -#818=PLANE('',#26231); -#819=PLANE('',#26232); -#820=PLANE('',#26233); -#821=PLANE('',#26234); -#822=PLANE('',#26235); -#823=PLANE('',#26236); -#824=PLANE('',#26237); -#825=PLANE('',#26238); -#826=PLANE('',#26239); -#827=PLANE('',#26240); -#828=PLANE('',#26241); -#829=PLANE('',#26242); -#830=PLANE('',#26243); -#831=PLANE('',#26244); -#832=PLANE('',#26245); -#833=PLANE('',#26246); -#834=PLANE('',#26247); -#835=PLANE('',#26248); -#836=PLANE('',#26249); -#837=PLANE('',#26250); -#838=PLANE('',#26251); -#839=PLANE('',#26252); -#840=PLANE('',#26253); -#841=PLANE('',#26254); -#842=PLANE('',#26255); -#843=PLANE('',#26256); -#844=PLANE('',#26257); -#845=PLANE('',#26258); -#846=PLANE('',#26259); -#847=PLANE('',#26260); -#848=PLANE('',#26261); -#849=PLANE('',#26262); -#850=PLANE('',#26263); -#851=PLANE('',#26264); -#852=PLANE('',#26265); -#853=PLANE('',#26266); -#854=PLANE('',#26267); -#855=PLANE('',#26268); -#856=PLANE('',#26269); -#857=PLANE('',#26270); -#858=PLANE('',#26271); -#859=PLANE('',#26272); -#860=PLANE('',#26273); -#861=PLANE('',#26274); -#862=PLANE('',#26275); -#863=PLANE('',#26276); -#864=PLANE('',#26277); -#865=PLANE('',#26278); -#866=PLANE('',#26279); -#867=PLANE('',#26280); -#868=PLANE('',#26281); -#869=PLANE('',#26282); -#870=PLANE('',#26283); -#871=PLANE('',#26284); -#872=PLANE('',#26285); -#873=PLANE('',#26286); -#874=PLANE('',#26287); -#875=PLANE('',#26288); -#876=PLANE('',#26289); -#877=PLANE('',#26290); -#878=PLANE('',#26291); -#879=PLANE('',#26292); -#880=PLANE('',#26293); -#881=PLANE('',#26294); -#882=PLANE('',#26295); -#883=PLANE('',#26296); -#884=PLANE('',#26297); -#885=PLANE('',#26298); -#886=PLANE('',#26299); -#887=PLANE('',#26300); -#888=PLANE('',#26301); -#889=PLANE('',#26302); -#890=PLANE('',#26303); -#891=PLANE('',#26304); -#892=PLANE('',#26305); -#893=PLANE('',#26306); -#894=PLANE('',#26307); -#895=PLANE('',#26308); -#896=PLANE('',#26309); -#897=PLANE('',#26310); -#898=PLANE('',#26311); -#899=PLANE('',#26312); -#900=PLANE('',#26313); -#901=PLANE('',#26314); -#902=PLANE('',#26315); -#903=PLANE('',#26316); -#904=PLANE('',#26317); -#905=PLANE('',#26318); -#906=PLANE('',#26319); -#907=PLANE('',#26320); -#908=PLANE('',#26321); -#909=PLANE('',#26322); -#910=PLANE('',#26323); -#911=PLANE('',#26324); -#912=PLANE('',#26327); -#913=PLANE('',#26328); -#914=PLANE('',#26329); -#915=PLANE('',#26330); -#916=PLANE('',#26331); -#917=PLANE('',#26334); -#918=PLANE('',#26336); -#919=PLANE('',#26340); -#920=PLANE('',#26343); -#921=PLANE('',#26344); -#922=PLANE('',#26345); -#923=PLANE('',#26346); -#924=PLANE('',#26347); -#925=PLANE('',#26348); -#926=PLANE('',#26349); -#927=PLANE('',#26350); -#928=PLANE('',#26351); -#929=PLANE('',#26352); -#930=PLANE('',#26353); -#931=PLANE('',#26354); -#932=PLANE('',#26355); -#933=PLANE('',#26356); -#934=PLANE('',#26357); -#935=PLANE('',#26358); -#936=PLANE('',#26359); -#937=PLANE('',#26360); -#938=PLANE('',#26361); -#939=PLANE('',#26362); -#940=PLANE('',#26363); -#941=PLANE('',#26364); -#942=PLANE('',#26365); -#943=PLANE('',#26366); -#944=PLANE('',#26367); -#945=PLANE('',#26368); -#946=PLANE('',#26369); -#947=PLANE('',#26370); -#948=PLANE('',#26371); -#949=PLANE('',#26372); -#950=PLANE('',#26373); -#951=PLANE('',#26374); -#952=PLANE('',#26375); -#953=PLANE('',#26376); -#954=PLANE('',#26377); -#955=PLANE('',#26378); -#956=PLANE('',#26379); -#957=PLANE('',#26380); -#958=PLANE('',#26381); -#959=PLANE('',#26382); -#960=PLANE('',#26383); -#961=PLANE('',#26384); -#962=PLANE('',#26385); -#963=PLANE('',#26386); -#964=PLANE('',#26387); -#965=PLANE('',#26388); -#966=PLANE('',#26389); -#967=PLANE('',#26390); -#968=PLANE('',#26391); -#969=PLANE('',#26392); -#970=PLANE('',#26393); -#971=PLANE('',#26394); -#972=PLANE('',#26395); -#973=PLANE('',#26396); -#974=PLANE('',#26397); -#975=PLANE('',#26398); -#976=PLANE('',#26399); -#977=PLANE('',#26400); -#978=PLANE('',#26401); -#979=PLANE('',#26402); -#980=PLANE('',#26403); -#981=PLANE('',#26404); -#982=PLANE('',#26405); -#983=PLANE('',#26406); -#984=PLANE('',#26407); -#985=PLANE('',#26408); -#986=PLANE('',#26409); -#987=PLANE('',#26410); -#988=PLANE('',#26411); -#989=PLANE('',#26412); -#990=PLANE('',#26413); -#991=PLANE('',#26414); -#992=PLANE('',#26415); -#993=PLANE('',#26416); -#994=PLANE('',#26417); -#995=PLANE('',#26418); -#996=PLANE('',#26419); -#997=PLANE('',#26420); -#998=PLANE('',#26421); -#999=PLANE('',#26422); -#1000=PLANE('',#26423); -#1001=PLANE('',#26424); -#1002=PLANE('',#26425); -#1003=PLANE('',#26426); -#1004=PLANE('',#26427); -#1005=PLANE('',#26428); -#1006=PLANE('',#26429); -#1007=PLANE('',#26430); -#1008=PLANE('',#26431); -#1009=PLANE('',#26432); -#1010=PLANE('',#26433); -#1011=PLANE('',#26434); -#1012=PLANE('',#26435); -#1013=PLANE('',#26436); -#1014=PLANE('',#26437); -#1015=PLANE('',#26438); -#1016=PLANE('',#26439); -#1017=PLANE('',#26440); -#1018=PLANE('',#26441); -#1019=PLANE('',#26442); -#1020=PLANE('',#26443); -#1021=PLANE('',#26444); -#1022=PLANE('',#26445); -#1023=PLANE('',#26446); -#1024=PLANE('',#26447); -#1025=PLANE('',#26448); -#1026=PLANE('',#26449); -#1027=PLANE('',#26450); -#1028=PLANE('',#26451); -#1029=PLANE('',#26452); -#1030=PLANE('',#26453); -#1031=PLANE('',#26454); -#1032=PLANE('',#26455); -#1033=PLANE('',#26456); -#1034=PLANE('',#26457); -#1035=PLANE('',#26458); -#1036=PLANE('',#26459); -#1037=PLANE('',#26460); -#1038=PLANE('',#26461); -#1039=PLANE('',#26462); -#1040=PLANE('',#26463); -#1041=PLANE('',#26464); -#1042=PLANE('',#26465); -#1043=PLANE('',#26466); -#1044=PLANE('',#26467); -#1045=PLANE('',#26468); -#1046=PLANE('',#26469); -#1047=PLANE('',#26470); -#1048=PLANE('',#26471); -#1049=PLANE('',#26472); -#1050=PLANE('',#26473); -#1051=PLANE('',#26474); -#1052=PLANE('',#26475); -#1053=PLANE('',#26476); -#1054=PLANE('',#26477); -#1055=PLANE('',#26478); -#1056=PLANE('',#26479); -#1057=PLANE('',#26480); -#1058=PLANE('',#26481); -#1059=PLANE('',#26482); -#1060=PLANE('',#26483); -#1061=PLANE('',#26484); -#1062=PLANE('',#26485); -#1063=PLANE('',#26486); -#1064=PLANE('',#26487); -#1065=PLANE('',#26488); -#1066=PLANE('',#26489); -#1067=PLANE('',#26490); -#1068=PLANE('',#26491); -#1069=PLANE('',#26492); -#1070=PLANE('',#26493); -#1071=PLANE('',#26494); -#1072=PLANE('',#26495); -#1073=PLANE('',#26496); -#1074=PLANE('',#26497); -#1075=PLANE('',#26498); -#1076=PLANE('',#26499); -#1077=PLANE('',#26500); -#1078=PLANE('',#26501); -#1079=PLANE('',#26502); -#1080=PLANE('',#26503); -#1081=PLANE('',#26504); -#1082=PLANE('',#26505); -#1083=PLANE('',#26506); -#1084=PLANE('',#26507); -#1085=PLANE('',#26508); -#1086=PLANE('',#26509); -#1087=PLANE('',#26510); -#1088=PLANE('',#26511); -#1089=PLANE('',#26512); -#1090=PLANE('',#26513); -#1091=PLANE('',#26514); -#1092=PLANE('',#26515); -#1093=PLANE('',#26516); -#1094=PLANE('',#26517); -#1095=PLANE('',#26518); -#1096=PLANE('',#26519); -#1097=PLANE('',#26520); -#1098=PLANE('',#26521); -#1099=PLANE('',#26522); -#1100=PLANE('',#26523); -#1101=PLANE('',#26524); -#1102=PLANE('',#26525); -#1103=PLANE('',#26526); -#1104=PLANE('',#26527); -#1105=PLANE('',#26528); -#1106=PLANE('',#26529); -#1107=PLANE('',#26530); -#1108=PLANE('',#26531); -#1109=PLANE('',#26532); -#1110=PLANE('',#26533); -#1111=PLANE('',#26534); -#1112=PLANE('',#26535); -#1113=PLANE('',#26536); -#1114=PLANE('',#26537); -#1115=PLANE('',#26538); -#1116=PLANE('',#26539); -#1117=PLANE('',#26540); -#1118=PLANE('',#26541); -#1119=PLANE('',#26542); -#1120=PLANE('',#26543); -#1121=PLANE('',#26544); -#1122=PLANE('',#26545); -#1123=PLANE('',#26546); -#1124=PLANE('',#26547); -#1125=PLANE('',#26548); -#1126=PLANE('',#26549); -#1127=PLANE('',#26550); -#1128=PLANE('',#26551); -#1129=PLANE('',#26552); -#1130=PLANE('',#26553); -#1131=PLANE('',#26554); -#1132=PLANE('',#26555); -#1133=PLANE('',#26556); -#1134=PLANE('',#26557); -#1135=PLANE('',#26558); -#1136=PLANE('',#26559); -#1137=PLANE('',#26560); -#1138=PLANE('',#26561); -#1139=PLANE('',#26562); -#1140=PLANE('',#26563); -#1141=PLANE('',#26564); -#1142=PLANE('',#26565); -#1143=PLANE('',#26566); -#1144=PLANE('',#26567); -#1145=PLANE('',#26568); -#1146=PLANE('',#26569); -#1147=PLANE('',#26570); -#1148=PLANE('',#26571); -#1149=PLANE('',#26572); -#1150=PLANE('',#26573); -#1151=PLANE('',#26574); -#1152=PLANE('',#26575); -#1153=PLANE('',#26576); -#1154=PLANE('',#26577); -#1155=PLANE('',#26578); -#1156=PLANE('',#26579); -#1157=PLANE('',#26580); -#1158=PLANE('',#26581); -#1159=PLANE('',#26582); -#1160=PLANE('',#26583); -#1161=PLANE('',#26584); -#1162=PLANE('',#26585); -#1163=PLANE('',#26586); -#1164=PLANE('',#26587); -#1165=PLANE('',#26588); -#1166=PLANE('',#26589); -#1167=PLANE('',#26590); -#1168=PLANE('',#26591); -#1169=PLANE('',#26592); -#1170=PLANE('',#26593); -#1171=PLANE('',#26594); -#1172=PLANE('',#26595); -#1173=PLANE('',#26596); -#1174=PLANE('',#26597); -#1175=PLANE('',#26598); -#1176=PLANE('',#26599); -#1177=PLANE('',#26600); -#1178=PLANE('',#26601); -#1179=PLANE('',#26602); -#1180=PLANE('',#26603); -#1181=PLANE('',#26604); -#1182=PLANE('',#26605); -#1183=PLANE('',#26606); -#1184=PLANE('',#26607); -#1185=PLANE('',#26608); -#1186=PLANE('',#26609); -#1187=PLANE('',#26610); -#1188=PLANE('',#26611); -#1189=PLANE('',#26612); -#1190=PLANE('',#26613); -#1191=PLANE('',#26614); -#1192=PLANE('',#26615); -#1193=PLANE('',#26616); -#1194=PLANE('',#26617); -#1195=PLANE('',#26618); -#1196=PLANE('',#26619); -#1197=PLANE('',#26620); -#1198=PLANE('',#26621); -#1199=PLANE('',#26622); -#1200=PLANE('',#26623); -#1201=PLANE('',#26624); -#1202=PLANE('',#26625); -#1203=PLANE('',#26626); -#1204=PLANE('',#26627); -#1205=PLANE('',#26628); -#1206=PLANE('',#26629); -#1207=PLANE('',#26630); -#1208=PLANE('',#26631); -#1209=PLANE('',#26632); -#1210=PLANE('',#26633); -#1211=PLANE('',#26634); -#1212=PLANE('',#26635); -#1213=PLANE('',#26636); -#1214=PLANE('',#26637); -#1215=PLANE('',#26638); -#1216=PLANE('',#26639); -#1217=PLANE('',#26640); -#1218=PLANE('',#26641); -#1219=PLANE('',#26642); -#1220=PLANE('',#26643); -#1221=PLANE('',#26644); -#1222=PLANE('',#26645); -#1223=PLANE('',#26646); -#1224=PLANE('',#26647); -#1225=PLANE('',#26648); -#1226=PLANE('',#26649); -#1227=PLANE('',#26650); -#1228=PLANE('',#26651); -#1229=PLANE('',#26652); -#1230=PLANE('',#26653); -#1231=PLANE('',#26654); -#1232=PLANE('',#26655); -#1233=PLANE('',#26656); -#1234=PLANE('',#26657); -#1235=PLANE('',#26658); -#1236=PLANE('',#26659); -#1237=PLANE('',#26660); -#1238=PLANE('',#26661); -#1239=PLANE('',#26662); -#1240=PLANE('',#26663); -#1241=PLANE('',#26664); -#1242=PLANE('',#26665); -#1243=PLANE('',#26666); -#1244=PLANE('',#26667); -#1245=PLANE('',#26668); -#1246=PLANE('',#26669); -#1247=PLANE('',#26670); -#1248=PLANE('',#26671); -#1249=PLANE('',#26672); -#1250=PLANE('',#26673); -#1251=PLANE('',#26674); -#1252=PLANE('',#26675); -#1253=PLANE('',#26676); -#1254=PLANE('',#26677); -#1255=PLANE('',#26678); -#1256=PLANE('',#26679); -#1257=PLANE('',#26680); -#1258=PLANE('',#26681); -#1259=PLANE('',#26682); -#1260=PLANE('',#26683); -#1261=PLANE('',#26684); -#1262=PLANE('',#26685); -#1263=PLANE('',#26686); -#1264=PLANE('',#26687); -#1265=PLANE('',#26688); -#1266=PLANE('',#26689); -#1267=PLANE('',#26690); -#1268=PLANE('',#26691); -#1269=PLANE('',#26692); -#1270=PLANE('',#26693); -#1271=PLANE('',#26694); -#1272=PLANE('',#26695); -#1273=PLANE('',#26696); -#1274=PLANE('',#26697); -#1275=PLANE('',#26698); -#1276=PLANE('',#26699); -#1277=PLANE('',#26700); -#1278=PLANE('',#26701); -#1279=PLANE('',#26702); -#1280=PLANE('',#26703); -#1281=PLANE('',#26704); -#1282=PLANE('',#26705); -#1283=PLANE('',#26706); -#1284=PLANE('',#26707); -#1285=PLANE('',#26708); -#1286=PLANE('',#26709); -#1287=PLANE('',#26710); -#1288=PLANE('',#26711); -#1289=PLANE('',#26712); -#1290=PLANE('',#26713); -#1291=PLANE('',#26714); -#1292=PLANE('',#26715); -#1293=PLANE('',#26716); -#1294=PLANE('',#26717); -#1295=PLANE('',#26718); -#1296=PLANE('',#26719); -#1297=PLANE('',#26720); -#1298=PLANE('',#26721); -#1299=PLANE('',#26722); -#1300=PLANE('',#26723); -#1301=PLANE('',#26724); -#1302=PLANE('',#26725); -#1303=PLANE('',#26726); -#1304=PLANE('',#26727); -#1305=PLANE('',#26728); -#1306=PLANE('',#26729); -#1307=PLANE('',#26730); -#1308=PLANE('',#26731); -#1309=PLANE('',#26732); -#1310=PLANE('',#26733); -#1311=PLANE('',#26734); -#1312=PLANE('',#26735); -#1313=PLANE('',#26736); -#1314=PLANE('',#26737); -#1315=PLANE('',#26738); -#1316=PLANE('',#26739); -#1317=PLANE('',#26740); -#1318=PLANE('',#26741); -#1319=PLANE('',#26742); -#1320=PLANE('',#26743); -#1321=PLANE('',#26744); -#1322=PLANE('',#26745); -#1323=PLANE('',#26746); -#1324=PLANE('',#26747); -#1325=PLANE('',#26748); -#1326=PLANE('',#26749); -#1327=PLANE('',#26750); -#1328=PLANE('',#26751); -#1329=PLANE('',#26752); -#1330=PLANE('',#26753); -#1331=PLANE('',#26754); -#1332=PLANE('',#26755); -#1333=PLANE('',#26756); -#1334=PLANE('',#26757); -#1335=PLANE('',#26758); -#1336=PLANE('',#26759); -#1337=PLANE('',#26760); -#1338=PLANE('',#26761); -#1339=PLANE('',#26762); -#1340=PLANE('',#26763); -#1341=PLANE('',#26764); -#1342=PLANE('',#26765); -#1343=PLANE('',#26766); -#1344=PLANE('',#26767); -#1345=PLANE('',#26768); -#1346=PLANE('',#26769); -#1347=PLANE('',#26770); -#1348=PLANE('',#26771); -#1349=PLANE('',#26772); -#1350=PLANE('',#26773); -#1351=PLANE('',#26774); -#1352=PLANE('',#26775); -#1353=PLANE('',#26776); -#1354=PLANE('',#26777); -#1355=PLANE('',#26778); -#1356=PLANE('',#26779); -#1357=PLANE('',#26780); -#1358=PLANE('',#26781); -#1359=PLANE('',#26782); -#1360=PLANE('',#26783); -#1361=PLANE('',#26784); -#1362=PLANE('',#26785); -#1363=PLANE('',#26786); -#1364=PLANE('',#26787); -#1365=PLANE('',#26788); -#1366=PLANE('',#26789); -#1367=FACE_OUTER_BOUND('',#2670,.T.); -#1368=FACE_OUTER_BOUND('',#2671,.T.); -#1369=FACE_OUTER_BOUND('',#2672,.T.); -#1370=FACE_OUTER_BOUND('',#2673,.T.); -#1371=FACE_OUTER_BOUND('',#2674,.T.); -#1372=FACE_OUTER_BOUND('',#2679,.T.); -#1373=FACE_OUTER_BOUND('',#2680,.T.); -#1374=FACE_OUTER_BOUND('',#2681,.T.); -#1375=FACE_OUTER_BOUND('',#2682,.T.); -#1376=FACE_OUTER_BOUND('',#2684,.T.); -#1377=FACE_OUTER_BOUND('',#2686,.T.); -#1378=FACE_OUTER_BOUND('',#2687,.T.); -#1379=FACE_OUTER_BOUND('',#2689,.T.); -#1380=FACE_OUTER_BOUND('',#2690,.T.); -#1381=FACE_OUTER_BOUND('',#2691,.T.); -#1382=FACE_OUTER_BOUND('',#2692,.T.); -#1383=FACE_OUTER_BOUND('',#2693,.T.); -#1384=FACE_OUTER_BOUND('',#2694,.T.); -#1385=FACE_OUTER_BOUND('',#2695,.T.); -#1386=FACE_OUTER_BOUND('',#2696,.T.); -#1387=FACE_OUTER_BOUND('',#2698,.T.); -#1388=FACE_OUTER_BOUND('',#2699,.T.); -#1389=FACE_OUTER_BOUND('',#2700,.T.); -#1390=FACE_OUTER_BOUND('',#2701,.T.); -#1391=FACE_OUTER_BOUND('',#2702,.T.); -#1392=FACE_OUTER_BOUND('',#2703,.T.); -#1393=FACE_OUTER_BOUND('',#2704,.T.); -#1394=FACE_OUTER_BOUND('',#2705,.T.); -#1395=FACE_OUTER_BOUND('',#2706,.T.); -#1396=FACE_OUTER_BOUND('',#2707,.T.); -#1397=FACE_OUTER_BOUND('',#2708,.T.); -#1398=FACE_OUTER_BOUND('',#2709,.T.); -#1399=FACE_OUTER_BOUND('',#2710,.T.); -#1400=FACE_OUTER_BOUND('',#2711,.T.); -#1401=FACE_OUTER_BOUND('',#2712,.T.); -#1402=FACE_OUTER_BOUND('',#2713,.T.); -#1403=FACE_OUTER_BOUND('',#2718,.T.); -#1404=FACE_OUTER_BOUND('',#2719,.T.); -#1405=FACE_OUTER_BOUND('',#2720,.T.); -#1406=FACE_OUTER_BOUND('',#2721,.T.); -#1407=FACE_OUTER_BOUND('',#2722,.T.); -#1408=FACE_OUTER_BOUND('',#2723,.T.); -#1409=FACE_OUTER_BOUND('',#2724,.T.); -#1410=FACE_OUTER_BOUND('',#2725,.T.); -#1411=FACE_OUTER_BOUND('',#2726,.T.); -#1412=FACE_OUTER_BOUND('',#2727,.T.); -#1413=FACE_OUTER_BOUND('',#2728,.T.); -#1414=FACE_OUTER_BOUND('',#2729,.T.); -#1415=FACE_OUTER_BOUND('',#2730,.T.); -#1416=FACE_OUTER_BOUND('',#2731,.T.); -#1417=FACE_OUTER_BOUND('',#2732,.T.); -#1418=FACE_OUTER_BOUND('',#2733,.T.); -#1419=FACE_OUTER_BOUND('',#2734,.T.); -#1420=FACE_OUTER_BOUND('',#2735,.T.); -#1421=FACE_OUTER_BOUND('',#2736,.T.); -#1422=FACE_OUTER_BOUND('',#2737,.T.); -#1423=FACE_OUTER_BOUND('',#2738,.T.); -#1424=FACE_OUTER_BOUND('',#2739,.T.); -#1425=FACE_OUTER_BOUND('',#2740,.T.); -#1426=FACE_OUTER_BOUND('',#2741,.T.); -#1427=FACE_OUTER_BOUND('',#2742,.T.); -#1428=FACE_OUTER_BOUND('',#2743,.T.); -#1429=FACE_OUTER_BOUND('',#2744,.T.); -#1430=FACE_OUTER_BOUND('',#2745,.T.); -#1431=FACE_OUTER_BOUND('',#2746,.T.); -#1432=FACE_OUTER_BOUND('',#2747,.T.); -#1433=FACE_OUTER_BOUND('',#2748,.T.); -#1434=FACE_OUTER_BOUND('',#2749,.T.); -#1435=FACE_OUTER_BOUND('',#2750,.T.); -#1436=FACE_OUTER_BOUND('',#2751,.T.); -#1437=FACE_OUTER_BOUND('',#2752,.T.); -#1438=FACE_OUTER_BOUND('',#2753,.T.); -#1439=FACE_OUTER_BOUND('',#2754,.T.); -#1440=FACE_OUTER_BOUND('',#2755,.T.); -#1441=FACE_OUTER_BOUND('',#2756,.T.); -#1442=FACE_OUTER_BOUND('',#2757,.T.); -#1443=FACE_OUTER_BOUND('',#2758,.T.); -#1444=FACE_OUTER_BOUND('',#2759,.T.); -#1445=FACE_OUTER_BOUND('',#2760,.T.); -#1446=FACE_OUTER_BOUND('',#2761,.T.); -#1447=FACE_OUTER_BOUND('',#2762,.T.); -#1448=FACE_OUTER_BOUND('',#2763,.T.); -#1449=FACE_OUTER_BOUND('',#2764,.T.); -#1450=FACE_OUTER_BOUND('',#2765,.T.); -#1451=FACE_OUTER_BOUND('',#2766,.T.); -#1452=FACE_OUTER_BOUND('',#2767,.T.); -#1453=FACE_OUTER_BOUND('',#2768,.T.); -#1454=FACE_OUTER_BOUND('',#2769,.T.); -#1455=FACE_OUTER_BOUND('',#2770,.T.); -#1456=FACE_OUTER_BOUND('',#2771,.T.); -#1457=FACE_OUTER_BOUND('',#2772,.T.); -#1458=FACE_OUTER_BOUND('',#2773,.T.); -#1459=FACE_OUTER_BOUND('',#2774,.T.); -#1460=FACE_OUTER_BOUND('',#2775,.T.); -#1461=FACE_OUTER_BOUND('',#2776,.T.); -#1462=FACE_OUTER_BOUND('',#2777,.T.); -#1463=FACE_OUTER_BOUND('',#2778,.T.); -#1464=FACE_OUTER_BOUND('',#2779,.T.); -#1465=FACE_OUTER_BOUND('',#2780,.T.); -#1466=FACE_OUTER_BOUND('',#2781,.T.); -#1467=FACE_OUTER_BOUND('',#2782,.T.); -#1468=FACE_OUTER_BOUND('',#2783,.T.); -#1469=FACE_OUTER_BOUND('',#2784,.T.); -#1470=FACE_OUTER_BOUND('',#2785,.T.); -#1471=FACE_OUTER_BOUND('',#2786,.T.); -#1472=FACE_OUTER_BOUND('',#2787,.T.); -#1473=FACE_OUTER_BOUND('',#2788,.T.); -#1474=FACE_OUTER_BOUND('',#2789,.T.); -#1475=FACE_OUTER_BOUND('',#2790,.T.); -#1476=FACE_OUTER_BOUND('',#2791,.T.); -#1477=FACE_OUTER_BOUND('',#2792,.T.); -#1478=FACE_OUTER_BOUND('',#2793,.T.); -#1479=FACE_OUTER_BOUND('',#2794,.T.); -#1480=FACE_OUTER_BOUND('',#2795,.T.); -#1481=FACE_OUTER_BOUND('',#2796,.T.); -#1482=FACE_OUTER_BOUND('',#2797,.T.); -#1483=FACE_OUTER_BOUND('',#2798,.T.); -#1484=FACE_OUTER_BOUND('',#2799,.T.); -#1485=FACE_OUTER_BOUND('',#2800,.T.); -#1486=FACE_OUTER_BOUND('',#2801,.T.); -#1487=FACE_OUTER_BOUND('',#2802,.T.); -#1488=FACE_OUTER_BOUND('',#2803,.T.); -#1489=FACE_OUTER_BOUND('',#2804,.T.); -#1490=FACE_OUTER_BOUND('',#2805,.T.); -#1491=FACE_OUTER_BOUND('',#2806,.T.); -#1492=FACE_OUTER_BOUND('',#2807,.T.); -#1493=FACE_OUTER_BOUND('',#2808,.T.); -#1494=FACE_OUTER_BOUND('',#2809,.T.); -#1495=FACE_OUTER_BOUND('',#2810,.T.); -#1496=FACE_OUTER_BOUND('',#2811,.T.); -#1497=FACE_OUTER_BOUND('',#2812,.T.); -#1498=FACE_OUTER_BOUND('',#2813,.T.); -#1499=FACE_OUTER_BOUND('',#2814,.T.); -#1500=FACE_OUTER_BOUND('',#2815,.T.); -#1501=FACE_OUTER_BOUND('',#2816,.T.); -#1502=FACE_OUTER_BOUND('',#2817,.T.); -#1503=FACE_OUTER_BOUND('',#2818,.T.); -#1504=FACE_OUTER_BOUND('',#2819,.T.); -#1505=FACE_OUTER_BOUND('',#2820,.T.); -#1506=FACE_OUTER_BOUND('',#2821,.T.); -#1507=FACE_OUTER_BOUND('',#2822,.T.); -#1508=FACE_OUTER_BOUND('',#2823,.T.); -#1509=FACE_OUTER_BOUND('',#2824,.T.); -#1510=FACE_OUTER_BOUND('',#2825,.T.); -#1511=FACE_OUTER_BOUND('',#2826,.T.); -#1512=FACE_OUTER_BOUND('',#2827,.T.); -#1513=FACE_OUTER_BOUND('',#2828,.T.); -#1514=FACE_OUTER_BOUND('',#2829,.T.); -#1515=FACE_OUTER_BOUND('',#2830,.T.); -#1516=FACE_OUTER_BOUND('',#2831,.T.); -#1517=FACE_OUTER_BOUND('',#2832,.T.); -#1518=FACE_OUTER_BOUND('',#2833,.T.); -#1519=FACE_OUTER_BOUND('',#2834,.T.); -#1520=FACE_OUTER_BOUND('',#2835,.T.); -#1521=FACE_OUTER_BOUND('',#2836,.T.); -#1522=FACE_OUTER_BOUND('',#2837,.T.); -#1523=FACE_OUTER_BOUND('',#2838,.T.); -#1524=FACE_OUTER_BOUND('',#2839,.T.); -#1525=FACE_OUTER_BOUND('',#2840,.T.); -#1526=FACE_OUTER_BOUND('',#2841,.T.); -#1527=FACE_OUTER_BOUND('',#2842,.T.); -#1528=FACE_OUTER_BOUND('',#2843,.T.); -#1529=FACE_OUTER_BOUND('',#2844,.T.); -#1530=FACE_OUTER_BOUND('',#2845,.T.); -#1531=FACE_OUTER_BOUND('',#2846,.T.); -#1532=FACE_OUTER_BOUND('',#2847,.T.); -#1533=FACE_OUTER_BOUND('',#2848,.T.); -#1534=FACE_OUTER_BOUND('',#2849,.T.); -#1535=FACE_OUTER_BOUND('',#2850,.T.); -#1536=FACE_OUTER_BOUND('',#2851,.T.); -#1537=FACE_OUTER_BOUND('',#2852,.T.); -#1538=FACE_OUTER_BOUND('',#2853,.T.); -#1539=FACE_OUTER_BOUND('',#2854,.T.); -#1540=FACE_OUTER_BOUND('',#2855,.T.); -#1541=FACE_OUTER_BOUND('',#2856,.T.); -#1542=FACE_OUTER_BOUND('',#2857,.T.); -#1543=FACE_OUTER_BOUND('',#2858,.T.); -#1544=FACE_OUTER_BOUND('',#2859,.T.); -#1545=FACE_OUTER_BOUND('',#2860,.T.); -#1546=FACE_OUTER_BOUND('',#2861,.T.); -#1547=FACE_OUTER_BOUND('',#2862,.T.); -#1548=FACE_OUTER_BOUND('',#2863,.T.); -#1549=FACE_OUTER_BOUND('',#2864,.T.); -#1550=FACE_OUTER_BOUND('',#2865,.T.); -#1551=FACE_OUTER_BOUND('',#2866,.T.); -#1552=FACE_OUTER_BOUND('',#2867,.T.); -#1553=FACE_OUTER_BOUND('',#2868,.T.); -#1554=FACE_OUTER_BOUND('',#2869,.T.); -#1555=FACE_OUTER_BOUND('',#2870,.T.); -#1556=FACE_OUTER_BOUND('',#2871,.T.); -#1557=FACE_OUTER_BOUND('',#2872,.T.); -#1558=FACE_OUTER_BOUND('',#2873,.T.); -#1559=FACE_OUTER_BOUND('',#2874,.T.); -#1560=FACE_OUTER_BOUND('',#2875,.T.); -#1561=FACE_OUTER_BOUND('',#2876,.T.); -#1562=FACE_OUTER_BOUND('',#2877,.T.); -#1563=FACE_OUTER_BOUND('',#2878,.T.); -#1564=FACE_OUTER_BOUND('',#2879,.T.); -#1565=FACE_OUTER_BOUND('',#2880,.T.); -#1566=FACE_OUTER_BOUND('',#2881,.T.); -#1567=FACE_OUTER_BOUND('',#2882,.T.); -#1568=FACE_OUTER_BOUND('',#2883,.T.); -#1569=FACE_OUTER_BOUND('',#2884,.T.); -#1570=FACE_OUTER_BOUND('',#2885,.T.); -#1571=FACE_OUTER_BOUND('',#2886,.T.); -#1572=FACE_OUTER_BOUND('',#2887,.T.); -#1573=FACE_OUTER_BOUND('',#2888,.T.); -#1574=FACE_OUTER_BOUND('',#2889,.T.); -#1575=FACE_OUTER_BOUND('',#2890,.T.); -#1576=FACE_OUTER_BOUND('',#2891,.T.); -#1577=FACE_OUTER_BOUND('',#2892,.T.); -#1578=FACE_OUTER_BOUND('',#2893,.T.); -#1579=FACE_OUTER_BOUND('',#2894,.T.); -#1580=FACE_OUTER_BOUND('',#2895,.T.); -#1581=FACE_OUTER_BOUND('',#2896,.T.); -#1582=FACE_OUTER_BOUND('',#2897,.T.); -#1583=FACE_OUTER_BOUND('',#2898,.T.); -#1584=FACE_OUTER_BOUND('',#2899,.T.); -#1585=FACE_OUTER_BOUND('',#2900,.T.); -#1586=FACE_OUTER_BOUND('',#2901,.T.); -#1587=FACE_OUTER_BOUND('',#2902,.T.); -#1588=FACE_OUTER_BOUND('',#2903,.T.); -#1589=FACE_OUTER_BOUND('',#2904,.T.); -#1590=FACE_OUTER_BOUND('',#2905,.T.); -#1591=FACE_OUTER_BOUND('',#2906,.T.); -#1592=FACE_OUTER_BOUND('',#2907,.T.); -#1593=FACE_OUTER_BOUND('',#2908,.T.); -#1594=FACE_OUTER_BOUND('',#2909,.T.); -#1595=FACE_OUTER_BOUND('',#2910,.T.); -#1596=FACE_OUTER_BOUND('',#2911,.T.); -#1597=FACE_OUTER_BOUND('',#2912,.T.); -#1598=FACE_OUTER_BOUND('',#2913,.T.); -#1599=FACE_OUTER_BOUND('',#2914,.T.); -#1600=FACE_OUTER_BOUND('',#2915,.T.); -#1601=FACE_OUTER_BOUND('',#2916,.T.); -#1602=FACE_OUTER_BOUND('',#2917,.T.); -#1603=FACE_OUTER_BOUND('',#2918,.T.); -#1604=FACE_OUTER_BOUND('',#2919,.T.); -#1605=FACE_OUTER_BOUND('',#2920,.T.); -#1606=FACE_OUTER_BOUND('',#2921,.T.); -#1607=FACE_OUTER_BOUND('',#2922,.T.); -#1608=FACE_OUTER_BOUND('',#2923,.T.); -#1609=FACE_OUTER_BOUND('',#2924,.T.); -#1610=FACE_OUTER_BOUND('',#2925,.T.); -#1611=FACE_OUTER_BOUND('',#2926,.T.); -#1612=FACE_OUTER_BOUND('',#2927,.T.); -#1613=FACE_OUTER_BOUND('',#2928,.T.); -#1614=FACE_OUTER_BOUND('',#2929,.T.); -#1615=FACE_OUTER_BOUND('',#2930,.T.); -#1616=FACE_OUTER_BOUND('',#2931,.T.); -#1617=FACE_OUTER_BOUND('',#2932,.T.); -#1618=FACE_OUTER_BOUND('',#2933,.T.); -#1619=FACE_OUTER_BOUND('',#2934,.T.); -#1620=FACE_OUTER_BOUND('',#2935,.T.); -#1621=FACE_OUTER_BOUND('',#2936,.T.); -#1622=FACE_OUTER_BOUND('',#2937,.T.); -#1623=FACE_OUTER_BOUND('',#2938,.T.); -#1624=FACE_OUTER_BOUND('',#2939,.T.); -#1625=FACE_OUTER_BOUND('',#2940,.T.); -#1626=FACE_OUTER_BOUND('',#2941,.T.); -#1627=FACE_OUTER_BOUND('',#2942,.T.); -#1628=FACE_OUTER_BOUND('',#2943,.T.); -#1629=FACE_OUTER_BOUND('',#2944,.T.); -#1630=FACE_OUTER_BOUND('',#2945,.T.); -#1631=FACE_OUTER_BOUND('',#2946,.T.); -#1632=FACE_OUTER_BOUND('',#2947,.T.); -#1633=FACE_OUTER_BOUND('',#2948,.T.); -#1634=FACE_OUTER_BOUND('',#2949,.T.); -#1635=FACE_OUTER_BOUND('',#2950,.T.); -#1636=FACE_OUTER_BOUND('',#2951,.T.); -#1637=FACE_OUTER_BOUND('',#2952,.T.); -#1638=FACE_OUTER_BOUND('',#2953,.T.); -#1639=FACE_OUTER_BOUND('',#2954,.T.); -#1640=FACE_OUTER_BOUND('',#2955,.T.); -#1641=FACE_OUTER_BOUND('',#2956,.T.); -#1642=FACE_OUTER_BOUND('',#2957,.T.); -#1643=FACE_OUTER_BOUND('',#2958,.T.); -#1644=FACE_OUTER_BOUND('',#2959,.T.); -#1645=FACE_OUTER_BOUND('',#2960,.T.); -#1646=FACE_OUTER_BOUND('',#2961,.T.); -#1647=FACE_OUTER_BOUND('',#2962,.T.); -#1648=FACE_OUTER_BOUND('',#2963,.T.); -#1649=FACE_OUTER_BOUND('',#2964,.T.); -#1650=FACE_OUTER_BOUND('',#2965,.T.); -#1651=FACE_OUTER_BOUND('',#2966,.T.); -#1652=FACE_OUTER_BOUND('',#2967,.T.); -#1653=FACE_OUTER_BOUND('',#2968,.T.); -#1654=FACE_OUTER_BOUND('',#2969,.T.); -#1655=FACE_OUTER_BOUND('',#2970,.T.); -#1656=FACE_OUTER_BOUND('',#2971,.T.); -#1657=FACE_OUTER_BOUND('',#2972,.T.); -#1658=FACE_OUTER_BOUND('',#2973,.T.); -#1659=FACE_OUTER_BOUND('',#2974,.T.); -#1660=FACE_OUTER_BOUND('',#2975,.T.); -#1661=FACE_OUTER_BOUND('',#2976,.T.); -#1662=FACE_OUTER_BOUND('',#2977,.T.); -#1663=FACE_OUTER_BOUND('',#2978,.T.); -#1664=FACE_OUTER_BOUND('',#2979,.T.); -#1665=FACE_OUTER_BOUND('',#2980,.T.); -#1666=FACE_OUTER_BOUND('',#2981,.T.); -#1667=FACE_OUTER_BOUND('',#2982,.T.); -#1668=FACE_OUTER_BOUND('',#2983,.T.); -#1669=FACE_OUTER_BOUND('',#2984,.T.); -#1670=FACE_OUTER_BOUND('',#2985,.T.); -#1671=FACE_OUTER_BOUND('',#2986,.T.); -#1672=FACE_OUTER_BOUND('',#2987,.T.); -#1673=FACE_OUTER_BOUND('',#2988,.T.); -#1674=FACE_OUTER_BOUND('',#2989,.T.); -#1675=FACE_OUTER_BOUND('',#2990,.T.); -#1676=FACE_OUTER_BOUND('',#2991,.T.); -#1677=FACE_OUTER_BOUND('',#2992,.T.); -#1678=FACE_OUTER_BOUND('',#2993,.T.); -#1679=FACE_OUTER_BOUND('',#2994,.T.); -#1680=FACE_OUTER_BOUND('',#2995,.T.); -#1681=FACE_OUTER_BOUND('',#2996,.T.); -#1682=FACE_OUTER_BOUND('',#2997,.T.); -#1683=FACE_OUTER_BOUND('',#2998,.T.); -#1684=FACE_OUTER_BOUND('',#2999,.T.); -#1685=FACE_OUTER_BOUND('',#3000,.T.); -#1686=FACE_OUTER_BOUND('',#3001,.T.); -#1687=FACE_OUTER_BOUND('',#3002,.T.); -#1688=FACE_OUTER_BOUND('',#3003,.T.); -#1689=FACE_OUTER_BOUND('',#3004,.T.); -#1690=FACE_OUTER_BOUND('',#3005,.T.); -#1691=FACE_OUTER_BOUND('',#3006,.T.); -#1692=FACE_OUTER_BOUND('',#3007,.T.); -#1693=FACE_OUTER_BOUND('',#3008,.T.); -#1694=FACE_OUTER_BOUND('',#3009,.T.); -#1695=FACE_OUTER_BOUND('',#3010,.T.); -#1696=FACE_OUTER_BOUND('',#3011,.T.); -#1697=FACE_OUTER_BOUND('',#3012,.T.); -#1698=FACE_OUTER_BOUND('',#3013,.T.); -#1699=FACE_OUTER_BOUND('',#3014,.T.); -#1700=FACE_OUTER_BOUND('',#3015,.T.); -#1701=FACE_OUTER_BOUND('',#3016,.T.); -#1702=FACE_OUTER_BOUND('',#3017,.T.); -#1703=FACE_OUTER_BOUND('',#3018,.T.); -#1704=FACE_OUTER_BOUND('',#3019,.T.); -#1705=FACE_OUTER_BOUND('',#3020,.T.); -#1706=FACE_OUTER_BOUND('',#3021,.T.); -#1707=FACE_OUTER_BOUND('',#3022,.T.); -#1708=FACE_OUTER_BOUND('',#3023,.T.); -#1709=FACE_OUTER_BOUND('',#3024,.T.); -#1710=FACE_OUTER_BOUND('',#3025,.T.); -#1711=FACE_OUTER_BOUND('',#3026,.T.); -#1712=FACE_OUTER_BOUND('',#3027,.T.); -#1713=FACE_OUTER_BOUND('',#3028,.T.); -#1714=FACE_OUTER_BOUND('',#3029,.T.); -#1715=FACE_OUTER_BOUND('',#3030,.T.); -#1716=FACE_OUTER_BOUND('',#3031,.T.); -#1717=FACE_OUTER_BOUND('',#3032,.T.); -#1718=FACE_OUTER_BOUND('',#3033,.T.); -#1719=FACE_OUTER_BOUND('',#3034,.T.); -#1720=FACE_OUTER_BOUND('',#3035,.T.); -#1721=FACE_OUTER_BOUND('',#3036,.T.); -#1722=FACE_OUTER_BOUND('',#3037,.T.); -#1723=FACE_OUTER_BOUND('',#3038,.T.); -#1724=FACE_OUTER_BOUND('',#3039,.T.); -#1725=FACE_OUTER_BOUND('',#3040,.T.); -#1726=FACE_OUTER_BOUND('',#3041,.T.); -#1727=FACE_OUTER_BOUND('',#3042,.T.); -#1728=FACE_OUTER_BOUND('',#3043,.T.); -#1729=FACE_OUTER_BOUND('',#3044,.T.); -#1730=FACE_OUTER_BOUND('',#3045,.T.); -#1731=FACE_OUTER_BOUND('',#3046,.T.); -#1732=FACE_OUTER_BOUND('',#3047,.T.); -#1733=FACE_OUTER_BOUND('',#3048,.T.); -#1734=FACE_OUTER_BOUND('',#3049,.T.); -#1735=FACE_OUTER_BOUND('',#3050,.T.); -#1736=FACE_OUTER_BOUND('',#3051,.T.); -#1737=FACE_OUTER_BOUND('',#3052,.T.); -#1738=FACE_OUTER_BOUND('',#3053,.T.); -#1739=FACE_OUTER_BOUND('',#3054,.T.); -#1740=FACE_OUTER_BOUND('',#3055,.T.); -#1741=FACE_OUTER_BOUND('',#3056,.T.); -#1742=FACE_OUTER_BOUND('',#3057,.T.); -#1743=FACE_OUTER_BOUND('',#3058,.T.); -#1744=FACE_OUTER_BOUND('',#3060,.T.); -#1745=FACE_OUTER_BOUND('',#3061,.T.); -#1746=FACE_OUTER_BOUND('',#3062,.T.); -#1747=FACE_OUTER_BOUND('',#3063,.T.); -#1748=FACE_OUTER_BOUND('',#3064,.T.); -#1749=FACE_OUTER_BOUND('',#3065,.T.); -#1750=FACE_OUTER_BOUND('',#3066,.T.); -#1751=FACE_OUTER_BOUND('',#3067,.T.); -#1752=FACE_OUTER_BOUND('',#3068,.T.); -#1753=FACE_OUTER_BOUND('',#3069,.T.); -#1754=FACE_OUTER_BOUND('',#3070,.T.); -#1755=FACE_OUTER_BOUND('',#3071,.T.); -#1756=FACE_OUTER_BOUND('',#3072,.T.); -#1757=FACE_OUTER_BOUND('',#3073,.T.); -#1758=FACE_OUTER_BOUND('',#3074,.T.); -#1759=FACE_OUTER_BOUND('',#3075,.T.); -#1760=FACE_OUTER_BOUND('',#3076,.T.); -#1761=FACE_OUTER_BOUND('',#3077,.T.); -#1762=FACE_OUTER_BOUND('',#3078,.T.); -#1763=FACE_OUTER_BOUND('',#3079,.T.); -#1764=FACE_OUTER_BOUND('',#3080,.T.); -#1765=FACE_OUTER_BOUND('',#3081,.T.); -#1766=FACE_OUTER_BOUND('',#3082,.T.); -#1767=FACE_OUTER_BOUND('',#3083,.T.); -#1768=FACE_OUTER_BOUND('',#3084,.T.); -#1769=FACE_OUTER_BOUND('',#3085,.T.); -#1770=FACE_OUTER_BOUND('',#3086,.T.); -#1771=FACE_OUTER_BOUND('',#3087,.T.); -#1772=FACE_OUTER_BOUND('',#3088,.T.); -#1773=FACE_OUTER_BOUND('',#3089,.T.); -#1774=FACE_OUTER_BOUND('',#3090,.T.); -#1775=FACE_OUTER_BOUND('',#3091,.T.); -#1776=FACE_OUTER_BOUND('',#3092,.T.); -#1777=FACE_OUTER_BOUND('',#3093,.T.); -#1778=FACE_OUTER_BOUND('',#3094,.T.); -#1779=FACE_OUTER_BOUND('',#3095,.T.); -#1780=FACE_OUTER_BOUND('',#3096,.T.); -#1781=FACE_OUTER_BOUND('',#3097,.T.); -#1782=FACE_OUTER_BOUND('',#3098,.T.); -#1783=FACE_OUTER_BOUND('',#3099,.T.); -#1784=FACE_OUTER_BOUND('',#3100,.T.); -#1785=FACE_OUTER_BOUND('',#3101,.T.); -#1786=FACE_OUTER_BOUND('',#3102,.T.); -#1787=FACE_OUTER_BOUND('',#3103,.T.); -#1788=FACE_OUTER_BOUND('',#3104,.T.); -#1789=FACE_OUTER_BOUND('',#3105,.T.); -#1790=FACE_OUTER_BOUND('',#3106,.T.); -#1791=FACE_OUTER_BOUND('',#3107,.T.); -#1792=FACE_OUTER_BOUND('',#3108,.T.); -#1793=FACE_OUTER_BOUND('',#3109,.T.); -#1794=FACE_OUTER_BOUND('',#3110,.T.); -#1795=FACE_OUTER_BOUND('',#3111,.T.); -#1796=FACE_OUTER_BOUND('',#3112,.T.); -#1797=FACE_OUTER_BOUND('',#3113,.T.); -#1798=FACE_OUTER_BOUND('',#3114,.T.); -#1799=FACE_OUTER_BOUND('',#3115,.T.); -#1800=FACE_OUTER_BOUND('',#3116,.T.); -#1801=FACE_OUTER_BOUND('',#3117,.T.); -#1802=FACE_OUTER_BOUND('',#3118,.T.); -#1803=FACE_OUTER_BOUND('',#3119,.T.); -#1804=FACE_OUTER_BOUND('',#3120,.T.); -#1805=FACE_OUTER_BOUND('',#3121,.T.); -#1806=FACE_OUTER_BOUND('',#3122,.T.); -#1807=FACE_OUTER_BOUND('',#3123,.T.); -#1808=FACE_OUTER_BOUND('',#3124,.T.); -#1809=FACE_OUTER_BOUND('',#3125,.T.); -#1810=FACE_OUTER_BOUND('',#3126,.T.); -#1811=FACE_OUTER_BOUND('',#3127,.T.); -#1812=FACE_OUTER_BOUND('',#3128,.T.); -#1813=FACE_OUTER_BOUND('',#3129,.T.); -#1814=FACE_OUTER_BOUND('',#3130,.T.); -#1815=FACE_OUTER_BOUND('',#3131,.T.); -#1816=FACE_OUTER_BOUND('',#3132,.T.); -#1817=FACE_OUTER_BOUND('',#3133,.T.); -#1818=FACE_OUTER_BOUND('',#3134,.T.); -#1819=FACE_OUTER_BOUND('',#3135,.T.); -#1820=FACE_OUTER_BOUND('',#3136,.T.); -#1821=FACE_OUTER_BOUND('',#3137,.T.); -#1822=FACE_OUTER_BOUND('',#3138,.T.); -#1823=FACE_OUTER_BOUND('',#3139,.T.); -#1824=FACE_OUTER_BOUND('',#3140,.T.); -#1825=FACE_OUTER_BOUND('',#3141,.T.); -#1826=FACE_OUTER_BOUND('',#3142,.T.); -#1827=FACE_OUTER_BOUND('',#3143,.T.); -#1828=FACE_OUTER_BOUND('',#3144,.T.); -#1829=FACE_OUTER_BOUND('',#3145,.T.); -#1830=FACE_OUTER_BOUND('',#3146,.T.); -#1831=FACE_OUTER_BOUND('',#3147,.T.); -#1832=FACE_OUTER_BOUND('',#3148,.T.); -#1833=FACE_OUTER_BOUND('',#3149,.T.); -#1834=FACE_OUTER_BOUND('',#3150,.T.); -#1835=FACE_OUTER_BOUND('',#3151,.T.); -#1836=FACE_OUTER_BOUND('',#3152,.T.); -#1837=FACE_OUTER_BOUND('',#3153,.T.); -#1838=FACE_OUTER_BOUND('',#3154,.T.); -#1839=FACE_OUTER_BOUND('',#3155,.T.); -#1840=FACE_OUTER_BOUND('',#3156,.T.); -#1841=FACE_OUTER_BOUND('',#3157,.T.); -#1842=FACE_OUTER_BOUND('',#3158,.T.); -#1843=FACE_OUTER_BOUND('',#3159,.T.); -#1844=FACE_OUTER_BOUND('',#3160,.T.); -#1845=FACE_OUTER_BOUND('',#3161,.T.); -#1846=FACE_OUTER_BOUND('',#3162,.T.); -#1847=FACE_OUTER_BOUND('',#3163,.T.); -#1848=FACE_OUTER_BOUND('',#3164,.T.); -#1849=FACE_OUTER_BOUND('',#3165,.T.); -#1850=FACE_OUTER_BOUND('',#3166,.T.); -#1851=FACE_OUTER_BOUND('',#3167,.T.); -#1852=FACE_OUTER_BOUND('',#3168,.T.); -#1853=FACE_OUTER_BOUND('',#3169,.T.); -#1854=FACE_OUTER_BOUND('',#3170,.T.); -#1855=FACE_OUTER_BOUND('',#3171,.T.); -#1856=FACE_OUTER_BOUND('',#3172,.T.); -#1857=FACE_OUTER_BOUND('',#3173,.T.); -#1858=FACE_OUTER_BOUND('',#3174,.T.); -#1859=FACE_OUTER_BOUND('',#3175,.T.); -#1860=FACE_OUTER_BOUND('',#3176,.T.); -#1861=FACE_OUTER_BOUND('',#3177,.T.); -#1862=FACE_OUTER_BOUND('',#3178,.T.); -#1863=FACE_OUTER_BOUND('',#3179,.T.); -#1864=FACE_OUTER_BOUND('',#3180,.T.); -#1865=FACE_OUTER_BOUND('',#3181,.T.); -#1866=FACE_OUTER_BOUND('',#3182,.T.); -#1867=FACE_OUTER_BOUND('',#3183,.T.); -#1868=FACE_OUTER_BOUND('',#3184,.T.); -#1869=FACE_OUTER_BOUND('',#3185,.T.); -#1870=FACE_OUTER_BOUND('',#3186,.T.); -#1871=FACE_OUTER_BOUND('',#3187,.T.); -#1872=FACE_OUTER_BOUND('',#3188,.T.); -#1873=FACE_OUTER_BOUND('',#3189,.T.); -#1874=FACE_OUTER_BOUND('',#3190,.T.); -#1875=FACE_OUTER_BOUND('',#3191,.T.); -#1876=FACE_OUTER_BOUND('',#3192,.T.); -#1877=FACE_OUTER_BOUND('',#3193,.T.); -#1878=FACE_OUTER_BOUND('',#3194,.T.); -#1879=FACE_OUTER_BOUND('',#3195,.T.); -#1880=FACE_OUTER_BOUND('',#3196,.T.); -#1881=FACE_OUTER_BOUND('',#3197,.T.); -#1882=FACE_OUTER_BOUND('',#3198,.T.); -#1883=FACE_OUTER_BOUND('',#3199,.T.); -#1884=FACE_OUTER_BOUND('',#3200,.T.); -#1885=FACE_OUTER_BOUND('',#3201,.T.); -#1886=FACE_OUTER_BOUND('',#3202,.T.); -#1887=FACE_OUTER_BOUND('',#3203,.T.); -#1888=FACE_OUTER_BOUND('',#3204,.T.); -#1889=FACE_OUTER_BOUND('',#3206,.T.); -#1890=FACE_OUTER_BOUND('',#3207,.T.); -#1891=FACE_OUTER_BOUND('',#3208,.T.); -#1892=FACE_OUTER_BOUND('',#3209,.T.); -#1893=FACE_OUTER_BOUND('',#3210,.T.); -#1894=FACE_OUTER_BOUND('',#3211,.T.); -#1895=FACE_OUTER_BOUND('',#3212,.T.); -#1896=FACE_OUTER_BOUND('',#3213,.T.); -#1897=FACE_OUTER_BOUND('',#3214,.T.); -#1898=FACE_OUTER_BOUND('',#3215,.T.); -#1899=FACE_OUTER_BOUND('',#3216,.T.); -#1900=FACE_OUTER_BOUND('',#3217,.T.); -#1901=FACE_OUTER_BOUND('',#3218,.T.); -#1902=FACE_OUTER_BOUND('',#3219,.T.); -#1903=FACE_OUTER_BOUND('',#3220,.T.); -#1904=FACE_OUTER_BOUND('',#3221,.T.); -#1905=FACE_OUTER_BOUND('',#3222,.T.); -#1906=FACE_OUTER_BOUND('',#3223,.T.); -#1907=FACE_OUTER_BOUND('',#3224,.T.); -#1908=FACE_OUTER_BOUND('',#3225,.T.); -#1909=FACE_OUTER_BOUND('',#3226,.T.); -#1910=FACE_OUTER_BOUND('',#3227,.T.); -#1911=FACE_OUTER_BOUND('',#3228,.T.); -#1912=FACE_OUTER_BOUND('',#3229,.T.); -#1913=FACE_OUTER_BOUND('',#3230,.T.); -#1914=FACE_OUTER_BOUND('',#3231,.T.); -#1915=FACE_OUTER_BOUND('',#3232,.T.); -#1916=FACE_OUTER_BOUND('',#3233,.T.); -#1917=FACE_OUTER_BOUND('',#3234,.T.); -#1918=FACE_OUTER_BOUND('',#3235,.T.); -#1919=FACE_OUTER_BOUND('',#3236,.T.); -#1920=FACE_OUTER_BOUND('',#3239,.T.); -#1921=FACE_OUTER_BOUND('',#3240,.T.); -#1922=FACE_OUTER_BOUND('',#3241,.T.); -#1923=FACE_OUTER_BOUND('',#3242,.T.); -#1924=FACE_OUTER_BOUND('',#3272,.T.); -#1925=FACE_OUTER_BOUND('',#3273,.T.); -#1926=FACE_OUTER_BOUND('',#3274,.T.); -#1927=FACE_OUTER_BOUND('',#3384,.T.); -#1928=FACE_OUTER_BOUND('',#3385,.T.); -#1929=FACE_OUTER_BOUND('',#3386,.T.); -#1930=FACE_OUTER_BOUND('',#3387,.T.); -#1931=FACE_OUTER_BOUND('',#3388,.T.); -#1932=FACE_OUTER_BOUND('',#3389,.T.); -#1933=FACE_OUTER_BOUND('',#3390,.T.); -#1934=FACE_OUTER_BOUND('',#3391,.T.); -#1935=FACE_OUTER_BOUND('',#3392,.T.); -#1936=FACE_OUTER_BOUND('',#3393,.T.); -#1937=FACE_OUTER_BOUND('',#3394,.T.); -#1938=FACE_OUTER_BOUND('',#3395,.T.); -#1939=FACE_OUTER_BOUND('',#3396,.T.); -#1940=FACE_OUTER_BOUND('',#3397,.T.); -#1941=FACE_OUTER_BOUND('',#3398,.T.); -#1942=FACE_OUTER_BOUND('',#3399,.T.); -#1943=FACE_OUTER_BOUND('',#3400,.T.); -#1944=FACE_OUTER_BOUND('',#3401,.T.); -#1945=FACE_OUTER_BOUND('',#3402,.T.); -#1946=FACE_OUTER_BOUND('',#3403,.T.); -#1947=FACE_OUTER_BOUND('',#3404,.T.); -#1948=FACE_OUTER_BOUND('',#3405,.T.); -#1949=FACE_OUTER_BOUND('',#3406,.T.); -#1950=FACE_OUTER_BOUND('',#3407,.T.); -#1951=FACE_OUTER_BOUND('',#3408,.T.); -#1952=FACE_OUTER_BOUND('',#3409,.T.); -#1953=FACE_OUTER_BOUND('',#3410,.T.); -#1954=FACE_OUTER_BOUND('',#3411,.T.); -#1955=FACE_OUTER_BOUND('',#3412,.T.); -#1956=FACE_OUTER_BOUND('',#3413,.T.); -#1957=FACE_OUTER_BOUND('',#3414,.T.); -#1958=FACE_OUTER_BOUND('',#3415,.T.); -#1959=FACE_OUTER_BOUND('',#3416,.T.); -#1960=FACE_OUTER_BOUND('',#3417,.T.); -#1961=FACE_OUTER_BOUND('',#3418,.T.); -#1962=FACE_OUTER_BOUND('',#3419,.T.); -#1963=FACE_OUTER_BOUND('',#3420,.T.); -#1964=FACE_OUTER_BOUND('',#3421,.T.); -#1965=FACE_OUTER_BOUND('',#3422,.T.); -#1966=FACE_OUTER_BOUND('',#3423,.T.); -#1967=FACE_OUTER_BOUND('',#3424,.T.); -#1968=FACE_OUTER_BOUND('',#3425,.T.); -#1969=FACE_OUTER_BOUND('',#3426,.T.); -#1970=FACE_OUTER_BOUND('',#3427,.T.); -#1971=FACE_OUTER_BOUND('',#3428,.T.); -#1972=FACE_OUTER_BOUND('',#3429,.T.); -#1973=FACE_OUTER_BOUND('',#3430,.T.); -#1974=FACE_OUTER_BOUND('',#3431,.T.); -#1975=FACE_OUTER_BOUND('',#3432,.T.); -#1976=FACE_OUTER_BOUND('',#3433,.T.); -#1977=FACE_OUTER_BOUND('',#3434,.T.); -#1978=FACE_OUTER_BOUND('',#3435,.T.); -#1979=FACE_OUTER_BOUND('',#3436,.T.); -#1980=FACE_OUTER_BOUND('',#3437,.T.); -#1981=FACE_OUTER_BOUND('',#3438,.T.); -#1982=FACE_OUTER_BOUND('',#3439,.T.); -#1983=FACE_OUTER_BOUND('',#3440,.T.); -#1984=FACE_OUTER_BOUND('',#3441,.T.); -#1985=FACE_OUTER_BOUND('',#3443,.T.); -#1986=FACE_OUTER_BOUND('',#3445,.T.); -#1987=FACE_OUTER_BOUND('',#3446,.T.); -#1988=FACE_OUTER_BOUND('',#3447,.T.); -#1989=FACE_OUTER_BOUND('',#3448,.T.); -#1990=FACE_OUTER_BOUND('',#3449,.T.); -#1991=FACE_OUTER_BOUND('',#3450,.T.); -#1992=FACE_OUTER_BOUND('',#3451,.T.); -#1993=FACE_OUTER_BOUND('',#3452,.T.); -#1994=FACE_OUTER_BOUND('',#3453,.T.); -#1995=FACE_OUTER_BOUND('',#3454,.T.); -#1996=FACE_OUTER_BOUND('',#3455,.T.); -#1997=FACE_OUTER_BOUND('',#3456,.T.); -#1998=FACE_OUTER_BOUND('',#3457,.T.); -#1999=FACE_OUTER_BOUND('',#3458,.T.); -#2000=FACE_OUTER_BOUND('',#3459,.T.); -#2001=FACE_OUTER_BOUND('',#3460,.T.); -#2002=FACE_OUTER_BOUND('',#3461,.T.); -#2003=FACE_OUTER_BOUND('',#3462,.T.); -#2004=FACE_OUTER_BOUND('',#3463,.T.); -#2005=FACE_OUTER_BOUND('',#3464,.T.); -#2006=FACE_OUTER_BOUND('',#3465,.T.); -#2007=FACE_OUTER_BOUND('',#3466,.T.); -#2008=FACE_OUTER_BOUND('',#3467,.T.); -#2009=FACE_OUTER_BOUND('',#3468,.T.); -#2010=FACE_OUTER_BOUND('',#3469,.T.); -#2011=FACE_OUTER_BOUND('',#3470,.T.); -#2012=FACE_OUTER_BOUND('',#3471,.T.); -#2013=FACE_OUTER_BOUND('',#3472,.T.); -#2014=FACE_OUTER_BOUND('',#3473,.T.); -#2015=FACE_OUTER_BOUND('',#3474,.T.); -#2016=FACE_OUTER_BOUND('',#3475,.T.); -#2017=FACE_OUTER_BOUND('',#3476,.T.); -#2018=FACE_OUTER_BOUND('',#3477,.T.); -#2019=FACE_OUTER_BOUND('',#3478,.T.); -#2020=FACE_OUTER_BOUND('',#3479,.T.); -#2021=FACE_OUTER_BOUND('',#3480,.T.); -#2022=FACE_OUTER_BOUND('',#3481,.T.); -#2023=FACE_OUTER_BOUND('',#3482,.T.); -#2024=FACE_OUTER_BOUND('',#3483,.T.); -#2025=FACE_OUTER_BOUND('',#3484,.T.); -#2026=FACE_OUTER_BOUND('',#3485,.T.); -#2027=FACE_OUTER_BOUND('',#3486,.T.); -#2028=FACE_OUTER_BOUND('',#3487,.T.); -#2029=FACE_OUTER_BOUND('',#3488,.T.); -#2030=FACE_OUTER_BOUND('',#3490,.T.); -#2031=FACE_OUTER_BOUND('',#3491,.T.); -#2032=FACE_OUTER_BOUND('',#3492,.T.); -#2033=FACE_OUTER_BOUND('',#3493,.T.); -#2034=FACE_OUTER_BOUND('',#3494,.T.); -#2035=FACE_OUTER_BOUND('',#3495,.T.); -#2036=FACE_OUTER_BOUND('',#3496,.T.); -#2037=FACE_OUTER_BOUND('',#3497,.T.); -#2038=FACE_OUTER_BOUND('',#3498,.T.); -#2039=FACE_OUTER_BOUND('',#3499,.T.); -#2040=FACE_OUTER_BOUND('',#3500,.T.); -#2041=FACE_OUTER_BOUND('',#3501,.T.); -#2042=FACE_OUTER_BOUND('',#3502,.T.); -#2043=FACE_OUTER_BOUND('',#3503,.T.); -#2044=FACE_OUTER_BOUND('',#3504,.T.); -#2045=FACE_OUTER_BOUND('',#3505,.T.); -#2046=FACE_OUTER_BOUND('',#3506,.T.); -#2047=FACE_OUTER_BOUND('',#3507,.T.); -#2048=FACE_OUTER_BOUND('',#3508,.T.); -#2049=FACE_OUTER_BOUND('',#3509,.T.); -#2050=FACE_OUTER_BOUND('',#3510,.T.); -#2051=FACE_OUTER_BOUND('',#3511,.T.); -#2052=FACE_OUTER_BOUND('',#3512,.T.); -#2053=FACE_OUTER_BOUND('',#3513,.T.); -#2054=FACE_OUTER_BOUND('',#3514,.T.); -#2055=FACE_OUTER_BOUND('',#3515,.T.); -#2056=FACE_OUTER_BOUND('',#3516,.T.); -#2057=FACE_OUTER_BOUND('',#3517,.T.); -#2058=FACE_OUTER_BOUND('',#3518,.T.); -#2059=FACE_OUTER_BOUND('',#3519,.T.); -#2060=FACE_OUTER_BOUND('',#3520,.T.); -#2061=FACE_OUTER_BOUND('',#3521,.T.); -#2062=FACE_OUTER_BOUND('',#3522,.T.); -#2063=FACE_OUTER_BOUND('',#3523,.T.); -#2064=FACE_OUTER_BOUND('',#3524,.T.); -#2065=FACE_OUTER_BOUND('',#3525,.T.); -#2066=FACE_OUTER_BOUND('',#3526,.T.); -#2067=FACE_OUTER_BOUND('',#3527,.T.); -#2068=FACE_OUTER_BOUND('',#3528,.T.); -#2069=FACE_OUTER_BOUND('',#3529,.T.); -#2070=FACE_OUTER_BOUND('',#3530,.T.); -#2071=FACE_OUTER_BOUND('',#3531,.T.); -#2072=FACE_OUTER_BOUND('',#3532,.T.); -#2073=FACE_OUTER_BOUND('',#3533,.T.); -#2074=FACE_OUTER_BOUND('',#3534,.T.); -#2075=FACE_OUTER_BOUND('',#3535,.T.); -#2076=FACE_OUTER_BOUND('',#3537,.T.); -#2077=FACE_OUTER_BOUND('',#3538,.T.); -#2078=FACE_OUTER_BOUND('',#3539,.T.); -#2079=FACE_OUTER_BOUND('',#3540,.T.); -#2080=FACE_OUTER_BOUND('',#3541,.T.); -#2081=FACE_OUTER_BOUND('',#3542,.T.); -#2082=FACE_OUTER_BOUND('',#3543,.T.); -#2083=FACE_OUTER_BOUND('',#3544,.T.); -#2084=FACE_OUTER_BOUND('',#3545,.T.); -#2085=FACE_OUTER_BOUND('',#3546,.T.); -#2086=FACE_OUTER_BOUND('',#3547,.T.); -#2087=FACE_OUTER_BOUND('',#3548,.T.); -#2088=FACE_OUTER_BOUND('',#3549,.T.); -#2089=FACE_OUTER_BOUND('',#3550,.T.); -#2090=FACE_OUTER_BOUND('',#3551,.T.); -#2091=FACE_OUTER_BOUND('',#3552,.T.); -#2092=FACE_OUTER_BOUND('',#3553,.T.); -#2093=FACE_OUTER_BOUND('',#3554,.T.); -#2094=FACE_OUTER_BOUND('',#3559,.T.); -#2095=FACE_OUTER_BOUND('',#3560,.T.); -#2096=FACE_OUTER_BOUND('',#3565,.T.); -#2097=FACE_OUTER_BOUND('',#3566,.T.); -#2098=FACE_OUTER_BOUND('',#3567,.T.); -#2099=FACE_OUTER_BOUND('',#3568,.T.); -#2100=FACE_OUTER_BOUND('',#3569,.T.); -#2101=FACE_OUTER_BOUND('',#3570,.T.); -#2102=FACE_OUTER_BOUND('',#3571,.T.); -#2103=FACE_OUTER_BOUND('',#3572,.T.); -#2104=FACE_OUTER_BOUND('',#3573,.T.); -#2105=FACE_OUTER_BOUND('',#3574,.T.); -#2106=FACE_OUTER_BOUND('',#3575,.T.); -#2107=FACE_OUTER_BOUND('',#3576,.T.); -#2108=FACE_OUTER_BOUND('',#3577,.T.); -#2109=FACE_OUTER_BOUND('',#3578,.T.); -#2110=FACE_OUTER_BOUND('',#3579,.T.); -#2111=FACE_OUTER_BOUND('',#3580,.T.); -#2112=FACE_OUTER_BOUND('',#3581,.T.); -#2113=FACE_OUTER_BOUND('',#3582,.T.); -#2114=FACE_OUTER_BOUND('',#3583,.T.); -#2115=FACE_OUTER_BOUND('',#3584,.T.); -#2116=FACE_OUTER_BOUND('',#3585,.T.); -#2117=FACE_OUTER_BOUND('',#3586,.T.); -#2118=FACE_OUTER_BOUND('',#3587,.T.); -#2119=FACE_OUTER_BOUND('',#3588,.T.); -#2120=FACE_OUTER_BOUND('',#3589,.T.); -#2121=FACE_OUTER_BOUND('',#3590,.T.); -#2122=FACE_OUTER_BOUND('',#3591,.T.); -#2123=FACE_OUTER_BOUND('',#3592,.T.); -#2124=FACE_OUTER_BOUND('',#3593,.T.); -#2125=FACE_OUTER_BOUND('',#3594,.T.); -#2126=FACE_OUTER_BOUND('',#3595,.T.); -#2127=FACE_OUTER_BOUND('',#3596,.T.); -#2128=FACE_OUTER_BOUND('',#3597,.T.); -#2129=FACE_OUTER_BOUND('',#3598,.T.); -#2130=FACE_OUTER_BOUND('',#3599,.T.); -#2131=FACE_OUTER_BOUND('',#3600,.T.); -#2132=FACE_OUTER_BOUND('',#3601,.T.); -#2133=FACE_OUTER_BOUND('',#3602,.T.); -#2134=FACE_OUTER_BOUND('',#3603,.T.); -#2135=FACE_OUTER_BOUND('',#3604,.T.); -#2136=FACE_OUTER_BOUND('',#3605,.T.); -#2137=FACE_OUTER_BOUND('',#3606,.T.); -#2138=FACE_OUTER_BOUND('',#3607,.T.); -#2139=FACE_OUTER_BOUND('',#3608,.T.); -#2140=FACE_OUTER_BOUND('',#3609,.T.); -#2141=FACE_OUTER_BOUND('',#3610,.T.); -#2142=FACE_OUTER_BOUND('',#3611,.T.); -#2143=FACE_OUTER_BOUND('',#3612,.T.); -#2144=FACE_OUTER_BOUND('',#3613,.T.); -#2145=FACE_OUTER_BOUND('',#3614,.T.); -#2146=FACE_OUTER_BOUND('',#3615,.T.); -#2147=FACE_OUTER_BOUND('',#3616,.T.); -#2148=FACE_OUTER_BOUND('',#3617,.T.); -#2149=FACE_OUTER_BOUND('',#3618,.T.); -#2150=FACE_OUTER_BOUND('',#3619,.T.); -#2151=FACE_OUTER_BOUND('',#3620,.T.); -#2152=FACE_OUTER_BOUND('',#3621,.T.); -#2153=FACE_OUTER_BOUND('',#3622,.T.); -#2154=FACE_OUTER_BOUND('',#3623,.T.); -#2155=FACE_OUTER_BOUND('',#3624,.T.); -#2156=FACE_OUTER_BOUND('',#3625,.T.); -#2157=FACE_OUTER_BOUND('',#3626,.T.); -#2158=FACE_OUTER_BOUND('',#3627,.T.); -#2159=FACE_OUTER_BOUND('',#3628,.T.); -#2160=FACE_OUTER_BOUND('',#3629,.T.); -#2161=FACE_OUTER_BOUND('',#3630,.T.); -#2162=FACE_OUTER_BOUND('',#3631,.T.); -#2163=FACE_OUTER_BOUND('',#3632,.T.); -#2164=FACE_OUTER_BOUND('',#3633,.T.); -#2165=FACE_OUTER_BOUND('',#3634,.T.); -#2166=FACE_OUTER_BOUND('',#3635,.T.); -#2167=FACE_OUTER_BOUND('',#3636,.T.); -#2168=FACE_OUTER_BOUND('',#3637,.T.); -#2169=FACE_OUTER_BOUND('',#3638,.T.); -#2170=FACE_OUTER_BOUND('',#3639,.T.); -#2171=FACE_OUTER_BOUND('',#3640,.T.); -#2172=FACE_OUTER_BOUND('',#3641,.T.); -#2173=FACE_OUTER_BOUND('',#3642,.T.); -#2174=FACE_OUTER_BOUND('',#3643,.T.); -#2175=FACE_OUTER_BOUND('',#3644,.T.); -#2176=FACE_OUTER_BOUND('',#3645,.T.); -#2177=FACE_OUTER_BOUND('',#3646,.T.); -#2178=FACE_OUTER_BOUND('',#3647,.T.); -#2179=FACE_OUTER_BOUND('',#3648,.T.); -#2180=FACE_OUTER_BOUND('',#3649,.T.); -#2181=FACE_OUTER_BOUND('',#3650,.T.); -#2182=FACE_OUTER_BOUND('',#3651,.T.); -#2183=FACE_OUTER_BOUND('',#3652,.T.); -#2184=FACE_OUTER_BOUND('',#3653,.T.); -#2185=FACE_OUTER_BOUND('',#3654,.T.); -#2186=FACE_OUTER_BOUND('',#3655,.T.); -#2187=FACE_OUTER_BOUND('',#3656,.T.); -#2188=FACE_OUTER_BOUND('',#3657,.T.); -#2189=FACE_OUTER_BOUND('',#3658,.T.); -#2190=FACE_OUTER_BOUND('',#3659,.T.); -#2191=FACE_OUTER_BOUND('',#3660,.T.); -#2192=FACE_OUTER_BOUND('',#3661,.T.); -#2193=FACE_OUTER_BOUND('',#3662,.T.); -#2194=FACE_OUTER_BOUND('',#3663,.T.); -#2195=FACE_OUTER_BOUND('',#3664,.T.); -#2196=FACE_OUTER_BOUND('',#3665,.T.); -#2197=FACE_OUTER_BOUND('',#3666,.T.); -#2198=FACE_OUTER_BOUND('',#3667,.T.); -#2199=FACE_OUTER_BOUND('',#3668,.T.); -#2200=FACE_OUTER_BOUND('',#3669,.T.); -#2201=FACE_OUTER_BOUND('',#3670,.T.); -#2202=FACE_OUTER_BOUND('',#3671,.T.); -#2203=FACE_OUTER_BOUND('',#3672,.T.); -#2204=FACE_OUTER_BOUND('',#3673,.T.); -#2205=FACE_OUTER_BOUND('',#3674,.T.); -#2206=FACE_OUTER_BOUND('',#3675,.T.); -#2207=FACE_OUTER_BOUND('',#3676,.T.); -#2208=FACE_OUTER_BOUND('',#3677,.T.); -#2209=FACE_OUTER_BOUND('',#3678,.T.); -#2210=FACE_OUTER_BOUND('',#3679,.T.); -#2211=FACE_OUTER_BOUND('',#3680,.T.); -#2212=FACE_OUTER_BOUND('',#3681,.T.); -#2213=FACE_OUTER_BOUND('',#3682,.T.); -#2214=FACE_OUTER_BOUND('',#3683,.T.); -#2215=FACE_OUTER_BOUND('',#3684,.T.); -#2216=FACE_OUTER_BOUND('',#3685,.T.); -#2217=FACE_OUTER_BOUND('',#3686,.T.); -#2218=FACE_OUTER_BOUND('',#3687,.T.); -#2219=FACE_OUTER_BOUND('',#3689,.T.); -#2220=FACE_OUTER_BOUND('',#3690,.T.); -#2221=FACE_OUTER_BOUND('',#3691,.T.); -#2222=FACE_OUTER_BOUND('',#3693,.T.); -#2223=FACE_OUTER_BOUND('',#3694,.T.); -#2224=FACE_OUTER_BOUND('',#3695,.T.); -#2225=FACE_OUTER_BOUND('',#3696,.T.); -#2226=FACE_OUTER_BOUND('',#3697,.T.); -#2227=FACE_OUTER_BOUND('',#3698,.T.); -#2228=FACE_OUTER_BOUND('',#3699,.T.); -#2229=FACE_OUTER_BOUND('',#3700,.T.); -#2230=FACE_OUTER_BOUND('',#3701,.T.); -#2231=FACE_OUTER_BOUND('',#3702,.T.); -#2232=FACE_OUTER_BOUND('',#3703,.T.); -#2233=FACE_OUTER_BOUND('',#3704,.T.); -#2234=FACE_OUTER_BOUND('',#3705,.T.); -#2235=FACE_OUTER_BOUND('',#3706,.T.); -#2236=FACE_OUTER_BOUND('',#3707,.T.); -#2237=FACE_OUTER_BOUND('',#3708,.T.); -#2238=FACE_OUTER_BOUND('',#3709,.T.); -#2239=FACE_OUTER_BOUND('',#3710,.T.); -#2240=FACE_OUTER_BOUND('',#3711,.T.); -#2241=FACE_OUTER_BOUND('',#3712,.T.); -#2242=FACE_OUTER_BOUND('',#3713,.T.); -#2243=FACE_OUTER_BOUND('',#3714,.T.); -#2244=FACE_OUTER_BOUND('',#3715,.T.); -#2245=FACE_OUTER_BOUND('',#3716,.T.); -#2246=FACE_OUTER_BOUND('',#3717,.T.); -#2247=FACE_OUTER_BOUND('',#3718,.T.); -#2248=FACE_OUTER_BOUND('',#3719,.T.); -#2249=FACE_OUTER_BOUND('',#3720,.T.); -#2250=FACE_OUTER_BOUND('',#3721,.T.); -#2251=FACE_OUTER_BOUND('',#3722,.T.); -#2252=FACE_OUTER_BOUND('',#3723,.T.); -#2253=FACE_OUTER_BOUND('',#3724,.T.); -#2254=FACE_OUTER_BOUND('',#3725,.T.); -#2255=FACE_OUTER_BOUND('',#3726,.T.); -#2256=FACE_OUTER_BOUND('',#3727,.T.); -#2257=FACE_OUTER_BOUND('',#3728,.T.); -#2258=FACE_OUTER_BOUND('',#3729,.T.); -#2259=FACE_OUTER_BOUND('',#3730,.T.); -#2260=FACE_OUTER_BOUND('',#3731,.T.); -#2261=FACE_OUTER_BOUND('',#3732,.T.); -#2262=FACE_OUTER_BOUND('',#3733,.T.); -#2263=FACE_OUTER_BOUND('',#3734,.T.); -#2264=FACE_OUTER_BOUND('',#3735,.T.); -#2265=FACE_OUTER_BOUND('',#3736,.T.); -#2266=FACE_OUTER_BOUND('',#3737,.T.); -#2267=FACE_OUTER_BOUND('',#3738,.T.); -#2268=FACE_OUTER_BOUND('',#3739,.T.); -#2269=FACE_OUTER_BOUND('',#3740,.T.); -#2270=FACE_OUTER_BOUND('',#3741,.T.); -#2271=FACE_OUTER_BOUND('',#3742,.T.); -#2272=FACE_OUTER_BOUND('',#3743,.T.); -#2273=FACE_OUTER_BOUND('',#3744,.T.); -#2274=FACE_OUTER_BOUND('',#3745,.T.); -#2275=FACE_OUTER_BOUND('',#3746,.T.); -#2276=FACE_OUTER_BOUND('',#3747,.T.); -#2277=FACE_OUTER_BOUND('',#3748,.T.); -#2278=FACE_OUTER_BOUND('',#3749,.T.); -#2279=FACE_OUTER_BOUND('',#3750,.T.); -#2280=FACE_OUTER_BOUND('',#3751,.T.); -#2281=FACE_OUTER_BOUND('',#3752,.T.); -#2282=FACE_OUTER_BOUND('',#3753,.T.); -#2283=FACE_OUTER_BOUND('',#3754,.T.); -#2284=FACE_OUTER_BOUND('',#3755,.T.); -#2285=FACE_OUTER_BOUND('',#3756,.T.); -#2286=FACE_OUTER_BOUND('',#3757,.T.); -#2287=FACE_OUTER_BOUND('',#3758,.T.); -#2288=FACE_OUTER_BOUND('',#3759,.T.); -#2289=FACE_OUTER_BOUND('',#3760,.T.); -#2290=FACE_OUTER_BOUND('',#3761,.T.); -#2291=FACE_OUTER_BOUND('',#3762,.T.); -#2292=FACE_OUTER_BOUND('',#3763,.T.); -#2293=FACE_OUTER_BOUND('',#3764,.T.); -#2294=FACE_OUTER_BOUND('',#3765,.T.); -#2295=FACE_OUTER_BOUND('',#3766,.T.); -#2296=FACE_OUTER_BOUND('',#3767,.T.); -#2297=FACE_OUTER_BOUND('',#3768,.T.); -#2298=FACE_OUTER_BOUND('',#3769,.T.); -#2299=FACE_OUTER_BOUND('',#3770,.T.); -#2300=FACE_OUTER_BOUND('',#3771,.T.); -#2301=FACE_OUTER_BOUND('',#3772,.T.); -#2302=FACE_OUTER_BOUND('',#3773,.T.); -#2303=FACE_OUTER_BOUND('',#3774,.T.); -#2304=FACE_OUTER_BOUND('',#3775,.T.); -#2305=FACE_OUTER_BOUND('',#3776,.T.); -#2306=FACE_OUTER_BOUND('',#3777,.T.); -#2307=FACE_OUTER_BOUND('',#3778,.T.); -#2308=FACE_OUTER_BOUND('',#3779,.T.); -#2309=FACE_OUTER_BOUND('',#3780,.T.); -#2310=FACE_OUTER_BOUND('',#3781,.T.); -#2311=FACE_OUTER_BOUND('',#3782,.T.); -#2312=FACE_OUTER_BOUND('',#3783,.T.); -#2313=FACE_OUTER_BOUND('',#3784,.T.); -#2314=FACE_OUTER_BOUND('',#3785,.T.); -#2315=FACE_OUTER_BOUND('',#3786,.T.); -#2316=FACE_OUTER_BOUND('',#3787,.T.); -#2317=FACE_OUTER_BOUND('',#3788,.T.); -#2318=FACE_OUTER_BOUND('',#3789,.T.); -#2319=FACE_OUTER_BOUND('',#3790,.T.); -#2320=FACE_OUTER_BOUND('',#3791,.T.); -#2321=FACE_OUTER_BOUND('',#3792,.T.); -#2322=FACE_OUTER_BOUND('',#3793,.T.); -#2323=FACE_OUTER_BOUND('',#3794,.T.); -#2324=FACE_OUTER_BOUND('',#3795,.T.); -#2325=FACE_OUTER_BOUND('',#3796,.T.); -#2326=FACE_OUTER_BOUND('',#3797,.T.); -#2327=FACE_OUTER_BOUND('',#3798,.T.); -#2328=FACE_OUTER_BOUND('',#3799,.T.); -#2329=FACE_OUTER_BOUND('',#3800,.T.); -#2330=FACE_OUTER_BOUND('',#3801,.T.); -#2331=FACE_OUTER_BOUND('',#3802,.T.); -#2332=FACE_OUTER_BOUND('',#3803,.T.); -#2333=FACE_OUTER_BOUND('',#3804,.T.); -#2334=FACE_OUTER_BOUND('',#3805,.T.); -#2335=FACE_OUTER_BOUND('',#3806,.T.); -#2336=FACE_OUTER_BOUND('',#3807,.T.); -#2337=FACE_OUTER_BOUND('',#3808,.T.); -#2338=FACE_OUTER_BOUND('',#3809,.T.); -#2339=FACE_OUTER_BOUND('',#3810,.T.); -#2340=FACE_OUTER_BOUND('',#3811,.T.); -#2341=FACE_OUTER_BOUND('',#3812,.T.); -#2342=FACE_OUTER_BOUND('',#3813,.T.); -#2343=FACE_OUTER_BOUND('',#3814,.T.); -#2344=FACE_OUTER_BOUND('',#3815,.T.); -#2345=FACE_OUTER_BOUND('',#3816,.T.); -#2346=FACE_OUTER_BOUND('',#3817,.T.); -#2347=FACE_OUTER_BOUND('',#3818,.T.); -#2348=FACE_OUTER_BOUND('',#3819,.T.); -#2349=FACE_OUTER_BOUND('',#3820,.T.); -#2350=FACE_OUTER_BOUND('',#3821,.T.); -#2351=FACE_OUTER_BOUND('',#3822,.T.); -#2352=FACE_OUTER_BOUND('',#3823,.T.); -#2353=FACE_OUTER_BOUND('',#3824,.T.); -#2354=FACE_OUTER_BOUND('',#3825,.T.); -#2355=FACE_OUTER_BOUND('',#3826,.T.); -#2356=FACE_OUTER_BOUND('',#3827,.T.); -#2357=FACE_OUTER_BOUND('',#3828,.T.); -#2358=FACE_OUTER_BOUND('',#3829,.T.); -#2359=FACE_OUTER_BOUND('',#3830,.T.); -#2360=FACE_OUTER_BOUND('',#3831,.T.); -#2361=FACE_OUTER_BOUND('',#3832,.T.); -#2362=FACE_OUTER_BOUND('',#3833,.T.); -#2363=FACE_OUTER_BOUND('',#3834,.T.); -#2364=FACE_OUTER_BOUND('',#3835,.T.); -#2365=FACE_OUTER_BOUND('',#3836,.T.); -#2366=FACE_OUTER_BOUND('',#3837,.T.); -#2367=FACE_OUTER_BOUND('',#3838,.T.); -#2368=FACE_OUTER_BOUND('',#3839,.T.); -#2369=FACE_OUTER_BOUND('',#3840,.T.); -#2370=FACE_OUTER_BOUND('',#3841,.T.); -#2371=FACE_OUTER_BOUND('',#3842,.T.); -#2372=FACE_OUTER_BOUND('',#3843,.T.); -#2373=FACE_OUTER_BOUND('',#3844,.T.); -#2374=FACE_OUTER_BOUND('',#3845,.T.); -#2375=FACE_OUTER_BOUND('',#3846,.T.); -#2376=FACE_OUTER_BOUND('',#3847,.T.); -#2377=FACE_OUTER_BOUND('',#3848,.T.); -#2378=FACE_OUTER_BOUND('',#3849,.T.); -#2379=FACE_OUTER_BOUND('',#3850,.T.); -#2380=FACE_OUTER_BOUND('',#3851,.T.); -#2381=FACE_OUTER_BOUND('',#3852,.T.); -#2382=FACE_OUTER_BOUND('',#3853,.T.); -#2383=FACE_OUTER_BOUND('',#3854,.T.); -#2384=FACE_OUTER_BOUND('',#3855,.T.); -#2385=FACE_OUTER_BOUND('',#3856,.T.); -#2386=FACE_OUTER_BOUND('',#3857,.T.); -#2387=FACE_OUTER_BOUND('',#3858,.T.); -#2388=FACE_OUTER_BOUND('',#3859,.T.); -#2389=FACE_OUTER_BOUND('',#3860,.T.); -#2390=FACE_OUTER_BOUND('',#3861,.T.); -#2391=FACE_OUTER_BOUND('',#3862,.T.); -#2392=FACE_OUTER_BOUND('',#3863,.T.); -#2393=FACE_OUTER_BOUND('',#3864,.T.); -#2394=FACE_OUTER_BOUND('',#3865,.T.); -#2395=FACE_OUTER_BOUND('',#3866,.T.); -#2396=FACE_OUTER_BOUND('',#3867,.T.); -#2397=FACE_OUTER_BOUND('',#3868,.T.); -#2398=FACE_OUTER_BOUND('',#3869,.T.); -#2399=FACE_OUTER_BOUND('',#3870,.T.); -#2400=FACE_OUTER_BOUND('',#3871,.T.); -#2401=FACE_OUTER_BOUND('',#3872,.T.); -#2402=FACE_OUTER_BOUND('',#3873,.T.); -#2403=FACE_OUTER_BOUND('',#3874,.T.); -#2404=FACE_OUTER_BOUND('',#3875,.T.); -#2405=FACE_OUTER_BOUND('',#3876,.T.); -#2406=FACE_OUTER_BOUND('',#3877,.T.); -#2407=FACE_OUTER_BOUND('',#3878,.T.); -#2408=FACE_OUTER_BOUND('',#3879,.T.); -#2409=FACE_OUTER_BOUND('',#3880,.T.); -#2410=FACE_OUTER_BOUND('',#3881,.T.); -#2411=FACE_OUTER_BOUND('',#3882,.T.); -#2412=FACE_OUTER_BOUND('',#3883,.T.); -#2413=FACE_OUTER_BOUND('',#3884,.T.); -#2414=FACE_OUTER_BOUND('',#3885,.T.); -#2415=FACE_OUTER_BOUND('',#3886,.T.); -#2416=FACE_OUTER_BOUND('',#3887,.T.); -#2417=FACE_OUTER_BOUND('',#3888,.T.); -#2418=FACE_OUTER_BOUND('',#3889,.T.); -#2419=FACE_OUTER_BOUND('',#3890,.T.); -#2420=FACE_OUTER_BOUND('',#3891,.T.); -#2421=FACE_OUTER_BOUND('',#3892,.T.); -#2422=FACE_OUTER_BOUND('',#3893,.T.); -#2423=FACE_OUTER_BOUND('',#3894,.T.); -#2424=FACE_OUTER_BOUND('',#3895,.T.); -#2425=FACE_OUTER_BOUND('',#3896,.T.); -#2426=FACE_OUTER_BOUND('',#3897,.T.); -#2427=FACE_OUTER_BOUND('',#3898,.T.); -#2428=FACE_OUTER_BOUND('',#3899,.T.); -#2429=FACE_OUTER_BOUND('',#3900,.T.); -#2430=FACE_OUTER_BOUND('',#3901,.T.); -#2431=FACE_OUTER_BOUND('',#3902,.T.); -#2432=FACE_OUTER_BOUND('',#3903,.T.); -#2433=FACE_OUTER_BOUND('',#3904,.T.); -#2434=FACE_OUTER_BOUND('',#3905,.T.); -#2435=FACE_OUTER_BOUND('',#3906,.T.); -#2436=FACE_OUTER_BOUND('',#3907,.T.); -#2437=FACE_OUTER_BOUND('',#3908,.T.); -#2438=FACE_OUTER_BOUND('',#3909,.T.); -#2439=FACE_OUTER_BOUND('',#3910,.T.); -#2440=FACE_OUTER_BOUND('',#3911,.T.); -#2441=FACE_OUTER_BOUND('',#3912,.T.); -#2442=FACE_OUTER_BOUND('',#3913,.T.); -#2443=FACE_OUTER_BOUND('',#3914,.T.); -#2444=FACE_OUTER_BOUND('',#3915,.T.); -#2445=FACE_OUTER_BOUND('',#3916,.T.); -#2446=FACE_OUTER_BOUND('',#3917,.T.); -#2447=FACE_OUTER_BOUND('',#3918,.T.); -#2448=FACE_OUTER_BOUND('',#3919,.T.); -#2449=FACE_OUTER_BOUND('',#3920,.T.); -#2450=FACE_OUTER_BOUND('',#3921,.T.); -#2451=FACE_OUTER_BOUND('',#3922,.T.); -#2452=FACE_OUTER_BOUND('',#3923,.T.); -#2453=FACE_OUTER_BOUND('',#3924,.T.); -#2454=FACE_OUTER_BOUND('',#3925,.T.); -#2455=FACE_OUTER_BOUND('',#3926,.T.); -#2456=FACE_OUTER_BOUND('',#3927,.T.); -#2457=FACE_OUTER_BOUND('',#3928,.T.); -#2458=FACE_OUTER_BOUND('',#3929,.T.); -#2459=FACE_OUTER_BOUND('',#3930,.T.); -#2460=FACE_OUTER_BOUND('',#3931,.T.); -#2461=FACE_OUTER_BOUND('',#3932,.T.); -#2462=FACE_OUTER_BOUND('',#3933,.T.); -#2463=FACE_OUTER_BOUND('',#3934,.T.); -#2464=FACE_OUTER_BOUND('',#3935,.T.); -#2465=FACE_OUTER_BOUND('',#3936,.T.); -#2466=FACE_OUTER_BOUND('',#3937,.T.); -#2467=FACE_OUTER_BOUND('',#3938,.T.); -#2468=FACE_OUTER_BOUND('',#3939,.T.); -#2469=FACE_OUTER_BOUND('',#3940,.T.); -#2470=FACE_OUTER_BOUND('',#3941,.T.); -#2471=FACE_OUTER_BOUND('',#3942,.T.); -#2472=FACE_OUTER_BOUND('',#3943,.T.); -#2473=FACE_OUTER_BOUND('',#3944,.T.); -#2474=FACE_OUTER_BOUND('',#3945,.T.); -#2475=FACE_OUTER_BOUND('',#3946,.T.); -#2476=FACE_OUTER_BOUND('',#3947,.T.); -#2477=FACE_OUTER_BOUND('',#3948,.T.); -#2478=FACE_OUTER_BOUND('',#3949,.T.); -#2479=FACE_OUTER_BOUND('',#3950,.T.); -#2480=FACE_OUTER_BOUND('',#3951,.T.); -#2481=FACE_OUTER_BOUND('',#3952,.T.); -#2482=FACE_OUTER_BOUND('',#3953,.T.); -#2483=FACE_OUTER_BOUND('',#3954,.T.); -#2484=FACE_OUTER_BOUND('',#3955,.T.); -#2485=FACE_OUTER_BOUND('',#3956,.T.); -#2486=FACE_OUTER_BOUND('',#3957,.T.); -#2487=FACE_OUTER_BOUND('',#3958,.T.); -#2488=FACE_OUTER_BOUND('',#3959,.T.); -#2489=FACE_OUTER_BOUND('',#3960,.T.); -#2490=FACE_OUTER_BOUND('',#3961,.T.); -#2491=FACE_OUTER_BOUND('',#3962,.T.); -#2492=FACE_OUTER_BOUND('',#3963,.T.); -#2493=FACE_OUTER_BOUND('',#3964,.T.); -#2494=FACE_OUTER_BOUND('',#3965,.T.); -#2495=FACE_OUTER_BOUND('',#3966,.T.); -#2496=FACE_OUTER_BOUND('',#3967,.T.); -#2497=FACE_OUTER_BOUND('',#3968,.T.); -#2498=FACE_OUTER_BOUND('',#3969,.T.); -#2499=FACE_OUTER_BOUND('',#3970,.T.); -#2500=FACE_OUTER_BOUND('',#3971,.T.); -#2501=FACE_OUTER_BOUND('',#3972,.T.); -#2502=FACE_OUTER_BOUND('',#3973,.T.); -#2503=FACE_OUTER_BOUND('',#3974,.T.); -#2504=FACE_OUTER_BOUND('',#3975,.T.); -#2505=FACE_OUTER_BOUND('',#3976,.T.); -#2506=FACE_OUTER_BOUND('',#3977,.T.); -#2507=FACE_OUTER_BOUND('',#3978,.T.); -#2508=FACE_OUTER_BOUND('',#3979,.T.); -#2509=FACE_OUTER_BOUND('',#3980,.T.); -#2510=FACE_OUTER_BOUND('',#3981,.T.); -#2511=FACE_OUTER_BOUND('',#3982,.T.); -#2512=FACE_OUTER_BOUND('',#3983,.T.); -#2513=FACE_OUTER_BOUND('',#3984,.T.); -#2514=FACE_OUTER_BOUND('',#3985,.T.); -#2515=FACE_OUTER_BOUND('',#3986,.T.); -#2516=FACE_OUTER_BOUND('',#3987,.T.); -#2517=FACE_OUTER_BOUND('',#3988,.T.); -#2518=FACE_OUTER_BOUND('',#3989,.T.); -#2519=FACE_OUTER_BOUND('',#3990,.T.); -#2520=FACE_OUTER_BOUND('',#3991,.T.); -#2521=FACE_OUTER_BOUND('',#3992,.T.); -#2522=FACE_OUTER_BOUND('',#3993,.T.); -#2523=FACE_OUTER_BOUND('',#3994,.T.); -#2524=FACE_OUTER_BOUND('',#3995,.T.); -#2525=FACE_OUTER_BOUND('',#3996,.T.); -#2526=FACE_OUTER_BOUND('',#3997,.T.); -#2527=FACE_OUTER_BOUND('',#3998,.T.); -#2528=FACE_OUTER_BOUND('',#3999,.T.); -#2529=FACE_OUTER_BOUND('',#4000,.T.); -#2530=FACE_OUTER_BOUND('',#4001,.T.); -#2531=FACE_OUTER_BOUND('',#4002,.T.); -#2532=FACE_OUTER_BOUND('',#4003,.T.); -#2533=FACE_OUTER_BOUND('',#4004,.T.); -#2534=FACE_OUTER_BOUND('',#4005,.T.); -#2535=FACE_OUTER_BOUND('',#4006,.T.); -#2536=FACE_OUTER_BOUND('',#4007,.T.); -#2537=FACE_OUTER_BOUND('',#4008,.T.); -#2538=FACE_OUTER_BOUND('',#4009,.T.); -#2539=FACE_OUTER_BOUND('',#4010,.T.); -#2540=FACE_OUTER_BOUND('',#4011,.T.); -#2541=FACE_OUTER_BOUND('',#4012,.T.); -#2542=FACE_OUTER_BOUND('',#4013,.T.); -#2543=FACE_OUTER_BOUND('',#4014,.T.); -#2544=FACE_OUTER_BOUND('',#4015,.T.); -#2545=FACE_OUTER_BOUND('',#4016,.T.); -#2546=FACE_OUTER_BOUND('',#4017,.T.); -#2547=FACE_OUTER_BOUND('',#4018,.T.); -#2548=FACE_OUTER_BOUND('',#4019,.T.); -#2549=FACE_OUTER_BOUND('',#4020,.T.); -#2550=FACE_OUTER_BOUND('',#4021,.T.); -#2551=FACE_OUTER_BOUND('',#4022,.T.); -#2552=FACE_OUTER_BOUND('',#4023,.T.); -#2553=FACE_OUTER_BOUND('',#4024,.T.); -#2554=FACE_OUTER_BOUND('',#4025,.T.); -#2555=FACE_OUTER_BOUND('',#4026,.T.); -#2556=FACE_OUTER_BOUND('',#4027,.T.); -#2557=FACE_OUTER_BOUND('',#4028,.T.); -#2558=FACE_OUTER_BOUND('',#4029,.T.); -#2559=FACE_OUTER_BOUND('',#4030,.T.); -#2560=FACE_OUTER_BOUND('',#4031,.T.); -#2561=FACE_OUTER_BOUND('',#4032,.T.); -#2562=FACE_OUTER_BOUND('',#4033,.T.); -#2563=FACE_OUTER_BOUND('',#4034,.T.); -#2564=FACE_OUTER_BOUND('',#4035,.T.); -#2565=FACE_OUTER_BOUND('',#4036,.T.); -#2566=FACE_OUTER_BOUND('',#4037,.T.); -#2567=FACE_OUTER_BOUND('',#4038,.T.); -#2568=FACE_OUTER_BOUND('',#4039,.T.); -#2569=FACE_OUTER_BOUND('',#4040,.T.); -#2570=FACE_OUTER_BOUND('',#4041,.T.); -#2571=FACE_OUTER_BOUND('',#4042,.T.); -#2572=FACE_OUTER_BOUND('',#4043,.T.); -#2573=FACE_OUTER_BOUND('',#4044,.T.); -#2574=FACE_OUTER_BOUND('',#4045,.T.); -#2575=FACE_OUTER_BOUND('',#4046,.T.); -#2576=FACE_OUTER_BOUND('',#4047,.T.); -#2577=FACE_OUTER_BOUND('',#4048,.T.); -#2578=FACE_OUTER_BOUND('',#4049,.T.); -#2579=FACE_OUTER_BOUND('',#4050,.T.); -#2580=FACE_OUTER_BOUND('',#4051,.T.); -#2581=FACE_OUTER_BOUND('',#4052,.T.); -#2582=FACE_OUTER_BOUND('',#4053,.T.); -#2583=FACE_OUTER_BOUND('',#4054,.T.); -#2584=FACE_OUTER_BOUND('',#4055,.T.); -#2585=FACE_OUTER_BOUND('',#4056,.T.); -#2586=FACE_OUTER_BOUND('',#4057,.T.); -#2587=FACE_OUTER_BOUND('',#4058,.T.); -#2588=FACE_OUTER_BOUND('',#4059,.T.); -#2589=FACE_OUTER_BOUND('',#4060,.T.); -#2590=FACE_OUTER_BOUND('',#4061,.T.); -#2591=FACE_OUTER_BOUND('',#4062,.T.); -#2592=FACE_OUTER_BOUND('',#4063,.T.); -#2593=FACE_OUTER_BOUND('',#4064,.T.); -#2594=FACE_OUTER_BOUND('',#4065,.T.); -#2595=FACE_OUTER_BOUND('',#4066,.T.); -#2596=FACE_OUTER_BOUND('',#4067,.T.); -#2597=FACE_OUTER_BOUND('',#4068,.T.); -#2598=FACE_OUTER_BOUND('',#4069,.T.); -#2599=FACE_OUTER_BOUND('',#4070,.T.); -#2600=FACE_OUTER_BOUND('',#4071,.T.); -#2601=FACE_OUTER_BOUND('',#4072,.T.); -#2602=FACE_OUTER_BOUND('',#4073,.T.); -#2603=FACE_OUTER_BOUND('',#4074,.T.); -#2604=FACE_OUTER_BOUND('',#4075,.T.); -#2605=FACE_OUTER_BOUND('',#4076,.T.); -#2606=FACE_OUTER_BOUND('',#4077,.T.); -#2607=FACE_OUTER_BOUND('',#4078,.T.); -#2608=FACE_OUTER_BOUND('',#4079,.T.); -#2609=FACE_OUTER_BOUND('',#4080,.T.); -#2610=FACE_OUTER_BOUND('',#4081,.T.); -#2611=FACE_OUTER_BOUND('',#4082,.T.); -#2612=FACE_OUTER_BOUND('',#4083,.T.); -#2613=FACE_OUTER_BOUND('',#4084,.T.); -#2614=FACE_OUTER_BOUND('',#4085,.T.); -#2615=FACE_OUTER_BOUND('',#4086,.T.); -#2616=FACE_OUTER_BOUND('',#4087,.T.); -#2617=FACE_OUTER_BOUND('',#4088,.T.); -#2618=FACE_OUTER_BOUND('',#4089,.T.); -#2619=FACE_OUTER_BOUND('',#4090,.T.); -#2620=FACE_OUTER_BOUND('',#4091,.T.); -#2621=FACE_OUTER_BOUND('',#4092,.T.); -#2622=FACE_OUTER_BOUND('',#4093,.T.); -#2623=FACE_OUTER_BOUND('',#4094,.T.); -#2624=FACE_OUTER_BOUND('',#4095,.T.); -#2625=FACE_OUTER_BOUND('',#4096,.T.); -#2626=FACE_OUTER_BOUND('',#4097,.T.); -#2627=FACE_OUTER_BOUND('',#4098,.T.); -#2628=FACE_OUTER_BOUND('',#4099,.T.); -#2629=FACE_OUTER_BOUND('',#4100,.T.); -#2630=FACE_OUTER_BOUND('',#4101,.T.); -#2631=FACE_OUTER_BOUND('',#4102,.T.); -#2632=FACE_OUTER_BOUND('',#4103,.T.); -#2633=FACE_OUTER_BOUND('',#4104,.T.); -#2634=FACE_OUTER_BOUND('',#4105,.T.); -#2635=FACE_OUTER_BOUND('',#4106,.T.); -#2636=FACE_OUTER_BOUND('',#4107,.T.); -#2637=FACE_OUTER_BOUND('',#4108,.T.); -#2638=FACE_OUTER_BOUND('',#4109,.T.); -#2639=FACE_OUTER_BOUND('',#4110,.T.); -#2640=FACE_OUTER_BOUND('',#4111,.T.); -#2641=FACE_OUTER_BOUND('',#4112,.T.); -#2642=FACE_OUTER_BOUND('',#4113,.T.); -#2643=FACE_OUTER_BOUND('',#4114,.T.); -#2644=FACE_OUTER_BOUND('',#4115,.T.); -#2645=FACE_OUTER_BOUND('',#4116,.T.); -#2646=FACE_OUTER_BOUND('',#4117,.T.); -#2647=FACE_OUTER_BOUND('',#4118,.T.); -#2648=FACE_OUTER_BOUND('',#4119,.T.); -#2649=FACE_OUTER_BOUND('',#4120,.T.); -#2650=FACE_OUTER_BOUND('',#4121,.T.); -#2651=FACE_OUTER_BOUND('',#4122,.T.); -#2652=FACE_OUTER_BOUND('',#4123,.T.); -#2653=FACE_OUTER_BOUND('',#4124,.T.); -#2654=FACE_OUTER_BOUND('',#4125,.T.); -#2655=FACE_OUTER_BOUND('',#4126,.T.); -#2656=FACE_OUTER_BOUND('',#4127,.T.); -#2657=FACE_OUTER_BOUND('',#4128,.T.); -#2658=FACE_OUTER_BOUND('',#4129,.T.); -#2659=FACE_OUTER_BOUND('',#4130,.T.); -#2660=FACE_OUTER_BOUND('',#4131,.T.); -#2661=FACE_OUTER_BOUND('',#4132,.T.); -#2662=FACE_OUTER_BOUND('',#4133,.T.); -#2663=FACE_OUTER_BOUND('',#4134,.T.); -#2664=FACE_OUTER_BOUND('',#4135,.T.); -#2665=FACE_OUTER_BOUND('',#4136,.T.); -#2666=FACE_OUTER_BOUND('',#4137,.T.); -#2667=FACE_OUTER_BOUND('',#4138,.T.); -#2668=FACE_OUTER_BOUND('',#4139,.T.); -#2669=FACE_OUTER_BOUND('',#4140,.T.); -#2670=EDGE_LOOP('',(#16547,#16548,#16549,#16550,#16551,#16552)); -#2671=EDGE_LOOP('',(#16553,#16554,#16555,#16556,#16557,#16558)); -#2672=EDGE_LOOP('',(#16559,#16560,#16561,#16562,#16563,#16564)); -#2673=EDGE_LOOP('',(#16565,#16566,#16567,#16568)); -#2674=EDGE_LOOP('',(#16569,#16570,#16571,#16572,#16573,#16574,#16575,#16576, -#16577,#16578,#16579,#16580,#16581,#16582,#16583,#16584,#16585,#16586,#16587, -#16588,#16589,#16590)); -#2675=EDGE_LOOP('',(#16591,#16592,#16593,#16594,#16595,#16596,#16597,#16598, -#16599,#16600)); -#2676=EDGE_LOOP('',(#16601,#16602,#16603,#16604,#16605,#16606,#16607,#16608, -#16609,#16610)); -#2677=EDGE_LOOP('',(#16611,#16612,#16613,#16614,#16615,#16616,#16617,#16618, -#16619,#16620,#16621,#16622,#16623,#16624)); -#2678=EDGE_LOOP('',(#16625,#16626,#16627,#16628,#16629,#16630,#16631,#16632, -#16633,#16634,#16635,#16636,#16637,#16638)); -#2679=EDGE_LOOP('',(#16639,#16640,#16641,#16642)); -#2680=EDGE_LOOP('',(#16643,#16644,#16645,#16646,#16647,#16648,#16649,#16650, -#16651,#16652,#16653,#16654)); -#2681=EDGE_LOOP('',(#16655,#16656,#16657,#16658,#16659,#16660,#16661,#16662)); -#2682=EDGE_LOOP('',(#16663,#16664,#16665,#16666,#16667,#16668,#16669,#16670, -#16671,#16672,#16673,#16674,#16675,#16676,#16677,#16678,#16679,#16680,#16681, -#16682,#16683,#16684,#16685,#16686,#16687,#16688,#16689,#16690)); -#2683=EDGE_LOOP('',(#16691,#16692,#16693,#16694,#16695,#16696,#16697,#16698, -#16699,#16700,#16701,#16702)); -#2684=EDGE_LOOP('',(#16703,#16704,#16705,#16706,#16707,#16708,#16709,#16710, -#16711,#16712,#16713,#16714,#16715,#16716,#16717,#16718,#16719,#16720,#16721, -#16722,#16723,#16724,#16725,#16726,#16727,#16728,#16729,#16730)); -#2685=EDGE_LOOP('',(#16731,#16732,#16733,#16734,#16735,#16736,#16737,#16738, -#16739,#16740)); -#2686=EDGE_LOOP('',(#16741,#16742,#16743,#16744)); -#2687=EDGE_LOOP('',(#16745,#16746,#16747,#16748,#16749,#16750,#16751,#16752, -#16753,#16754,#16755,#16756,#16757,#16758,#16759,#16760,#16761,#16762,#16763, -#16764,#16765,#16766,#16767,#16768,#16769,#16770,#16771,#16772)); -#2688=EDGE_LOOP('',(#16773,#16774,#16775,#16776,#16777,#16778,#16779,#16780, -#16781,#16782,#16783,#16784)); -#2689=EDGE_LOOP('',(#16785,#16786,#16787,#16788)); -#2690=EDGE_LOOP('',(#16789,#16790,#16791,#16792)); -#2691=EDGE_LOOP('',(#16793,#16794,#16795,#16796,#16797,#16798,#16799)); -#2692=EDGE_LOOP('',(#16800,#16801,#16802,#16803,#16804,#16805,#16806)); -#2693=EDGE_LOOP('',(#16807,#16808,#16809,#16810,#16811)); -#2694=EDGE_LOOP('',(#16812,#16813,#16814,#16815,#16816,#16817,#16818,#16819, -#16820,#16821,#16822,#16823)); -#2695=EDGE_LOOP('',(#16824,#16825,#16826,#16827,#16828,#16829,#16830,#16831)); -#2696=EDGE_LOOP('',(#16832,#16833,#16834,#16835,#16836,#16837,#16838,#16839, -#16840,#16841,#16842,#16843,#16844,#16845,#16846,#16847,#16848,#16849,#16850, -#16851,#16852,#16853,#16854,#16855,#16856,#16857,#16858,#16859)); -#2697=EDGE_LOOP('',(#16860,#16861,#16862,#16863,#16864,#16865,#16866,#16867, -#16868,#16869)); -#2698=EDGE_LOOP('',(#16870,#16871,#16872,#16873)); -#2699=EDGE_LOOP('',(#16874,#16875,#16876,#16877)); -#2700=EDGE_LOOP('',(#16878,#16879,#16880,#16881,#16882,#16883,#16884)); -#2701=EDGE_LOOP('',(#16885,#16886,#16887,#16888,#16889,#16890,#16891)); -#2702=EDGE_LOOP('',(#16892,#16893,#16894,#16895,#16896)); -#2703=EDGE_LOOP('',(#16897,#16898,#16899,#16900,#16901,#16902,#16903,#16904, -#16905,#16906,#16907,#16908)); -#2704=EDGE_LOOP('',(#16909,#16910,#16911,#16912,#16913,#16914,#16915)); -#2705=EDGE_LOOP('',(#16916,#16917,#16918,#16919,#16920)); -#2706=EDGE_LOOP('',(#16921,#16922,#16923,#16924,#16925,#16926,#16927)); -#2707=EDGE_LOOP('',(#16928,#16929,#16930,#16931)); -#2708=EDGE_LOOP('',(#16932,#16933,#16934,#16935,#16936,#16937,#16938)); -#2709=EDGE_LOOP('',(#16939,#16940,#16941,#16942,#16943)); -#2710=EDGE_LOOP('',(#16944,#16945,#16946,#16947,#16948,#16949,#16950)); -#2711=EDGE_LOOP('',(#16951,#16952,#16953,#16954)); -#2712=EDGE_LOOP('',(#16955,#16956,#16957,#16958,#16959,#16960,#16961)); -#2713=EDGE_LOOP('',(#16962,#16963,#16964,#16965,#16966,#16967,#16968,#16969, -#16970,#16971,#16972,#16973,#16974,#16975,#16976,#16977,#16978,#16979,#16980, -#16981,#16982,#16983)); -#2714=EDGE_LOOP('',(#16984,#16985,#16986,#16987,#16988,#16989,#16990,#16991, -#16992,#16993,#16994,#16995,#16996,#16997)); -#2715=EDGE_LOOP('',(#16998,#16999,#17000,#17001,#17002,#17003,#17004,#17005, -#17006,#17007,#17008,#17009)); -#2716=EDGE_LOOP('',(#17010,#17011,#17012,#17013,#17014,#17015,#17016,#17017, -#17018,#17019,#17020,#17021)); -#2717=EDGE_LOOP('',(#17022,#17023,#17024,#17025,#17026,#17027,#17028,#17029, -#17030,#17031,#17032,#17033,#17034,#17035)); -#2718=EDGE_LOOP('',(#17036,#17037,#17038,#17039,#17040,#17041,#17042)); -#2719=EDGE_LOOP('',(#17043,#17044,#17045,#17046,#17047,#17048,#17049,#17050, -#17051,#17052,#17053,#17054)); -#2720=EDGE_LOOP('',(#17055,#17056,#17057,#17058)); -#2721=EDGE_LOOP('',(#17059,#17060,#17061,#17062,#17063,#17064,#17065,#17066, -#17067,#17068,#17069,#17070,#17071,#17072,#17073,#17074,#17075,#17076,#17077, -#17078)); -#2722=EDGE_LOOP('',(#17079,#17080,#17081,#17082,#17083,#17084,#17085)); -#2723=EDGE_LOOP('',(#17086,#17087,#17088,#17089,#17090,#17091,#17092)); -#2724=EDGE_LOOP('',(#17093,#17094,#17095,#17096,#17097,#17098,#17099,#17100, -#17101,#17102,#17103,#17104)); -#2725=EDGE_LOOP('',(#17105,#17106,#17107,#17108)); -#2726=EDGE_LOOP('',(#17109,#17110,#17111,#17112)); -#2727=EDGE_LOOP('',(#17113,#17114,#17115,#17116,#17117,#17118,#17119,#17120)); -#2728=EDGE_LOOP('',(#17121,#17122,#17123,#17124,#17125,#17126,#17127,#17128)); -#2729=EDGE_LOOP('',(#17129,#17130,#17131,#17132,#17133,#17134)); -#2730=EDGE_LOOP('',(#17135,#17136,#17137,#17138)); -#2731=EDGE_LOOP('',(#17139,#17140,#17141,#17142)); -#2732=EDGE_LOOP('',(#17143,#17144,#17145,#17146)); -#2733=EDGE_LOOP('',(#17147,#17148,#17149,#17150)); -#2734=EDGE_LOOP('',(#17151,#17152,#17153,#17154)); -#2735=EDGE_LOOP('',(#17155,#17156,#17157,#17158)); -#2736=EDGE_LOOP('',(#17159,#17160,#17161,#17162)); -#2737=EDGE_LOOP('',(#17163,#17164,#17165,#17166)); -#2738=EDGE_LOOP('',(#17167,#17168,#17169,#17170)); -#2739=EDGE_LOOP('',(#17171,#17172,#17173,#17174)); -#2740=EDGE_LOOP('',(#17175,#17176,#17177,#17178)); -#2741=EDGE_LOOP('',(#17179,#17180,#17181,#17182)); -#2742=EDGE_LOOP('',(#17183,#17184,#17185,#17186)); -#2743=EDGE_LOOP('',(#17187,#17188,#17189,#17190)); -#2744=EDGE_LOOP('',(#17191,#17192,#17193,#17194)); -#2745=EDGE_LOOP('',(#17195,#17196,#17197,#17198)); -#2746=EDGE_LOOP('',(#17199,#17200,#17201,#17202,#17203,#17204,#17205,#17206)); -#2747=EDGE_LOOP('',(#17207,#17208,#17209,#17210,#17211,#17212,#17213,#17214)); -#2748=EDGE_LOOP('',(#17215,#17216,#17217,#17218,#17219,#17220)); -#2749=EDGE_LOOP('',(#17221,#17222,#17223,#17224,#17225,#17226)); -#2750=EDGE_LOOP('',(#17227,#17228,#17229,#17230,#17231,#17232)); -#2751=EDGE_LOOP('',(#17233,#17234,#17235,#17236)); -#2752=EDGE_LOOP('',(#17237,#17238,#17239,#17240)); -#2753=EDGE_LOOP('',(#17241,#17242,#17243,#17244,#17245,#17246)); -#2754=EDGE_LOOP('',(#17247,#17248,#17249,#17250)); -#2755=EDGE_LOOP('',(#17251,#17252,#17253,#17254)); -#2756=EDGE_LOOP('',(#17255,#17256,#17257,#17258)); -#2757=EDGE_LOOP('',(#17259,#17260,#17261,#17262)); -#2758=EDGE_LOOP('',(#17263,#17264,#17265,#17266)); -#2759=EDGE_LOOP('',(#17267,#17268,#17269,#17270)); -#2760=EDGE_LOOP('',(#17271,#17272,#17273,#17274)); -#2761=EDGE_LOOP('',(#17275,#17276,#17277,#17278)); -#2762=EDGE_LOOP('',(#17279,#17280,#17281,#17282)); -#2763=EDGE_LOOP('',(#17283,#17284,#17285,#17286)); -#2764=EDGE_LOOP('',(#17287,#17288,#17289,#17290)); -#2765=EDGE_LOOP('',(#17291,#17292,#17293,#17294)); -#2766=EDGE_LOOP('',(#17295,#17296,#17297,#17298)); -#2767=EDGE_LOOP('',(#17299,#17300,#17301,#17302)); -#2768=EDGE_LOOP('',(#17303,#17304,#17305,#17306)); -#2769=EDGE_LOOP('',(#17307,#17308,#17309,#17310)); -#2770=EDGE_LOOP('',(#17311,#17312,#17313,#17314)); -#2771=EDGE_LOOP('',(#17315,#17316,#17317,#17318)); -#2772=EDGE_LOOP('',(#17319,#17320,#17321,#17322)); -#2773=EDGE_LOOP('',(#17323,#17324,#17325,#17326)); -#2774=EDGE_LOOP('',(#17327,#17328,#17329,#17330)); -#2775=EDGE_LOOP('',(#17331,#17332,#17333,#17334)); -#2776=EDGE_LOOP('',(#17335,#17336,#17337,#17338)); -#2777=EDGE_LOOP('',(#17339,#17340,#17341,#17342)); -#2778=EDGE_LOOP('',(#17343,#17344,#17345,#17346)); -#2779=EDGE_LOOP('',(#17347,#17348,#17349,#17350)); -#2780=EDGE_LOOP('',(#17351,#17352,#17353,#17354)); -#2781=EDGE_LOOP('',(#17355,#17356,#17357,#17358)); -#2782=EDGE_LOOP('',(#17359,#17360,#17361,#17362)); -#2783=EDGE_LOOP('',(#17363,#17364,#17365,#17366)); -#2784=EDGE_LOOP('',(#17367,#17368,#17369,#17370)); -#2785=EDGE_LOOP('',(#17371,#17372,#17373,#17374)); -#2786=EDGE_LOOP('',(#17375,#17376,#17377,#17378)); -#2787=EDGE_LOOP('',(#17379,#17380,#17381,#17382)); -#2788=EDGE_LOOP('',(#17383,#17384,#17385,#17386)); -#2789=EDGE_LOOP('',(#17387,#17388,#17389,#17390)); -#2790=EDGE_LOOP('',(#17391,#17392,#17393,#17394)); -#2791=EDGE_LOOP('',(#17395,#17396,#17397,#17398)); -#2792=EDGE_LOOP('',(#17399,#17400,#17401,#17402)); -#2793=EDGE_LOOP('',(#17403,#17404,#17405,#17406)); -#2794=EDGE_LOOP('',(#17407,#17408,#17409,#17410)); -#2795=EDGE_LOOP('',(#17411,#17412,#17413,#17414)); -#2796=EDGE_LOOP('',(#17415,#17416,#17417,#17418)); -#2797=EDGE_LOOP('',(#17419,#17420,#17421,#17422)); -#2798=EDGE_LOOP('',(#17423,#17424,#17425,#17426)); -#2799=EDGE_LOOP('',(#17427,#17428,#17429,#17430)); -#2800=EDGE_LOOP('',(#17431,#17432,#17433,#17434)); -#2801=EDGE_LOOP('',(#17435,#17436,#17437,#17438)); -#2802=EDGE_LOOP('',(#17439,#17440,#17441,#17442)); -#2803=EDGE_LOOP('',(#17443,#17444,#17445,#17446)); -#2804=EDGE_LOOP('',(#17447,#17448,#17449,#17450)); -#2805=EDGE_LOOP('',(#17451,#17452,#17453,#17454)); -#2806=EDGE_LOOP('',(#17455,#17456,#17457,#17458)); -#2807=EDGE_LOOP('',(#17459,#17460,#17461,#17462)); -#2808=EDGE_LOOP('',(#17463,#17464,#17465,#17466)); -#2809=EDGE_LOOP('',(#17467,#17468,#17469,#17470,#17471,#17472,#17473,#17474, -#17475,#17476,#17477,#17478,#17479,#17480,#17481,#17482)); -#2810=EDGE_LOOP('',(#17483,#17484,#17485,#17486)); -#2811=EDGE_LOOP('',(#17487,#17488,#17489,#17490)); -#2812=EDGE_LOOP('',(#17491,#17492,#17493,#17494)); -#2813=EDGE_LOOP('',(#17495,#17496,#17497,#17498,#17499,#17500,#17501,#17502, -#17503,#17504,#17505,#17506,#17507,#17508,#17509,#17510)); -#2814=EDGE_LOOP('',(#17511,#17512,#17513,#17514)); -#2815=EDGE_LOOP('',(#17515,#17516,#17517,#17518)); -#2816=EDGE_LOOP('',(#17519,#17520,#17521,#17522)); -#2817=EDGE_LOOP('',(#17523,#17524,#17525,#17526)); -#2818=EDGE_LOOP('',(#17527,#17528,#17529,#17530,#17531,#17532,#17533,#17534, -#17535,#17536,#17537,#17538,#17539,#17540,#17541,#17542)); -#2819=EDGE_LOOP('',(#17543,#17544,#17545,#17546,#17547,#17548,#17549,#17550, -#17551,#17552,#17553,#17554,#17555,#17556,#17557,#17558)); -#2820=EDGE_LOOP('',(#17559,#17560,#17561,#17562)); -#2821=EDGE_LOOP('',(#17563,#17564,#17565,#17566)); -#2822=EDGE_LOOP('',(#17567,#17568,#17569,#17570)); -#2823=EDGE_LOOP('',(#17571,#17572,#17573,#17574)); -#2824=EDGE_LOOP('',(#17575,#17576,#17577,#17578)); -#2825=EDGE_LOOP('',(#17579,#17580,#17581,#17582)); -#2826=EDGE_LOOP('',(#17583,#17584,#17585,#17586,#17587,#17588,#17589,#17590, -#17591,#17592,#17593,#17594,#17595,#17596,#17597,#17598)); -#2827=EDGE_LOOP('',(#17599,#17600,#17601,#17602)); -#2828=EDGE_LOOP('',(#17603,#17604,#17605,#17606)); -#2829=EDGE_LOOP('',(#17607,#17608,#17609,#17610)); -#2830=EDGE_LOOP('',(#17611,#17612,#17613,#17614,#17615,#17616,#17617,#17618, -#17619,#17620,#17621,#17622,#17623,#17624,#17625,#17626)); -#2831=EDGE_LOOP('',(#17627,#17628,#17629,#17630)); -#2832=EDGE_LOOP('',(#17631,#17632,#17633,#17634)); -#2833=EDGE_LOOP('',(#17635,#17636,#17637,#17638)); -#2834=EDGE_LOOP('',(#17639,#17640,#17641,#17642)); -#2835=EDGE_LOOP('',(#17643,#17644,#17645,#17646,#17647,#17648,#17649,#17650, -#17651,#17652,#17653,#17654,#17655,#17656,#17657,#17658)); -#2836=EDGE_LOOP('',(#17659,#17660,#17661,#17662,#17663,#17664,#17665,#17666, -#17667,#17668,#17669,#17670,#17671,#17672,#17673,#17674)); -#2837=EDGE_LOOP('',(#17675,#17676,#17677,#17678)); -#2838=EDGE_LOOP('',(#17679,#17680,#17681,#17682)); -#2839=EDGE_LOOP('',(#17683,#17684,#17685,#17686)); -#2840=EDGE_LOOP('',(#17687,#17688,#17689,#17690)); -#2841=EDGE_LOOP('',(#17691,#17692,#17693,#17694)); -#2842=EDGE_LOOP('',(#17695,#17696,#17697,#17698)); -#2843=EDGE_LOOP('',(#17699,#17700,#17701,#17702)); -#2844=EDGE_LOOP('',(#17703,#17704,#17705,#17706)); -#2845=EDGE_LOOP('',(#17707,#17708,#17709,#17710)); -#2846=EDGE_LOOP('',(#17711,#17712,#17713,#17714)); -#2847=EDGE_LOOP('',(#17715,#17716,#17717,#17718)); -#2848=EDGE_LOOP('',(#17719,#17720,#17721,#17722,#17723,#17724,#17725,#17726)); -#2849=EDGE_LOOP('',(#17727,#17728,#17729,#17730)); -#2850=EDGE_LOOP('',(#17731,#17732,#17733,#17734)); -#2851=EDGE_LOOP('',(#17735,#17736,#17737,#17738)); -#2852=EDGE_LOOP('',(#17739,#17740,#17741,#17742)); -#2853=EDGE_LOOP('',(#17743,#17744,#17745,#17746)); -#2854=EDGE_LOOP('',(#17747,#17748,#17749,#17750,#17751,#17752,#17753,#17754)); -#2855=EDGE_LOOP('',(#17755,#17756,#17757,#17758,#17759,#17760,#17761,#17762)); -#2856=EDGE_LOOP('',(#17763,#17764,#17765,#17766)); -#2857=EDGE_LOOP('',(#17767,#17768,#17769,#17770)); -#2858=EDGE_LOOP('',(#17771,#17772,#17773,#17774)); -#2859=EDGE_LOOP('',(#17775,#17776,#17777,#17778)); -#2860=EDGE_LOOP('',(#17779,#17780,#17781,#17782)); -#2861=EDGE_LOOP('',(#17783,#17784,#17785,#17786)); -#2862=EDGE_LOOP('',(#17787,#17788,#17789,#17790,#17791,#17792,#17793,#17794)); -#2863=EDGE_LOOP('',(#17795,#17796,#17797,#17798)); -#2864=EDGE_LOOP('',(#17799,#17800,#17801,#17802)); -#2865=EDGE_LOOP('',(#17803,#17804,#17805,#17806)); -#2866=EDGE_LOOP('',(#17807,#17808,#17809,#17810)); -#2867=EDGE_LOOP('',(#17811,#17812,#17813,#17814)); -#2868=EDGE_LOOP('',(#17815,#17816,#17817,#17818)); -#2869=EDGE_LOOP('',(#17819,#17820,#17821,#17822)); -#2870=EDGE_LOOP('',(#17823,#17824,#17825,#17826)); -#2871=EDGE_LOOP('',(#17827,#17828,#17829,#17830)); -#2872=EDGE_LOOP('',(#17831,#17832,#17833,#17834)); -#2873=EDGE_LOOP('',(#17835,#17836,#17837,#17838)); -#2874=EDGE_LOOP('',(#17839,#17840,#17841,#17842)); -#2875=EDGE_LOOP('',(#17843,#17844,#17845,#17846)); -#2876=EDGE_LOOP('',(#17847,#17848,#17849,#17850,#17851,#17852,#17853,#17854)); -#2877=EDGE_LOOP('',(#17855,#17856,#17857,#17858,#17859,#17860,#17861,#17862)); -#2878=EDGE_LOOP('',(#17863,#17864,#17865,#17866)); -#2879=EDGE_LOOP('',(#17867,#17868,#17869,#17870)); -#2880=EDGE_LOOP('',(#17871,#17872,#17873,#17874)); -#2881=EDGE_LOOP('',(#17875,#17876,#17877,#17878)); -#2882=EDGE_LOOP('',(#17879,#17880,#17881,#17882)); -#2883=EDGE_LOOP('',(#17883,#17884,#17885,#17886,#17887,#17888)); -#2884=EDGE_LOOP('',(#17889,#17890,#17891,#17892)); -#2885=EDGE_LOOP('',(#17893,#17894,#17895,#17896)); -#2886=EDGE_LOOP('',(#17897,#17898,#17899,#17900,#17901,#17902)); -#2887=EDGE_LOOP('',(#17903,#17904,#17905,#17906)); -#2888=EDGE_LOOP('',(#17907,#17908,#17909,#17910)); -#2889=EDGE_LOOP('',(#17911,#17912,#17913,#17914,#17915,#17916)); -#2890=EDGE_LOOP('',(#17917,#17918,#17919,#17920)); -#2891=EDGE_LOOP('',(#17921,#17922,#17923,#17924,#17925,#17926)); -#2892=EDGE_LOOP('',(#17927,#17928,#17929,#17930,#17931,#17932,#17933,#17934)); -#2893=EDGE_LOOP('',(#17935,#17936,#17937,#17938)); -#2894=EDGE_LOOP('',(#17939,#17940,#17941,#17942)); -#2895=EDGE_LOOP('',(#17943,#17944,#17945,#17946,#17947,#17948,#17949,#17950)); -#2896=EDGE_LOOP('',(#17951,#17952,#17953,#17954)); -#2897=EDGE_LOOP('',(#17955,#17956,#17957,#17958,#17959,#17960,#17961,#17962)); -#2898=EDGE_LOOP('',(#17963,#17964,#17965,#17966)); -#2899=EDGE_LOOP('',(#17967,#17968,#17969,#17970,#17971,#17972,#17973,#17974)); -#2900=EDGE_LOOP('',(#17975,#17976,#17977,#17978)); -#2901=EDGE_LOOP('',(#17979,#17980,#17981,#17982)); -#2902=EDGE_LOOP('',(#17983,#17984,#17985,#17986)); -#2903=EDGE_LOOP('',(#17987,#17988,#17989,#17990)); -#2904=EDGE_LOOP('',(#17991,#17992,#17993,#17994)); -#2905=EDGE_LOOP('',(#17995,#17996,#17997,#17998)); -#2906=EDGE_LOOP('',(#17999,#18000,#18001,#18002)); -#2907=EDGE_LOOP('',(#18003,#18004,#18005,#18006)); -#2908=EDGE_LOOP('',(#18007,#18008,#18009,#18010)); -#2909=EDGE_LOOP('',(#18011,#18012,#18013,#18014)); -#2910=EDGE_LOOP('',(#18015,#18016,#18017,#18018)); -#2911=EDGE_LOOP('',(#18019,#18020,#18021,#18022)); -#2912=EDGE_LOOP('',(#18023,#18024,#18025,#18026)); -#2913=EDGE_LOOP('',(#18027,#18028,#18029,#18030)); -#2914=EDGE_LOOP('',(#18031,#18032,#18033,#18034)); -#2915=EDGE_LOOP('',(#18035,#18036,#18037,#18038)); -#2916=EDGE_LOOP('',(#18039,#18040,#18041,#18042)); -#2917=EDGE_LOOP('',(#18043,#18044,#18045,#18046)); -#2918=EDGE_LOOP('',(#18047,#18048,#18049,#18050)); -#2919=EDGE_LOOP('',(#18051,#18052,#18053,#18054)); -#2920=EDGE_LOOP('',(#18055,#18056,#18057,#18058)); -#2921=EDGE_LOOP('',(#18059,#18060,#18061,#18062)); -#2922=EDGE_LOOP('',(#18063,#18064,#18065,#18066)); -#2923=EDGE_LOOP('',(#18067,#18068,#18069,#18070)); -#2924=EDGE_LOOP('',(#18071,#18072,#18073,#18074)); -#2925=EDGE_LOOP('',(#18075,#18076,#18077,#18078)); -#2926=EDGE_LOOP('',(#18079,#18080,#18081,#18082)); -#2927=EDGE_LOOP('',(#18083,#18084,#18085,#18086)); -#2928=EDGE_LOOP('',(#18087,#18088,#18089,#18090)); -#2929=EDGE_LOOP('',(#18091,#18092,#18093,#18094)); -#2930=EDGE_LOOP('',(#18095,#18096,#18097,#18098)); -#2931=EDGE_LOOP('',(#18099,#18100,#18101,#18102)); -#2932=EDGE_LOOP('',(#18103,#18104,#18105,#18106)); -#2933=EDGE_LOOP('',(#18107,#18108,#18109,#18110)); -#2934=EDGE_LOOP('',(#18111,#18112,#18113,#18114)); -#2935=EDGE_LOOP('',(#18115,#18116,#18117,#18118)); -#2936=EDGE_LOOP('',(#18119,#18120,#18121,#18122)); -#2937=EDGE_LOOP('',(#18123,#18124,#18125,#18126)); -#2938=EDGE_LOOP('',(#18127,#18128,#18129,#18130)); -#2939=EDGE_LOOP('',(#18131,#18132,#18133,#18134)); -#2940=EDGE_LOOP('',(#18135,#18136,#18137,#18138)); -#2941=EDGE_LOOP('',(#18139,#18140,#18141,#18142)); -#2942=EDGE_LOOP('',(#18143,#18144,#18145,#18146)); -#2943=EDGE_LOOP('',(#18147,#18148,#18149,#18150)); -#2944=EDGE_LOOP('',(#18151,#18152,#18153,#18154)); -#2945=EDGE_LOOP('',(#18155,#18156,#18157,#18158)); -#2946=EDGE_LOOP('',(#18159,#18160,#18161,#18162)); -#2947=EDGE_LOOP('',(#18163,#18164,#18165,#18166)); -#2948=EDGE_LOOP('',(#18167,#18168,#18169,#18170)); -#2949=EDGE_LOOP('',(#18171,#18172,#18173,#18174)); -#2950=EDGE_LOOP('',(#18175,#18176,#18177,#18178)); -#2951=EDGE_LOOP('',(#18179,#18180,#18181,#18182)); -#2952=EDGE_LOOP('',(#18183,#18184,#18185,#18186)); -#2953=EDGE_LOOP('',(#18187,#18188,#18189,#18190)); -#2954=EDGE_LOOP('',(#18191,#18192,#18193,#18194)); -#2955=EDGE_LOOP('',(#18195,#18196,#18197,#18198)); -#2956=EDGE_LOOP('',(#18199,#18200,#18201,#18202)); -#2957=EDGE_LOOP('',(#18203,#18204,#18205,#18206)); -#2958=EDGE_LOOP('',(#18207,#18208,#18209,#18210)); -#2959=EDGE_LOOP('',(#18211,#18212,#18213,#18214)); -#2960=EDGE_LOOP('',(#18215,#18216,#18217,#18218)); -#2961=EDGE_LOOP('',(#18219,#18220,#18221,#18222,#18223,#18224,#18225)); -#2962=EDGE_LOOP('',(#18226,#18227,#18228,#18229,#18230,#18231,#18232)); -#2963=EDGE_LOOP('',(#18233,#18234,#18235,#18236)); -#2964=EDGE_LOOP('',(#18237,#18238,#18239,#18240)); -#2965=EDGE_LOOP('',(#18241,#18242,#18243,#18244)); -#2966=EDGE_LOOP('',(#18245,#18246,#18247,#18248)); -#2967=EDGE_LOOP('',(#18249,#18250,#18251,#18252)); -#2968=EDGE_LOOP('',(#18253,#18254,#18255,#18256)); -#2969=EDGE_LOOP('',(#18257,#18258,#18259,#18260)); -#2970=EDGE_LOOP('',(#18261,#18262,#18263,#18264,#18265,#18266,#18267)); -#2971=EDGE_LOOP('',(#18268,#18269,#18270,#18271,#18272,#18273,#18274)); -#2972=EDGE_LOOP('',(#18275,#18276,#18277,#18278)); -#2973=EDGE_LOOP('',(#18279,#18280,#18281,#18282)); -#2974=EDGE_LOOP('',(#18283,#18284,#18285,#18286)); -#2975=EDGE_LOOP('',(#18287,#18288,#18289,#18290)); -#2976=EDGE_LOOP('',(#18291,#18292,#18293,#18294)); -#2977=EDGE_LOOP('',(#18295,#18296,#18297,#18298)); -#2978=EDGE_LOOP('',(#18299,#18300,#18301,#18302)); -#2979=EDGE_LOOP('',(#18303,#18304,#18305,#18306,#18307,#18308,#18309)); -#2980=EDGE_LOOP('',(#18310,#18311,#18312,#18313,#18314,#18315,#18316)); -#2981=EDGE_LOOP('',(#18317,#18318,#18319,#18320)); -#2982=EDGE_LOOP('',(#18321,#18322,#18323,#18324)); -#2983=EDGE_LOOP('',(#18325,#18326,#18327,#18328)); -#2984=EDGE_LOOP('',(#18329,#18330,#18331,#18332)); -#2985=EDGE_LOOP('',(#18333,#18334,#18335,#18336)); -#2986=EDGE_LOOP('',(#18337,#18338,#18339,#18340)); -#2987=EDGE_LOOP('',(#18341,#18342,#18343,#18344)); -#2988=EDGE_LOOP('',(#18345,#18346,#18347,#18348,#18349,#18350,#18351)); -#2989=EDGE_LOOP('',(#18352,#18353,#18354,#18355,#18356,#18357,#18358)); -#2990=EDGE_LOOP('',(#18359,#18360,#18361,#18362)); -#2991=EDGE_LOOP('',(#18363,#18364,#18365,#18366)); -#2992=EDGE_LOOP('',(#18367,#18368,#18369,#18370)); -#2993=EDGE_LOOP('',(#18371,#18372,#18373,#18374)); -#2994=EDGE_LOOP('',(#18375,#18376,#18377,#18378)); -#2995=EDGE_LOOP('',(#18379,#18380,#18381,#18382)); -#2996=EDGE_LOOP('',(#18383,#18384,#18385,#18386)); -#2997=EDGE_LOOP('',(#18387,#18388,#18389,#18390,#18391,#18392,#18393)); -#2998=EDGE_LOOP('',(#18394,#18395,#18396,#18397,#18398,#18399,#18400)); -#2999=EDGE_LOOP('',(#18401,#18402,#18403,#18404)); -#3000=EDGE_LOOP('',(#18405,#18406,#18407,#18408)); -#3001=EDGE_LOOP('',(#18409,#18410,#18411,#18412)); -#3002=EDGE_LOOP('',(#18413,#18414,#18415,#18416)); -#3003=EDGE_LOOP('',(#18417,#18418,#18419,#18420)); -#3004=EDGE_LOOP('',(#18421,#18422,#18423,#18424)); -#3005=EDGE_LOOP('',(#18425,#18426,#18427,#18428)); -#3006=EDGE_LOOP('',(#18429,#18430,#18431,#18432,#18433,#18434,#18435)); -#3007=EDGE_LOOP('',(#18436,#18437,#18438,#18439,#18440,#18441,#18442)); -#3008=EDGE_LOOP('',(#18443,#18444,#18445,#18446)); -#3009=EDGE_LOOP('',(#18447,#18448,#18449,#18450)); -#3010=EDGE_LOOP('',(#18451,#18452,#18453,#18454)); -#3011=EDGE_LOOP('',(#18455,#18456,#18457,#18458)); -#3012=EDGE_LOOP('',(#18459,#18460,#18461,#18462)); -#3013=EDGE_LOOP('',(#18463,#18464,#18465,#18466)); -#3014=EDGE_LOOP('',(#18467,#18468,#18469,#18470)); -#3015=EDGE_LOOP('',(#18471,#18472,#18473,#18474)); -#3016=EDGE_LOOP('',(#18475,#18476,#18477,#18478)); -#3017=EDGE_LOOP('',(#18479,#18480,#18481,#18482)); -#3018=EDGE_LOOP('',(#18483,#18484,#18485,#18486)); -#3019=EDGE_LOOP('',(#18487,#18488,#18489,#18490)); -#3020=EDGE_LOOP('',(#18491,#18492,#18493,#18494)); -#3021=EDGE_LOOP('',(#18495,#18496,#18497,#18498,#18499,#18500,#18501,#18502, -#18503,#18504,#18505,#18506,#18507)); -#3022=EDGE_LOOP('',(#18508,#18509,#18510,#18511,#18512,#18513,#18514,#18515, -#18516,#18517,#18518,#18519,#18520)); -#3023=EDGE_LOOP('',(#18521,#18522,#18523,#18524)); -#3024=EDGE_LOOP('',(#18525,#18526,#18527,#18528)); -#3025=EDGE_LOOP('',(#18529,#18530,#18531,#18532)); -#3026=EDGE_LOOP('',(#18533,#18534,#18535,#18536)); -#3027=EDGE_LOOP('',(#18537,#18538,#18539,#18540)); -#3028=EDGE_LOOP('',(#18541,#18542,#18543,#18544)); -#3029=EDGE_LOOP('',(#18545,#18546,#18547,#18548)); -#3030=EDGE_LOOP('',(#18549,#18550,#18551,#18552)); -#3031=EDGE_LOOP('',(#18553,#18554,#18555,#18556)); -#3032=EDGE_LOOP('',(#18557,#18558,#18559,#18560)); -#3033=EDGE_LOOP('',(#18561,#18562,#18563,#18564)); -#3034=EDGE_LOOP('',(#18565,#18566,#18567,#18568)); -#3035=EDGE_LOOP('',(#18569,#18570,#18571,#18572)); -#3036=EDGE_LOOP('',(#18573,#18574,#18575,#18576,#18577,#18578,#18579,#18580, -#18581,#18582,#18583,#18584,#18585)); -#3037=EDGE_LOOP('',(#18586,#18587,#18588,#18589,#18590,#18591,#18592,#18593, -#18594,#18595,#18596,#18597,#18598)); -#3038=EDGE_LOOP('',(#18599,#18600,#18601,#18602,#18603,#18604,#18605,#18606)); -#3039=EDGE_LOOP('',(#18607,#18608,#18609,#18610,#18611,#18612,#18613,#18614)); -#3040=EDGE_LOOP('',(#18615,#18616,#18617,#18618)); -#3041=EDGE_LOOP('',(#18619,#18620,#18621,#18622,#18623,#18624,#18625,#18626)); -#3042=EDGE_LOOP('',(#18627,#18628,#18629,#18630)); -#3043=EDGE_LOOP('',(#18631,#18632,#18633,#18634)); -#3044=EDGE_LOOP('',(#18635,#18636,#18637,#18638)); -#3045=EDGE_LOOP('',(#18639,#18640,#18641,#18642)); -#3046=EDGE_LOOP('',(#18643,#18644,#18645,#18646)); -#3047=EDGE_LOOP('',(#18647,#18648,#18649,#18650,#18651,#18652,#18653,#18654)); -#3048=EDGE_LOOP('',(#18655,#18656,#18657,#18658)); -#3049=EDGE_LOOP('',(#18659,#18660,#18661,#18662)); -#3050=EDGE_LOOP('',(#18663,#18664,#18665,#18666)); -#3051=EDGE_LOOP('',(#18667,#18668,#18669,#18670)); -#3052=EDGE_LOOP('',(#18671,#18672,#18673,#18674,#18675,#18676,#18677,#18678, -#18679,#18680)); -#3053=EDGE_LOOP('',(#18681,#18682,#18683)); -#3054=EDGE_LOOP('',(#18684,#18685,#18686)); -#3055=EDGE_LOOP('',(#18687,#18688,#18689,#18690,#18691,#18692,#18693,#18694, -#18695,#18696)); -#3056=EDGE_LOOP('',(#18697,#18698,#18699)); -#3057=EDGE_LOOP('',(#18700,#18701,#18702)); -#3058=EDGE_LOOP('',(#18703,#18704,#18705,#18706,#18707,#18708,#18709,#18710, -#18711,#18712,#18713,#18714,#18715,#18716,#18717,#18718,#18719,#18720,#18721, -#18722,#18723,#18724,#18725,#18726)); -#3059=EDGE_LOOP('',(#18727,#18728,#18729,#18730,#18731,#18732,#18733,#18734)); -#3060=EDGE_LOOP('',(#18735,#18736,#18737,#18738,#18739)); -#3061=EDGE_LOOP('',(#18740,#18741,#18742,#18743)); -#3062=EDGE_LOOP('',(#18744,#18745,#18746,#18747,#18748,#18749,#18750,#18751, -#18752,#18753,#18754,#18755,#18756,#18757,#18758,#18759,#18760,#18761,#18762, -#18763,#18764,#18765,#18766,#18767)); -#3063=EDGE_LOOP('',(#18768,#18769,#18770,#18771)); -#3064=EDGE_LOOP('',(#18772,#18773,#18774,#18775,#18776,#18777)); -#3065=EDGE_LOOP('',(#18778,#18779,#18780,#18781,#18782,#18783,#18784,#18785, -#18786,#18787,#18788,#18789,#18790,#18791,#18792,#18793,#18794,#18795,#18796, -#18797)); -#3066=EDGE_LOOP('',(#18798,#18799,#18800,#18801,#18802,#18803)); -#3067=EDGE_LOOP('',(#18804,#18805,#18806,#18807,#18808)); -#3068=EDGE_LOOP('',(#18809,#18810,#18811,#18812)); -#3069=EDGE_LOOP('',(#18813,#18814,#18815,#18816)); -#3070=EDGE_LOOP('',(#18817,#18818,#18819,#18820,#18821,#18822,#18823,#18824, -#18825,#18826,#18827,#18828)); -#3071=EDGE_LOOP('',(#18829,#18830,#18831,#18832)); -#3072=EDGE_LOOP('',(#18833,#18834,#18835,#18836)); -#3073=EDGE_LOOP('',(#18837,#18838,#18839,#18840)); -#3074=EDGE_LOOP('',(#18841,#18842,#18843,#18844)); -#3075=EDGE_LOOP('',(#18845,#18846,#18847,#18848)); -#3076=EDGE_LOOP('',(#18849,#18850,#18851,#18852)); -#3077=EDGE_LOOP('',(#18853,#18854,#18855,#18856)); -#3078=EDGE_LOOP('',(#18857,#18858,#18859,#18860)); -#3079=EDGE_LOOP('',(#18861,#18862,#18863,#18864)); -#3080=EDGE_LOOP('',(#18865,#18866,#18867,#18868)); -#3081=EDGE_LOOP('',(#18869,#18870,#18871,#18872)); -#3082=EDGE_LOOP('',(#18873,#18874,#18875,#18876)); -#3083=EDGE_LOOP('',(#18877,#18878,#18879,#18880)); -#3084=EDGE_LOOP('',(#18881,#18882,#18883,#18884)); -#3085=EDGE_LOOP('',(#18885,#18886,#18887,#18888)); -#3086=EDGE_LOOP('',(#18889,#18890,#18891,#18892)); -#3087=EDGE_LOOP('',(#18893,#18894,#18895,#18896)); -#3088=EDGE_LOOP('',(#18897,#18898,#18899,#18900)); -#3089=EDGE_LOOP('',(#18901,#18902,#18903,#18904)); -#3090=EDGE_LOOP('',(#18905,#18906,#18907,#18908)); -#3091=EDGE_LOOP('',(#18909,#18910,#18911,#18912)); -#3092=EDGE_LOOP('',(#18913,#18914,#18915,#18916)); -#3093=EDGE_LOOP('',(#18917,#18918,#18919,#18920)); -#3094=EDGE_LOOP('',(#18921,#18922,#18923,#18924)); -#3095=EDGE_LOOP('',(#18925,#18926,#18927,#18928)); -#3096=EDGE_LOOP('',(#18929,#18930,#18931,#18932)); -#3097=EDGE_LOOP('',(#18933,#18934,#18935,#18936)); -#3098=EDGE_LOOP('',(#18937,#18938,#18939,#18940,#18941,#18942)); -#3099=EDGE_LOOP('',(#18943,#18944,#18945,#18946)); -#3100=EDGE_LOOP('',(#18947,#18948,#18949,#18950)); -#3101=EDGE_LOOP('',(#18951,#18952,#18953,#18954)); -#3102=EDGE_LOOP('',(#18955,#18956,#18957,#18958,#18959,#18960)); -#3103=EDGE_LOOP('',(#18961,#18962,#18963,#18964,#18965,#18966,#18967,#18968, -#18969,#18970,#18971,#18972,#18973,#18974,#18975,#18976,#18977,#18978,#18979, -#18980,#18981,#18982,#18983,#18984,#18985,#18986,#18987,#18988,#18989,#18990, -#18991)); -#3104=EDGE_LOOP('',(#18992,#18993,#18994,#18995,#18996,#18997,#18998,#18999, -#19000,#19001,#19002,#19003,#19004,#19005,#19006,#19007,#19008,#19009,#19010, -#19011,#19012,#19013,#19014,#19015,#19016,#19017,#19018,#19019,#19020,#19021, -#19022)); -#3105=EDGE_LOOP('',(#19023,#19024,#19025,#19026)); -#3106=EDGE_LOOP('',(#19027,#19028,#19029,#19030)); -#3107=EDGE_LOOP('',(#19031,#19032,#19033,#19034)); -#3108=EDGE_LOOP('',(#19035,#19036,#19037,#19038)); -#3109=EDGE_LOOP('',(#19039,#19040,#19041,#19042)); -#3110=EDGE_LOOP('',(#19043,#19044,#19045,#19046)); -#3111=EDGE_LOOP('',(#19047,#19048,#19049,#19050)); -#3112=EDGE_LOOP('',(#19051,#19052,#19053,#19054)); -#3113=EDGE_LOOP('',(#19055,#19056,#19057,#19058)); -#3114=EDGE_LOOP('',(#19059,#19060,#19061,#19062)); -#3115=EDGE_LOOP('',(#19063,#19064,#19065,#19066)); -#3116=EDGE_LOOP('',(#19067,#19068,#19069,#19070)); -#3117=EDGE_LOOP('',(#19071,#19072,#19073)); -#3118=EDGE_LOOP('',(#19074,#19075,#19076)); -#3119=EDGE_LOOP('',(#19077,#19078,#19079,#19080)); -#3120=EDGE_LOOP('',(#19081,#19082,#19083,#19084)); -#3121=EDGE_LOOP('',(#19085,#19086,#19087,#19088,#19089,#19090,#19091,#19092)); -#3122=EDGE_LOOP('',(#19093,#19094,#19095,#19096,#19097,#19098,#19099,#19100)); -#3123=EDGE_LOOP('',(#19101,#19102,#19103,#19104)); -#3124=EDGE_LOOP('',(#19105,#19106,#19107,#19108)); -#3125=EDGE_LOOP('',(#19109,#19110,#19111,#19112)); -#3126=EDGE_LOOP('',(#19113,#19114,#19115,#19116,#19117,#19118,#19119,#19120)); -#3127=EDGE_LOOP('',(#19121,#19122,#19123,#19124,#19125,#19126,#19127,#19128)); -#3128=EDGE_LOOP('',(#19129,#19130,#19131,#19132)); -#3129=EDGE_LOOP('',(#19133,#19134,#19135,#19136)); -#3130=EDGE_LOOP('',(#19137,#19138,#19139,#19140)); -#3131=EDGE_LOOP('',(#19141,#19142,#19143,#19144)); -#3132=EDGE_LOOP('',(#19145,#19146,#19147,#19148)); -#3133=EDGE_LOOP('',(#19149,#19150,#19151,#19152)); -#3134=EDGE_LOOP('',(#19153,#19154,#19155,#19156)); -#3135=EDGE_LOOP('',(#19157,#19158,#19159,#19160)); -#3136=EDGE_LOOP('',(#19161,#19162,#19163,#19164)); -#3137=EDGE_LOOP('',(#19165,#19166,#19167,#19168)); -#3138=EDGE_LOOP('',(#19169,#19170,#19171,#19172)); -#3139=EDGE_LOOP('',(#19173,#19174,#19175,#19176)); -#3140=EDGE_LOOP('',(#19177,#19178,#19179,#19180)); -#3141=EDGE_LOOP('',(#19181,#19182,#19183,#19184)); -#3142=EDGE_LOOP('',(#19185,#19186,#19187,#19188)); -#3143=EDGE_LOOP('',(#19189,#19190,#19191,#19192)); -#3144=EDGE_LOOP('',(#19193,#19194,#19195,#19196)); -#3145=EDGE_LOOP('',(#19197,#19198,#19199,#19200)); -#3146=EDGE_LOOP('',(#19201,#19202,#19203,#19204)); -#3147=EDGE_LOOP('',(#19205,#19206,#19207,#19208)); -#3148=EDGE_LOOP('',(#19209,#19210,#19211,#19212)); -#3149=EDGE_LOOP('',(#19213,#19214,#19215,#19216)); -#3150=EDGE_LOOP('',(#19217,#19218,#19219,#19220)); -#3151=EDGE_LOOP('',(#19221,#19222,#19223,#19224)); -#3152=EDGE_LOOP('',(#19225,#19226,#19227,#19228)); -#3153=EDGE_LOOP('',(#19229,#19230,#19231,#19232)); -#3154=EDGE_LOOP('',(#19233,#19234,#19235,#19236)); -#3155=EDGE_LOOP('',(#19237,#19238,#19239,#19240)); -#3156=EDGE_LOOP('',(#19241,#19242,#19243,#19244)); -#3157=EDGE_LOOP('',(#19245,#19246,#19247,#19248)); -#3158=EDGE_LOOP('',(#19249,#19250,#19251,#19252)); -#3159=EDGE_LOOP('',(#19253,#19254,#19255,#19256)); -#3160=EDGE_LOOP('',(#19257,#19258,#19259,#19260)); -#3161=EDGE_LOOP('',(#19261,#19262,#19263,#19264)); -#3162=EDGE_LOOP('',(#19265,#19266,#19267,#19268)); -#3163=EDGE_LOOP('',(#19269,#19270,#19271,#19272)); -#3164=EDGE_LOOP('',(#19273,#19274,#19275,#19276)); -#3165=EDGE_LOOP('',(#19277,#19278,#19279,#19280)); -#3166=EDGE_LOOP('',(#19281,#19282,#19283,#19284)); -#3167=EDGE_LOOP('',(#19285,#19286,#19287,#19288)); -#3168=EDGE_LOOP('',(#19289,#19290,#19291,#19292)); -#3169=EDGE_LOOP('',(#19293,#19294,#19295,#19296)); -#3170=EDGE_LOOP('',(#19297,#19298,#19299,#19300)); -#3171=EDGE_LOOP('',(#19301,#19302,#19303,#19304)); -#3172=EDGE_LOOP('',(#19305,#19306,#19307,#19308)); -#3173=EDGE_LOOP('',(#19309,#19310,#19311,#19312)); -#3174=EDGE_LOOP('',(#19313,#19314,#19315,#19316)); -#3175=EDGE_LOOP('',(#19317,#19318,#19319,#19320)); -#3176=EDGE_LOOP('',(#19321,#19322,#19323,#19324)); -#3177=EDGE_LOOP('',(#19325,#19326,#19327,#19328)); -#3178=EDGE_LOOP('',(#19329,#19330,#19331,#19332)); -#3179=EDGE_LOOP('',(#19333,#19334,#19335,#19336)); -#3180=EDGE_LOOP('',(#19337,#19338,#19339,#19340)); -#3181=EDGE_LOOP('',(#19341,#19342,#19343,#19344)); -#3182=EDGE_LOOP('',(#19345,#19346,#19347,#19348)); -#3183=EDGE_LOOP('',(#19349,#19350,#19351,#19352)); -#3184=EDGE_LOOP('',(#19353,#19354,#19355,#19356)); -#3185=EDGE_LOOP('',(#19357,#19358,#19359,#19360)); -#3186=EDGE_LOOP('',(#19361,#19362,#19363,#19364)); -#3187=EDGE_LOOP('',(#19365,#19366,#19367,#19368)); -#3188=EDGE_LOOP('',(#19369,#19370,#19371,#19372)); -#3189=EDGE_LOOP('',(#19373,#19374,#19375,#19376)); -#3190=EDGE_LOOP('',(#19377,#19378,#19379,#19380)); -#3191=EDGE_LOOP('',(#19381,#19382,#19383,#19384)); -#3192=EDGE_LOOP('',(#19385,#19386,#19387,#19388)); -#3193=EDGE_LOOP('',(#19389,#19390,#19391,#19392)); -#3194=EDGE_LOOP('',(#19393,#19394,#19395,#19396)); -#3195=EDGE_LOOP('',(#19397,#19398,#19399,#19400)); -#3196=EDGE_LOOP('',(#19401,#19402,#19403,#19404)); -#3197=EDGE_LOOP('',(#19405,#19406,#19407,#19408)); -#3198=EDGE_LOOP('',(#19409,#19410,#19411,#19412)); -#3199=EDGE_LOOP('',(#19413,#19414,#19415,#19416)); -#3200=EDGE_LOOP('',(#19417,#19418,#19419,#19420)); -#3201=EDGE_LOOP('',(#19421,#19422,#19423,#19424)); -#3202=EDGE_LOOP('',(#19425,#19426,#19427,#19428)); -#3203=EDGE_LOOP('',(#19429,#19430,#19431,#19432)); -#3204=EDGE_LOOP('',(#19433,#19434,#19435,#19436)); -#3205=EDGE_LOOP('',(#19437,#19438,#19439,#19440)); -#3206=EDGE_LOOP('',(#19441,#19442,#19443,#19444)); -#3207=EDGE_LOOP('',(#19445)); -#3208=EDGE_LOOP('',(#19446)); -#3209=EDGE_LOOP('',(#19447,#19448,#19449,#19450)); -#3210=EDGE_LOOP('',(#19451,#19452,#19453,#19454)); -#3211=EDGE_LOOP('',(#19455,#19456,#19457,#19458)); -#3212=EDGE_LOOP('',(#19459,#19460,#19461,#19462)); -#3213=EDGE_LOOP('',(#19463,#19464,#19465,#19466)); -#3214=EDGE_LOOP('',(#19467,#19468,#19469,#19470)); -#3215=EDGE_LOOP('',(#19471,#19472,#19473,#19474,#19475,#19476,#19477,#19478, -#19479,#19480)); -#3216=EDGE_LOOP('',(#19481,#19482,#19483,#19484)); -#3217=EDGE_LOOP('',(#19485,#19486,#19487,#19488)); -#3218=EDGE_LOOP('',(#19489,#19490,#19491,#19492)); -#3219=EDGE_LOOP('',(#19493,#19494,#19495,#19496)); -#3220=EDGE_LOOP('',(#19497,#19498,#19499,#19500)); -#3221=EDGE_LOOP('',(#19501,#19502,#19503,#19504,#19505,#19506,#19507,#19508, -#19509,#19510,#19511,#19512)); -#3222=EDGE_LOOP('',(#19513,#19514,#19515,#19516)); -#3223=EDGE_LOOP('',(#19517,#19518,#19519,#19520,#19521)); -#3224=EDGE_LOOP('',(#19522,#19523,#19524,#19525)); -#3225=EDGE_LOOP('',(#19526,#19527,#19528,#19529,#19530)); -#3226=EDGE_LOOP('',(#19531,#19532,#19533,#19534)); -#3227=EDGE_LOOP('',(#19535,#19536,#19537,#19538,#19539,#19540,#19541,#19542, -#19543,#19544)); -#3228=EDGE_LOOP('',(#19545,#19546,#19547,#19548)); -#3229=EDGE_LOOP('',(#19549,#19550,#19551,#19552)); -#3230=EDGE_LOOP('',(#19553,#19554,#19555,#19556)); -#3231=EDGE_LOOP('',(#19557,#19558,#19559,#19560)); -#3232=EDGE_LOOP('',(#19561,#19562,#19563,#19564)); -#3233=EDGE_LOOP('',(#19565,#19566,#19567,#19568)); -#3234=EDGE_LOOP('',(#19569,#19570,#19571,#19572,#19573,#19574,#19575,#19576, -#19577,#19578)); -#3235=EDGE_LOOP('',(#19579,#19580,#19581,#19582,#19583,#19584)); -#3236=EDGE_LOOP('',(#19585,#19586,#19587,#19588,#19589,#19590,#19591,#19592, -#19593,#19594)); -#3237=EDGE_LOOP('',(#19595)); -#3238=EDGE_LOOP('',(#19596)); -#3239=EDGE_LOOP('',(#19597,#19598,#19599,#19600,#19601)); -#3240=EDGE_LOOP('',(#19602,#19603,#19604,#19605)); -#3241=EDGE_LOOP('',(#19606,#19607,#19608,#19609)); -#3242=EDGE_LOOP('',(#19610,#19611,#19612,#19613,#19614,#19615,#19616,#19617, -#19618,#19619,#19620,#19621)); -#3243=EDGE_LOOP('',(#19622)); -#3244=EDGE_LOOP('',(#19623)); -#3245=EDGE_LOOP('',(#19624)); -#3246=EDGE_LOOP('',(#19625)); -#3247=EDGE_LOOP('',(#19626)); -#3248=EDGE_LOOP('',(#19627)); -#3249=EDGE_LOOP('',(#19628)); -#3250=EDGE_LOOP('',(#19629)); -#3251=EDGE_LOOP('',(#19630)); -#3252=EDGE_LOOP('',(#19631)); -#3253=EDGE_LOOP('',(#19632)); -#3254=EDGE_LOOP('',(#19633)); -#3255=EDGE_LOOP('',(#19634)); -#3256=EDGE_LOOP('',(#19635)); -#3257=EDGE_LOOP('',(#19636)); -#3258=EDGE_LOOP('',(#19637)); -#3259=EDGE_LOOP('',(#19638)); -#3260=EDGE_LOOP('',(#19639)); -#3261=EDGE_LOOP('',(#19640)); -#3262=EDGE_LOOP('',(#19641)); -#3263=EDGE_LOOP('',(#19642)); -#3264=EDGE_LOOP('',(#19643)); -#3265=EDGE_LOOP('',(#19644)); -#3266=EDGE_LOOP('',(#19645)); -#3267=EDGE_LOOP('',(#19646)); -#3268=EDGE_LOOP('',(#19647,#19648,#19649,#19650,#19651,#19652,#19653,#19654, -#19655,#19656,#19657,#19658)); -#3269=EDGE_LOOP('',(#19659)); -#3270=EDGE_LOOP('',(#19660,#19661,#19662,#19663)); -#3271=EDGE_LOOP('',(#19664,#19665,#19666,#19667)); -#3272=EDGE_LOOP('',(#19668,#19669,#19670,#19671,#19672)); -#3273=EDGE_LOOP('',(#19673,#19674,#19675,#19676)); -#3274=EDGE_LOOP('',(#19677,#19678,#19679,#19680,#19681,#19682,#19683,#19684)); -#3275=EDGE_LOOP('',(#19685)); -#3276=EDGE_LOOP('',(#19686)); -#3277=EDGE_LOOP('',(#19687,#19688,#19689,#19690,#19691,#19692,#19693,#19694, -#19695,#19696,#19697,#19698,#19699,#19700,#19701,#19702,#19703,#19704,#19705, -#19706)); -#3278=EDGE_LOOP('',(#19707,#19708,#19709,#19710,#19711,#19712,#19713,#19714, -#19715,#19716,#19717,#19718,#19719,#19720,#19721,#19722,#19723,#19724)); -#3279=EDGE_LOOP('',(#19725,#19726,#19727,#19728,#19729,#19730,#19731,#19732, -#19733,#19734)); -#3280=EDGE_LOOP('',(#19735,#19736,#19737,#19738)); -#3281=EDGE_LOOP('',(#19739,#19740,#19741,#19742)); -#3282=EDGE_LOOP('',(#19743,#19744,#19745,#19746)); -#3283=EDGE_LOOP('',(#19747,#19748,#19749,#19750)); -#3284=EDGE_LOOP('',(#19751,#19752,#19753,#19754)); -#3285=EDGE_LOOP('',(#19755,#19756,#19757,#19758)); -#3286=EDGE_LOOP('',(#19759,#19760,#19761,#19762)); -#3287=EDGE_LOOP('',(#19763,#19764,#19765,#19766)); -#3288=EDGE_LOOP('',(#19767,#19768,#19769,#19770)); -#3289=EDGE_LOOP('',(#19771,#19772,#19773,#19774,#19775,#19776,#19777,#19778, -#19779,#19780,#19781,#19782,#19783,#19784,#19785,#19786,#19787,#19788,#19789, -#19790)); -#3290=EDGE_LOOP('',(#19791,#19792,#19793,#19794,#19795,#19796,#19797,#19798, -#19799,#19800,#19801,#19802)); -#3291=EDGE_LOOP('',(#19803,#19804,#19805,#19806)); -#3292=EDGE_LOOP('',(#19807,#19808,#19809,#19810,#19811,#19812,#19813,#19814, -#19815,#19816,#19817,#19818,#19819,#19820,#19821,#19822)); -#3293=EDGE_LOOP('',(#19823,#19824,#19825,#19826)); -#3294=EDGE_LOOP('',(#19827,#19828,#19829,#19830)); -#3295=EDGE_LOOP('',(#19831,#19832,#19833,#19834)); -#3296=EDGE_LOOP('',(#19835,#19836,#19837,#19838)); -#3297=EDGE_LOOP('',(#19839,#19840,#19841,#19842)); -#3298=EDGE_LOOP('',(#19843,#19844,#19845,#19846)); -#3299=EDGE_LOOP('',(#19847,#19848,#19849,#19850)); -#3300=EDGE_LOOP('',(#19851,#19852,#19853,#19854)); -#3301=EDGE_LOOP('',(#19855,#19856,#19857,#19858)); -#3302=EDGE_LOOP('',(#19859,#19860,#19861,#19862)); -#3303=EDGE_LOOP('',(#19863,#19864,#19865,#19866)); -#3304=EDGE_LOOP('',(#19867,#19868,#19869,#19870)); -#3305=EDGE_LOOP('',(#19871,#19872,#19873,#19874)); -#3306=EDGE_LOOP('',(#19875,#19876,#19877,#19878)); -#3307=EDGE_LOOP('',(#19879,#19880,#19881,#19882)); -#3308=EDGE_LOOP('',(#19883,#19884,#19885,#19886)); -#3309=EDGE_LOOP('',(#19887,#19888,#19889,#19890)); -#3310=EDGE_LOOP('',(#19891,#19892,#19893,#19894)); -#3311=EDGE_LOOP('',(#19895,#19896,#19897,#19898)); -#3312=EDGE_LOOP('',(#19899,#19900,#19901,#19902)); -#3313=EDGE_LOOP('',(#19903,#19904,#19905,#19906)); -#3314=EDGE_LOOP('',(#19907,#19908,#19909,#19910)); -#3315=EDGE_LOOP('',(#19911,#19912,#19913,#19914)); -#3316=EDGE_LOOP('',(#19915,#19916,#19917,#19918)); -#3317=EDGE_LOOP('',(#19919,#19920,#19921,#19922)); -#3318=EDGE_LOOP('',(#19923,#19924,#19925,#19926)); -#3319=EDGE_LOOP('',(#19927,#19928,#19929,#19930)); -#3320=EDGE_LOOP('',(#19931,#19932,#19933,#19934)); -#3321=EDGE_LOOP('',(#19935,#19936,#19937,#19938)); -#3322=EDGE_LOOP('',(#19939,#19940,#19941,#19942)); -#3323=EDGE_LOOP('',(#19943,#19944,#19945,#19946)); -#3324=EDGE_LOOP('',(#19947,#19948,#19949,#19950)); -#3325=EDGE_LOOP('',(#19951,#19952,#19953,#19954)); -#3326=EDGE_LOOP('',(#19955,#19956,#19957,#19958)); -#3327=EDGE_LOOP('',(#19959,#19960,#19961,#19962)); -#3328=EDGE_LOOP('',(#19963,#19964,#19965,#19966)); -#3329=EDGE_LOOP('',(#19967,#19968,#19969,#19970)); -#3330=EDGE_LOOP('',(#19971,#19972,#19973,#19974)); -#3331=EDGE_LOOP('',(#19975,#19976,#19977,#19978)); -#3332=EDGE_LOOP('',(#19979,#19980,#19981,#19982)); -#3333=EDGE_LOOP('',(#19983,#19984,#19985,#19986)); -#3334=EDGE_LOOP('',(#19987,#19988,#19989,#19990)); -#3335=EDGE_LOOP('',(#19991,#19992,#19993,#19994)); -#3336=EDGE_LOOP('',(#19995,#19996,#19997,#19998)); -#3337=EDGE_LOOP('',(#19999,#20000,#20001,#20002)); -#3338=EDGE_LOOP('',(#20003,#20004,#20005,#20006)); -#3339=EDGE_LOOP('',(#20007,#20008,#20009,#20010)); -#3340=EDGE_LOOP('',(#20011,#20012,#20013,#20014)); -#3341=EDGE_LOOP('',(#20015,#20016,#20017,#20018)); -#3342=EDGE_LOOP('',(#20019,#20020,#20021,#20022)); -#3343=EDGE_LOOP('',(#20023,#20024,#20025,#20026)); -#3344=EDGE_LOOP('',(#20027,#20028,#20029,#20030)); -#3345=EDGE_LOOP('',(#20031,#20032,#20033,#20034)); -#3346=EDGE_LOOP('',(#20035,#20036,#20037,#20038)); -#3347=EDGE_LOOP('',(#20039,#20040,#20041,#20042)); -#3348=EDGE_LOOP('',(#20043,#20044,#20045,#20046)); -#3349=EDGE_LOOP('',(#20047,#20048,#20049,#20050,#20051,#20052,#20053,#20054, -#20055,#20056,#20057,#20058)); -#3350=EDGE_LOOP('',(#20059,#20060,#20061,#20062,#20063,#20064,#20065,#20066, -#20067,#20068,#20069,#20070)); -#3351=EDGE_LOOP('',(#20071,#20072,#20073,#20074,#20075,#20076,#20077,#20078, -#20079,#20080,#20081,#20082,#20083,#20084,#20085,#20086)); -#3352=EDGE_LOOP('',(#20087,#20088,#20089,#20090,#20091,#20092,#20093,#20094, -#20095,#20096,#20097,#20098,#20099,#20100,#20101,#20102)); -#3353=EDGE_LOOP('',(#20103,#20104,#20105,#20106,#20107,#20108,#20109,#20110, -#20111,#20112,#20113,#20114,#20115,#20116,#20117,#20118)); -#3354=EDGE_LOOP('',(#20119,#20120,#20121,#20122,#20123,#20124,#20125,#20126, -#20127,#20128,#20129,#20130,#20131,#20132,#20133,#20134)); -#3355=EDGE_LOOP('',(#20135,#20136,#20137,#20138,#20139,#20140,#20141,#20142, -#20143,#20144,#20145,#20146)); -#3356=EDGE_LOOP('',(#20147,#20148,#20149,#20150,#20151,#20152,#20153,#20154, -#20155,#20156,#20157,#20158,#20159,#20160,#20161,#20162,#20163,#20164,#20165, -#20166,#20167,#20168,#20169,#20170)); -#3357=EDGE_LOOP('',(#20171,#20172,#20173,#20174)); -#3358=EDGE_LOOP('',(#20175,#20176,#20177,#20178)); -#3359=EDGE_LOOP('',(#20179,#20180,#20181,#20182,#20183,#20184,#20185,#20186, -#20187,#20188,#20189,#20190,#20191,#20192,#20193,#20194,#20195,#20196,#20197, -#20198,#20199,#20200,#20201,#20202)); -#3360=EDGE_LOOP('',(#20203)); -#3361=EDGE_LOOP('',(#20204)); -#3362=EDGE_LOOP('',(#20205)); -#3363=EDGE_LOOP('',(#20206)); -#3364=EDGE_LOOP('',(#20207)); -#3365=EDGE_LOOP('',(#20208)); -#3366=EDGE_LOOP('',(#20209)); -#3367=EDGE_LOOP('',(#20210)); -#3368=EDGE_LOOP('',(#20211)); -#3369=EDGE_LOOP('',(#20212)); -#3370=EDGE_LOOP('',(#20213)); -#3371=EDGE_LOOP('',(#20214)); -#3372=EDGE_LOOP('',(#20215)); -#3373=EDGE_LOOP('',(#20216)); -#3374=EDGE_LOOP('',(#20217)); -#3375=EDGE_LOOP('',(#20218)); -#3376=EDGE_LOOP('',(#20219)); -#3377=EDGE_LOOP('',(#20220)); -#3378=EDGE_LOOP('',(#20221)); -#3379=EDGE_LOOP('',(#20222)); -#3380=EDGE_LOOP('',(#20223)); -#3381=EDGE_LOOP('',(#20224)); -#3382=EDGE_LOOP('',(#20225)); -#3383=EDGE_LOOP('',(#20226)); -#3384=EDGE_LOOP('',(#20227,#20228,#20229,#20230)); -#3385=EDGE_LOOP('',(#20231,#20232,#20233,#20234)); -#3386=EDGE_LOOP('',(#20235,#20236,#20237,#20238)); -#3387=EDGE_LOOP('',(#20239,#20240,#20241,#20242)); -#3388=EDGE_LOOP('',(#20243,#20244,#20245,#20246)); -#3389=EDGE_LOOP('',(#20247,#20248,#20249,#20250)); -#3390=EDGE_LOOP('',(#20251,#20252,#20253,#20254)); -#3391=EDGE_LOOP('',(#20255,#20256,#20257,#20258)); -#3392=EDGE_LOOP('',(#20259,#20260,#20261,#20262)); -#3393=EDGE_LOOP('',(#20263,#20264,#20265,#20266)); -#3394=EDGE_LOOP('',(#20267,#20268,#20269,#20270)); -#3395=EDGE_LOOP('',(#20271,#20272,#20273,#20274)); -#3396=EDGE_LOOP('',(#20275,#20276,#20277,#20278)); -#3397=EDGE_LOOP('',(#20279,#20280,#20281,#20282)); -#3398=EDGE_LOOP('',(#20283,#20284,#20285,#20286)); -#3399=EDGE_LOOP('',(#20287,#20288,#20289,#20290)); -#3400=EDGE_LOOP('',(#20291,#20292,#20293,#20294)); -#3401=EDGE_LOOP('',(#20295,#20296,#20297,#20298)); -#3402=EDGE_LOOP('',(#20299,#20300,#20301,#20302)); -#3403=EDGE_LOOP('',(#20303,#20304,#20305,#20306)); -#3404=EDGE_LOOP('',(#20307,#20308,#20309,#20310)); -#3405=EDGE_LOOP('',(#20311,#20312,#20313,#20314)); -#3406=EDGE_LOOP('',(#20315,#20316,#20317,#20318)); -#3407=EDGE_LOOP('',(#20319,#20320,#20321,#20322)); -#3408=EDGE_LOOP('',(#20323,#20324,#20325,#20326)); -#3409=EDGE_LOOP('',(#20327,#20328,#20329,#20330)); -#3410=EDGE_LOOP('',(#20331,#20332,#20333,#20334)); -#3411=EDGE_LOOP('',(#20335,#20336,#20337,#20338)); -#3412=EDGE_LOOP('',(#20339,#20340,#20341,#20342)); -#3413=EDGE_LOOP('',(#20343,#20344,#20345,#20346)); -#3414=EDGE_LOOP('',(#20347,#20348,#20349,#20350,#20351,#20352,#20353,#20354, -#20355,#20356,#20357,#20358)); -#3415=EDGE_LOOP('',(#20359,#20360,#20361,#20362,#20363,#20364,#20365,#20366)); -#3416=EDGE_LOOP('',(#20367,#20368,#20369,#20370,#20371,#20372,#20373,#20374, -#20375,#20376,#20377,#20378)); -#3417=EDGE_LOOP('',(#20379,#20380,#20381,#20382)); -#3418=EDGE_LOOP('',(#20383,#20384,#20385,#20386)); -#3419=EDGE_LOOP('',(#20387,#20388,#20389,#20390,#20391,#20392,#20393,#20394)); -#3420=EDGE_LOOP('',(#20395,#20396,#20397,#20398)); -#3421=EDGE_LOOP('',(#20399,#20400,#20401,#20402)); -#3422=EDGE_LOOP('',(#20403,#20404,#20405,#20406)); -#3423=EDGE_LOOP('',(#20407,#20408,#20409,#20410)); -#3424=EDGE_LOOP('',(#20411,#20412,#20413,#20414)); -#3425=EDGE_LOOP('',(#20415,#20416,#20417,#20418)); -#3426=EDGE_LOOP('',(#20419,#20420,#20421,#20422)); -#3427=EDGE_LOOP('',(#20423,#20424,#20425,#20426)); -#3428=EDGE_LOOP('',(#20427,#20428,#20429,#20430)); -#3429=EDGE_LOOP('',(#20431,#20432,#20433,#20434)); -#3430=EDGE_LOOP('',(#20435,#20436,#20437,#20438)); -#3431=EDGE_LOOP('',(#20439,#20440,#20441,#20442)); -#3432=EDGE_LOOP('',(#20443,#20444,#20445,#20446)); -#3433=EDGE_LOOP('',(#20447,#20448,#20449,#20450,#20451,#20452)); -#3434=EDGE_LOOP('',(#20453,#20454,#20455,#20456)); -#3435=EDGE_LOOP('',(#20457,#20458,#20459,#20460)); -#3436=EDGE_LOOP('',(#20461,#20462,#20463,#20464)); -#3437=EDGE_LOOP('',(#20465,#20466,#20467,#20468)); -#3438=EDGE_LOOP('',(#20469,#20470,#20471,#20472)); -#3439=EDGE_LOOP('',(#20473,#20474,#20475,#20476)); -#3440=EDGE_LOOP('',(#20477,#20478,#20479,#20480)); -#3441=EDGE_LOOP('',(#20481,#20482,#20483,#20484)); -#3442=EDGE_LOOP('',(#20485,#20486,#20487,#20488)); -#3443=EDGE_LOOP('',(#20489,#20490,#20491,#20492)); -#3444=EDGE_LOOP('',(#20493,#20494,#20495,#20496)); -#3445=EDGE_LOOP('',(#20497,#20498,#20499,#20500)); -#3446=EDGE_LOOP('',(#20501,#20502,#20503,#20504)); -#3447=EDGE_LOOP('',(#20505,#20506,#20507,#20508)); -#3448=EDGE_LOOP('',(#20509,#20510,#20511,#20512)); -#3449=EDGE_LOOP('',(#20513,#20514,#20515,#20516)); -#3450=EDGE_LOOP('',(#20517,#20518,#20519,#20520)); -#3451=EDGE_LOOP('',(#20521,#20522,#20523,#20524)); -#3452=EDGE_LOOP('',(#20525,#20526,#20527,#20528)); -#3453=EDGE_LOOP('',(#20529,#20530,#20531,#20532)); -#3454=EDGE_LOOP('',(#20533,#20534,#20535,#20536)); -#3455=EDGE_LOOP('',(#20537,#20538,#20539,#20540)); -#3456=EDGE_LOOP('',(#20541,#20542,#20543,#20544)); -#3457=EDGE_LOOP('',(#20545,#20546,#20547,#20548)); -#3458=EDGE_LOOP('',(#20549,#20550,#20551,#20552)); -#3459=EDGE_LOOP('',(#20553,#20554,#20555,#20556)); -#3460=EDGE_LOOP('',(#20557,#20558,#20559,#20560)); -#3461=EDGE_LOOP('',(#20561,#20562,#20563,#20564)); -#3462=EDGE_LOOP('',(#20565,#20566,#20567,#20568)); -#3463=EDGE_LOOP('',(#20569,#20570,#20571,#20572)); -#3464=EDGE_LOOP('',(#20573,#20574,#20575,#20576)); -#3465=EDGE_LOOP('',(#20577,#20578,#20579,#20580)); -#3466=EDGE_LOOP('',(#20581,#20582,#20583,#20584)); -#3467=EDGE_LOOP('',(#20585,#20586,#20587,#20588)); -#3468=EDGE_LOOP('',(#20589,#20590,#20591,#20592)); -#3469=EDGE_LOOP('',(#20593,#20594,#20595,#20596)); -#3470=EDGE_LOOP('',(#20597,#20598,#20599,#20600)); -#3471=EDGE_LOOP('',(#20601,#20602,#20603,#20604)); -#3472=EDGE_LOOP('',(#20605,#20606,#20607,#20608,#20609,#20610,#20611,#20612, -#20613,#20614,#20615,#20616,#20617,#20618,#20619,#20620,#20621,#20622,#20623, -#20624)); -#3473=EDGE_LOOP('',(#20625,#20626,#20627,#20628)); -#3474=EDGE_LOOP('',(#20629,#20630,#20631,#20632)); -#3475=EDGE_LOOP('',(#20633,#20634,#20635,#20636)); -#3476=EDGE_LOOP('',(#20637,#20638,#20639,#20640)); -#3477=EDGE_LOOP('',(#20641,#20642,#20643,#20644)); -#3478=EDGE_LOOP('',(#20645,#20646,#20647,#20648)); -#3479=EDGE_LOOP('',(#20649,#20650,#20651,#20652)); -#3480=EDGE_LOOP('',(#20653,#20654,#20655,#20656)); -#3481=EDGE_LOOP('',(#20657,#20658,#20659,#20660)); -#3482=EDGE_LOOP('',(#20661,#20662,#20663,#20664)); -#3483=EDGE_LOOP('',(#20665,#20666,#20667,#20668)); -#3484=EDGE_LOOP('',(#20669,#20670,#20671,#20672)); -#3485=EDGE_LOOP('',(#20673,#20674,#20675,#20676)); -#3486=EDGE_LOOP('',(#20677,#20678,#20679,#20680)); -#3487=EDGE_LOOP('',(#20681,#20682,#20683,#20684)); -#3488=EDGE_LOOP('',(#20685,#20686,#20687,#20688)); -#3489=EDGE_LOOP('',(#20689,#20690,#20691,#20692,#20693,#20694,#20695,#20696, -#20697,#20698,#20699,#20700)); -#3490=EDGE_LOOP('',(#20701,#20702,#20703,#20704)); -#3491=EDGE_LOOP('',(#20705,#20706,#20707,#20708)); -#3492=EDGE_LOOP('',(#20709,#20710,#20711,#20712)); -#3493=EDGE_LOOP('',(#20713,#20714,#20715,#20716)); -#3494=EDGE_LOOP('',(#20717,#20718,#20719,#20720)); -#3495=EDGE_LOOP('',(#20721,#20722,#20723,#20724)); -#3496=EDGE_LOOP('',(#20725,#20726,#20727,#20728)); -#3497=EDGE_LOOP('',(#20729,#20730,#20731,#20732)); -#3498=EDGE_LOOP('',(#20733,#20734,#20735,#20736,#20737,#20738,#20739,#20740, -#20741,#20742,#20743,#20744)); -#3499=EDGE_LOOP('',(#20745,#20746,#20747,#20748)); -#3500=EDGE_LOOP('',(#20749,#20750,#20751,#20752)); -#3501=EDGE_LOOP('',(#20753,#20754,#20755,#20756)); -#3502=EDGE_LOOP('',(#20757,#20758,#20759,#20760)); -#3503=EDGE_LOOP('',(#20761,#20762,#20763,#20764)); -#3504=EDGE_LOOP('',(#20765,#20766,#20767,#20768)); -#3505=EDGE_LOOP('',(#20769,#20770,#20771,#20772)); -#3506=EDGE_LOOP('',(#20773,#20774,#20775,#20776)); -#3507=EDGE_LOOP('',(#20777,#20778,#20779,#20780)); -#3508=EDGE_LOOP('',(#20781,#20782,#20783,#20784,#20785,#20786,#20787,#20788, -#20789,#20790,#20791,#20792,#20793,#20794,#20795,#20796)); -#3509=EDGE_LOOP('',(#20797,#20798,#20799,#20800,#20801)); -#3510=EDGE_LOOP('',(#20802,#20803,#20804,#20805,#20806)); -#3511=EDGE_LOOP('',(#20807,#20808,#20809,#20810)); -#3512=EDGE_LOOP('',(#20811,#20812,#20813,#20814)); -#3513=EDGE_LOOP('',(#20815,#20816,#20817,#20818)); -#3514=EDGE_LOOP('',(#20819,#20820,#20821,#20822,#20823,#20824,#20825,#20826)); -#3515=EDGE_LOOP('',(#20827,#20828,#20829,#20830)); -#3516=EDGE_LOOP('',(#20831,#20832,#20833,#20834)); -#3517=EDGE_LOOP('',(#20835,#20836,#20837,#20838,#20839)); -#3518=EDGE_LOOP('',(#20840,#20841,#20842,#20843,#20844,#20845,#20846,#20847)); -#3519=EDGE_LOOP('',(#20848,#20849,#20850,#20851)); -#3520=EDGE_LOOP('',(#20852,#20853,#20854,#20855)); -#3521=EDGE_LOOP('',(#20856,#20857,#20858,#20859)); -#3522=EDGE_LOOP('',(#20860,#20861,#20862,#20863,#20864,#20865)); -#3523=EDGE_LOOP('',(#20866,#20867,#20868,#20869,#20870,#20871,#20872,#20873)); -#3524=EDGE_LOOP('',(#20874,#20875,#20876,#20877)); -#3525=EDGE_LOOP('',(#20878,#20879,#20880,#20881)); -#3526=EDGE_LOOP('',(#20882,#20883,#20884,#20885)); -#3527=EDGE_LOOP('',(#20886,#20887,#20888,#20889)); -#3528=EDGE_LOOP('',(#20890,#20891,#20892,#20893,#20894)); -#3529=EDGE_LOOP('',(#20895,#20896,#20897,#20898)); -#3530=EDGE_LOOP('',(#20899,#20900,#20901,#20902)); -#3531=EDGE_LOOP('',(#20903,#20904,#20905,#20906)); -#3532=EDGE_LOOP('',(#20907,#20908,#20909,#20910)); -#3533=EDGE_LOOP('',(#20911,#20912,#20913,#20914)); -#3534=EDGE_LOOP('',(#20915,#20916,#20917,#20918)); -#3535=EDGE_LOOP('',(#20919,#20920,#20921,#20922,#20923,#20924,#20925,#20926)); -#3536=EDGE_LOOP('',(#20927,#20928,#20929,#20930)); -#3537=EDGE_LOOP('',(#20931,#20932,#20933,#20934)); -#3538=EDGE_LOOP('',(#20935,#20936,#20937,#20938)); -#3539=EDGE_LOOP('',(#20939,#20940,#20941,#20942)); -#3540=EDGE_LOOP('',(#20943,#20944,#20945,#20946)); -#3541=EDGE_LOOP('',(#20947,#20948,#20949,#20950)); -#3542=EDGE_LOOP('',(#20951,#20952,#20953)); -#3543=EDGE_LOOP('',(#20954,#20955,#20956)); -#3544=EDGE_LOOP('',(#20957,#20958)); -#3545=EDGE_LOOP('',(#20959,#20960)); -#3546=EDGE_LOOP('',(#20961,#20962,#20963,#20964,#20965,#20966)); -#3547=EDGE_LOOP('',(#20967,#20968,#20969,#20970)); -#3548=EDGE_LOOP('',(#20971,#20972,#20973,#20974,#20975,#20976,#20977,#20978, -#20979,#20980,#20981,#20982)); -#3549=EDGE_LOOP('',(#20983,#20984,#20985,#20986)); -#3550=EDGE_LOOP('',(#20987,#20988,#20989,#20990,#20991,#20992)); -#3551=EDGE_LOOP('',(#20993,#20994,#20995,#20996)); -#3552=EDGE_LOOP('',(#20997,#20998,#20999,#21000)); -#3553=EDGE_LOOP('',(#21001,#21002,#21003,#21004)); -#3554=EDGE_LOOP('',(#21005,#21006,#21007,#21008)); -#3555=EDGE_LOOP('',(#21009,#21010,#21011,#21012)); -#3556=EDGE_LOOP('',(#21013,#21014,#21015,#21016)); -#3557=EDGE_LOOP('',(#21017,#21018,#21019,#21020)); -#3558=EDGE_LOOP('',(#21021,#21022,#21023,#21024)); -#3559=EDGE_LOOP('',(#21025,#21026,#21027,#21028)); -#3560=EDGE_LOOP('',(#21029,#21030,#21031,#21032)); -#3561=EDGE_LOOP('',(#21033,#21034,#21035,#21036)); -#3562=EDGE_LOOP('',(#21037,#21038,#21039,#21040)); -#3563=EDGE_LOOP('',(#21041,#21042,#21043,#21044)); -#3564=EDGE_LOOP('',(#21045,#21046,#21047,#21048)); -#3565=EDGE_LOOP('',(#21049,#21050,#21051,#21052)); -#3566=EDGE_LOOP('',(#21053,#21054,#21055,#21056)); -#3567=EDGE_LOOP('',(#21057,#21058,#21059,#21060)); -#3568=EDGE_LOOP('',(#21061,#21062,#21063,#21064)); -#3569=EDGE_LOOP('',(#21065,#21066,#21067,#21068)); -#3570=EDGE_LOOP('',(#21069,#21070,#21071,#21072)); -#3571=EDGE_LOOP('',(#21073,#21074,#21075,#21076)); -#3572=EDGE_LOOP('',(#21077,#21078,#21079,#21080)); -#3573=EDGE_LOOP('',(#21081,#21082,#21083,#21084)); -#3574=EDGE_LOOP('',(#21085,#21086,#21087,#21088,#21089,#21090,#21091,#21092)); -#3575=EDGE_LOOP('',(#21093,#21094,#21095,#21096,#21097,#21098,#21099,#21100)); -#3576=EDGE_LOOP('',(#21101,#21102,#21103,#21104)); -#3577=EDGE_LOOP('',(#21105,#21106,#21107,#21108)); -#3578=EDGE_LOOP('',(#21109,#21110,#21111,#21112)); -#3579=EDGE_LOOP('',(#21113,#21114,#21115,#21116)); -#3580=EDGE_LOOP('',(#21117,#21118,#21119,#21120)); -#3581=EDGE_LOOP('',(#21121,#21122,#21123,#21124)); -#3582=EDGE_LOOP('',(#21125,#21126,#21127,#21128)); -#3583=EDGE_LOOP('',(#21129,#21130,#21131,#21132)); -#3584=EDGE_LOOP('',(#21133,#21134,#21135,#21136)); -#3585=EDGE_LOOP('',(#21137,#21138,#21139,#21140,#21141,#21142,#21143,#21144)); -#3586=EDGE_LOOP('',(#21145,#21146,#21147,#21148,#21149,#21150,#21151,#21152)); -#3587=EDGE_LOOP('',(#21153,#21154,#21155,#21156)); -#3588=EDGE_LOOP('',(#21157,#21158,#21159,#21160)); -#3589=EDGE_LOOP('',(#21161,#21162,#21163,#21164)); -#3590=EDGE_LOOP('',(#21165,#21166,#21167,#21168)); -#3591=EDGE_LOOP('',(#21169,#21170,#21171,#21172)); -#3592=EDGE_LOOP('',(#21173,#21174,#21175,#21176)); -#3593=EDGE_LOOP('',(#21177,#21178,#21179,#21180)); -#3594=EDGE_LOOP('',(#21181,#21182,#21183,#21184)); -#3595=EDGE_LOOP('',(#21185,#21186,#21187,#21188)); -#3596=EDGE_LOOP('',(#21189,#21190,#21191,#21192)); -#3597=EDGE_LOOP('',(#21193,#21194,#21195,#21196)); -#3598=EDGE_LOOP('',(#21197,#21198,#21199,#21200,#21201,#21202,#21203,#21204)); -#3599=EDGE_LOOP('',(#21205,#21206,#21207,#21208,#21209,#21210,#21211,#21212)); -#3600=EDGE_LOOP('',(#21213,#21214,#21215,#21216)); -#3601=EDGE_LOOP('',(#21217,#21218,#21219,#21220,#21221,#21222,#21223,#21224)); -#3602=EDGE_LOOP('',(#21225,#21226,#21227,#21228,#21229,#21230,#21231,#21232)); -#3603=EDGE_LOOP('',(#21233,#21234,#21235,#21236)); -#3604=EDGE_LOOP('',(#21237,#21238,#21239,#21240)); -#3605=EDGE_LOOP('',(#21241,#21242,#21243,#21244)); -#3606=EDGE_LOOP('',(#21245,#21246,#21247,#21248)); -#3607=EDGE_LOOP('',(#21249,#21250,#21251,#21252)); -#3608=EDGE_LOOP('',(#21253,#21254,#21255,#21256,#21257,#21258,#21259,#21260)); -#3609=EDGE_LOOP('',(#21261,#21262,#21263,#21264,#21265,#21266,#21267,#21268)); -#3610=EDGE_LOOP('',(#21269,#21270,#21271,#21272)); -#3611=EDGE_LOOP('',(#21273,#21274,#21275,#21276,#21277,#21278,#21279,#21280)); -#3612=EDGE_LOOP('',(#21281,#21282,#21283,#21284,#21285,#21286,#21287,#21288)); -#3613=EDGE_LOOP('',(#21289,#21290,#21291,#21292)); -#3614=EDGE_LOOP('',(#21293,#21294,#21295,#21296)); -#3615=EDGE_LOOP('',(#21297,#21298,#21299,#21300)); -#3616=EDGE_LOOP('',(#21301,#21302,#21303,#21304)); -#3617=EDGE_LOOP('',(#21305,#21306,#21307,#21308)); -#3618=EDGE_LOOP('',(#21309,#21310,#21311,#21312,#21313,#21314,#21315,#21316)); -#3619=EDGE_LOOP('',(#21317,#21318,#21319,#21320,#21321,#21322,#21323,#21324)); -#3620=EDGE_LOOP('',(#21325,#21326,#21327,#21328)); -#3621=EDGE_LOOP('',(#21329,#21330,#21331,#21332,#21333,#21334,#21335,#21336)); -#3622=EDGE_LOOP('',(#21337,#21338,#21339,#21340,#21341,#21342,#21343,#21344)); -#3623=EDGE_LOOP('',(#21345,#21346,#21347,#21348)); -#3624=EDGE_LOOP('',(#21349,#21350,#21351,#21352)); -#3625=EDGE_LOOP('',(#21353,#21354,#21355,#21356)); -#3626=EDGE_LOOP('',(#21357,#21358,#21359,#21360)); -#3627=EDGE_LOOP('',(#21361,#21362,#21363,#21364)); -#3628=EDGE_LOOP('',(#21365,#21366,#21367,#21368)); -#3629=EDGE_LOOP('',(#21369,#21370,#21371,#21372)); -#3630=EDGE_LOOP('',(#21373,#21374,#21375,#21376)); -#3631=EDGE_LOOP('',(#21377,#21378,#21379,#21380)); -#3632=EDGE_LOOP('',(#21381,#21382,#21383,#21384)); -#3633=EDGE_LOOP('',(#21385,#21386,#21387,#21388,#21389,#21390,#21391,#21392)); -#3634=EDGE_LOOP('',(#21393,#21394,#21395,#21396)); -#3635=EDGE_LOOP('',(#21397,#21398,#21399,#21400)); -#3636=EDGE_LOOP('',(#21401,#21402,#21403,#21404)); -#3637=EDGE_LOOP('',(#21405,#21406,#21407,#21408)); -#3638=EDGE_LOOP('',(#21409,#21410,#21411,#21412,#21413,#21414,#21415,#21416)); -#3639=EDGE_LOOP('',(#21417,#21418,#21419,#21420)); -#3640=EDGE_LOOP('',(#21421,#21422,#21423,#21424)); -#3641=EDGE_LOOP('',(#21425,#21426,#21427,#21428)); -#3642=EDGE_LOOP('',(#21429,#21430,#21431,#21432,#21433,#21434,#21435,#21436)); -#3643=EDGE_LOOP('',(#21437,#21438,#21439,#21440)); -#3644=EDGE_LOOP('',(#21441,#21442,#21443,#21444)); -#3645=EDGE_LOOP('',(#21445,#21446,#21447,#21448)); -#3646=EDGE_LOOP('',(#21449,#21450,#21451,#21452)); -#3647=EDGE_LOOP('',(#21453,#21454,#21455,#21456,#21457,#21458,#21459,#21460)); -#3648=EDGE_LOOP('',(#21461,#21462,#21463,#21464)); -#3649=EDGE_LOOP('',(#21465,#21466,#21467,#21468)); -#3650=EDGE_LOOP('',(#21469,#21470,#21471,#21472)); -#3651=EDGE_LOOP('',(#21473,#21474,#21475,#21476)); -#3652=EDGE_LOOP('',(#21477,#21478,#21479,#21480)); -#3653=EDGE_LOOP('',(#21481,#21482,#21483,#21484)); -#3654=EDGE_LOOP('',(#21485,#21486,#21487,#21488)); -#3655=EDGE_LOOP('',(#21489,#21490,#21491,#21492)); -#3656=EDGE_LOOP('',(#21493,#21494,#21495,#21496)); -#3657=EDGE_LOOP('',(#21497,#21498,#21499,#21500)); -#3658=EDGE_LOOP('',(#21501,#21502,#21503,#21504)); -#3659=EDGE_LOOP('',(#21505,#21506,#21507,#21508,#21509,#21510,#21511,#21512)); -#3660=EDGE_LOOP('',(#21513,#21514,#21515,#21516)); -#3661=EDGE_LOOP('',(#21517,#21518,#21519,#21520,#21521,#21522,#21523,#21524)); -#3662=EDGE_LOOP('',(#21525,#21526,#21527,#21528)); -#3663=EDGE_LOOP('',(#21529,#21530,#21531,#21532)); -#3664=EDGE_LOOP('',(#21533,#21534,#21535,#21536)); -#3665=EDGE_LOOP('',(#21537,#21538,#21539,#21540)); -#3666=EDGE_LOOP('',(#21541,#21542,#21543,#21544)); -#3667=EDGE_LOOP('',(#21545,#21546,#21547,#21548)); -#3668=EDGE_LOOP('',(#21549,#21550,#21551,#21552)); -#3669=EDGE_LOOP('',(#21553,#21554,#21555,#21556)); -#3670=EDGE_LOOP('',(#21557,#21558,#21559,#21560,#21561,#21562,#21563,#21564)); -#3671=EDGE_LOOP('',(#21565,#21566,#21567,#21568)); -#3672=EDGE_LOOP('',(#21569,#21570,#21571,#21572)); -#3673=EDGE_LOOP('',(#21573,#21574,#21575,#21576)); -#3674=EDGE_LOOP('',(#21577,#21578,#21579,#21580)); -#3675=EDGE_LOOP('',(#21581,#21582,#21583,#21584)); -#3676=EDGE_LOOP('',(#21585,#21586,#21587,#21588,#21589,#21590,#21591,#21592)); -#3677=EDGE_LOOP('',(#21593,#21594,#21595,#21596)); -#3678=EDGE_LOOP('',(#21597,#21598,#21599,#21600)); -#3679=EDGE_LOOP('',(#21601,#21602,#21603,#21604)); -#3680=EDGE_LOOP('',(#21605,#21606,#21607,#21608,#21609,#21610,#21611)); -#3681=EDGE_LOOP('',(#21612,#21613,#21614,#21615,#21616)); -#3682=EDGE_LOOP('',(#21617,#21618,#21619,#21620,#21621,#21622,#21623,#21624)); -#3683=EDGE_LOOP('',(#21625,#21626,#21627,#21628)); -#3684=EDGE_LOOP('',(#21629,#21630,#21631,#21632)); -#3685=EDGE_LOOP('',(#21633,#21634,#21635,#21636)); -#3686=EDGE_LOOP('',(#21637,#21638,#21639,#21640,#21641)); -#3687=EDGE_LOOP('',(#21642)); -#3688=EDGE_LOOP('',(#21643)); -#3689=EDGE_LOOP('',(#21644)); -#3690=EDGE_LOOP('',(#21645,#21646,#21647,#21648)); -#3691=EDGE_LOOP('',(#21649)); -#3692=EDGE_LOOP('',(#21650)); -#3693=EDGE_LOOP('',(#21651,#21652,#21653,#21654)); -#3694=EDGE_LOOP('',(#21655,#21656,#21657,#21658)); -#3695=EDGE_LOOP('',(#21659,#21660,#21661,#21662)); -#3696=EDGE_LOOP('',(#21663,#21664,#21665,#21666)); -#3697=EDGE_LOOP('',(#21667,#21668,#21669,#21670)); -#3698=EDGE_LOOP('',(#21671,#21672,#21673,#21674)); -#3699=EDGE_LOOP('',(#21675,#21676,#21677,#21678)); -#3700=EDGE_LOOP('',(#21679,#21680,#21681,#21682)); -#3701=EDGE_LOOP('',(#21683,#21684,#21685,#21686)); -#3702=EDGE_LOOP('',(#21687,#21688,#21689,#21690)); -#3703=EDGE_LOOP('',(#21691,#21692,#21693,#21694)); -#3704=EDGE_LOOP('',(#21695,#21696,#21697,#21698)); -#3705=EDGE_LOOP('',(#21699,#21700,#21701,#21702)); -#3706=EDGE_LOOP('',(#21703,#21704,#21705,#21706)); -#3707=EDGE_LOOP('',(#21707,#21708,#21709,#21710)); -#3708=EDGE_LOOP('',(#21711,#21712,#21713,#21714)); -#3709=EDGE_LOOP('',(#21715,#21716,#21717,#21718)); -#3710=EDGE_LOOP('',(#21719,#21720,#21721,#21722)); -#3711=EDGE_LOOP('',(#21723,#21724,#21725,#21726)); -#3712=EDGE_LOOP('',(#21727,#21728,#21729,#21730)); -#3713=EDGE_LOOP('',(#21731,#21732,#21733,#21734)); -#3714=EDGE_LOOP('',(#21735,#21736,#21737,#21738)); -#3715=EDGE_LOOP('',(#21739,#21740,#21741,#21742)); -#3716=EDGE_LOOP('',(#21743,#21744,#21745,#21746)); -#3717=EDGE_LOOP('',(#21747,#21748,#21749,#21750)); -#3718=EDGE_LOOP('',(#21751,#21752,#21753,#21754)); -#3719=EDGE_LOOP('',(#21755,#21756,#21757,#21758)); -#3720=EDGE_LOOP('',(#21759,#21760,#21761,#21762)); -#3721=EDGE_LOOP('',(#21763,#21764,#21765,#21766)); -#3722=EDGE_LOOP('',(#21767,#21768,#21769,#21770)); -#3723=EDGE_LOOP('',(#21771,#21772,#21773,#21774)); -#3724=EDGE_LOOP('',(#21775,#21776,#21777,#21778)); -#3725=EDGE_LOOP('',(#21779,#21780,#21781,#21782)); -#3726=EDGE_LOOP('',(#21783,#21784,#21785,#21786)); -#3727=EDGE_LOOP('',(#21787,#21788,#21789,#21790)); -#3728=EDGE_LOOP('',(#21791,#21792,#21793,#21794)); -#3729=EDGE_LOOP('',(#21795,#21796,#21797,#21798)); -#3730=EDGE_LOOP('',(#21799,#21800,#21801,#21802)); -#3731=EDGE_LOOP('',(#21803,#21804,#21805,#21806)); -#3732=EDGE_LOOP('',(#21807,#21808,#21809,#21810)); -#3733=EDGE_LOOP('',(#21811,#21812,#21813,#21814)); -#3734=EDGE_LOOP('',(#21815,#21816,#21817,#21818)); -#3735=EDGE_LOOP('',(#21819,#21820,#21821,#21822)); -#3736=EDGE_LOOP('',(#21823,#21824,#21825,#21826)); -#3737=EDGE_LOOP('',(#21827,#21828,#21829,#21830)); -#3738=EDGE_LOOP('',(#21831,#21832,#21833,#21834)); -#3739=EDGE_LOOP('',(#21835,#21836,#21837,#21838)); -#3740=EDGE_LOOP('',(#21839,#21840,#21841,#21842)); -#3741=EDGE_LOOP('',(#21843,#21844,#21845,#21846)); -#3742=EDGE_LOOP('',(#21847,#21848,#21849,#21850)); -#3743=EDGE_LOOP('',(#21851,#21852,#21853,#21854)); -#3744=EDGE_LOOP('',(#21855,#21856,#21857,#21858)); -#3745=EDGE_LOOP('',(#21859,#21860,#21861,#21862)); -#3746=EDGE_LOOP('',(#21863,#21864,#21865,#21866)); -#3747=EDGE_LOOP('',(#21867,#21868,#21869,#21870)); -#3748=EDGE_LOOP('',(#21871,#21872,#21873,#21874)); -#3749=EDGE_LOOP('',(#21875,#21876,#21877,#21878)); -#3750=EDGE_LOOP('',(#21879,#21880,#21881,#21882)); -#3751=EDGE_LOOP('',(#21883,#21884,#21885,#21886)); -#3752=EDGE_LOOP('',(#21887,#21888,#21889,#21890)); -#3753=EDGE_LOOP('',(#21891,#21892,#21893,#21894)); -#3754=EDGE_LOOP('',(#21895,#21896,#21897,#21898)); -#3755=EDGE_LOOP('',(#21899,#21900,#21901,#21902)); -#3756=EDGE_LOOP('',(#21903,#21904,#21905,#21906)); -#3757=EDGE_LOOP('',(#21907,#21908,#21909,#21910)); -#3758=EDGE_LOOP('',(#21911,#21912,#21913,#21914)); -#3759=EDGE_LOOP('',(#21915,#21916,#21917,#21918)); -#3760=EDGE_LOOP('',(#21919,#21920,#21921,#21922)); -#3761=EDGE_LOOP('',(#21923,#21924,#21925,#21926)); -#3762=EDGE_LOOP('',(#21927,#21928,#21929,#21930)); -#3763=EDGE_LOOP('',(#21931,#21932,#21933,#21934)); -#3764=EDGE_LOOP('',(#21935,#21936,#21937,#21938)); -#3765=EDGE_LOOP('',(#21939,#21940,#21941,#21942)); -#3766=EDGE_LOOP('',(#21943,#21944,#21945,#21946)); -#3767=EDGE_LOOP('',(#21947,#21948,#21949,#21950)); -#3768=EDGE_LOOP('',(#21951,#21952,#21953,#21954)); -#3769=EDGE_LOOP('',(#21955,#21956,#21957,#21958)); -#3770=EDGE_LOOP('',(#21959,#21960,#21961,#21962)); -#3771=EDGE_LOOP('',(#21963,#21964,#21965,#21966)); -#3772=EDGE_LOOP('',(#21967,#21968,#21969,#21970)); -#3773=EDGE_LOOP('',(#21971,#21972,#21973,#21974)); -#3774=EDGE_LOOP('',(#21975,#21976,#21977,#21978)); -#3775=EDGE_LOOP('',(#21979,#21980,#21981,#21982)); -#3776=EDGE_LOOP('',(#21983,#21984,#21985,#21986)); -#3777=EDGE_LOOP('',(#21987,#21988,#21989,#21990)); -#3778=EDGE_LOOP('',(#21991,#21992,#21993,#21994)); -#3779=EDGE_LOOP('',(#21995,#21996,#21997,#21998)); -#3780=EDGE_LOOP('',(#21999,#22000,#22001,#22002)); -#3781=EDGE_LOOP('',(#22003,#22004,#22005,#22006)); -#3782=EDGE_LOOP('',(#22007,#22008,#22009,#22010)); -#3783=EDGE_LOOP('',(#22011,#22012,#22013,#22014)); -#3784=EDGE_LOOP('',(#22015,#22016,#22017,#22018)); -#3785=EDGE_LOOP('',(#22019,#22020,#22021,#22022)); -#3786=EDGE_LOOP('',(#22023,#22024,#22025,#22026)); -#3787=EDGE_LOOP('',(#22027,#22028,#22029,#22030)); -#3788=EDGE_LOOP('',(#22031,#22032,#22033,#22034)); -#3789=EDGE_LOOP('',(#22035,#22036,#22037,#22038)); -#3790=EDGE_LOOP('',(#22039,#22040,#22041,#22042)); -#3791=EDGE_LOOP('',(#22043,#22044,#22045,#22046)); -#3792=EDGE_LOOP('',(#22047,#22048,#22049,#22050)); -#3793=EDGE_LOOP('',(#22051,#22052,#22053,#22054)); -#3794=EDGE_LOOP('',(#22055,#22056,#22057,#22058)); -#3795=EDGE_LOOP('',(#22059,#22060,#22061,#22062)); -#3796=EDGE_LOOP('',(#22063,#22064,#22065,#22066)); -#3797=EDGE_LOOP('',(#22067,#22068,#22069,#22070)); -#3798=EDGE_LOOP('',(#22071,#22072,#22073,#22074)); -#3799=EDGE_LOOP('',(#22075,#22076,#22077,#22078)); -#3800=EDGE_LOOP('',(#22079,#22080,#22081,#22082)); -#3801=EDGE_LOOP('',(#22083,#22084,#22085,#22086)); -#3802=EDGE_LOOP('',(#22087,#22088,#22089,#22090)); -#3803=EDGE_LOOP('',(#22091,#22092,#22093,#22094)); -#3804=EDGE_LOOP('',(#22095,#22096,#22097,#22098)); -#3805=EDGE_LOOP('',(#22099,#22100,#22101,#22102)); -#3806=EDGE_LOOP('',(#22103,#22104,#22105,#22106)); -#3807=EDGE_LOOP('',(#22107,#22108,#22109,#22110)); -#3808=EDGE_LOOP('',(#22111,#22112,#22113,#22114)); -#3809=EDGE_LOOP('',(#22115,#22116,#22117,#22118)); -#3810=EDGE_LOOP('',(#22119,#22120,#22121,#22122)); -#3811=EDGE_LOOP('',(#22123,#22124,#22125,#22126)); -#3812=EDGE_LOOP('',(#22127,#22128,#22129,#22130)); -#3813=EDGE_LOOP('',(#22131,#22132,#22133,#22134)); -#3814=EDGE_LOOP('',(#22135,#22136,#22137,#22138)); -#3815=EDGE_LOOP('',(#22139,#22140,#22141,#22142)); -#3816=EDGE_LOOP('',(#22143,#22144,#22145,#22146)); -#3817=EDGE_LOOP('',(#22147,#22148,#22149,#22150)); -#3818=EDGE_LOOP('',(#22151,#22152,#22153,#22154)); -#3819=EDGE_LOOP('',(#22155,#22156,#22157,#22158)); -#3820=EDGE_LOOP('',(#22159,#22160,#22161,#22162)); -#3821=EDGE_LOOP('',(#22163,#22164,#22165,#22166)); -#3822=EDGE_LOOP('',(#22167,#22168,#22169,#22170)); -#3823=EDGE_LOOP('',(#22171,#22172,#22173,#22174)); -#3824=EDGE_LOOP('',(#22175,#22176,#22177,#22178)); -#3825=EDGE_LOOP('',(#22179,#22180,#22181,#22182)); -#3826=EDGE_LOOP('',(#22183,#22184,#22185,#22186)); -#3827=EDGE_LOOP('',(#22187,#22188,#22189,#22190)); -#3828=EDGE_LOOP('',(#22191,#22192,#22193,#22194)); -#3829=EDGE_LOOP('',(#22195,#22196,#22197,#22198)); -#3830=EDGE_LOOP('',(#22199,#22200,#22201,#22202)); -#3831=EDGE_LOOP('',(#22203,#22204,#22205,#22206)); -#3832=EDGE_LOOP('',(#22207,#22208,#22209,#22210)); -#3833=EDGE_LOOP('',(#22211,#22212,#22213,#22214)); -#3834=EDGE_LOOP('',(#22215,#22216,#22217,#22218)); -#3835=EDGE_LOOP('',(#22219,#22220,#22221,#22222)); -#3836=EDGE_LOOP('',(#22223,#22224,#22225,#22226)); -#3837=EDGE_LOOP('',(#22227,#22228,#22229,#22230)); -#3838=EDGE_LOOP('',(#22231,#22232,#22233,#22234)); -#3839=EDGE_LOOP('',(#22235,#22236,#22237,#22238)); -#3840=EDGE_LOOP('',(#22239,#22240,#22241,#22242)); -#3841=EDGE_LOOP('',(#22243,#22244,#22245,#22246)); -#3842=EDGE_LOOP('',(#22247,#22248,#22249,#22250)); -#3843=EDGE_LOOP('',(#22251,#22252,#22253,#22254)); -#3844=EDGE_LOOP('',(#22255,#22256,#22257,#22258)); -#3845=EDGE_LOOP('',(#22259,#22260,#22261,#22262)); -#3846=EDGE_LOOP('',(#22263,#22264,#22265,#22266)); -#3847=EDGE_LOOP('',(#22267,#22268,#22269,#22270)); -#3848=EDGE_LOOP('',(#22271,#22272,#22273,#22274)); -#3849=EDGE_LOOP('',(#22275,#22276,#22277,#22278)); -#3850=EDGE_LOOP('',(#22279,#22280,#22281,#22282)); -#3851=EDGE_LOOP('',(#22283,#22284,#22285,#22286)); -#3852=EDGE_LOOP('',(#22287,#22288,#22289,#22290)); -#3853=EDGE_LOOP('',(#22291,#22292,#22293,#22294)); -#3854=EDGE_LOOP('',(#22295,#22296,#22297,#22298)); -#3855=EDGE_LOOP('',(#22299,#22300,#22301,#22302)); -#3856=EDGE_LOOP('',(#22303,#22304,#22305,#22306)); -#3857=EDGE_LOOP('',(#22307,#22308,#22309,#22310)); -#3858=EDGE_LOOP('',(#22311,#22312,#22313,#22314)); -#3859=EDGE_LOOP('',(#22315,#22316,#22317,#22318)); -#3860=EDGE_LOOP('',(#22319,#22320,#22321,#22322)); -#3861=EDGE_LOOP('',(#22323,#22324,#22325,#22326)); -#3862=EDGE_LOOP('',(#22327,#22328,#22329,#22330)); -#3863=EDGE_LOOP('',(#22331,#22332,#22333,#22334)); -#3864=EDGE_LOOP('',(#22335,#22336,#22337,#22338)); -#3865=EDGE_LOOP('',(#22339,#22340,#22341,#22342)); -#3866=EDGE_LOOP('',(#22343,#22344,#22345,#22346)); -#3867=EDGE_LOOP('',(#22347,#22348,#22349,#22350)); -#3868=EDGE_LOOP('',(#22351,#22352,#22353,#22354)); -#3869=EDGE_LOOP('',(#22355,#22356,#22357,#22358)); -#3870=EDGE_LOOP('',(#22359,#22360,#22361,#22362)); -#3871=EDGE_LOOP('',(#22363,#22364,#22365,#22366)); -#3872=EDGE_LOOP('',(#22367,#22368,#22369,#22370)); -#3873=EDGE_LOOP('',(#22371,#22372,#22373,#22374)); -#3874=EDGE_LOOP('',(#22375,#22376,#22377,#22378)); -#3875=EDGE_LOOP('',(#22379,#22380,#22381,#22382)); -#3876=EDGE_LOOP('',(#22383,#22384,#22385,#22386)); -#3877=EDGE_LOOP('',(#22387,#22388,#22389,#22390)); -#3878=EDGE_LOOP('',(#22391,#22392,#22393,#22394)); -#3879=EDGE_LOOP('',(#22395,#22396,#22397,#22398)); -#3880=EDGE_LOOP('',(#22399,#22400,#22401,#22402)); -#3881=EDGE_LOOP('',(#22403,#22404,#22405,#22406)); -#3882=EDGE_LOOP('',(#22407,#22408,#22409,#22410)); -#3883=EDGE_LOOP('',(#22411,#22412,#22413,#22414)); -#3884=EDGE_LOOP('',(#22415,#22416,#22417,#22418)); -#3885=EDGE_LOOP('',(#22419,#22420,#22421,#22422)); -#3886=EDGE_LOOP('',(#22423,#22424,#22425,#22426)); -#3887=EDGE_LOOP('',(#22427,#22428,#22429,#22430)); -#3888=EDGE_LOOP('',(#22431,#22432,#22433,#22434)); -#3889=EDGE_LOOP('',(#22435,#22436,#22437,#22438)); -#3890=EDGE_LOOP('',(#22439,#22440,#22441,#22442)); -#3891=EDGE_LOOP('',(#22443,#22444,#22445,#22446)); -#3892=EDGE_LOOP('',(#22447,#22448,#22449,#22450)); -#3893=EDGE_LOOP('',(#22451,#22452,#22453,#22454)); -#3894=EDGE_LOOP('',(#22455,#22456,#22457,#22458)); -#3895=EDGE_LOOP('',(#22459,#22460,#22461,#22462)); -#3896=EDGE_LOOP('',(#22463,#22464,#22465,#22466)); -#3897=EDGE_LOOP('',(#22467,#22468,#22469,#22470)); -#3898=EDGE_LOOP('',(#22471,#22472,#22473,#22474)); -#3899=EDGE_LOOP('',(#22475,#22476,#22477,#22478)); -#3900=EDGE_LOOP('',(#22479,#22480,#22481,#22482)); -#3901=EDGE_LOOP('',(#22483,#22484,#22485,#22486)); -#3902=EDGE_LOOP('',(#22487,#22488,#22489,#22490)); -#3903=EDGE_LOOP('',(#22491,#22492,#22493,#22494)); -#3904=EDGE_LOOP('',(#22495,#22496,#22497,#22498)); -#3905=EDGE_LOOP('',(#22499,#22500,#22501,#22502)); -#3906=EDGE_LOOP('',(#22503,#22504,#22505,#22506)); -#3907=EDGE_LOOP('',(#22507,#22508,#22509,#22510)); -#3908=EDGE_LOOP('',(#22511,#22512,#22513,#22514)); -#3909=EDGE_LOOP('',(#22515,#22516,#22517,#22518)); -#3910=EDGE_LOOP('',(#22519,#22520,#22521,#22522)); -#3911=EDGE_LOOP('',(#22523,#22524,#22525,#22526)); -#3912=EDGE_LOOP('',(#22527,#22528,#22529,#22530)); -#3913=EDGE_LOOP('',(#22531,#22532,#22533,#22534)); -#3914=EDGE_LOOP('',(#22535,#22536,#22537,#22538)); -#3915=EDGE_LOOP('',(#22539,#22540,#22541,#22542)); -#3916=EDGE_LOOP('',(#22543,#22544,#22545,#22546)); -#3917=EDGE_LOOP('',(#22547,#22548,#22549,#22550)); -#3918=EDGE_LOOP('',(#22551,#22552,#22553,#22554)); -#3919=EDGE_LOOP('',(#22555,#22556,#22557,#22558)); -#3920=EDGE_LOOP('',(#22559,#22560,#22561,#22562)); -#3921=EDGE_LOOP('',(#22563,#22564,#22565,#22566)); -#3922=EDGE_LOOP('',(#22567,#22568,#22569,#22570)); -#3923=EDGE_LOOP('',(#22571,#22572,#22573,#22574)); -#3924=EDGE_LOOP('',(#22575,#22576,#22577,#22578)); -#3925=EDGE_LOOP('',(#22579,#22580,#22581,#22582)); -#3926=EDGE_LOOP('',(#22583,#22584,#22585,#22586)); -#3927=EDGE_LOOP('',(#22587,#22588,#22589,#22590)); -#3928=EDGE_LOOP('',(#22591,#22592,#22593,#22594)); -#3929=EDGE_LOOP('',(#22595,#22596,#22597,#22598)); -#3930=EDGE_LOOP('',(#22599,#22600,#22601,#22602)); -#3931=EDGE_LOOP('',(#22603,#22604,#22605,#22606)); -#3932=EDGE_LOOP('',(#22607,#22608,#22609,#22610)); -#3933=EDGE_LOOP('',(#22611,#22612,#22613,#22614)); -#3934=EDGE_LOOP('',(#22615,#22616,#22617,#22618)); -#3935=EDGE_LOOP('',(#22619,#22620,#22621,#22622)); -#3936=EDGE_LOOP('',(#22623,#22624,#22625,#22626)); -#3937=EDGE_LOOP('',(#22627,#22628,#22629,#22630)); -#3938=EDGE_LOOP('',(#22631,#22632,#22633,#22634)); -#3939=EDGE_LOOP('',(#22635,#22636,#22637,#22638)); -#3940=EDGE_LOOP('',(#22639,#22640,#22641,#22642)); -#3941=EDGE_LOOP('',(#22643,#22644,#22645,#22646)); -#3942=EDGE_LOOP('',(#22647,#22648,#22649,#22650)); -#3943=EDGE_LOOP('',(#22651,#22652,#22653,#22654)); -#3944=EDGE_LOOP('',(#22655,#22656,#22657,#22658)); -#3945=EDGE_LOOP('',(#22659,#22660,#22661,#22662)); -#3946=EDGE_LOOP('',(#22663,#22664,#22665,#22666)); -#3947=EDGE_LOOP('',(#22667,#22668,#22669,#22670)); -#3948=EDGE_LOOP('',(#22671,#22672,#22673,#22674)); -#3949=EDGE_LOOP('',(#22675,#22676,#22677,#22678)); -#3950=EDGE_LOOP('',(#22679,#22680,#22681,#22682)); -#3951=EDGE_LOOP('',(#22683,#22684,#22685,#22686)); -#3952=EDGE_LOOP('',(#22687,#22688,#22689,#22690)); -#3953=EDGE_LOOP('',(#22691,#22692,#22693,#22694)); -#3954=EDGE_LOOP('',(#22695,#22696,#22697,#22698)); -#3955=EDGE_LOOP('',(#22699,#22700,#22701,#22702)); -#3956=EDGE_LOOP('',(#22703,#22704,#22705,#22706)); -#3957=EDGE_LOOP('',(#22707,#22708,#22709,#22710)); -#3958=EDGE_LOOP('',(#22711,#22712,#22713,#22714)); -#3959=EDGE_LOOP('',(#22715,#22716,#22717,#22718)); -#3960=EDGE_LOOP('',(#22719,#22720,#22721,#22722)); -#3961=EDGE_LOOP('',(#22723,#22724,#22725,#22726)); -#3962=EDGE_LOOP('',(#22727,#22728,#22729,#22730)); -#3963=EDGE_LOOP('',(#22731,#22732,#22733,#22734)); -#3964=EDGE_LOOP('',(#22735,#22736,#22737,#22738)); -#3965=EDGE_LOOP('',(#22739,#22740,#22741,#22742)); -#3966=EDGE_LOOP('',(#22743,#22744,#22745,#22746)); -#3967=EDGE_LOOP('',(#22747,#22748,#22749,#22750)); -#3968=EDGE_LOOP('',(#22751,#22752,#22753,#22754)); -#3969=EDGE_LOOP('',(#22755,#22756,#22757,#22758)); -#3970=EDGE_LOOP('',(#22759,#22760,#22761,#22762)); -#3971=EDGE_LOOP('',(#22763,#22764,#22765,#22766)); -#3972=EDGE_LOOP('',(#22767,#22768,#22769,#22770)); -#3973=EDGE_LOOP('',(#22771,#22772,#22773,#22774)); -#3974=EDGE_LOOP('',(#22775,#22776,#22777,#22778)); -#3975=EDGE_LOOP('',(#22779,#22780,#22781,#22782)); -#3976=EDGE_LOOP('',(#22783,#22784,#22785,#22786)); -#3977=EDGE_LOOP('',(#22787,#22788,#22789,#22790)); -#3978=EDGE_LOOP('',(#22791,#22792,#22793,#22794)); -#3979=EDGE_LOOP('',(#22795,#22796,#22797,#22798)); -#3980=EDGE_LOOP('',(#22799,#22800,#22801,#22802)); -#3981=EDGE_LOOP('',(#22803,#22804,#22805,#22806)); -#3982=EDGE_LOOP('',(#22807,#22808,#22809,#22810)); -#3983=EDGE_LOOP('',(#22811,#22812,#22813,#22814)); -#3984=EDGE_LOOP('',(#22815,#22816,#22817,#22818,#22819,#22820,#22821,#22822)); -#3985=EDGE_LOOP('',(#22823,#22824,#22825,#22826)); -#3986=EDGE_LOOP('',(#22827,#22828,#22829,#22830,#22831,#22832,#22833,#22834)); -#3987=EDGE_LOOP('',(#22835,#22836,#22837,#22838)); -#3988=EDGE_LOOP('',(#22839,#22840,#22841,#22842)); -#3989=EDGE_LOOP('',(#22843,#22844,#22845,#22846)); -#3990=EDGE_LOOP('',(#22847,#22848,#22849,#22850,#22851,#22852,#22853,#22854)); -#3991=EDGE_LOOP('',(#22855,#22856,#22857,#22858)); -#3992=EDGE_LOOP('',(#22859,#22860,#22861,#22862)); -#3993=EDGE_LOOP('',(#22863,#22864,#22865,#22866)); -#3994=EDGE_LOOP('',(#22867,#22868,#22869,#22870)); -#3995=EDGE_LOOP('',(#22871,#22872,#22873,#22874)); -#3996=EDGE_LOOP('',(#22875,#22876,#22877,#22878)); -#3997=EDGE_LOOP('',(#22879,#22880,#22881,#22882,#22883,#22884,#22885,#22886)); -#3998=EDGE_LOOP('',(#22887,#22888,#22889,#22890)); -#3999=EDGE_LOOP('',(#22891,#22892,#22893,#22894)); -#4000=EDGE_LOOP('',(#22895,#22896,#22897,#22898)); -#4001=EDGE_LOOP('',(#22899,#22900,#22901,#22902)); -#4002=EDGE_LOOP('',(#22903,#22904,#22905,#22906,#22907,#22908,#22909,#22910, -#22911,#22912,#22913,#22914)); -#4003=EDGE_LOOP('',(#22915,#22916,#22917,#22918)); -#4004=EDGE_LOOP('',(#22919,#22920,#22921,#22922)); -#4005=EDGE_LOOP('',(#22923,#22924,#22925,#22926)); -#4006=EDGE_LOOP('',(#22927,#22928,#22929,#22930)); -#4007=EDGE_LOOP('',(#22931,#22932,#22933,#22934)); -#4008=EDGE_LOOP('',(#22935,#22936,#22937,#22938)); -#4009=EDGE_LOOP('',(#22939,#22940,#22941,#22942)); -#4010=EDGE_LOOP('',(#22943,#22944,#22945,#22946)); -#4011=EDGE_LOOP('',(#22947,#22948,#22949,#22950)); -#4012=EDGE_LOOP('',(#22951,#22952,#22953,#22954)); -#4013=EDGE_LOOP('',(#22955,#22956,#22957,#22958)); -#4014=EDGE_LOOP('',(#22959,#22960,#22961,#22962)); -#4015=EDGE_LOOP('',(#22963,#22964,#22965,#22966,#22967,#22968,#22969,#22970, -#22971,#22972,#22973,#22974)); -#4016=EDGE_LOOP('',(#22975,#22976,#22977,#22978)); -#4017=EDGE_LOOP('',(#22979,#22980,#22981,#22982)); -#4018=EDGE_LOOP('',(#22983,#22984,#22985,#22986)); -#4019=EDGE_LOOP('',(#22987,#22988,#22989,#22990)); -#4020=EDGE_LOOP('',(#22991,#22992,#22993,#22994,#22995,#22996,#22997,#22998)); -#4021=EDGE_LOOP('',(#22999,#23000,#23001,#23002)); -#4022=EDGE_LOOP('',(#23003,#23004,#23005,#23006)); -#4023=EDGE_LOOP('',(#23007,#23008,#23009,#23010)); -#4024=EDGE_LOOP('',(#23011,#23012,#23013,#23014)); -#4025=EDGE_LOOP('',(#23015,#23016,#23017,#23018)); -#4026=EDGE_LOOP('',(#23019,#23020,#23021,#23022)); -#4027=EDGE_LOOP('',(#23023,#23024,#23025,#23026)); -#4028=EDGE_LOOP('',(#23027,#23028,#23029,#23030)); -#4029=EDGE_LOOP('',(#23031,#23032,#23033,#23034)); -#4030=EDGE_LOOP('',(#23035,#23036,#23037,#23038,#23039,#23040,#23041,#23042, -#23043,#23044,#23045,#23046)); -#4031=EDGE_LOOP('',(#23047,#23048,#23049,#23050)); -#4032=EDGE_LOOP('',(#23051,#23052,#23053,#23054)); -#4033=EDGE_LOOP('',(#23055,#23056,#23057,#23058)); -#4034=EDGE_LOOP('',(#23059,#23060,#23061,#23062)); -#4035=EDGE_LOOP('',(#23063,#23064,#23065,#23066)); -#4036=EDGE_LOOP('',(#23067,#23068,#23069,#23070)); -#4037=EDGE_LOOP('',(#23071,#23072,#23073,#23074)); -#4038=EDGE_LOOP('',(#23075,#23076,#23077,#23078,#23079,#23080,#23081,#23082)); -#4039=EDGE_LOOP('',(#23083,#23084,#23085,#23086)); -#4040=EDGE_LOOP('',(#23087,#23088,#23089,#23090)); -#4041=EDGE_LOOP('',(#23091,#23092,#23093,#23094)); -#4042=EDGE_LOOP('',(#23095,#23096,#23097,#23098)); -#4043=EDGE_LOOP('',(#23099,#23100,#23101,#23102,#23103,#23104,#23105,#23106)); -#4044=EDGE_LOOP('',(#23107,#23108,#23109,#23110)); -#4045=EDGE_LOOP('',(#23111,#23112,#23113,#23114)); -#4046=EDGE_LOOP('',(#23115,#23116,#23117,#23118)); -#4047=EDGE_LOOP('',(#23119,#23120,#23121,#23122)); -#4048=EDGE_LOOP('',(#23123,#23124,#23125,#23126)); -#4049=EDGE_LOOP('',(#23127,#23128,#23129,#23130,#23131,#23132,#23133,#23134, -#23135,#23136,#23137,#23138)); -#4050=EDGE_LOOP('',(#23139,#23140,#23141,#23142)); -#4051=EDGE_LOOP('',(#23143,#23144,#23145,#23146)); -#4052=EDGE_LOOP('',(#23147,#23148,#23149,#23150)); -#4053=EDGE_LOOP('',(#23151,#23152,#23153,#23154)); -#4054=EDGE_LOOP('',(#23155,#23156,#23157,#23158,#23159,#23160,#23161,#23162)); -#4055=EDGE_LOOP('',(#23163,#23164,#23165,#23166)); -#4056=EDGE_LOOP('',(#23167,#23168,#23169,#23170)); -#4057=EDGE_LOOP('',(#23171,#23172,#23173,#23174)); -#4058=EDGE_LOOP('',(#23175,#23176,#23177,#23178)); -#4059=EDGE_LOOP('',(#23179,#23180,#23181,#23182)); -#4060=EDGE_LOOP('',(#23183,#23184,#23185,#23186)); -#4061=EDGE_LOOP('',(#23187,#23188,#23189,#23190)); -#4062=EDGE_LOOP('',(#23191,#23192,#23193,#23194)); -#4063=EDGE_LOOP('',(#23195,#23196,#23197,#23198)); -#4064=EDGE_LOOP('',(#23199,#23200,#23201,#23202)); -#4065=EDGE_LOOP('',(#23203,#23204,#23205,#23206)); -#4066=EDGE_LOOP('',(#23207,#23208,#23209,#23210)); -#4067=EDGE_LOOP('',(#23211,#23212,#23213,#23214)); -#4068=EDGE_LOOP('',(#23215,#23216,#23217,#23218)); -#4069=EDGE_LOOP('',(#23219,#23220,#23221,#23222)); -#4070=EDGE_LOOP('',(#23223,#23224,#23225,#23226)); -#4071=EDGE_LOOP('',(#23227,#23228,#23229,#23230)); -#4072=EDGE_LOOP('',(#23231,#23232,#23233,#23234)); -#4073=EDGE_LOOP('',(#23235,#23236,#23237,#23238)); -#4074=EDGE_LOOP('',(#23239,#23240,#23241,#23242)); -#4075=EDGE_LOOP('',(#23243,#23244,#23245,#23246)); -#4076=EDGE_LOOP('',(#23247,#23248,#23249,#23250,#23251,#23252,#23253,#23254)); -#4077=EDGE_LOOP('',(#23255,#23256,#23257,#23258)); -#4078=EDGE_LOOP('',(#23259,#23260,#23261,#23262,#23263,#23264,#23265,#23266)); -#4079=EDGE_LOOP('',(#23267,#23268,#23269,#23270)); -#4080=EDGE_LOOP('',(#23271,#23272,#23273,#23274)); -#4081=EDGE_LOOP('',(#23275,#23276,#23277,#23278)); -#4082=EDGE_LOOP('',(#23279,#23280,#23281,#23282)); -#4083=EDGE_LOOP('',(#23283,#23284,#23285,#23286)); -#4084=EDGE_LOOP('',(#23287,#23288,#23289,#23290)); -#4085=EDGE_LOOP('',(#23291,#23292,#23293,#23294)); -#4086=EDGE_LOOP('',(#23295,#23296,#23297,#23298)); -#4087=EDGE_LOOP('',(#23299,#23300,#23301,#23302)); -#4088=EDGE_LOOP('',(#23303,#23304,#23305,#23306)); -#4089=EDGE_LOOP('',(#23307,#23308,#23309,#23310)); -#4090=EDGE_LOOP('',(#23311,#23312,#23313,#23314)); -#4091=EDGE_LOOP('',(#23315,#23316,#23317,#23318)); -#4092=EDGE_LOOP('',(#23319,#23320,#23321,#23322,#23323,#23324,#23325,#23326, -#23327,#23328,#23329,#23330,#23331,#23332,#23333,#23334)); -#4093=EDGE_LOOP('',(#23335,#23336,#23337,#23338)); -#4094=EDGE_LOOP('',(#23339,#23340,#23341,#23342,#23343,#23344,#23345,#23346, -#23347,#23348,#23349,#23350)); -#4095=EDGE_LOOP('',(#23351,#23352,#23353,#23354)); -#4096=EDGE_LOOP('',(#23355,#23356,#23357,#23358)); -#4097=EDGE_LOOP('',(#23359,#23360,#23361,#23362)); -#4098=EDGE_LOOP('',(#23363,#23364,#23365,#23366)); -#4099=EDGE_LOOP('',(#23367,#23368,#23369,#23370)); -#4100=EDGE_LOOP('',(#23371,#23372,#23373,#23374)); -#4101=EDGE_LOOP('',(#23375,#23376,#23377,#23378)); -#4102=EDGE_LOOP('',(#23379,#23380,#23381,#23382)); -#4103=EDGE_LOOP('',(#23383,#23384,#23385,#23386)); -#4104=EDGE_LOOP('',(#23387,#23388,#23389,#23390)); -#4105=EDGE_LOOP('',(#23391,#23392,#23393,#23394)); -#4106=EDGE_LOOP('',(#23395,#23396,#23397,#23398)); -#4107=EDGE_LOOP('',(#23399,#23400,#23401,#23402)); -#4108=EDGE_LOOP('',(#23403,#23404,#23405,#23406)); -#4109=EDGE_LOOP('',(#23407,#23408,#23409,#23410)); -#4110=EDGE_LOOP('',(#23411,#23412,#23413,#23414)); -#4111=EDGE_LOOP('',(#23415,#23416,#23417,#23418)); -#4112=EDGE_LOOP('',(#23419,#23420,#23421,#23422)); -#4113=EDGE_LOOP('',(#23423,#23424,#23425,#23426)); -#4114=EDGE_LOOP('',(#23427,#23428,#23429,#23430)); -#4115=EDGE_LOOP('',(#23431,#23432,#23433,#23434)); -#4116=EDGE_LOOP('',(#23435,#23436,#23437,#23438)); -#4117=EDGE_LOOP('',(#23439,#23440,#23441,#23442)); -#4118=EDGE_LOOP('',(#23443,#23444,#23445,#23446)); -#4119=EDGE_LOOP('',(#23447,#23448,#23449,#23450)); -#4120=EDGE_LOOP('',(#23451,#23452,#23453,#23454)); -#4121=EDGE_LOOP('',(#23455,#23456,#23457,#23458)); -#4122=EDGE_LOOP('',(#23459,#23460,#23461,#23462)); -#4123=EDGE_LOOP('',(#23463,#23464,#23465,#23466)); -#4124=EDGE_LOOP('',(#23467,#23468,#23469,#23470)); -#4125=EDGE_LOOP('',(#23471,#23472,#23473,#23474)); -#4126=EDGE_LOOP('',(#23475,#23476,#23477,#23478)); -#4127=EDGE_LOOP('',(#23479,#23480,#23481,#23482,#23483,#23484,#23485,#23486, -#23487,#23488,#23489,#23490)); -#4128=EDGE_LOOP('',(#23491,#23492,#23493,#23494)); -#4129=EDGE_LOOP('',(#23495,#23496,#23497,#23498)); -#4130=EDGE_LOOP('',(#23499,#23500,#23501,#23502)); -#4131=EDGE_LOOP('',(#23503,#23504,#23505,#23506)); -#4132=EDGE_LOOP('',(#23507,#23508,#23509,#23510)); -#4133=EDGE_LOOP('',(#23511,#23512,#23513,#23514)); -#4134=EDGE_LOOP('',(#23515,#23516,#23517,#23518,#23519,#23520,#23521,#23522, -#23523,#23524,#23525,#23526,#23527,#23528,#23529,#23530)); -#4135=EDGE_LOOP('',(#23531,#23532,#23533,#23534)); -#4136=EDGE_LOOP('',(#23535,#23536,#23537,#23538)); -#4137=EDGE_LOOP('',(#23539,#23540,#23541,#23542)); -#4138=EDGE_LOOP('',(#23543,#23544,#23545,#23546)); -#4139=EDGE_LOOP('',(#23547,#23548,#23549,#23550)); -#4140=EDGE_LOOP('',(#23551,#23552,#23553,#23554)); -#4141=LINE('',#33298,#7236); -#4142=LINE('',#33303,#7237); -#4143=LINE('',#33311,#7238); -#4144=LINE('',#33316,#7239); -#4145=LINE('',#33324,#7240); -#4146=LINE('',#33329,#7241); -#4147=LINE('',#33333,#7242); -#4148=LINE('',#33335,#7243); -#4149=LINE('',#33337,#7244); -#4150=LINE('',#33338,#7245); -#4151=LINE('',#33342,#7246); -#4152=LINE('',#33344,#7247); -#4153=LINE('',#33346,#7248); -#4154=LINE('',#33348,#7249); -#4155=LINE('',#33350,#7250); -#4156=LINE('',#33354,#7251); -#4157=LINE('',#33358,#7252); -#4158=LINE('',#33362,#7253); -#4159=LINE('',#33364,#7254); -#4160=LINE('',#33366,#7255); -#4161=LINE('',#33368,#7256); -#4162=LINE('',#33370,#7257); -#4163=LINE('',#33372,#7258); -#4164=LINE('',#33374,#7259); -#4165=LINE('',#33378,#7260); -#4166=LINE('',#33382,#7261); -#4167=LINE('',#33386,#7262); -#4168=LINE('',#33388,#7263); -#4169=LINE('',#33390,#7264); -#4170=LINE('',#33392,#7265); -#4171=LINE('',#33394,#7266); -#4172=LINE('',#33396,#7267); -#4173=LINE('',#33398,#7268); -#4174=LINE('',#33400,#7269); -#4175=LINE('',#33402,#7270); -#4176=LINE('',#33403,#7271); -#4177=LINE('',#33406,#7272); -#4178=LINE('',#33408,#7273); -#4179=LINE('',#33410,#7274); -#4180=LINE('',#33412,#7275); -#4181=LINE('',#33414,#7276); -#4182=LINE('',#33416,#7277); -#4183=LINE('',#33418,#7278); -#4184=LINE('',#33420,#7279); -#4185=LINE('',#33422,#7280); -#4186=LINE('',#33423,#7281); -#4187=LINE('',#33426,#7282); -#4188=LINE('',#33430,#7283); -#4189=LINE('',#33434,#7284); -#4190=LINE('',#33438,#7285); -#4191=LINE('',#33440,#7286); -#4192=LINE('',#33442,#7287); -#4193=LINE('',#33446,#7288); -#4194=LINE('',#33450,#7289); -#4195=LINE('',#33454,#7290); -#4196=LINE('',#33458,#7291); -#4197=LINE('',#33462,#7292); -#4198=LINE('',#33466,#7293); -#4199=LINE('',#33470,#7294); -#4200=LINE('',#33472,#7295); -#4201=LINE('',#33474,#7296); -#4202=LINE('',#33478,#7297); -#4203=LINE('',#33483,#7298); -#4204=LINE('',#33485,#7299); -#4205=LINE('',#33487,#7300); -#4206=LINE('',#33488,#7301); -#4207=LINE('',#33492,#7302); -#4208=LINE('',#33494,#7303); -#4209=LINE('',#33496,#7304); -#4210=LINE('',#33501,#7305); -#4211=LINE('',#33503,#7306); -#4212=LINE('',#33505,#7307); -#4213=LINE('',#33509,#7308); -#4214=LINE('',#33513,#7309); -#4215=LINE('',#33515,#7310); -#4216=LINE('',#33519,#7311); -#4217=LINE('',#33521,#7312); -#4218=LINE('',#33523,#7313); -#4219=LINE('',#33528,#7314); -#4220=LINE('',#33532,#7315); -#4221=LINE('',#33536,#7316); -#4222=LINE('',#33540,#7317); -#4223=LINE('',#33544,#7318); -#4224=LINE('',#33548,#7319); -#4225=LINE('',#33552,#7320); -#4226=LINE('',#33556,#7321); -#4227=LINE('',#33560,#7322); -#4228=LINE('',#33562,#7323); -#4229=LINE('',#33566,#7324); -#4230=LINE('',#33570,#7325); -#4231=LINE('',#33572,#7326); -#4232=LINE('',#33574,#7327); -#4233=LINE('',#33576,#7328); -#4234=LINE('',#33578,#7329); -#4235=LINE('',#33580,#7330); -#4236=LINE('',#33581,#7331); -#4237=LINE('',#33583,#7332); -#4238=LINE('',#33585,#7333); -#4239=LINE('',#33587,#7334); -#4240=LINE('',#33589,#7335); -#4241=LINE('',#33591,#7336); -#4242=LINE('',#33593,#7337); -#4243=LINE('',#33595,#7338); -#4244=LINE('',#33597,#7339); -#4245=LINE('',#33599,#7340); -#4246=LINE('',#33601,#7341); -#4247=LINE('',#33602,#7342); -#4248=LINE('',#33608,#7343); -#4249=LINE('',#33610,#7344); -#4250=LINE('',#33612,#7345); -#4251=LINE('',#33614,#7346); -#4252=LINE('',#33616,#7347); -#4253=LINE('',#33618,#7348); -#4254=LINE('',#33620,#7349); -#4255=LINE('',#33622,#7350); -#4256=LINE('',#33626,#7351); -#4257=LINE('',#33630,#7352); -#4258=LINE('',#33632,#7353); -#4259=LINE('',#33636,#7354); -#4260=LINE('',#33640,#7355); -#4261=LINE('',#33644,#7356); -#4262=LINE('',#33648,#7357); -#4263=LINE('',#33652,#7358); -#4264=LINE('',#33656,#7359); -#4265=LINE('',#33659,#7360); -#4266=LINE('',#33662,#7361); -#4267=LINE('',#33664,#7362); -#4268=LINE('',#33666,#7363); -#4269=LINE('',#33668,#7364); -#4270=LINE('',#33670,#7365); -#4271=LINE('',#33672,#7366); -#4272=LINE('',#33674,#7367); -#4273=LINE('',#33676,#7368); -#4274=LINE('',#33678,#7369); -#4275=LINE('',#33679,#7370); -#4276=LINE('',#33681,#7371); -#4277=LINE('',#33682,#7372); -#4278=LINE('',#33686,#7373); -#4279=LINE('',#33690,#7374); -#4280=LINE('',#33694,#7375); -#4281=LINE('',#33698,#7376); -#4282=LINE('',#33702,#7377); -#4283=LINE('',#33706,#7378); -#4284=LINE('',#33710,#7379); -#4285=LINE('',#33714,#7380); -#4286=LINE('',#33718,#7381); -#4287=LINE('',#33720,#7382); -#4288=LINE('',#33722,#7383); -#4289=LINE('',#33724,#7384); -#4290=LINE('',#33726,#7385); -#4291=LINE('',#33728,#7386); -#4292=LINE('',#33730,#7387); -#4293=LINE('',#33732,#7388); -#4294=LINE('',#33736,#7389); -#4295=LINE('',#33739,#7390); -#4296=LINE('',#33742,#7391); -#4297=LINE('',#33744,#7392); -#4298=LINE('',#33746,#7393); -#4299=LINE('',#33748,#7394); -#4300=LINE('',#33750,#7395); -#4301=LINE('',#33752,#7396); -#4302=LINE('',#33754,#7397); -#4303=LINE('',#33756,#7398); -#4304=LINE('',#33758,#7399); -#4305=LINE('',#33760,#7400); -#4306=LINE('',#33762,#7401); -#4307=LINE('',#33763,#7402); -#4308=LINE('',#33767,#7403); -#4309=LINE('',#33773,#7404); -#4310=LINE('',#33781,#7405); -#4311=LINE('',#33782,#7406); -#4312=LINE('',#33784,#7407); -#4313=LINE('',#33787,#7408); -#4314=LINE('',#33791,#7409); -#4315=LINE('',#33795,#7410); -#4316=LINE('',#33799,#7411); -#4317=LINE('',#33801,#7412); -#4318=LINE('',#33806,#7413); -#4319=LINE('',#33808,#7414); -#4320=LINE('',#33809,#7415); -#4321=LINE('',#33814,#7416); -#4322=LINE('',#33818,#7417); -#4323=LINE('',#33822,#7418); -#4324=LINE('',#33826,#7419); -#4325=LINE('',#33830,#7420); -#4326=LINE('',#33832,#7421); -#4327=LINE('',#33836,#7422); -#4328=LINE('',#33837,#7423); -#4329=LINE('',#33841,#7424); -#4330=LINE('',#33842,#7425); -#4331=LINE('',#33849,#7426); -#4332=LINE('',#33851,#7427); -#4333=LINE('',#33855,#7428); -#4334=LINE('',#33859,#7429); -#4335=LINE('',#33861,#7430); -#4336=LINE('',#33863,#7431); -#4337=LINE('',#33865,#7432); -#4338=LINE('',#33867,#7433); -#4339=LINE('',#33869,#7434); -#4340=LINE('',#33871,#7435); -#4341=LINE('',#33873,#7436); -#4342=LINE('',#33877,#7437); -#4343=LINE('',#33881,#7438); -#4344=LINE('',#33885,#7439); -#4345=LINE('',#33889,#7440); -#4346=LINE('',#33893,#7441); -#4347=LINE('',#33897,#7442); -#4348=LINE('',#33900,#7443); -#4349=LINE('',#33903,#7444); -#4350=LINE('',#33905,#7445); -#4351=LINE('',#33907,#7446); -#4352=LINE('',#33909,#7447); -#4353=LINE('',#33911,#7448); -#4354=LINE('',#33913,#7449); -#4355=LINE('',#33915,#7450); -#4356=LINE('',#33917,#7451); -#4357=LINE('',#33919,#7452); -#4358=LINE('',#33920,#7453); -#4359=LINE('',#33925,#7454); -#4360=LINE('',#33931,#7455); -#4361=LINE('',#33936,#7456); -#4362=LINE('',#33940,#7457); -#4363=LINE('',#33943,#7458); -#4364=LINE('',#33944,#7459); -#4365=LINE('',#33948,#7460); -#4366=LINE('',#33952,#7461); -#4367=LINE('',#33955,#7462); -#4368=LINE('',#33958,#7463); -#4369=LINE('',#33962,#7464); -#4370=LINE('',#33963,#7465); -#4371=LINE('',#33968,#7466); -#4372=LINE('',#33972,#7467); -#4373=LINE('',#33976,#7468); -#4374=LINE('',#33980,#7469); -#4375=LINE('',#33984,#7470); -#4376=LINE('',#33986,#7471); -#4377=LINE('',#33989,#7472); -#4378=LINE('',#33993,#7473); -#4379=LINE('',#33995,#7474); -#4380=LINE('',#33998,#7475); -#4381=LINE('',#34000,#7476); -#4382=LINE('',#34002,#7477); -#4383=LINE('',#34003,#7478); -#4384=LINE('',#34006,#7479); -#4385=LINE('',#34007,#7480); -#4386=LINE('',#34009,#7481); -#4387=LINE('',#34011,#7482); -#4388=LINE('',#34012,#7483); -#4389=LINE('',#34020,#7484); -#4390=LINE('',#34021,#7485); -#4391=LINE('',#34024,#7486); -#4392=LINE('',#34027,#7487); -#4393=LINE('',#34029,#7488); -#4394=LINE('',#34031,#7489); -#4395=LINE('',#34032,#7490); -#4396=LINE('',#34035,#7491); -#4397=LINE('',#34037,#7492); -#4398=LINE('',#34039,#7493); -#4399=LINE('',#34040,#7494); -#4400=LINE('',#34041,#7495); -#4401=LINE('',#34048,#7496); -#4402=LINE('',#34051,#7497); -#4403=LINE('',#34053,#7498); -#4404=LINE('',#34057,#7499); -#4405=LINE('',#34061,#7500); -#4406=LINE('',#34064,#7501); -#4407=LINE('',#34066,#7502); -#4408=LINE('',#34067,#7503); -#4409=LINE('',#34069,#7504); -#4410=LINE('',#34073,#7505); -#4411=LINE('',#34077,#7506); -#4412=LINE('',#34081,#7507); -#4413=LINE('',#34082,#7508); -#4414=LINE('',#34087,#7509); -#4415=LINE('',#34091,#7510); -#4416=LINE('',#34095,#7511); -#4417=LINE('',#34097,#7512); -#4418=LINE('',#34099,#7513); -#4419=LINE('',#34103,#7514); -#4420=LINE('',#34107,#7515); -#4421=LINE('',#34110,#7516); -#4422=LINE('',#34113,#7517); -#4423=LINE('',#34114,#7518); -#4424=LINE('',#34116,#7519); -#4425=LINE('',#34118,#7520); -#4426=LINE('',#34120,#7521); -#4427=LINE('',#34122,#7522); -#4428=LINE('',#34124,#7523); -#4429=LINE('',#34126,#7524); -#4430=LINE('',#34128,#7525); -#4431=LINE('',#34130,#7526); -#4432=LINE('',#34131,#7527); -#4433=LINE('',#34134,#7528); -#4434=LINE('',#34136,#7529); -#4435=LINE('',#34138,#7530); -#4436=LINE('',#34140,#7531); -#4437=LINE('',#34142,#7532); -#4438=LINE('',#34144,#7533); -#4439=LINE('',#34146,#7534); -#4440=LINE('',#34148,#7535); -#4441=LINE('',#34150,#7536); -#4442=LINE('',#34152,#7537); -#4443=LINE('',#34154,#7538); -#4444=LINE('',#34155,#7539); -#4445=LINE('',#34160,#7540); -#4446=LINE('',#34164,#7541); -#4447=LINE('',#34168,#7542); -#4448=LINE('',#34172,#7543); -#4449=LINE('',#34174,#7544); -#4450=LINE('',#34176,#7545); -#4451=LINE('',#34180,#7546); -#4452=LINE('',#34183,#7547); -#4453=LINE('',#34186,#7548); -#4454=LINE('',#34189,#7549); -#4455=LINE('',#34193,#7550); -#4456=LINE('',#34197,#7551); -#4457=LINE('',#34201,#7552); -#4458=LINE('',#34204,#7553); -#4459=LINE('',#34209,#7554); -#4460=LINE('',#34210,#7555); -#4461=LINE('',#34216,#7556); -#4462=LINE('',#34219,#7557); -#4463=LINE('',#34223,#7558); -#4464=LINE('',#34227,#7559); -#4465=LINE('',#34231,#7560); -#4466=LINE('',#34234,#7561); -#4467=LINE('',#34238,#7562); -#4468=LINE('',#34239,#7563); -#4469=LINE('',#34243,#7564); -#4470=LINE('',#34244,#7565); -#4471=LINE('',#34246,#7566); -#4472=LINE('',#34249,#7567); -#4473=LINE('',#34250,#7568); -#4474=LINE('',#34253,#7569); -#4475=LINE('',#34256,#7570); -#4476=LINE('',#34257,#7571); -#4477=LINE('',#34259,#7572); -#4478=LINE('',#34261,#7573); -#4479=LINE('',#34263,#7574); -#4480=LINE('',#34265,#7575); -#4481=LINE('',#34268,#7576); -#4482=LINE('',#34270,#7577); -#4483=LINE('',#34273,#7578); -#4484=LINE('',#34274,#7579); -#4485=LINE('',#34276,#7580); -#4486=LINE('',#34278,#7581); -#4487=LINE('',#34280,#7582); -#4488=LINE('',#34282,#7583); -#4489=LINE('',#34284,#7584); -#4490=LINE('',#34285,#7585); -#4491=LINE('',#34287,#7586); -#4492=LINE('',#34288,#7587); -#4493=LINE('',#34291,#7588); -#4494=LINE('',#34293,#7589); -#4495=LINE('',#34294,#7590); -#4496=LINE('',#34296,#7591); -#4497=LINE('',#34300,#7592); -#4498=LINE('',#34301,#7593); -#4499=LINE('',#34303,#7594); -#4500=LINE('',#34305,#7595); -#4501=LINE('',#34308,#7596); -#4502=LINE('',#34310,#7597); -#4503=LINE('',#34312,#7598); -#4504=LINE('',#34314,#7599); -#4505=LINE('',#34317,#7600); -#4506=LINE('',#34319,#7601); -#4507=LINE('',#34321,#7602); -#4508=LINE('',#34323,#7603); -#4509=LINE('',#34325,#7604); -#4510=LINE('',#34327,#7605); -#4511=LINE('',#34330,#7606); -#4512=LINE('',#34332,#7607); -#4513=LINE('',#34334,#7608); -#4514=LINE('',#34336,#7609); -#4515=LINE('',#34338,#7610); -#4516=LINE('',#34340,#7611); -#4517=LINE('',#34342,#7612); -#4518=LINE('',#34344,#7613); -#4519=LINE('',#34346,#7614); -#4520=LINE('',#34348,#7615); -#4521=LINE('',#34350,#7616); -#4522=LINE('',#34352,#7617); -#4523=LINE('',#34354,#7618); -#4524=LINE('',#34356,#7619); -#4525=LINE('',#34358,#7620); -#4526=LINE('',#34360,#7621); -#4527=LINE('',#34363,#7622); -#4528=LINE('',#34365,#7623); -#4529=LINE('',#34367,#7624); -#4530=LINE('',#34369,#7625); -#4531=LINE('',#34371,#7626); -#4532=LINE('',#34373,#7627); -#4533=LINE('',#34375,#7628); -#4534=LINE('',#34377,#7629); -#4535=LINE('',#34379,#7630); -#4536=LINE('',#34381,#7631); -#4537=LINE('',#34383,#7632); -#4538=LINE('',#34385,#7633); -#4539=LINE('',#34387,#7634); -#4540=LINE('',#34389,#7635); -#4541=LINE('',#34391,#7636); -#4542=LINE('',#34393,#7637); -#4543=LINE('',#34397,#7638); -#4544=LINE('',#34399,#7639); -#4545=LINE('',#34400,#7640); -#4546=LINE('',#34402,#7641); -#4547=LINE('',#34406,#7642); -#4548=LINE('',#34410,#7643); -#4549=LINE('',#34412,#7644); -#4550=LINE('',#34416,#7645); -#4551=LINE('',#34420,#7646); -#4552=LINE('',#34423,#7647); -#4553=LINE('',#34425,#7648); -#4554=LINE('',#34428,#7649); -#4555=LINE('',#34432,#7650); -#4556=LINE('',#34436,#7651); -#4557=LINE('',#34438,#7652); -#4558=LINE('',#34442,#7653); -#4559=LINE('',#34446,#7654); -#4560=LINE('',#34449,#7655); -#4561=LINE('',#34451,#7656); -#4562=LINE('',#34454,#7657); -#4563=LINE('',#34455,#7658); -#4564=LINE('',#34460,#7659); -#4565=LINE('',#34464,#7660); -#4566=LINE('',#34466,#7661); -#4567=LINE('',#34470,#7662); -#4568=LINE('',#34474,#7663); -#4569=LINE('',#34477,#7664); -#4570=LINE('',#34481,#7665); -#4571=LINE('',#34485,#7666); -#4572=LINE('',#34487,#7667); -#4573=LINE('',#34491,#7668); -#4574=LINE('',#34495,#7669); -#4575=LINE('',#34498,#7670); -#4576=LINE('',#34500,#7671); -#4577=LINE('',#34503,#7672); -#4578=LINE('',#34507,#7673); -#4579=LINE('',#34509,#7674); -#4580=LINE('',#34510,#7675); -#4581=LINE('',#34515,#7676); -#4582=LINE('',#34519,#7677); -#4583=LINE('',#34521,#7678); -#4584=LINE('',#34525,#7679); -#4585=LINE('',#34529,#7680); -#4586=LINE('',#34531,#7681); -#4587=LINE('',#34533,#7682); -#4588=LINE('',#34535,#7683); -#4589=LINE('',#34538,#7684); -#4590=LINE('',#34542,#7685); -#4591=LINE('',#34546,#7686); -#4592=LINE('',#34548,#7687); -#4593=LINE('',#34552,#7688); -#4594=LINE('',#34556,#7689); -#4595=LINE('',#34559,#7690); -#4596=LINE('',#34561,#7691); -#4597=LINE('',#34564,#7692); -#4598=LINE('',#34565,#7693); -#4599=LINE('',#34570,#7694); -#4600=LINE('',#34574,#7695); -#4601=LINE('',#34576,#7696); -#4602=LINE('',#34580,#7697); -#4603=LINE('',#34584,#7698); -#4604=LINE('',#34587,#7699); -#4605=LINE('',#34591,#7700); -#4606=LINE('',#34595,#7701); -#4607=LINE('',#34597,#7702); -#4608=LINE('',#34601,#7703); -#4609=LINE('',#34605,#7704); -#4610=LINE('',#34608,#7705); -#4611=LINE('',#34610,#7706); -#4612=LINE('',#34613,#7707); -#4613=LINE('',#34616,#7708); -#4614=LINE('',#34618,#7709); -#4615=LINE('',#34620,#7710); -#4616=LINE('',#34622,#7711); -#4617=LINE('',#34624,#7712); -#4618=LINE('',#34626,#7713); -#4619=LINE('',#34630,#7714); -#4620=LINE('',#34632,#7715); -#4621=LINE('',#34634,#7716); -#4622=LINE('',#34638,#7717); -#4623=LINE('',#34639,#7718); -#4624=LINE('',#34641,#7719); -#4625=LINE('',#34643,#7720); -#4626=LINE('',#34645,#7721); -#4627=LINE('',#34647,#7722); -#4628=LINE('',#34651,#7723); -#4629=LINE('',#34653,#7724); -#4630=LINE('',#34655,#7725); -#4631=LINE('',#34661,#7726); -#4632=LINE('',#34663,#7727); -#4633=LINE('',#34665,#7728); -#4634=LINE('',#34667,#7729); -#4635=LINE('',#34670,#7730); -#4636=LINE('',#34672,#7731); -#4637=LINE('',#34674,#7732); -#4638=LINE('',#34676,#7733); -#4639=LINE('',#34678,#7734); -#4640=LINE('',#34683,#7735); -#4641=LINE('',#34685,#7736); -#4642=LINE('',#34687,#7737); -#4643=LINE('',#34689,#7738); -#4644=LINE('',#34692,#7739); -#4645=LINE('',#34694,#7740); -#4646=LINE('',#34696,#7741); -#4647=LINE('',#34698,#7742); -#4648=LINE('',#34700,#7743); -#4649=LINE('',#34703,#7744); -#4650=LINE('',#34704,#7745); -#4651=LINE('',#34706,#7746); -#4652=LINE('',#34708,#7747); -#4653=LINE('',#34710,#7748); -#4654=LINE('',#34712,#7749); -#4655=LINE('',#34714,#7750); -#4656=LINE('',#34716,#7751); -#4657=LINE('',#34720,#7752); -#4658=LINE('',#34722,#7753); -#4659=LINE('',#34724,#7754); -#4660=LINE('',#34730,#7755); -#4661=LINE('',#34732,#7756); -#4662=LINE('',#34734,#7757); -#4663=LINE('',#34736,#7758); -#4664=LINE('',#34739,#7759); -#4665=LINE('',#34741,#7760); -#4666=LINE('',#34743,#7761); -#4667=LINE('',#34748,#7762); -#4668=LINE('',#34750,#7763); -#4669=LINE('',#34752,#7764); -#4670=LINE('',#34753,#7765); -#4671=LINE('',#34757,#7766); -#4672=LINE('',#34760,#7767); -#4673=LINE('',#34765,#7768); -#4674=LINE('',#34767,#7769); -#4675=LINE('',#34771,#7770); -#4676=LINE('',#34777,#7771); -#4677=LINE('',#34778,#7772); -#4678=LINE('',#34779,#7773); -#4679=LINE('',#34785,#7774); -#4680=LINE('',#34789,#7775); -#4681=LINE('',#34792,#7776); -#4682=LINE('',#34793,#7777); -#4683=LINE('',#34796,#7778); -#4684=LINE('',#34800,#7779); -#4685=LINE('',#34803,#7780); -#4686=LINE('',#34805,#7781); -#4687=LINE('',#34808,#7782); -#4688=LINE('',#34809,#7783); -#4689=LINE('',#34812,#7784); -#4690=LINE('',#34816,#7785); -#4691=LINE('',#34819,#7786); -#4692=LINE('',#34820,#7787); -#4693=LINE('',#34823,#7788); -#4694=LINE('',#34825,#7789); -#4695=LINE('',#34826,#7790); -#4696=LINE('',#34830,#7791); -#4697=LINE('',#34831,#7792); -#4698=LINE('',#34833,#7793); -#4699=LINE('',#34838,#7794); -#4700=LINE('',#34840,#7795); -#4701=LINE('',#34842,#7796); -#4702=LINE('',#34844,#7797); -#4703=LINE('',#34854,#7798); -#4704=LINE('',#34856,#7799); -#4705=LINE('',#34858,#7800); -#4706=LINE('',#34860,#7801); -#4707=LINE('',#34862,#7802); -#4708=LINE('',#34864,#7803); -#4709=LINE('',#34867,#7804); -#4710=LINE('',#34869,#7805); -#4711=LINE('',#34872,#7806); -#4712=LINE('',#34874,#7807); -#4713=LINE('',#34876,#7808); -#4714=LINE('',#34878,#7809); -#4715=LINE('',#34880,#7810); -#4716=LINE('',#34883,#7811); -#4717=LINE('',#34885,#7812); -#4718=LINE('',#34887,#7813); -#4719=LINE('',#34890,#7814); -#4720=LINE('',#34892,#7815); -#4721=LINE('',#34894,#7816); -#4722=LINE('',#34896,#7817); -#4723=LINE('',#34899,#7818); -#4724=LINE('',#34901,#7819); -#4725=LINE('',#34903,#7820); -#4726=LINE('',#34905,#7821); -#4727=LINE('',#34908,#7822); -#4728=LINE('',#34910,#7823); -#4729=LINE('',#34912,#7824); -#4730=LINE('',#34914,#7825); -#4731=LINE('',#34917,#7826); -#4732=LINE('',#34919,#7827); -#4733=LINE('',#34921,#7828); -#4734=LINE('',#34923,#7829); -#4735=LINE('',#34928,#7830); -#4736=LINE('',#34930,#7831); -#4737=LINE('',#34932,#7832); -#4738=LINE('',#34933,#7833); -#4739=LINE('',#34936,#7834); -#4740=LINE('',#34938,#7835); -#4741=LINE('',#34939,#7836); -#4742=LINE('',#34944,#7837); -#4743=LINE('',#34948,#7838); -#4744=LINE('',#34950,#7839); -#4745=LINE('',#34951,#7840); -#4746=LINE('',#34954,#7841); -#4747=LINE('',#34956,#7842); -#4748=LINE('',#34957,#7843); -#4749=LINE('',#34960,#7844); -#4750=LINE('',#34962,#7845); -#4751=LINE('',#34963,#7846); -#4752=LINE('',#34965,#7847); -#4753=LINE('',#34966,#7848); -#4754=LINE('',#34972,#7849); -#4755=LINE('',#34974,#7850); -#4756=LINE('',#34976,#7851); -#4757=LINE('',#34977,#7852); -#4758=LINE('',#34980,#7853); -#4759=LINE('',#34982,#7854); -#4760=LINE('',#34983,#7855); -#4761=LINE('',#34988,#7856); -#4762=LINE('',#34992,#7857); -#4763=LINE('',#34994,#7858); -#4764=LINE('',#34995,#7859); -#4765=LINE('',#34998,#7860); -#4766=LINE('',#35000,#7861); -#4767=LINE('',#35001,#7862); -#4768=LINE('',#35004,#7863); -#4769=LINE('',#35006,#7864); -#4770=LINE('',#35007,#7865); -#4771=LINE('',#35009,#7866); -#4772=LINE('',#35010,#7867); -#4773=LINE('',#35016,#7868); -#4774=LINE('',#35018,#7869); -#4775=LINE('',#35020,#7870); -#4776=LINE('',#35021,#7871); -#4777=LINE('',#35024,#7872); -#4778=LINE('',#35026,#7873); -#4779=LINE('',#35027,#7874); -#4780=LINE('',#35032,#7875); -#4781=LINE('',#35036,#7876); -#4782=LINE('',#35038,#7877); -#4783=LINE('',#35039,#7878); -#4784=LINE('',#35042,#7879); -#4785=LINE('',#35044,#7880); -#4786=LINE('',#35045,#7881); -#4787=LINE('',#35048,#7882); -#4788=LINE('',#35050,#7883); -#4789=LINE('',#35051,#7884); -#4790=LINE('',#35053,#7885); -#4791=LINE('',#35054,#7886); -#4792=LINE('',#35060,#7887); -#4793=LINE('',#35062,#7888); -#4794=LINE('',#35064,#7889); -#4795=LINE('',#35065,#7890); -#4796=LINE('',#35068,#7891); -#4797=LINE('',#35070,#7892); -#4798=LINE('',#35071,#7893); -#4799=LINE('',#35077,#7894); -#4800=LINE('',#35080,#7895); -#4801=LINE('',#35082,#7896); -#4802=LINE('',#35083,#7897); -#4803=LINE('',#35086,#7898); -#4804=LINE('',#35088,#7899); -#4805=LINE('',#35089,#7900); -#4806=LINE('',#35092,#7901); -#4807=LINE('',#35094,#7902); -#4808=LINE('',#35095,#7903); -#4809=LINE('',#35097,#7904); -#4810=LINE('',#35098,#7905); -#4811=LINE('',#35104,#7906); -#4812=LINE('',#35106,#7907); -#4813=LINE('',#35108,#7908); -#4814=LINE('',#35109,#7909); -#4815=LINE('',#35112,#7910); -#4816=LINE('',#35114,#7911); -#4817=LINE('',#35115,#7912); -#4818=LINE('',#35121,#7913); -#4819=LINE('',#35124,#7914); -#4820=LINE('',#35126,#7915); -#4821=LINE('',#35127,#7916); -#4822=LINE('',#35130,#7917); -#4823=LINE('',#35132,#7918); -#4824=LINE('',#35133,#7919); -#4825=LINE('',#35136,#7920); -#4826=LINE('',#35138,#7921); -#4827=LINE('',#35139,#7922); -#4828=LINE('',#35141,#7923); -#4829=LINE('',#35142,#7924); -#4830=LINE('',#35148,#7925); -#4831=LINE('',#35150,#7926); -#4832=LINE('',#35152,#7927); -#4833=LINE('',#35153,#7928); -#4834=LINE('',#35156,#7929); -#4835=LINE('',#35158,#7930); -#4836=LINE('',#35159,#7931); -#4837=LINE('',#35165,#7932); -#4838=LINE('',#35168,#7933); -#4839=LINE('',#35170,#7934); -#4840=LINE('',#35171,#7935); -#4841=LINE('',#35174,#7936); -#4842=LINE('',#35176,#7937); -#4843=LINE('',#35177,#7938); -#4844=LINE('',#35180,#7939); -#4845=LINE('',#35182,#7940); -#4846=LINE('',#35183,#7941); -#4847=LINE('',#35185,#7942); -#4848=LINE('',#35186,#7943); -#4849=LINE('',#35194,#7944); -#4850=LINE('',#35197,#7945); -#4851=LINE('',#35200,#7946); -#4852=LINE('',#35202,#7947); -#4853=LINE('',#35203,#7948); -#4854=LINE('',#35208,#7949); -#4855=LINE('',#35214,#7950); -#4856=LINE('',#35218,#7951); -#4857=LINE('',#35220,#7952); -#4858=LINE('',#35221,#7953); -#4859=LINE('',#35226,#7954); -#4860=LINE('',#35230,#7955); -#4861=LINE('',#35232,#7956); -#4862=LINE('',#35233,#7957); -#4863=LINE('',#35238,#7958); -#4864=LINE('',#35242,#7959); -#4865=LINE('',#35244,#7960); -#4866=LINE('',#35245,#7961); -#4867=LINE('',#35248,#7962); -#4868=LINE('',#35250,#7963); -#4869=LINE('',#35251,#7964); -#4870=LINE('',#35254,#7965); -#4871=LINE('',#35256,#7966); -#4872=LINE('',#35257,#7967); -#4873=LINE('',#35260,#7968); -#4874=LINE('',#35262,#7969); -#4875=LINE('',#35263,#7970); -#4876=LINE('',#35265,#7971); -#4877=LINE('',#35266,#7972); -#4878=LINE('',#35274,#7973); -#4879=LINE('',#35277,#7974); -#4880=LINE('',#35280,#7975); -#4881=LINE('',#35282,#7976); -#4882=LINE('',#35283,#7977); -#4883=LINE('',#35288,#7978); -#4884=LINE('',#35292,#7979); -#4885=LINE('',#35294,#7980); -#4886=LINE('',#35295,#7981); -#4887=LINE('',#35300,#7982); -#4888=LINE('',#35306,#7983); -#4889=LINE('',#35310,#7984); -#4890=LINE('',#35312,#7985); -#4891=LINE('',#35313,#7986); -#4892=LINE('',#35318,#7987); -#4893=LINE('',#35322,#7988); -#4894=LINE('',#35324,#7989); -#4895=LINE('',#35325,#7990); -#4896=LINE('',#35328,#7991); -#4897=LINE('',#35330,#7992); -#4898=LINE('',#35331,#7993); -#4899=LINE('',#35334,#7994); -#4900=LINE('',#35336,#7995); -#4901=LINE('',#35337,#7996); -#4902=LINE('',#35340,#7997); -#4903=LINE('',#35342,#7998); -#4904=LINE('',#35343,#7999); -#4905=LINE('',#35345,#8000); -#4906=LINE('',#35346,#8001); -#4907=LINE('',#35352,#8002); -#4908=LINE('',#35354,#8003); -#4909=LINE('',#35356,#8004); -#4910=LINE('',#35358,#8005); -#4911=LINE('',#35360,#8006); -#4912=LINE('',#35362,#8007); -#4913=LINE('',#35364,#8008); -#4914=LINE('',#35365,#8009); -#4915=LINE('',#35369,#8010); -#4916=LINE('',#35371,#8011); -#4917=LINE('',#35373,#8012); -#4918=LINE('',#35375,#8013); -#4919=LINE('',#35377,#8014); -#4920=LINE('',#35379,#8015); -#4921=LINE('',#35381,#8016); -#4922=LINE('',#35382,#8017); -#4923=LINE('',#35387,#8018); -#4924=LINE('',#35392,#8019); -#4925=LINE('',#35394,#8020); -#4926=LINE('',#35396,#8021); -#4927=LINE('',#35398,#8022); -#4928=LINE('',#35400,#8023); -#4929=LINE('',#35402,#8024); -#4930=LINE('',#35404,#8025); -#4931=LINE('',#35405,#8026); -#4932=LINE('',#35408,#8027); -#4933=LINE('',#35410,#8028); -#4934=LINE('',#35411,#8029); -#4935=LINE('',#35420,#8030); -#4936=LINE('',#35426,#8031); -#4937=LINE('',#35429,#8032); -#4938=LINE('',#35431,#8033); -#4939=LINE('',#35432,#8034); -#4940=LINE('',#35435,#8035); -#4941=LINE('',#35437,#8036); -#4942=LINE('',#35439,#8037); -#4943=LINE('',#35441,#8038); -#4944=LINE('',#35443,#8039); -#4945=LINE('',#35445,#8040); -#4946=LINE('',#35446,#8041); -#4947=LINE('',#35450,#8042); -#4948=LINE('',#35454,#8043); -#4949=LINE('',#35459,#8044); -#4950=LINE('',#35463,#8045); -#4951=LINE('',#35468,#8046); -#4952=LINE('',#35481,#8047); -#4953=LINE('',#35495,#8048); -#4954=LINE('',#35508,#8049); -#4955=LINE('',#35522,#8050); -#4956=LINE('',#35524,#8051); -#4957=LINE('',#35526,#8052); -#4958=LINE('',#35528,#8053); -#4959=LINE('',#35530,#8054); -#4960=LINE('',#35532,#8055); -#4961=LINE('',#35534,#8056); -#4962=LINE('',#35536,#8057); -#4963=LINE('',#35538,#8058); -#4964=LINE('',#35539,#8059); -#4965=LINE('',#35559,#8060); -#4966=LINE('',#35561,#8061); -#4967=LINE('',#35563,#8062); -#4968=LINE('',#35565,#8063); -#4969=LINE('',#35567,#8064); -#4970=LINE('',#35569,#8065); -#4971=LINE('',#35571,#8066); -#4972=LINE('',#35573,#8067); -#4973=LINE('',#35575,#8068); -#4974=LINE('',#35576,#8069); -#4975=LINE('',#35702,#8070); -#4976=LINE('',#35704,#8071); -#4977=LINE('',#35706,#8072); -#4978=LINE('',#35708,#8073); -#4979=LINE('',#35711,#8074); -#4980=LINE('',#35713,#8075); -#4981=LINE('',#35715,#8076); -#4982=LINE('',#35717,#8077); -#4983=LINE('',#35719,#8078); -#4984=LINE('',#35721,#8079); -#4985=LINE('',#35723,#8080); -#4986=LINE('',#35725,#8081); -#4987=LINE('',#35727,#8082); -#4988=LINE('',#35728,#8083); -#4989=LINE('',#35730,#8084); -#4990=LINE('',#35734,#8085); -#4991=LINE('',#35736,#8086); -#4992=LINE('',#35738,#8087); -#4993=LINE('',#35740,#8088); -#4994=LINE('',#35741,#8089); -#4995=LINE('',#35744,#8090); -#4996=LINE('',#35748,#8091); -#4997=LINE('',#35752,#8092); -#4998=LINE('',#35756,#8093); -#4999=LINE('',#35761,#8094); -#5000=LINE('',#35763,#8095); -#5001=LINE('',#35765,#8096); -#5002=LINE('',#35768,#8097); -#5003=LINE('',#35771,#8098); -#5004=LINE('',#35774,#8099); -#5005=LINE('',#35778,#8100); -#5006=LINE('',#35780,#8101); -#5007=LINE('',#35782,#8102); -#5008=LINE('',#35784,#8103); -#5009=LINE('',#35786,#8104); -#5010=LINE('',#35788,#8105); -#5011=LINE('',#35790,#8106); -#5012=LINE('',#35792,#8107); -#5013=LINE('',#35794,#8108); -#5014=LINE('',#35795,#8109); -#5015=LINE('',#35797,#8110); -#5016=LINE('',#35799,#8111); -#5017=LINE('',#35800,#8112); -#5018=LINE('',#35802,#8113); -#5019=LINE('',#35804,#8114); -#5020=LINE('',#35805,#8115); -#5021=LINE('',#35806,#8116); -#5022=LINE('',#35808,#8117); -#5023=LINE('',#35809,#8118); -#5024=LINE('',#35811,#8119); -#5025=LINE('',#35814,#8120); -#5026=LINE('',#35815,#8121); -#5027=LINE('',#35818,#8122); -#5028=LINE('',#35820,#8123); -#5029=LINE('',#35822,#8124); -#5030=LINE('',#35823,#8125); -#5031=LINE('',#35825,#8126); -#5032=LINE('',#35827,#8127); -#5033=LINE('',#35829,#8128); -#5034=LINE('',#35831,#8129); -#5035=LINE('',#35833,#8130); -#5036=LINE('',#35834,#8131); -#5037=LINE('',#35835,#8132); -#5038=LINE('',#35837,#8133); -#5039=LINE('',#35838,#8134); -#5040=LINE('',#35840,#8135); -#5041=LINE('',#35841,#8136); -#5042=LINE('',#35843,#8137); -#5043=LINE('',#35845,#8138); -#5044=LINE('',#35847,#8139); -#5045=LINE('',#35850,#8140); -#5046=LINE('',#35852,#8141); -#5047=LINE('',#35854,#8142); -#5048=LINE('',#35855,#8143); -#5049=LINE('',#35857,#8144); -#5050=LINE('',#35858,#8145); -#5051=LINE('',#35861,#8146); -#5052=LINE('',#35862,#8147); -#5053=LINE('',#35865,#8148); -#5054=LINE('',#35866,#8149); -#5055=LINE('',#35868,#8150); -#5056=LINE('',#35872,#8151); -#5057=LINE('',#35873,#8152); -#5058=LINE('',#35876,#8153); -#5059=LINE('',#35877,#8154); -#5060=LINE('',#35879,#8155); -#5061=LINE('',#35883,#8156); -#5062=LINE('',#35884,#8157); -#5063=LINE('',#35887,#8158); -#5064=LINE('',#35888,#8159); -#5065=LINE('',#35890,#8160); -#5066=LINE('',#35894,#8161); -#5067=LINE('',#35895,#8162); -#5068=LINE('',#35898,#8163); -#5069=LINE('',#35899,#8164); -#5070=LINE('',#35901,#8165); -#5071=LINE('',#35905,#8166); -#5072=LINE('',#35906,#8167); -#5073=LINE('',#35909,#8168); -#5074=LINE('',#35910,#8169); -#5075=LINE('',#35912,#8170); -#5076=LINE('',#35916,#8171); -#5077=LINE('',#35918,#8172); -#5078=LINE('',#35919,#8173); -#5079=LINE('',#35921,#8174); -#5080=LINE('',#35923,#8175); -#5081=LINE('',#35926,#8176); -#5082=LINE('',#35927,#8177); -#5083=LINE('',#35929,#8178); -#5084=LINE('',#35931,#8179); -#5085=LINE('',#35934,#8180); -#5086=LINE('',#35936,#8181); -#5087=LINE('',#35937,#8182); -#5088=LINE('',#35938,#8183); -#5089=LINE('',#35940,#8184); -#5090=LINE('',#35942,#8185); -#5091=LINE('',#35944,#8186); -#5092=LINE('',#35946,#8187); -#5093=LINE('',#35947,#8188); -#5094=LINE('',#35950,#8189); -#5095=LINE('',#35952,#8190); -#5096=LINE('',#35953,#8191); -#5097=LINE('',#35954,#8192); -#5098=LINE('',#35955,#8193); -#5099=LINE('',#35956,#8194); -#5100=LINE('',#35958,#8195); -#5101=LINE('',#35960,#8196); -#5102=LINE('',#35961,#8197); -#5103=LINE('',#35963,#8198); -#5104=LINE('',#35965,#8199); -#5105=LINE('',#35966,#8200); -#5106=LINE('',#35969,#8201); -#5107=LINE('',#35971,#8202); -#5108=LINE('',#35972,#8203); -#5109=LINE('',#35973,#8204); -#5110=LINE('',#35975,#8205); -#5111=LINE('',#35979,#8206); -#5112=LINE('',#35980,#8207); -#5113=LINE('',#35985,#8208); -#5114=LINE('',#35987,#8209); -#5115=LINE('',#35989,#8210); -#5116=LINE('',#35990,#8211); -#5117=LINE('',#35992,#8212); -#5118=LINE('',#35994,#8213); -#5119=LINE('',#35995,#8214); -#5120=LINE('',#35997,#8215); -#5121=LINE('',#35998,#8216); -#5122=LINE('',#36011,#8217); -#5123=LINE('',#36015,#8218); -#5124=LINE('',#36016,#8219); -#5125=LINE('',#36022,#8220); -#5126=LINE('',#36026,#8221); -#5127=LINE('',#36028,#8222); -#5128=LINE('',#36046,#8223); -#5129=LINE('',#36048,#8224); -#5130=LINE('',#36049,#8225); -#5131=LINE('',#36052,#8226); -#5132=LINE('',#36054,#8227); -#5133=LINE('',#36055,#8228); -#5134=LINE('',#36057,#8229); -#5135=LINE('',#36059,#8230); -#5136=LINE('',#36063,#8231); -#5137=LINE('',#36065,#8232); -#5138=LINE('',#36066,#8233); -#5139=LINE('',#36069,#8234); -#5140=LINE('',#36071,#8235); -#5141=LINE('',#36072,#8236); -#5142=LINE('',#36074,#8237); -#5143=LINE('',#36076,#8238); -#5144=LINE('',#36080,#8239); -#5145=LINE('',#36082,#8240); -#5146=LINE('',#36083,#8241); -#5147=LINE('',#36086,#8242); -#5148=LINE('',#36087,#8243); -#5149=LINE('',#36090,#8244); -#5150=LINE('',#36091,#8245); -#5151=LINE('',#36093,#8246); -#5152=LINE('',#36097,#8247); -#5153=LINE('',#36099,#8248); -#5154=LINE('',#36100,#8249); -#5155=LINE('',#36103,#8250); -#5156=LINE('',#36104,#8251); -#5157=LINE('',#36107,#8252); -#5158=LINE('',#36108,#8253); -#5159=LINE('',#36110,#8254); -#5160=LINE('',#36114,#8255); -#5161=LINE('',#36116,#8256); -#5162=LINE('',#36117,#8257); -#5163=LINE('',#36120,#8258); -#5164=LINE('',#36121,#8259); -#5165=LINE('',#36124,#8260); -#5166=LINE('',#36125,#8261); -#5167=LINE('',#36127,#8262); -#5168=LINE('',#36131,#8263); -#5169=LINE('',#36133,#8264); -#5170=LINE('',#36134,#8265); -#5171=LINE('',#36137,#8266); -#5172=LINE('',#36138,#8267); -#5173=LINE('',#36141,#8268); -#5174=LINE('',#36142,#8269); -#5175=LINE('',#36144,#8270); -#5176=LINE('',#36148,#8271); -#5177=LINE('',#36150,#8272); -#5178=LINE('',#36151,#8273); -#5179=LINE('',#36154,#8274); -#5180=LINE('',#36155,#8275); -#5181=LINE('',#36158,#8276); -#5182=LINE('',#36159,#8277); -#5183=LINE('',#36161,#8278); -#5184=LINE('',#36165,#8279); -#5185=LINE('',#36167,#8280); -#5186=LINE('',#36168,#8281); -#5187=LINE('',#36171,#8282); -#5188=LINE('',#36172,#8283); -#5189=LINE('',#36175,#8284); -#5190=LINE('',#36176,#8285); -#5191=LINE('',#36178,#8286); -#5192=LINE('',#36183,#8287); -#5193=LINE('',#36185,#8288); -#5194=LINE('',#36187,#8289); -#5195=LINE('',#36188,#8290); -#5196=LINE('',#36191,#8291); -#5197=LINE('',#36193,#8292); -#5198=LINE('',#36194,#8293); -#5199=LINE('',#36197,#8294); -#5200=LINE('',#36199,#8295); -#5201=LINE('',#36200,#8296); -#5202=LINE('',#36202,#8297); -#5203=LINE('',#36203,#8298); -#5204=LINE('',#36209,#8299); -#5205=LINE('',#36211,#8300); -#5206=LINE('',#36213,#8301); -#5207=LINE('',#36214,#8302); -#5208=LINE('',#36217,#8303); -#5209=LINE('',#36219,#8304); -#5210=LINE('',#36220,#8305); -#5211=LINE('',#36223,#8306); -#5212=LINE('',#36225,#8307); -#5213=LINE('',#36226,#8308); -#5214=LINE('',#36228,#8309); -#5215=LINE('',#36229,#8310); -#5216=LINE('',#36235,#8311); -#5217=LINE('',#36237,#8312); -#5218=LINE('',#36239,#8313); -#5219=LINE('',#36240,#8314); -#5220=LINE('',#36243,#8315); -#5221=LINE('',#36245,#8316); -#5222=LINE('',#36246,#8317); -#5223=LINE('',#36249,#8318); -#5224=LINE('',#36251,#8319); -#5225=LINE('',#36252,#8320); -#5226=LINE('',#36254,#8321); -#5227=LINE('',#36255,#8322); -#5228=LINE('',#36261,#8323); -#5229=LINE('',#36263,#8324); -#5230=LINE('',#36265,#8325); -#5231=LINE('',#36266,#8326); -#5232=LINE('',#36269,#8327); -#5233=LINE('',#36271,#8328); -#5234=LINE('',#36272,#8329); -#5235=LINE('',#36275,#8330); -#5236=LINE('',#36277,#8331); -#5237=LINE('',#36278,#8332); -#5238=LINE('',#36280,#8333); -#5239=LINE('',#36281,#8334); -#5240=LINE('',#36287,#8335); -#5241=LINE('',#36289,#8336); -#5242=LINE('',#36291,#8337); -#5243=LINE('',#36292,#8338); -#5244=LINE('',#36295,#8339); -#5245=LINE('',#36297,#8340); -#5246=LINE('',#36298,#8341); -#5247=LINE('',#36301,#8342); -#5248=LINE('',#36303,#8343); -#5249=LINE('',#36304,#8344); -#5250=LINE('',#36306,#8345); -#5251=LINE('',#36307,#8346); -#5252=LINE('',#36313,#8347); -#5253=LINE('',#36315,#8348); -#5254=LINE('',#36317,#8349); -#5255=LINE('',#36318,#8350); -#5256=LINE('',#36321,#8351); -#5257=LINE('',#36323,#8352); -#5258=LINE('',#36324,#8353); -#5259=LINE('',#36327,#8354); -#5260=LINE('',#36329,#8355); -#5261=LINE('',#36330,#8356); -#5262=LINE('',#36332,#8357); -#5263=LINE('',#36333,#8358); -#5264=LINE('',#36340,#8359); -#5265=LINE('',#36342,#8360); -#5266=LINE('',#36344,#8361); -#5267=LINE('',#36345,#8362); -#5268=LINE('',#36348,#8363); -#5269=LINE('',#36350,#8364); -#5270=LINE('',#36351,#8365); -#5271=LINE('',#36354,#8366); -#5272=LINE('',#36356,#8367); -#5273=LINE('',#36357,#8368); -#5274=LINE('',#36359,#8369); -#5275=LINE('',#36360,#8370); -#5276=LINE('',#36365,#8371); -#5277=LINE('',#36367,#8372); -#5278=LINE('',#36369,#8373); -#5279=LINE('',#36370,#8374); -#5280=LINE('',#36373,#8375); -#5281=LINE('',#36375,#8376); -#5282=LINE('',#36376,#8377); -#5283=LINE('',#36379,#8378); -#5284=LINE('',#36381,#8379); -#5285=LINE('',#36382,#8380); -#5286=LINE('',#36384,#8381); -#5287=LINE('',#36385,#8382); -#5288=LINE('',#36397,#8383); -#5289=LINE('',#36399,#8384); -#5290=LINE('',#36401,#8385); -#5291=LINE('',#36402,#8386); -#5292=LINE('',#36405,#8387); -#5293=LINE('',#36407,#8388); -#5294=LINE('',#36408,#8389); -#5295=LINE('',#36411,#8390); -#5296=LINE('',#36413,#8391); -#5297=LINE('',#36414,#8392); -#5298=LINE('',#36417,#8393); -#5299=LINE('',#36419,#8394); -#5300=LINE('',#36420,#8395); -#5301=LINE('',#36423,#8396); -#5302=LINE('',#36425,#8397); -#5303=LINE('',#36426,#8398); -#5304=LINE('',#36430,#8399); -#5305=LINE('',#36432,#8400); -#5306=LINE('',#36434,#8401); -#5307=LINE('',#36435,#8402); -#5308=LINE('',#36438,#8403); -#5309=LINE('',#36440,#8404); -#5310=LINE('',#36442,#8405); -#5311=LINE('',#36444,#8406); -#5312=LINE('',#36446,#8407); -#5313=LINE('',#36447,#8408); -#5314=LINE('',#36449,#8409); -#5315=LINE('',#36450,#8410); -#5316=LINE('',#36454,#8411); -#5317=LINE('',#36456,#8412); -#5318=LINE('',#36458,#8413); -#5319=LINE('',#36459,#8414); -#5320=LINE('',#36462,#8415); -#5321=LINE('',#36464,#8416); -#5322=LINE('',#36465,#8417); -#5323=LINE('',#36468,#8418); -#5324=LINE('',#36470,#8419); -#5325=LINE('',#36471,#8420); -#5326=LINE('',#36474,#8421); -#5327=LINE('',#36476,#8422); -#5328=LINE('',#36477,#8423); -#5329=LINE('',#36479,#8424); -#5330=LINE('',#36480,#8425); -#5331=LINE('',#36482,#8426); -#5332=LINE('',#36485,#8427); -#5333=LINE('',#36487,#8428); -#5334=LINE('',#36488,#8429); -#5335=LINE('',#36493,#8430); -#5336=LINE('',#36496,#8431); -#5337=LINE('',#36499,#8432); -#5338=LINE('',#36501,#8433); -#5339=LINE('',#36502,#8434); -#5340=LINE('',#36508,#8435); -#5341=LINE('',#36511,#8436); -#5342=LINE('',#36512,#8437); -#5343=LINE('',#36516,#8438); -#5344=LINE('',#36520,#8439); -#5345=LINE('',#36526,#8440); -#5346=LINE('',#36529,#8441); -#5347=LINE('',#36531,#8442); -#5348=LINE('',#36532,#8443); -#5349=LINE('',#36538,#8444); -#5350=LINE('',#36540,#8445); -#5351=LINE('',#36541,#8446); -#5352=LINE('',#36547,#8447); -#5353=LINE('',#36549,#8448); -#5354=LINE('',#36551,#8449); -#5355=LINE('',#36553,#8450); -#5356=LINE('',#36554,#8451); -#5357=LINE('',#36557,#8452); -#5358=LINE('',#36559,#8453); -#5359=LINE('',#36560,#8454); -#5360=LINE('',#36563,#8455); -#5361=LINE('',#36565,#8456); -#5362=LINE('',#36566,#8457); -#5363=LINE('',#36569,#8458); -#5364=LINE('',#36573,#8459); -#5365=LINE('',#36577,#8460); -#5366=LINE('',#36581,#8461); -#5367=LINE('',#36584,#8462); -#5368=LINE('',#36586,#8463); -#5369=LINE('',#36587,#8464); -#5370=LINE('',#36640,#8465); -#5371=LINE('',#36642,#8466); -#5372=LINE('',#36644,#8467); -#5373=LINE('',#36646,#8468); -#5374=LINE('',#36648,#8469); -#5375=LINE('',#36650,#8470); -#5376=LINE('',#36652,#8471); -#5377=LINE('',#36654,#8472); -#5378=LINE('',#36656,#8473); -#5379=LINE('',#36658,#8474); -#5380=LINE('',#36660,#8475); -#5381=LINE('',#36661,#8476); -#5382=LINE('',#36666,#8477); -#5383=LINE('',#36668,#8478); -#5384=LINE('',#36670,#8479); -#5385=LINE('',#36671,#8480); -#5386=LINE('',#36674,#8481); -#5387=LINE('',#36676,#8482); -#5388=LINE('',#36678,#8483); -#5389=LINE('',#36679,#8484); -#5390=LINE('',#36681,#8485); -#5391=LINE('',#36682,#8486); -#5392=LINE('',#36689,#8487); -#5393=LINE('',#36693,#8488); -#5394=LINE('',#36697,#8489); -#5395=LINE('',#36700,#8490); -#5396=LINE('',#36707,#8491); -#5397=LINE('',#36709,#8492); -#5398=LINE('',#36711,#8493); -#5399=LINE('',#36713,#8494); -#5400=LINE('',#36715,#8495); -#5401=LINE('',#36717,#8496); -#5402=LINE('',#36719,#8497); -#5403=LINE('',#36721,#8498); -#5404=LINE('',#36723,#8499); -#5405=LINE('',#36725,#8500); -#5406=LINE('',#36727,#8501); -#5407=LINE('',#36729,#8502); -#5408=LINE('',#36731,#8503); -#5409=LINE('',#36733,#8504); -#5410=LINE('',#36735,#8505); -#5411=LINE('',#36737,#8506); -#5412=LINE('',#36739,#8507); -#5413=LINE('',#36741,#8508); -#5414=LINE('',#36743,#8509); -#5415=LINE('',#36744,#8510); -#5416=LINE('',#36747,#8511); -#5417=LINE('',#36749,#8512); -#5418=LINE('',#36751,#8513); -#5419=LINE('',#36753,#8514); -#5420=LINE('',#36755,#8515); -#5421=LINE('',#36757,#8516); -#5422=LINE('',#36759,#8517); -#5423=LINE('',#36761,#8518); -#5424=LINE('',#36763,#8519); -#5425=LINE('',#36765,#8520); -#5426=LINE('',#36767,#8521); -#5427=LINE('',#36769,#8522); -#5428=LINE('',#36771,#8523); -#5429=LINE('',#36773,#8524); -#5430=LINE('',#36775,#8525); -#5431=LINE('',#36777,#8526); -#5432=LINE('',#36779,#8527); -#5433=LINE('',#36780,#8528); -#5434=LINE('',#36785,#8529); -#5435=LINE('',#36789,#8530); -#5436=LINE('',#36791,#8531); -#5437=LINE('',#36795,#8532); -#5438=LINE('',#36799,#8533); -#5439=LINE('',#36800,#8534); -#5440=LINE('',#36803,#8535); -#5441=LINE('',#36805,#8536); -#5442=LINE('',#36807,#8537); -#5443=LINE('',#36808,#8538); -#5444=LINE('',#36811,#8539); -#5445=LINE('',#36813,#8540); -#5446=LINE('',#36815,#8541); -#5447=LINE('',#36816,#8542); -#5448=LINE('',#36819,#8543); -#5449=LINE('',#36821,#8544); -#5450=LINE('',#36823,#8545); -#5451=LINE('',#36824,#8546); -#5452=LINE('',#36827,#8547); -#5453=LINE('',#36829,#8548); -#5454=LINE('',#36831,#8549); -#5455=LINE('',#36832,#8550); -#5456=LINE('',#36835,#8551); -#5457=LINE('',#36837,#8552); -#5458=LINE('',#36839,#8553); -#5459=LINE('',#36840,#8554); -#5460=LINE('',#36843,#8555); -#5461=LINE('',#36845,#8556); -#5462=LINE('',#36847,#8557); -#5463=LINE('',#36848,#8558); -#5464=LINE('',#36851,#8559); -#5465=LINE('',#36853,#8560); -#5466=LINE('',#36855,#8561); -#5467=LINE('',#36856,#8562); -#5468=LINE('',#36859,#8563); -#5469=LINE('',#36861,#8564); -#5470=LINE('',#36863,#8565); -#5471=LINE('',#36864,#8566); -#5472=LINE('',#36867,#8567); -#5473=LINE('',#36869,#8568); -#5474=LINE('',#36871,#8569); -#5475=LINE('',#36872,#8570); -#5476=LINE('',#36875,#8571); -#5477=LINE('',#36877,#8572); -#5478=LINE('',#36879,#8573); -#5479=LINE('',#36881,#8574); -#5480=LINE('',#36883,#8575); -#5481=LINE('',#36885,#8576); -#5482=LINE('',#36887,#8577); -#5483=LINE('',#36889,#8578); -#5484=LINE('',#36891,#8579); -#5485=LINE('',#36893,#8580); -#5486=LINE('',#36895,#8581); -#5487=LINE('',#36897,#8582); -#5488=LINE('',#36899,#8583); -#5489=LINE('',#36901,#8584); -#5490=LINE('',#36903,#8585); -#5491=LINE('',#36905,#8586); -#5492=LINE('',#36907,#8587); -#5493=LINE('',#36909,#8588); -#5494=LINE('',#36911,#8589); -#5495=LINE('',#36912,#8590); -#5496=LINE('',#36915,#8591); -#5497=LINE('',#36917,#8592); -#5498=LINE('',#36919,#8593); -#5499=LINE('',#36921,#8594); -#5500=LINE('',#36923,#8595); -#5501=LINE('',#36925,#8596); -#5502=LINE('',#36927,#8597); -#5503=LINE('',#36929,#8598); -#5504=LINE('',#36931,#8599); -#5505=LINE('',#36933,#8600); -#5506=LINE('',#36935,#8601); -#5507=LINE('',#36936,#8602); -#5508=LINE('',#36939,#8603); -#5509=LINE('',#36941,#8604); -#5510=LINE('',#36943,#8605); -#5511=LINE('',#36944,#8606); -#5512=LINE('',#36947,#8607); -#5513=LINE('',#36949,#8608); -#5514=LINE('',#36951,#8609); -#5515=LINE('',#36953,#8610); -#5516=LINE('',#36955,#8611); -#5517=LINE('',#36957,#8612); -#5518=LINE('',#36959,#8613); -#5519=LINE('',#36961,#8614); -#5520=LINE('',#36963,#8615); -#5521=LINE('',#36965,#8616); -#5522=LINE('',#36967,#8617); -#5523=LINE('',#36969,#8618); -#5524=LINE('',#36971,#8619); -#5525=LINE('',#36973,#8620); -#5526=LINE('',#36975,#8621); -#5527=LINE('',#36976,#8622); -#5528=LINE('',#36979,#8623); -#5529=LINE('',#36981,#8624); -#5530=LINE('',#36983,#8625); -#5531=LINE('',#36984,#8626); -#5532=LINE('',#36987,#8627); -#5533=LINE('',#36989,#8628); -#5534=LINE('',#36991,#8629); -#5535=LINE('',#36992,#8630); -#5536=LINE('',#36995,#8631); -#5537=LINE('',#36997,#8632); -#5538=LINE('',#36999,#8633); -#5539=LINE('',#37000,#8634); -#5540=LINE('',#37003,#8635); -#5541=LINE('',#37005,#8636); -#5542=LINE('',#37007,#8637); -#5543=LINE('',#37008,#8638); -#5544=LINE('',#37011,#8639); -#5545=LINE('',#37013,#8640); -#5546=LINE('',#37015,#8641); -#5547=LINE('',#37016,#8642); -#5548=LINE('',#37019,#8643); -#5549=LINE('',#37021,#8644); -#5550=LINE('',#37023,#8645); -#5551=LINE('',#37024,#8646); -#5552=LINE('',#37027,#8647); -#5553=LINE('',#37029,#8648); -#5554=LINE('',#37031,#8649); -#5555=LINE('',#37032,#8650); -#5556=LINE('',#37035,#8651); -#5557=LINE('',#37037,#8652); -#5558=LINE('',#37039,#8653); -#5559=LINE('',#37040,#8654); -#5560=LINE('',#37043,#8655); -#5561=LINE('',#37045,#8656); -#5562=LINE('',#37047,#8657); -#5563=LINE('',#37048,#8658); -#5564=LINE('',#37051,#8659); -#5565=LINE('',#37053,#8660); -#5566=LINE('',#37055,#8661); -#5567=LINE('',#37056,#8662); -#5568=LINE('',#37059,#8663); -#5569=LINE('',#37061,#8664); -#5570=LINE('',#37063,#8665); -#5571=LINE('',#37064,#8666); -#5572=LINE('',#37067,#8667); -#5573=LINE('',#37069,#8668); -#5574=LINE('',#37071,#8669); -#5575=LINE('',#37072,#8670); -#5576=LINE('',#37075,#8671); -#5577=LINE('',#37077,#8672); -#5578=LINE('',#37079,#8673); -#5579=LINE('',#37080,#8674); -#5580=LINE('',#37083,#8675); -#5581=LINE('',#37085,#8676); -#5582=LINE('',#37087,#8677); -#5583=LINE('',#37088,#8678); -#5584=LINE('',#37091,#8679); -#5585=LINE('',#37093,#8680); -#5586=LINE('',#37095,#8681); -#5587=LINE('',#37096,#8682); -#5588=LINE('',#37099,#8683); -#5589=LINE('',#37101,#8684); -#5590=LINE('',#37103,#8685); -#5591=LINE('',#37104,#8686); -#5592=LINE('',#37107,#8687); -#5593=LINE('',#37109,#8688); -#5594=LINE('',#37111,#8689); -#5595=LINE('',#37112,#8690); -#5596=LINE('',#37115,#8691); -#5597=LINE('',#37117,#8692); -#5598=LINE('',#37119,#8693); -#5599=LINE('',#37120,#8694); -#5600=LINE('',#37123,#8695); -#5601=LINE('',#37125,#8696); -#5602=LINE('',#37127,#8697); -#5603=LINE('',#37128,#8698); -#5604=LINE('',#37131,#8699); -#5605=LINE('',#37133,#8700); -#5606=LINE('',#37135,#8701); -#5607=LINE('',#37136,#8702); -#5608=LINE('',#37139,#8703); -#5609=LINE('',#37141,#8704); -#5610=LINE('',#37143,#8705); -#5611=LINE('',#37144,#8706); -#5612=LINE('',#37147,#8707); -#5613=LINE('',#37149,#8708); -#5614=LINE('',#37151,#8709); -#5615=LINE('',#37152,#8710); -#5616=LINE('',#37155,#8711); -#5617=LINE('',#37157,#8712); -#5618=LINE('',#37159,#8713); -#5619=LINE('',#37160,#8714); -#5620=LINE('',#37163,#8715); -#5621=LINE('',#37165,#8716); -#5622=LINE('',#37167,#8717); -#5623=LINE('',#37168,#8718); -#5624=LINE('',#37171,#8719); -#5625=LINE('',#37173,#8720); -#5626=LINE('',#37175,#8721); -#5627=LINE('',#37176,#8722); -#5628=LINE('',#37179,#8723); -#5629=LINE('',#37181,#8724); -#5630=LINE('',#37183,#8725); -#5631=LINE('',#37184,#8726); -#5632=LINE('',#37187,#8727); -#5633=LINE('',#37189,#8728); -#5634=LINE('',#37191,#8729); -#5635=LINE('',#37192,#8730); -#5636=LINE('',#37195,#8731); -#5637=LINE('',#37197,#8732); -#5638=LINE('',#37199,#8733); -#5639=LINE('',#37200,#8734); -#5640=LINE('',#37203,#8735); -#5641=LINE('',#37205,#8736); -#5642=LINE('',#37207,#8737); -#5643=LINE('',#37208,#8738); -#5644=LINE('',#37211,#8739); -#5645=LINE('',#37213,#8740); -#5646=LINE('',#37215,#8741); -#5647=LINE('',#37216,#8742); -#5648=LINE('',#37219,#8743); -#5649=LINE('',#37221,#8744); -#5650=LINE('',#37223,#8745); -#5651=LINE('',#37224,#8746); -#5652=LINE('',#37227,#8747); -#5653=LINE('',#37229,#8748); -#5654=LINE('',#37231,#8749); -#5655=LINE('',#37232,#8750); -#5656=LINE('',#37235,#8751); -#5657=LINE('',#37237,#8752); -#5658=LINE('',#37239,#8753); -#5659=LINE('',#37240,#8754); -#5660=LINE('',#37243,#8755); -#5661=LINE('',#37245,#8756); -#5662=LINE('',#37247,#8757); -#5663=LINE('',#37248,#8758); -#5664=LINE('',#37251,#8759); -#5665=LINE('',#37253,#8760); -#5666=LINE('',#37255,#8761); -#5667=LINE('',#37256,#8762); -#5668=LINE('',#37259,#8763); -#5669=LINE('',#37261,#8764); -#5670=LINE('',#37263,#8765); -#5671=LINE('',#37264,#8766); -#5672=LINE('',#37267,#8767); -#5673=LINE('',#37269,#8768); -#5674=LINE('',#37271,#8769); -#5675=LINE('',#37272,#8770); -#5676=LINE('',#37275,#8771); -#5677=LINE('',#37277,#8772); -#5678=LINE('',#37279,#8773); -#5679=LINE('',#37280,#8774); -#5680=LINE('',#37283,#8775); -#5681=LINE('',#37285,#8776); -#5682=LINE('',#37287,#8777); -#5683=LINE('',#37288,#8778); -#5684=LINE('',#37291,#8779); -#5685=LINE('',#37293,#8780); -#5686=LINE('',#37295,#8781); -#5687=LINE('',#37296,#8782); -#5688=LINE('',#37299,#8783); -#5689=LINE('',#37301,#8784); -#5690=LINE('',#37303,#8785); -#5691=LINE('',#37304,#8786); -#5692=LINE('',#37307,#8787); -#5693=LINE('',#37309,#8788); -#5694=LINE('',#37311,#8789); -#5695=LINE('',#37312,#8790); -#5696=LINE('',#37315,#8791); -#5697=LINE('',#37317,#8792); -#5698=LINE('',#37319,#8793); -#5699=LINE('',#37320,#8794); -#5700=LINE('',#37323,#8795); -#5701=LINE('',#37325,#8796); -#5702=LINE('',#37327,#8797); -#5703=LINE('',#37328,#8798); -#5704=LINE('',#37331,#8799); -#5705=LINE('',#37333,#8800); -#5706=LINE('',#37335,#8801); -#5707=LINE('',#37336,#8802); -#5708=LINE('',#37339,#8803); -#5709=LINE('',#37341,#8804); -#5710=LINE('',#37343,#8805); -#5711=LINE('',#37344,#8806); -#5712=LINE('',#37347,#8807); -#5713=LINE('',#37349,#8808); -#5714=LINE('',#37351,#8809); -#5715=LINE('',#37352,#8810); -#5716=LINE('',#37355,#8811); -#5717=LINE('',#37357,#8812); -#5718=LINE('',#37359,#8813); -#5719=LINE('',#37360,#8814); -#5720=LINE('',#37363,#8815); -#5721=LINE('',#37365,#8816); -#5722=LINE('',#37367,#8817); -#5723=LINE('',#37368,#8818); -#5724=LINE('',#37371,#8819); -#5725=LINE('',#37373,#8820); -#5726=LINE('',#37375,#8821); -#5727=LINE('',#37376,#8822); -#5728=LINE('',#37379,#8823); -#5729=LINE('',#37381,#8824); -#5730=LINE('',#37383,#8825); -#5731=LINE('',#37384,#8826); -#5732=LINE('',#37387,#8827); -#5733=LINE('',#37389,#8828); -#5734=LINE('',#37391,#8829); -#5735=LINE('',#37392,#8830); -#5736=LINE('',#37395,#8831); -#5737=LINE('',#37397,#8832); -#5738=LINE('',#37399,#8833); -#5739=LINE('',#37400,#8834); -#5740=LINE('',#37403,#8835); -#5741=LINE('',#37405,#8836); -#5742=LINE('',#37407,#8837); -#5743=LINE('',#37408,#8838); -#5744=LINE('',#37411,#8839); -#5745=LINE('',#37413,#8840); -#5746=LINE('',#37415,#8841); -#5747=LINE('',#37416,#8842); -#5748=LINE('',#37419,#8843); -#5749=LINE('',#37421,#8844); -#5750=LINE('',#37423,#8845); -#5751=LINE('',#37424,#8846); -#5752=LINE('',#37427,#8847); -#5753=LINE('',#37429,#8848); -#5754=LINE('',#37431,#8849); -#5755=LINE('',#37433,#8850); -#5756=LINE('',#37435,#8851); -#5757=LINE('',#37437,#8852); -#5758=LINE('',#37439,#8853); -#5759=LINE('',#37441,#8854); -#5760=LINE('',#37443,#8855); -#5761=LINE('',#37445,#8856); -#5762=LINE('',#37447,#8857); -#5763=LINE('',#37448,#8858); -#5764=LINE('',#37451,#8859); -#5765=LINE('',#37453,#8860); -#5766=LINE('',#37455,#8861); -#5767=LINE('',#37457,#8862); -#5768=LINE('',#37459,#8863); -#5769=LINE('',#37461,#8864); -#5770=LINE('',#37463,#8865); -#5771=LINE('',#37465,#8866); -#5772=LINE('',#37467,#8867); -#5773=LINE('',#37469,#8868); -#5774=LINE('',#37471,#8869); -#5775=LINE('',#37472,#8870); -#5776=LINE('',#37475,#8871); -#5777=LINE('',#37477,#8872); -#5778=LINE('',#37479,#8873); -#5779=LINE('',#37481,#8874); -#5780=LINE('',#37483,#8875); -#5781=LINE('',#37485,#8876); -#5782=LINE('',#37487,#8877); -#5783=LINE('',#37489,#8878); -#5784=LINE('',#37491,#8879); -#5785=LINE('',#37493,#8880); -#5786=LINE('',#37495,#8881); -#5787=LINE('',#37497,#8882); -#5788=LINE('',#37499,#8883); -#5789=LINE('',#37501,#8884); -#5790=LINE('',#37503,#8885); -#5791=LINE('',#37504,#8886); -#5792=LINE('',#37507,#8887); -#5793=LINE('',#37509,#8888); -#5794=LINE('',#37511,#8889); -#5795=LINE('',#37513,#8890); -#5796=LINE('',#37515,#8891); -#5797=LINE('',#37517,#8892); -#5798=LINE('',#37519,#8893); -#5799=LINE('',#37521,#8894); -#5800=LINE('',#37523,#8895); -#5801=LINE('',#37525,#8896); -#5802=LINE('',#37527,#8897); -#5803=LINE('',#37529,#8898); -#5804=LINE('',#37531,#8899); -#5805=LINE('',#37533,#8900); -#5806=LINE('',#37535,#8901); -#5807=LINE('',#37536,#8902); -#5808=LINE('',#37539,#8903); -#5809=LINE('',#37541,#8904); -#5810=LINE('',#37543,#8905); -#5811=LINE('',#37545,#8906); -#5812=LINE('',#37547,#8907); -#5813=LINE('',#37549,#8908); -#5814=LINE('',#37551,#8909); -#5815=LINE('',#37553,#8910); -#5816=LINE('',#37555,#8911); -#5817=LINE('',#37557,#8912); -#5818=LINE('',#37559,#8913); -#5819=LINE('',#37561,#8914); -#5820=LINE('',#37563,#8915); -#5821=LINE('',#37565,#8916); -#5822=LINE('',#37567,#8917); -#5823=LINE('',#37568,#8918); -#5824=LINE('',#37571,#8919); -#5825=LINE('',#37573,#8920); -#5826=LINE('',#37575,#8921); -#5827=LINE('',#37577,#8922); -#5828=LINE('',#37579,#8923); -#5829=LINE('',#37581,#8924); -#5830=LINE('',#37583,#8925); -#5831=LINE('',#37585,#8926); -#5832=LINE('',#37587,#8927); -#5833=LINE('',#37589,#8928); -#5834=LINE('',#37591,#8929); -#5835=LINE('',#37593,#8930); -#5836=LINE('',#37595,#8931); -#5837=LINE('',#37597,#8932); -#5838=LINE('',#37599,#8933); -#5839=LINE('',#37600,#8934); -#5840=LINE('',#37603,#8935); -#5841=LINE('',#37605,#8936); -#5842=LINE('',#37607,#8937); -#5843=LINE('',#37609,#8938); -#5844=LINE('',#37611,#8939); -#5845=LINE('',#37613,#8940); -#5846=LINE('',#37615,#8941); -#5847=LINE('',#37617,#8942); -#5848=LINE('',#37619,#8943); -#5849=LINE('',#37621,#8944); -#5850=LINE('',#37623,#8945); -#5851=LINE('',#37624,#8946); -#5852=LINE('',#37627,#8947); -#5853=LINE('',#37629,#8948); -#5854=LINE('',#37631,#8949); -#5855=LINE('',#37633,#8950); -#5856=LINE('',#37635,#8951); -#5857=LINE('',#37637,#8952); -#5858=LINE('',#37639,#8953); -#5859=LINE('',#37641,#8954); -#5860=LINE('',#37643,#8955); -#5861=LINE('',#37645,#8956); -#5862=LINE('',#37647,#8957); -#5863=LINE('',#37649,#8958); -#5864=LINE('',#37651,#8959); -#5865=LINE('',#37653,#8960); -#5866=LINE('',#37655,#8961); -#5867=LINE('',#37657,#8962); -#5868=LINE('',#37659,#8963); -#5869=LINE('',#37661,#8964); -#5870=LINE('',#37663,#8965); -#5871=LINE('',#37665,#8966); -#5872=LINE('',#37667,#8967); -#5873=LINE('',#37669,#8968); -#5874=LINE('',#37671,#8969); -#5875=LINE('',#37672,#8970); -#5876=LINE('',#37675,#8971); -#5877=LINE('',#37677,#8972); -#5878=LINE('',#37679,#8973); -#5879=LINE('',#37680,#8974); -#5880=LINE('',#37683,#8975); -#5881=LINE('',#37685,#8976); -#5882=LINE('',#37687,#8977); -#5883=LINE('',#37688,#8978); -#5884=LINE('',#37691,#8979); -#5885=LINE('',#37693,#8980); -#5886=LINE('',#37695,#8981); -#5887=LINE('',#37697,#8982); -#5888=LINE('',#37699,#8983); -#5889=LINE('',#37701,#8984); -#5890=LINE('',#37703,#8985); -#5891=LINE('',#37705,#8986); -#5892=LINE('',#37707,#8987); -#5893=LINE('',#37709,#8988); -#5894=LINE('',#37711,#8989); -#5895=LINE('',#37713,#8990); -#5896=LINE('',#37715,#8991); -#5897=LINE('',#37717,#8992); -#5898=LINE('',#37719,#8993); -#5899=LINE('',#37721,#8994); -#5900=LINE('',#37723,#8995); -#5901=LINE('',#37725,#8996); -#5902=LINE('',#37727,#8997); -#5903=LINE('',#37729,#8998); -#5904=LINE('',#37731,#8999); -#5905=LINE('',#37733,#9000); -#5906=LINE('',#37735,#9001); -#5907=LINE('',#37736,#9002); -#5908=LINE('',#37786,#9003); -#5909=LINE('',#37787,#9004); -#5910=LINE('',#37789,#9005); -#5911=LINE('',#37791,#9006); -#5912=LINE('',#37793,#9007); -#5913=LINE('',#37795,#9008); -#5914=LINE('',#37797,#9009); -#5915=LINE('',#37799,#9010); -#5916=LINE('',#37801,#9011); -#5917=LINE('',#37803,#9012); -#5918=LINE('',#37805,#9013); -#5919=LINE('',#37807,#9014); -#5920=LINE('',#37809,#9015); -#5921=LINE('',#37811,#9016); -#5922=LINE('',#37813,#9017); -#5923=LINE('',#37815,#9018); -#5924=LINE('',#37817,#9019); -#5925=LINE('',#37819,#9020); -#5926=LINE('',#37821,#9021); -#5927=LINE('',#37823,#9022); -#5928=LINE('',#37825,#9023); -#5929=LINE('',#37827,#9024); -#5930=LINE('',#37829,#9025); -#5931=LINE('',#37831,#9026); -#5932=LINE('',#37833,#9027); -#5933=LINE('',#37835,#9028); -#5934=LINE('',#37837,#9029); -#5935=LINE('',#37839,#9030); -#5936=LINE('',#37842,#9031); -#5937=LINE('',#37844,#9032); -#5938=LINE('',#37845,#9033); -#5939=LINE('',#37848,#9034); -#5940=LINE('',#37849,#9035); -#5941=LINE('',#37852,#9036); -#5942=LINE('',#37853,#9037); -#5943=LINE('',#37857,#9038); -#5944=LINE('',#37858,#9039); -#5945=LINE('',#37859,#9040); -#5946=LINE('',#37861,#9041); -#5947=LINE('',#37863,#9042); -#5948=LINE('',#37865,#9043); -#5949=LINE('',#37867,#9044); -#5950=LINE('',#37868,#9045); -#5951=LINE('',#37872,#9046); -#5952=LINE('',#37873,#9047); -#5953=LINE('',#37875,#9048); -#5954=LINE('',#37877,#9049); -#5955=LINE('',#37879,#9050); -#5956=LINE('',#37881,#9051); -#5957=LINE('',#37882,#9052); -#5958=LINE('',#37885,#9053); -#5959=LINE('',#37887,#9054); -#5960=LINE('',#37889,#9055); -#5961=LINE('',#37891,#9056); -#5962=LINE('',#37892,#9057); -#5963=LINE('',#37894,#9058); -#5964=LINE('',#37896,#9059); -#5965=LINE('',#37897,#9060); -#5966=LINE('',#37898,#9061); -#5967=LINE('',#37901,#9062); -#5968=LINE('',#37902,#9063); -#5969=LINE('',#37905,#9064); -#5970=LINE('',#37907,#9065); -#5971=LINE('',#37909,#9066); -#5972=LINE('',#37911,#9067); -#5973=LINE('',#37912,#9068); -#5974=LINE('',#37914,#9069); -#5975=LINE('',#37916,#9070); -#5976=LINE('',#37918,#9071); -#5977=LINE('',#37920,#9072); -#5978=LINE('',#37923,#9073); -#5979=LINE('',#37927,#9074); -#5980=LINE('',#37928,#9075); -#5981=LINE('',#37931,#9076); -#5982=LINE('',#37932,#9077); -#5983=LINE('',#37934,#9078); -#5984=LINE('',#37939,#9079); -#5985=LINE('',#37940,#9080); -#5986=LINE('',#37942,#9081); -#5987=LINE('',#37945,#9082); -#5988=LINE('',#37947,#9083); -#5989=LINE('',#37949,#9084); -#5990=LINE('',#37954,#9085); -#5991=LINE('',#37955,#9086); -#5992=LINE('',#37956,#9087); -#5993=LINE('',#37959,#9088); -#5994=LINE('',#37961,#9089); -#5995=LINE('',#37962,#9090); -#5996=LINE('',#37967,#9091); -#5997=LINE('',#37970,#9092); -#5998=LINE('',#37974,#9093); -#5999=LINE('',#37976,#9094); -#6000=LINE('',#37978,#9095); -#6001=LINE('',#37979,#9096); -#6002=LINE('',#37984,#9097); -#6003=LINE('',#37987,#9098); -#6004=LINE('',#37992,#9099); -#6005=LINE('',#37993,#9100); -#6006=LINE('',#38003,#9101); -#6007=LINE('',#38007,#9102); -#6008=LINE('',#38011,#9103); -#6009=LINE('',#38013,#9104); -#6010=LINE('',#38019,#9105); -#6011=LINE('',#38027,#9106); -#6012=LINE('',#38032,#9107); -#6013=LINE('',#38033,#9108); -#6014=LINE('',#38035,#9109); -#6015=LINE('',#38037,#9110); -#6016=LINE('',#38042,#9111); -#6017=LINE('',#38044,#9112); -#6018=LINE('',#38048,#9113); -#6019=LINE('',#38052,#9114); -#6020=LINE('',#38055,#9115); -#6021=LINE('',#38057,#9116); -#6022=LINE('',#38060,#9117); -#6023=LINE('',#38061,#9118); -#6024=LINE('',#38063,#9119); -#6025=LINE('',#38065,#9120); -#6026=LINE('',#38069,#9121); -#6027=LINE('',#38071,#9122); -#6028=LINE('',#38072,#9123); -#6029=LINE('',#38075,#9124); -#6030=LINE('',#38076,#9125); -#6031=LINE('',#38080,#9126); -#6032=LINE('',#38081,#9127); -#6033=LINE('',#38083,#9128); -#6034=LINE('',#38085,#9129); -#6035=LINE('',#38086,#9130); -#6036=LINE('',#38088,#9131); -#6037=LINE('',#38089,#9132); -#6038=LINE('',#38091,#9133); -#6039=LINE('',#38093,#9134); -#6040=LINE('',#38094,#9135); -#6041=LINE('',#38096,#9136); -#6042=LINE('',#38098,#9137); -#6043=LINE('',#38099,#9138); -#6044=LINE('',#38100,#9139); -#6045=LINE('',#38103,#9140); -#6046=LINE('',#38105,#9141); -#6047=LINE('',#38106,#9142); -#6048=LINE('',#38109,#9143); -#6049=LINE('',#38111,#9144); -#6050=LINE('',#38112,#9145); -#6051=LINE('',#38115,#9146); -#6052=LINE('',#38117,#9147); -#6053=LINE('',#38118,#9148); -#6054=LINE('',#38120,#9149); -#6055=LINE('',#38122,#9150); -#6056=LINE('',#38124,#9151); -#6057=LINE('',#38127,#9152); -#6058=LINE('',#38129,#9153); -#6059=LINE('',#38132,#9154); -#6060=LINE('',#38134,#9155); -#6061=LINE('',#38139,#9156); -#6062=LINE('',#38140,#9157); -#6063=LINE('',#38143,#9158); -#6064=LINE('',#38144,#9159); -#6065=LINE('',#38147,#9160); -#6066=LINE('',#38149,#9161); -#6067=LINE('',#38151,#9162); -#6068=LINE('',#38153,#9163); -#6069=LINE('',#38155,#9164); -#6070=LINE('',#38157,#9165); -#6071=LINE('',#38159,#9166); -#6072=LINE('',#38161,#9167); -#6073=LINE('',#38163,#9168); -#6074=LINE('',#38165,#9169); -#6075=LINE('',#38167,#9170); -#6076=LINE('',#38168,#9171); -#6077=LINE('',#38170,#9172); -#6078=LINE('',#38174,#9173); -#6079=LINE('',#38176,#9174); -#6080=LINE('',#38177,#9175); -#6081=LINE('',#38180,#9176); -#6082=LINE('',#38182,#9177); -#6083=LINE('',#38183,#9178); -#6084=LINE('',#38186,#9179); -#6085=LINE('',#38187,#9180); -#6086=LINE('',#38190,#9181); -#6087=LINE('',#38191,#9182); -#6088=LINE('',#38194,#9183); -#6089=LINE('',#38195,#9184); -#6090=LINE('',#38198,#9185); -#6091=LINE('',#38199,#9186); -#6092=LINE('',#38202,#9187); -#6093=LINE('',#38204,#9188); -#6094=LINE('',#38205,#9189); -#6095=LINE('',#38207,#9190); -#6096=LINE('',#38209,#9191); -#6097=LINE('',#38210,#9192); -#6098=LINE('',#38212,#9193); -#6099=LINE('',#38214,#9194); -#6100=LINE('',#38216,#9195); -#6101=LINE('',#38219,#9196); -#6102=LINE('',#38223,#9197); -#6103=LINE('',#38225,#9198); -#6104=LINE('',#38226,#9199); -#6105=LINE('',#38229,#9200); -#6106=LINE('',#38230,#9201); -#6107=LINE('',#38233,#9202); -#6108=LINE('',#38234,#9203); -#6109=LINE('',#38238,#9204); -#6110=LINE('',#38239,#9205); -#6111=LINE('',#38241,#9206); -#6112=LINE('',#38243,#9207); -#6113=LINE('',#38244,#9208); -#6114=LINE('',#38245,#9209); -#6115=LINE('',#38247,#9210); -#6116=LINE('',#38249,#9211); -#6117=LINE('',#38251,#9212); -#6118=LINE('',#38253,#9213); -#6119=LINE('',#38254,#9214); -#6120=LINE('',#38258,#9215); -#6121=LINE('',#38260,#9216); -#6122=LINE('',#38261,#9217); -#6123=LINE('',#38262,#9218); -#6124=LINE('',#38265,#9219); -#6125=LINE('',#38267,#9220); -#6126=LINE('',#38269,#9221); -#6127=LINE('',#38270,#9222); -#6128=LINE('',#38272,#9223); -#6129=LINE('',#38273,#9224); -#6130=LINE('',#38275,#9225); -#6131=LINE('',#38280,#9226); -#6132=LINE('',#38281,#9227); -#6133=LINE('',#38283,#9228); -#6134=LINE('',#38284,#9229); -#6135=LINE('',#38288,#9230); -#6136=LINE('',#38290,#9231); -#6137=LINE('',#38292,#9232); -#6138=LINE('',#38293,#9233); -#6139=LINE('',#38297,#9234); -#6140=LINE('',#38298,#9235); -#6141=LINE('',#38299,#9236); -#6142=LINE('',#38302,#9237); -#6143=LINE('',#38304,#9238); -#6144=LINE('',#38306,#9239); -#6145=LINE('',#38307,#9240); -#6146=LINE('',#38309,#9241); -#6147=LINE('',#38311,#9242); -#6148=LINE('',#38312,#9243); -#6149=LINE('',#38315,#9244); -#6150=LINE('',#38316,#9245); -#6151=LINE('',#38319,#9246); -#6152=LINE('',#38320,#9247); -#6153=LINE('',#38322,#9248); -#6154=LINE('',#38323,#9249); -#6155=LINE('',#38326,#9250); -#6156=LINE('',#38327,#9251); -#6157=LINE('',#38329,#9252); -#6158=LINE('',#38330,#9253); -#6159=LINE('',#38332,#9254); -#6160=LINE('',#38334,#9255); -#6161=LINE('',#38340,#9256); -#6162=LINE('',#38342,#9257); -#6163=LINE('',#38343,#9258); -#6164=LINE('',#38346,#9259); -#6165=LINE('',#38348,#9260); -#6166=LINE('',#38352,#9261); -#6167=LINE('',#38355,#9262); -#6168=LINE('',#38359,#9263); -#6169=LINE('',#38360,#9264); -#6170=LINE('',#38362,#9265); -#6171=LINE('',#38364,#9266); -#6172=LINE('',#38366,#9267); -#6173=LINE('',#38367,#9268); -#6174=LINE('',#38370,#9269); -#6175=LINE('',#38372,#9270); -#6176=LINE('',#38374,#9271); -#6177=LINE('',#38375,#9272); -#6178=LINE('',#38378,#9273); -#6179=LINE('',#38380,#9274); -#6180=LINE('',#38381,#9275); -#6181=LINE('',#38384,#9276); -#6182=LINE('',#38385,#9277); -#6183=LINE('',#38388,#9278); -#6184=LINE('',#38389,#9279); -#6185=LINE('',#38391,#9280); -#6186=LINE('',#38395,#9281); -#6187=LINE('',#38398,#9282); -#6188=LINE('',#38402,#9283); -#6189=LINE('',#38407,#9284); -#6190=LINE('',#38411,#9285); -#6191=LINE('',#38412,#9286); -#6192=LINE('',#38413,#9287); -#6193=LINE('',#38416,#9288); -#6194=LINE('',#38417,#9289); -#6195=LINE('',#38418,#9290); -#6196=LINE('',#38420,#9291); -#6197=LINE('',#38421,#9292); -#6198=LINE('',#38422,#9293); -#6199=LINE('',#38425,#9294); -#6200=LINE('',#38430,#9295); -#6201=LINE('',#38432,#9296); -#6202=LINE('',#38433,#9297); -#6203=LINE('',#38436,#9298); -#6204=LINE('',#38437,#9299); -#6205=LINE('',#38440,#9300); -#6206=LINE('',#38442,#9301); -#6207=LINE('',#38444,#9302); -#6208=LINE('',#38445,#9303); -#6209=LINE('',#38448,#9304); -#6210=LINE('',#38450,#9305); -#6211=LINE('',#38452,#9306); -#6212=LINE('',#38453,#9307); -#6213=LINE('',#38456,#9308); -#6214=LINE('',#38458,#9309); -#6215=LINE('',#38460,#9310); -#6216=LINE('',#38461,#9311); -#6217=LINE('',#38464,#9312); -#6218=LINE('',#38466,#9313); -#6219=LINE('',#38468,#9314); -#6220=LINE('',#38469,#9315); -#6221=LINE('',#38472,#9316); -#6222=LINE('',#38473,#9317); -#6223=LINE('',#38475,#9318); -#6224=LINE('',#38478,#9319); -#6225=LINE('',#38480,#9320); -#6226=LINE('',#38482,#9321); -#6227=LINE('',#38483,#9322); -#6228=LINE('',#38486,#9323); -#6229=LINE('',#38488,#9324); -#6230=LINE('',#38490,#9325); -#6231=LINE('',#38491,#9326); -#6232=LINE('',#38494,#9327); -#6233=LINE('',#38496,#9328); -#6234=LINE('',#38498,#9329); -#6235=LINE('',#38499,#9330); -#6236=LINE('',#38502,#9331); -#6237=LINE('',#38504,#9332); -#6238=LINE('',#38506,#9333); -#6239=LINE('',#38507,#9334); -#6240=LINE('',#38511,#9335); -#6241=LINE('',#38513,#9336); -#6242=LINE('',#38514,#9337); -#6243=LINE('',#38517,#9338); -#6244=LINE('',#38519,#9339); -#6245=LINE('',#38520,#9340); -#6246=LINE('',#38523,#9341); -#6247=LINE('',#38525,#9342); -#6248=LINE('',#38526,#9343); -#6249=LINE('',#38529,#9344); -#6250=LINE('',#38531,#9345); -#6251=LINE('',#38532,#9346); -#6252=LINE('',#38535,#9347); -#6253=LINE('',#38537,#9348); -#6254=LINE('',#38538,#9349); -#6255=LINE('',#38541,#9350); -#6256=LINE('',#38543,#9351); -#6257=LINE('',#38544,#9352); -#6258=LINE('',#38547,#9353); -#6259=LINE('',#38549,#9354); -#6260=LINE('',#38550,#9355); -#6261=LINE('',#38553,#9356); -#6262=LINE('',#38555,#9357); -#6263=LINE('',#38556,#9358); -#6264=LINE('',#38560,#9359); -#6265=LINE('',#38561,#9360); -#6266=LINE('',#38562,#9361); -#6267=LINE('',#38564,#9362); -#6268=LINE('',#38565,#9363); -#6269=LINE('',#38569,#9364); -#6270=LINE('',#38571,#9365); -#6271=LINE('',#38572,#9366); -#6272=LINE('',#38573,#9367); -#6273=LINE('',#38574,#9368); -#6274=LINE('',#38577,#9369); -#6275=LINE('',#38579,#9370); -#6276=LINE('',#38580,#9371); -#6277=LINE('',#38582,#9372); -#6278=LINE('',#38585,#9373); -#6279=LINE('',#38587,#9374); -#6280=LINE('',#38588,#9375); -#6281=LINE('',#38591,#9376); -#6282=LINE('',#38593,#9377); -#6283=LINE('',#38594,#9378); -#6284=LINE('',#38597,#9379); -#6285=LINE('',#38599,#9380); -#6286=LINE('',#38600,#9381); -#6287=LINE('',#38603,#9382); -#6288=LINE('',#38604,#9383); -#6289=LINE('',#38607,#9384); -#6290=LINE('',#38609,#9385); -#6291=LINE('',#38610,#9386); -#6292=LINE('',#38613,#9387); -#6293=LINE('',#38615,#9388); -#6294=LINE('',#38616,#9389); -#6295=LINE('',#38619,#9390); -#6296=LINE('',#38621,#9391); -#6297=LINE('',#38622,#9392); -#6298=LINE('',#38625,#9393); -#6299=LINE('',#38626,#9394); -#6300=LINE('',#38627,#9395); -#6301=LINE('',#38629,#9396); -#6302=LINE('',#38631,#9397); -#6303=LINE('',#38633,#9398); -#6304=LINE('',#38634,#9399); -#6305=LINE('',#38637,#9400); -#6306=LINE('',#38642,#9401); -#6307=LINE('',#38644,#9402); -#6308=LINE('',#38646,#9403); -#6309=LINE('',#38647,#9404); -#6310=LINE('',#38651,#9405); -#6311=LINE('',#38653,#9406); -#6312=LINE('',#38655,#9407); -#6313=LINE('',#38656,#9408); -#6314=LINE('',#38660,#9409); -#6315=LINE('',#38662,#9410); -#6316=LINE('',#38664,#9411); -#6317=LINE('',#38665,#9412); -#6318=LINE('',#38667,#9413); -#6319=LINE('',#38668,#9414); -#6320=LINE('',#38672,#9415); -#6321=LINE('',#38674,#9416); -#6322=LINE('',#38676,#9417); -#6323=LINE('',#38677,#9418); -#6324=LINE('',#38681,#9419); -#6325=LINE('',#38683,#9420); -#6326=LINE('',#38685,#9421); -#6327=LINE('',#38686,#9422); -#6328=LINE('',#38690,#9423); -#6329=LINE('',#38692,#9424); -#6330=LINE('',#38694,#9425); -#6331=LINE('',#38695,#9426); -#6332=LINE('',#38697,#9427); -#6333=LINE('',#38698,#9428); -#6334=LINE('',#38700,#9429); -#6335=LINE('',#38701,#9430); -#6336=LINE('',#38703,#9431); -#6337=LINE('',#38704,#9432); -#6338=LINE('',#38705,#9433); -#6339=LINE('',#38707,#9434); -#6340=LINE('',#38709,#9435); -#6341=LINE('',#38711,#9436); -#6342=LINE('',#38712,#9437); -#6343=LINE('',#38713,#9438); -#6344=LINE('',#38719,#9439); -#6345=LINE('',#38720,#9440); -#6346=LINE('',#38722,#9441); -#6347=LINE('',#38723,#9442); -#6348=LINE('',#38725,#9443); -#6349=LINE('',#38726,#9444); -#6350=LINE('',#38727,#9445); -#6351=LINE('',#38729,#9446); -#6352=LINE('',#38731,#9447); -#6353=LINE('',#38733,#9448); -#6354=LINE('',#38734,#9449); -#6355=LINE('',#38735,#9450); -#6356=LINE('',#38741,#9451); -#6357=LINE('',#38742,#9452); -#6358=LINE('',#38744,#9453); -#6359=LINE('',#38745,#9454); -#6360=LINE('',#38747,#9455); -#6361=LINE('',#38748,#9456); -#6362=LINE('',#38749,#9457); -#6363=LINE('',#38751,#9458); -#6364=LINE('',#38753,#9459); -#6365=LINE('',#38755,#9460); -#6366=LINE('',#38756,#9461); -#6367=LINE('',#38757,#9462); -#6368=LINE('',#38767,#9463); -#6369=LINE('',#38769,#9464); -#6370=LINE('',#38770,#9465); -#6371=LINE('',#38773,#9466); -#6372=LINE('',#38774,#9467); -#6373=LINE('',#38777,#9468); -#6374=LINE('',#38778,#9469); -#6375=LINE('',#38780,#9470); -#6376=LINE('',#38782,#9471); -#6377=LINE('',#38784,#9472); -#6378=LINE('',#38785,#9473); -#6379=LINE('',#38789,#9474); -#6380=LINE('',#38791,#9475); -#6381=LINE('',#38793,#9476); -#6382=LINE('',#38794,#9477); -#6383=LINE('',#38798,#9478); -#6384=LINE('',#38800,#9479); -#6385=LINE('',#38802,#9480); -#6386=LINE('',#38803,#9481); -#6387=LINE('',#38808,#9482); -#6388=LINE('',#38810,#9483); -#6389=LINE('',#38812,#9484); -#6390=LINE('',#38813,#9485); -#6391=LINE('',#38815,#9486); -#6392=LINE('',#38817,#9487); -#6393=LINE('',#38819,#9488); -#6394=LINE('',#38820,#9489); -#6395=LINE('',#38821,#9490); -#6396=LINE('',#38823,#9491); -#6397=LINE('',#38825,#9492); -#6398=LINE('',#38828,#9493); -#6399=LINE('',#38829,#9494); -#6400=LINE('',#38830,#9495); -#6401=LINE('',#38832,#9496); -#6402=LINE('',#38834,#9497); -#6403=LINE('',#38837,#9498); -#6404=LINE('',#38839,#9499); -#6405=LINE('',#38840,#9500); -#6406=LINE('',#38842,#9501); -#6407=LINE('',#38844,#9502); -#6408=LINE('',#38848,#9503); -#6409=LINE('',#38850,#9504); -#6410=LINE('',#38851,#9505); -#6411=LINE('',#38854,#9506); -#6412=LINE('',#38856,#9507); -#6413=LINE('',#38857,#9508); -#6414=LINE('',#38860,#9509); -#6415=LINE('',#38861,#9510); -#6416=LINE('',#38864,#9511); -#6417=LINE('',#38865,#9512); -#6418=LINE('',#38868,#9513); -#6419=LINE('',#38869,#9514); -#6420=LINE('',#38872,#9515); -#6421=LINE('',#38873,#9516); -#6422=LINE('',#38875,#9517); -#6423=LINE('',#38877,#9518); -#6424=LINE('',#38880,#9519); -#6425=LINE('',#38882,#9520); -#6426=LINE('',#38883,#9521); -#6427=LINE('',#38886,#9522); -#6428=LINE('',#38887,#9523); -#6429=LINE('',#38890,#9524); -#6430=LINE('',#38891,#9525); -#6431=LINE('',#38893,#9526); -#6432=LINE('',#38897,#9527); -#6433=LINE('',#38899,#9528); -#6434=LINE('',#38900,#9529); -#6435=LINE('',#38903,#9530); -#6436=LINE('',#38904,#9531); -#6437=LINE('',#38907,#9532); -#6438=LINE('',#38908,#9533); -#6439=LINE('',#38910,#9534); -#6440=LINE('',#38914,#9535); -#6441=LINE('',#38916,#9536); -#6442=LINE('',#38917,#9537); -#6443=LINE('',#38920,#9538); -#6444=LINE('',#38921,#9539); -#6445=LINE('',#38923,#9540); -#6446=LINE('',#38925,#9541); -#6447=LINE('',#38926,#9542); -#6448=LINE('',#38929,#9543); -#6449=LINE('',#38930,#9544); -#6450=LINE('',#38934,#9545); -#6451=LINE('',#38936,#9546); -#6452=LINE('',#38938,#9547); -#6453=LINE('',#38939,#9548); -#6454=LINE('',#38943,#9549); -#6455=LINE('',#38945,#9550); -#6456=LINE('',#38947,#9551); -#6457=LINE('',#38948,#9552); -#6458=LINE('',#38950,#9553); -#6459=LINE('',#38953,#9554); -#6460=LINE('',#38955,#9555); -#6461=LINE('',#38956,#9556); -#6462=LINE('',#38957,#9557); -#6463=LINE('',#38959,#9558); -#6464=LINE('',#38961,#9559); -#6465=LINE('',#38966,#9560); -#6466=LINE('',#38970,#9561); -#6467=LINE('',#38971,#9562); -#6468=LINE('',#38973,#9563); -#6469=LINE('',#38975,#9564); -#6470=LINE('',#38976,#9565); -#6471=LINE('',#38978,#9566); -#6472=LINE('',#38980,#9567); -#6473=LINE('',#38985,#9568); -#6474=LINE('',#38995,#9569); -#6475=LINE('',#39000,#9570); -#6476=LINE('',#39003,#9571); -#6477=LINE('',#39005,#9572); -#6478=LINE('',#39006,#9573); -#6479=LINE('',#39009,#9574); -#6480=LINE('',#39010,#9575); -#6481=LINE('',#39013,#9576); -#6482=LINE('',#39014,#9577); -#6483=LINE('',#39016,#9578); -#6484=LINE('',#39021,#9579); -#6485=LINE('',#39023,#9580); -#6486=LINE('',#39025,#9581); -#6487=LINE('',#39026,#9582); -#6488=LINE('',#39028,#9583); -#6489=LINE('',#39029,#9584); -#6490=LINE('',#39031,#9585); -#6491=LINE('',#39033,#9586); -#6492=LINE('',#39037,#9587); -#6493=LINE('',#39039,#9588); -#6494=LINE('',#39040,#9589); -#6495=LINE('',#39043,#9590); -#6496=LINE('',#39044,#9591); -#6497=LINE('',#39047,#9592); -#6498=LINE('',#39048,#9593); -#6499=LINE('',#39050,#9594); -#6500=LINE('',#39055,#9595); -#6501=LINE('',#39057,#9596); -#6502=LINE('',#39059,#9597); -#6503=LINE('',#39060,#9598); -#6504=LINE('',#39064,#9599); -#6505=LINE('',#39066,#9600); -#6506=LINE('',#39068,#9601); -#6507=LINE('',#39069,#9602); -#6508=LINE('',#39073,#9603); -#6509=LINE('',#39075,#9604); -#6510=LINE('',#39077,#9605); -#6511=LINE('',#39078,#9606); -#6512=LINE('',#39082,#9607); -#6513=LINE('',#39084,#9608); -#6514=LINE('',#39086,#9609); -#6515=LINE('',#39087,#9610); -#6516=LINE('',#39091,#9611); -#6517=LINE('',#39093,#9612); -#6518=LINE('',#39095,#9613); -#6519=LINE('',#39096,#9614); -#6520=LINE('',#39100,#9615); -#6521=LINE('',#39102,#9616); -#6522=LINE('',#39104,#9617); -#6523=LINE('',#39105,#9618); -#6524=LINE('',#39109,#9619); -#6525=LINE('',#39111,#9620); -#6526=LINE('',#39113,#9621); -#6527=LINE('',#39114,#9622); -#6528=LINE('',#39118,#9623); -#6529=LINE('',#39120,#9624); -#6530=LINE('',#39122,#9625); -#6531=LINE('',#39123,#9626); -#6532=LINE('',#39127,#9627); -#6533=LINE('',#39129,#9628); -#6534=LINE('',#39131,#9629); -#6535=LINE('',#39132,#9630); -#6536=LINE('',#39136,#9631); -#6537=LINE('',#39138,#9632); -#6538=LINE('',#39140,#9633); -#6539=LINE('',#39141,#9634); -#6540=LINE('',#39145,#9635); -#6541=LINE('',#39147,#9636); -#6542=LINE('',#39149,#9637); -#6543=LINE('',#39150,#9638); -#6544=LINE('',#39154,#9639); -#6545=LINE('',#39156,#9640); -#6546=LINE('',#39158,#9641); -#6547=LINE('',#39159,#9642); -#6548=LINE('',#39163,#9643); -#6549=LINE('',#39165,#9644); -#6550=LINE('',#39167,#9645); -#6551=LINE('',#39168,#9646); -#6552=LINE('',#39172,#9647); -#6553=LINE('',#39174,#9648); -#6554=LINE('',#39176,#9649); -#6555=LINE('',#39177,#9650); -#6556=LINE('',#39181,#9651); -#6557=LINE('',#39183,#9652); -#6558=LINE('',#39185,#9653); -#6559=LINE('',#39186,#9654); -#6560=LINE('',#39190,#9655); -#6561=LINE('',#39192,#9656); -#6562=LINE('',#39194,#9657); -#6563=LINE('',#39195,#9658); -#6564=LINE('',#39199,#9659); -#6565=LINE('',#39201,#9660); -#6566=LINE('',#39203,#9661); -#6567=LINE('',#39204,#9662); -#6568=LINE('',#39208,#9663); -#6569=LINE('',#39210,#9664); -#6570=LINE('',#39212,#9665); -#6571=LINE('',#39213,#9666); -#6572=LINE('',#39217,#9667); -#6573=LINE('',#39219,#9668); -#6574=LINE('',#39221,#9669); -#6575=LINE('',#39222,#9670); -#6576=LINE('',#39224,#9671); -#6577=LINE('',#39225,#9672); -#6578=LINE('',#39227,#9673); -#6579=LINE('',#39229,#9674); -#6580=LINE('',#39232,#9675); -#6581=LINE('',#39233,#9676); -#6582=LINE('',#39235,#9677); -#6583=LINE('',#39237,#9678); -#6584=LINE('',#39240,#9679); -#6585=LINE('',#39241,#9680); -#6586=LINE('',#39243,#9681); -#6587=LINE('',#39245,#9682); -#6588=LINE('',#39248,#9683); -#6589=LINE('',#39249,#9684); -#6590=LINE('',#39251,#9685); -#6591=LINE('',#39253,#9686); -#6592=LINE('',#39256,#9687); -#6593=LINE('',#39257,#9688); -#6594=LINE('',#39259,#9689); -#6595=LINE('',#39261,#9690); -#6596=LINE('',#39264,#9691); -#6597=LINE('',#39265,#9692); -#6598=LINE('',#39267,#9693); -#6599=LINE('',#39269,#9694); -#6600=LINE('',#39272,#9695); -#6601=LINE('',#39273,#9696); -#6602=LINE('',#39275,#9697); -#6603=LINE('',#39277,#9698); -#6604=LINE('',#39280,#9699); -#6605=LINE('',#39281,#9700); -#6606=LINE('',#39283,#9701); -#6607=LINE('',#39285,#9702); -#6608=LINE('',#39288,#9703); -#6609=LINE('',#39289,#9704); -#6610=LINE('',#39291,#9705); -#6611=LINE('',#39293,#9706); -#6612=LINE('',#39296,#9707); -#6613=LINE('',#39297,#9708); -#6614=LINE('',#39299,#9709); -#6615=LINE('',#39301,#9710); -#6616=LINE('',#39304,#9711); -#6617=LINE('',#39305,#9712); -#6618=LINE('',#39307,#9713); -#6619=LINE('',#39309,#9714); -#6620=LINE('',#39312,#9715); -#6621=LINE('',#39313,#9716); -#6622=LINE('',#39315,#9717); -#6623=LINE('',#39317,#9718); -#6624=LINE('',#39320,#9719); -#6625=LINE('',#39321,#9720); -#6626=LINE('',#39323,#9721); -#6627=LINE('',#39325,#9722); -#6628=LINE('',#39328,#9723); -#6629=LINE('',#39329,#9724); -#6630=LINE('',#39331,#9725); -#6631=LINE('',#39333,#9726); -#6632=LINE('',#39336,#9727); -#6633=LINE('',#39337,#9728); -#6634=LINE('',#39339,#9729); -#6635=LINE('',#39341,#9730); -#6636=LINE('',#39344,#9731); -#6637=LINE('',#39345,#9732); -#6638=LINE('',#39347,#9733); -#6639=LINE('',#39349,#9734); -#6640=LINE('',#39352,#9735); -#6641=LINE('',#39353,#9736); -#6642=LINE('',#39355,#9737); -#6643=LINE('',#39357,#9738); -#6644=LINE('',#39360,#9739); -#6645=LINE('',#39361,#9740); -#6646=LINE('',#39363,#9741); -#6647=LINE('',#39365,#9742); -#6648=LINE('',#39368,#9743); -#6649=LINE('',#39369,#9744); -#6650=LINE('',#39371,#9745); -#6651=LINE('',#39373,#9746); -#6652=LINE('',#39377,#9747); -#6653=LINE('',#39379,#9748); -#6654=LINE('',#39380,#9749); -#6655=LINE('',#39383,#9750); -#6656=LINE('',#39384,#9751); -#6657=LINE('',#39387,#9752); -#6658=LINE('',#39388,#9753); -#6659=LINE('',#39390,#9754); -#6660=LINE('',#39395,#9755); -#6661=LINE('',#39397,#9756); -#6662=LINE('',#39399,#9757); -#6663=LINE('',#39400,#9758); -#6664=LINE('',#39404,#9759); -#6665=LINE('',#39406,#9760); -#6666=LINE('',#39408,#9761); -#6667=LINE('',#39409,#9762); -#6668=LINE('',#39413,#9763); -#6669=LINE('',#39415,#9764); -#6670=LINE('',#39417,#9765); -#6671=LINE('',#39418,#9766); -#6672=LINE('',#39422,#9767); -#6673=LINE('',#39424,#9768); -#6674=LINE('',#39426,#9769); -#6675=LINE('',#39427,#9770); -#6676=LINE('',#39431,#9771); -#6677=LINE('',#39433,#9772); -#6678=LINE('',#39435,#9773); -#6679=LINE('',#39436,#9774); -#6680=LINE('',#39440,#9775); -#6681=LINE('',#39442,#9776); -#6682=LINE('',#39444,#9777); -#6683=LINE('',#39445,#9778); -#6684=LINE('',#39449,#9779); -#6685=LINE('',#39451,#9780); -#6686=LINE('',#39453,#9781); -#6687=LINE('',#39454,#9782); -#6688=LINE('',#39458,#9783); -#6689=LINE('',#39460,#9784); -#6690=LINE('',#39462,#9785); -#6691=LINE('',#39463,#9786); -#6692=LINE('',#39467,#9787); -#6693=LINE('',#39469,#9788); -#6694=LINE('',#39471,#9789); -#6695=LINE('',#39472,#9790); -#6696=LINE('',#39476,#9791); -#6697=LINE('',#39478,#9792); -#6698=LINE('',#39480,#9793); -#6699=LINE('',#39481,#9794); -#6700=LINE('',#39485,#9795); -#6701=LINE('',#39487,#9796); -#6702=LINE('',#39489,#9797); -#6703=LINE('',#39490,#9798); -#6704=LINE('',#39494,#9799); -#6705=LINE('',#39496,#9800); -#6706=LINE('',#39498,#9801); -#6707=LINE('',#39499,#9802); -#6708=LINE('',#39503,#9803); -#6709=LINE('',#39505,#9804); -#6710=LINE('',#39507,#9805); -#6711=LINE('',#39508,#9806); -#6712=LINE('',#39512,#9807); -#6713=LINE('',#39514,#9808); -#6714=LINE('',#39516,#9809); -#6715=LINE('',#39517,#9810); -#6716=LINE('',#39521,#9811); -#6717=LINE('',#39523,#9812); -#6718=LINE('',#39525,#9813); -#6719=LINE('',#39526,#9814); -#6720=LINE('',#39530,#9815); -#6721=LINE('',#39532,#9816); -#6722=LINE('',#39534,#9817); -#6723=LINE('',#39535,#9818); -#6724=LINE('',#39539,#9819); -#6725=LINE('',#39541,#9820); -#6726=LINE('',#39543,#9821); -#6727=LINE('',#39544,#9822); -#6728=LINE('',#39548,#9823); -#6729=LINE('',#39550,#9824); -#6730=LINE('',#39552,#9825); -#6731=LINE('',#39553,#9826); -#6732=LINE('',#39555,#9827); -#6733=LINE('',#39556,#9828); -#6734=LINE('',#39558,#9829); -#6735=LINE('',#39560,#9830); -#6736=LINE('',#39563,#9831); -#6737=LINE('',#39564,#9832); -#6738=LINE('',#39566,#9833); -#6739=LINE('',#39568,#9834); -#6740=LINE('',#39571,#9835); -#6741=LINE('',#39572,#9836); -#6742=LINE('',#39574,#9837); -#6743=LINE('',#39576,#9838); -#6744=LINE('',#39579,#9839); -#6745=LINE('',#39580,#9840); -#6746=LINE('',#39582,#9841); -#6747=LINE('',#39584,#9842); -#6748=LINE('',#39587,#9843); -#6749=LINE('',#39588,#9844); -#6750=LINE('',#39590,#9845); -#6751=LINE('',#39592,#9846); -#6752=LINE('',#39595,#9847); -#6753=LINE('',#39596,#9848); -#6754=LINE('',#39598,#9849); -#6755=LINE('',#39600,#9850); -#6756=LINE('',#39603,#9851); -#6757=LINE('',#39604,#9852); -#6758=LINE('',#39606,#9853); -#6759=LINE('',#39608,#9854); -#6760=LINE('',#39611,#9855); -#6761=LINE('',#39612,#9856); -#6762=LINE('',#39614,#9857); -#6763=LINE('',#39616,#9858); -#6764=LINE('',#39619,#9859); -#6765=LINE('',#39620,#9860); -#6766=LINE('',#39622,#9861); -#6767=LINE('',#39624,#9862); -#6768=LINE('',#39627,#9863); -#6769=LINE('',#39628,#9864); -#6770=LINE('',#39630,#9865); -#6771=LINE('',#39632,#9866); -#6772=LINE('',#39635,#9867); -#6773=LINE('',#39636,#9868); -#6774=LINE('',#39638,#9869); -#6775=LINE('',#39640,#9870); -#6776=LINE('',#39643,#9871); -#6777=LINE('',#39644,#9872); -#6778=LINE('',#39646,#9873); -#6779=LINE('',#39648,#9874); -#6780=LINE('',#39651,#9875); -#6781=LINE('',#39652,#9876); -#6782=LINE('',#39654,#9877); -#6783=LINE('',#39656,#9878); -#6784=LINE('',#39659,#9879); -#6785=LINE('',#39660,#9880); -#6786=LINE('',#39662,#9881); -#6787=LINE('',#39664,#9882); -#6788=LINE('',#39667,#9883); -#6789=LINE('',#39668,#9884); -#6790=LINE('',#39670,#9885); -#6791=LINE('',#39672,#9886); -#6792=LINE('',#39675,#9887); -#6793=LINE('',#39676,#9888); -#6794=LINE('',#39678,#9889); -#6795=LINE('',#39680,#9890); -#6796=LINE('',#39683,#9891); -#6797=LINE('',#39684,#9892); -#6798=LINE('',#39686,#9893); -#6799=LINE('',#39688,#9894); -#6800=LINE('',#39691,#9895); -#6801=LINE('',#39692,#9896); -#6802=LINE('',#39694,#9897); -#6803=LINE('',#39696,#9898); -#6804=LINE('',#39700,#9899); -#6805=LINE('',#39702,#9900); -#6806=LINE('',#39703,#9901); -#6807=LINE('',#39706,#9902); -#6808=LINE('',#39707,#9903); -#6809=LINE('',#39710,#9904); -#6810=LINE('',#39711,#9905); -#6811=LINE('',#39713,#9906); -#6812=LINE('',#39718,#9907); -#6813=LINE('',#39720,#9908); -#6814=LINE('',#39722,#9909); -#6815=LINE('',#39723,#9910); -#6816=LINE('',#39727,#9911); -#6817=LINE('',#39729,#9912); -#6818=LINE('',#39731,#9913); -#6819=LINE('',#39732,#9914); -#6820=LINE('',#39736,#9915); -#6821=LINE('',#39738,#9916); -#6822=LINE('',#39740,#9917); -#6823=LINE('',#39741,#9918); -#6824=LINE('',#39745,#9919); -#6825=LINE('',#39747,#9920); -#6826=LINE('',#39749,#9921); -#6827=LINE('',#39750,#9922); -#6828=LINE('',#39754,#9923); -#6829=LINE('',#39756,#9924); -#6830=LINE('',#39758,#9925); -#6831=LINE('',#39759,#9926); -#6832=LINE('',#39763,#9927); -#6833=LINE('',#39765,#9928); -#6834=LINE('',#39767,#9929); -#6835=LINE('',#39768,#9930); -#6836=LINE('',#39772,#9931); -#6837=LINE('',#39774,#9932); -#6838=LINE('',#39776,#9933); -#6839=LINE('',#39777,#9934); -#6840=LINE('',#39779,#9935); -#6841=LINE('',#39780,#9936); -#6842=LINE('',#39782,#9937); -#6843=LINE('',#39784,#9938); -#6844=LINE('',#39787,#9939); -#6845=LINE('',#39788,#9940); -#6846=LINE('',#39790,#9941); -#6847=LINE('',#39792,#9942); -#6848=LINE('',#39795,#9943); -#6849=LINE('',#39796,#9944); -#6850=LINE('',#39798,#9945); -#6851=LINE('',#39800,#9946); -#6852=LINE('',#39803,#9947); -#6853=LINE('',#39804,#9948); -#6854=LINE('',#39806,#9949); -#6855=LINE('',#39808,#9950); -#6856=LINE('',#39811,#9951); -#6857=LINE('',#39812,#9952); -#6858=LINE('',#39814,#9953); -#6859=LINE('',#39816,#9954); -#6860=LINE('',#39819,#9955); -#6861=LINE('',#39820,#9956); -#6862=LINE('',#39822,#9957); -#6863=LINE('',#39824,#9958); -#6864=LINE('',#39827,#9959); -#6865=LINE('',#39828,#9960); -#6866=LINE('',#39830,#9961); -#6867=LINE('',#39832,#9962); -#6868=LINE('',#39836,#9963); -#6869=LINE('',#39838,#9964); -#6870=LINE('',#39839,#9965); -#6871=LINE('',#39842,#9966); -#6872=LINE('',#39843,#9967); -#6873=LINE('',#39846,#9968); -#6874=LINE('',#39847,#9969); -#6875=LINE('',#39849,#9970); -#6876=LINE('',#39854,#9971); -#6877=LINE('',#39856,#9972); -#6878=LINE('',#39858,#9973); -#6879=LINE('',#39859,#9974); -#6880=LINE('',#39863,#9975); -#6881=LINE('',#39865,#9976); -#6882=LINE('',#39867,#9977); -#6883=LINE('',#39868,#9978); -#6884=LINE('',#39872,#9979); -#6885=LINE('',#39874,#9980); -#6886=LINE('',#39876,#9981); -#6887=LINE('',#39877,#9982); -#6888=LINE('',#39881,#9983); -#6889=LINE('',#39883,#9984); -#6890=LINE('',#39885,#9985); -#6891=LINE('',#39886,#9986); -#6892=LINE('',#39888,#9987); -#6893=LINE('',#39889,#9988); -#6894=LINE('',#39891,#9989); -#6895=LINE('',#39893,#9990); -#6896=LINE('',#39896,#9991); -#6897=LINE('',#39897,#9992); -#6898=LINE('',#39899,#9993); -#6899=LINE('',#39901,#9994); -#6900=LINE('',#39904,#9995); -#6901=LINE('',#39905,#9996); -#6902=LINE('',#39907,#9997); -#6903=LINE('',#39909,#9998); -#6904=LINE('',#39912,#9999); -#6905=LINE('',#39913,#10000); -#6906=LINE('',#39915,#10001); -#6907=LINE('',#39917,#10002); -#6908=LINE('',#39921,#10003); -#6909=LINE('',#39923,#10004); -#6910=LINE('',#39924,#10005); -#6911=LINE('',#39927,#10006); -#6912=LINE('',#39928,#10007); -#6913=LINE('',#39931,#10008); -#6914=LINE('',#39932,#10009); -#6915=LINE('',#39934,#10010); -#6916=LINE('',#39939,#10011); -#6917=LINE('',#39941,#10012); -#6918=LINE('',#39943,#10013); -#6919=LINE('',#39944,#10014); -#6920=LINE('',#39946,#10015); -#6921=LINE('',#39947,#10016); -#6922=LINE('',#39949,#10017); -#6923=LINE('',#39951,#10018); -#6924=LINE('',#39955,#10019); -#6925=LINE('',#39957,#10020); -#6926=LINE('',#39958,#10021); -#6927=LINE('',#39961,#10022); -#6928=LINE('',#39963,#10023); -#6929=LINE('',#39964,#10024); -#6930=LINE('',#39967,#10025); -#6931=LINE('',#39968,#10026); -#6932=LINE('',#39971,#10027); -#6933=LINE('',#39972,#10028); -#6934=LINE('',#39975,#10029); -#6935=LINE('',#39976,#10030); -#6936=LINE('',#39979,#10031); -#6937=LINE('',#39980,#10032); -#6938=LINE('',#39982,#10033); -#6939=LINE('',#39984,#10034); -#6940=LINE('',#39988,#10035); -#6941=LINE('',#39990,#10036); -#6942=LINE('',#39992,#10037); -#6943=LINE('',#39993,#10038); -#6944=LINE('',#39997,#10039); -#6945=LINE('',#39999,#10040); -#6946=LINE('',#40001,#10041); -#6947=LINE('',#40002,#10042); -#6948=LINE('',#40005,#10043); -#6949=LINE('',#40007,#10044); -#6950=LINE('',#40008,#10045); -#6951=LINE('',#40011,#10046); -#6952=LINE('',#40012,#10047); -#6953=LINE('',#40015,#10048); -#6954=LINE('',#40016,#10049); -#6955=LINE('',#40018,#10050); -#6956=LINE('',#40023,#10051); -#6957=LINE('',#40025,#10052); -#6958=LINE('',#40027,#10053); -#6959=LINE('',#40028,#10054); -#6960=LINE('',#40030,#10055); -#6961=LINE('',#40031,#10056); -#6962=LINE('',#40032,#10057); -#6963=LINE('',#40033,#10058); -#6964=LINE('',#40035,#10059); -#6965=LINE('',#40036,#10060); -#6966=LINE('',#40038,#10061); -#6967=LINE('',#40040,#10062); -#6968=LINE('',#40042,#10063); -#6969=LINE('',#40044,#10064); -#6970=LINE('',#40047,#10065); -#6971=LINE('',#40048,#10066); -#6972=LINE('',#40053,#10067); -#6973=LINE('',#40055,#10068); -#6974=LINE('',#40056,#10069); -#6975=LINE('',#40059,#10070); -#6976=LINE('',#40060,#10071); -#6977=LINE('',#40064,#10072); -#6978=LINE('',#40065,#10073); -#6979=LINE('',#40067,#10074); -#6980=LINE('',#40068,#10075); -#6981=LINE('',#40070,#10076); -#6982=LINE('',#40072,#10077); -#6983=LINE('',#40073,#10078); -#6984=LINE('',#40074,#10079); -#6985=LINE('',#40078,#10080); -#6986=LINE('',#40080,#10081); -#6987=LINE('',#40082,#10082); -#6988=LINE('',#40083,#10083); -#6989=LINE('',#40087,#10084); -#6990=LINE('',#40089,#10085); -#6991=LINE('',#40091,#10086); -#6992=LINE('',#40092,#10087); -#6993=LINE('',#40096,#10088); -#6994=LINE('',#40098,#10089); -#6995=LINE('',#40100,#10090); -#6996=LINE('',#40101,#10091); -#6997=LINE('',#40105,#10092); -#6998=LINE('',#40107,#10093); -#6999=LINE('',#40109,#10094); -#7000=LINE('',#40110,#10095); -#7001=LINE('',#40114,#10096); -#7002=LINE('',#40116,#10097); -#7003=LINE('',#40118,#10098); -#7004=LINE('',#40119,#10099); -#7005=LINE('',#40123,#10100); -#7006=LINE('',#40125,#10101); -#7007=LINE('',#40127,#10102); -#7008=LINE('',#40128,#10103); -#7009=LINE('',#40132,#10104); -#7010=LINE('',#40134,#10105); -#7011=LINE('',#40136,#10106); -#7012=LINE('',#40137,#10107); -#7013=LINE('',#40140,#10108); -#7014=LINE('',#40142,#10109); -#7015=LINE('',#40143,#10110); -#7016=LINE('',#40145,#10111); -#7017=LINE('',#40149,#10112); -#7018=LINE('',#40151,#10113); -#7019=LINE('',#40153,#10114); -#7020=LINE('',#40154,#10115); -#7021=LINE('',#40158,#10116); -#7022=LINE('',#40160,#10117); -#7023=LINE('',#40162,#10118); -#7024=LINE('',#40163,#10119); -#7025=LINE('',#40167,#10120); -#7026=LINE('',#40169,#10121); -#7027=LINE('',#40171,#10122); -#7028=LINE('',#40172,#10123); -#7029=LINE('',#40174,#10124); -#7030=LINE('',#40175,#10125); -#7031=LINE('',#40176,#10126); -#7032=LINE('',#40178,#10127); -#7033=LINE('',#40180,#10128); -#7034=LINE('',#40181,#10129); -#7035=LINE('',#40182,#10130); -#7036=LINE('',#40184,#10131); -#7037=LINE('',#40186,#10132); -#7038=LINE('',#40190,#10133); -#7039=LINE('',#40191,#10134); -#7040=LINE('',#40193,#10135); -#7041=LINE('',#40195,#10136); -#7042=LINE('',#40196,#10137); -#7043=LINE('',#40197,#10138); -#7044=LINE('',#40199,#10139); -#7045=LINE('',#40201,#10140); -#7046=LINE('',#40204,#10141); -#7047=LINE('',#40209,#10142); -#7048=LINE('',#40211,#10143); -#7049=LINE('',#40213,#10144); -#7050=LINE('',#40214,#10145); -#7051=LINE('',#40217,#10146); -#7052=LINE('',#40219,#10147); -#7053=LINE('',#40220,#10148); -#7054=LINE('',#40224,#10149); -#7055=LINE('',#40226,#10150); -#7056=LINE('',#40228,#10151); -#7057=LINE('',#40229,#10152); -#7058=LINE('',#40231,#10153); -#7059=LINE('',#40232,#10154); -#7060=LINE('',#40234,#10155); -#7061=LINE('',#40235,#10156); -#7062=LINE('',#40236,#10157); -#7063=LINE('',#40237,#10158); -#7064=LINE('',#40238,#10159); -#7065=LINE('',#40240,#10160); -#7066=LINE('',#40242,#10161); -#7067=LINE('',#40245,#10162); -#7068=LINE('',#40247,#10163); -#7069=LINE('',#40250,#10164); -#7070=LINE('',#40252,#10165); -#7071=LINE('',#40253,#10166); -#7072=LINE('',#40255,#10167); -#7073=LINE('',#40257,#10168); -#7074=LINE('',#40260,#10169); -#7075=LINE('',#40262,#10170); -#7076=LINE('',#40263,#10171); -#7077=LINE('',#40264,#10172); -#7078=LINE('',#40266,#10173); -#7079=LINE('',#40268,#10174); -#7080=LINE('',#40272,#10175); -#7081=LINE('',#40273,#10176); -#7082=LINE('',#40275,#10177); -#7083=LINE('',#40276,#10178); -#7084=LINE('',#40277,#10179); -#7085=LINE('',#40278,#10180); -#7086=LINE('',#40279,#10181); -#7087=LINE('',#40281,#10182); -#7088=LINE('',#40283,#10183); -#7089=LINE('',#40286,#10184); -#7090=LINE('',#40288,#10185); -#7091=LINE('',#40289,#10186); -#7092=LINE('',#40291,#10187); -#7093=LINE('',#40293,#10188); -#7094=LINE('',#40296,#10189); -#7095=LINE('',#40298,#10190); -#7096=LINE('',#40301,#10191); -#7097=LINE('',#40303,#10192); -#7098=LINE('',#40306,#10193); -#7099=LINE('',#40308,#10194); -#7100=LINE('',#40313,#10195); -#7101=LINE('',#40315,#10196); -#7102=LINE('',#40316,#10197); -#7103=LINE('',#40319,#10198); -#7104=LINE('',#40321,#10199); -#7105=LINE('',#40322,#10200); -#7106=LINE('',#40325,#10201); -#7107=LINE('',#40326,#10202); -#7108=LINE('',#40329,#10203); -#7109=LINE('',#40331,#10204); -#7110=LINE('',#40332,#10205); -#7111=LINE('',#40335,#10206); -#7112=LINE('',#40336,#10207); -#7113=LINE('',#40338,#10208); -#7114=LINE('',#40340,#10209); -#7115=LINE('',#40342,#10210); -#7116=LINE('',#40345,#10211); -#7117=LINE('',#40347,#10212); -#7118=LINE('',#40348,#10213); -#7119=LINE('',#40351,#10214); -#7120=LINE('',#40352,#10215); -#7121=LINE('',#40355,#10216); -#7122=LINE('',#40356,#10217); -#7123=LINE('',#40358,#10218); -#7124=LINE('',#40362,#10219); -#7125=LINE('',#40364,#10220); -#7126=LINE('',#40365,#10221); -#7127=LINE('',#40368,#10222); -#7128=LINE('',#40370,#10223); -#7129=LINE('',#40371,#10224); -#7130=LINE('',#40374,#10225); -#7131=LINE('',#40375,#10226); -#7132=LINE('',#40378,#10227); -#7133=LINE('',#40380,#10228); -#7134=LINE('',#40381,#10229); -#7135=LINE('',#40384,#10230); -#7136=LINE('',#40385,#10231); -#7137=LINE('',#40387,#10232); -#7138=LINE('',#40389,#10233); -#7139=LINE('',#40393,#10234); -#7140=LINE('',#40395,#10235); -#7141=LINE('',#40397,#10236); -#7142=LINE('',#40398,#10237); -#7143=LINE('',#40402,#10238); -#7144=LINE('',#40404,#10239); -#7145=LINE('',#40406,#10240); -#7146=LINE('',#40407,#10241); -#7147=LINE('',#40409,#10242); -#7148=LINE('',#40413,#10243); -#7149=LINE('',#40415,#10244); -#7150=LINE('',#40417,#10245); -#7151=LINE('',#40418,#10246); -#7152=LINE('',#40420,#10247); -#7153=LINE('',#40421,#10248); -#7154=LINE('',#40422,#10249); -#7155=LINE('',#40423,#10250); -#7156=LINE('',#40425,#10251); -#7157=LINE('',#40427,#10252); -#7158=LINE('',#40428,#10253); -#7159=LINE('',#40431,#10254); -#7160=LINE('',#40432,#10255); -#7161=LINE('',#40435,#10256); -#7162=LINE('',#40436,#10257); -#7163=LINE('',#40437,#10258); -#7164=LINE('',#40438,#10259); -#7165=LINE('',#40440,#10260); -#7166=LINE('',#40442,#10261); -#7167=LINE('',#40444,#10262); -#7168=LINE('',#40446,#10263); -#7169=LINE('',#40450,#10264); -#7170=LINE('',#40453,#10265); -#7171=LINE('',#40455,#10266); -#7172=LINE('',#40459,#10267); -#7173=LINE('',#40461,#10268); -#7174=LINE('',#40462,#10269); -#7175=LINE('',#40465,#10270); -#7176=LINE('',#40466,#10271); -#7177=LINE('',#40469,#10272); -#7178=LINE('',#40470,#10273); -#7179=LINE('',#40472,#10274); -#7180=LINE('',#40476,#10275); -#7181=LINE('',#40478,#10276); -#7182=LINE('',#40479,#10277); -#7183=LINE('',#40482,#10278); -#7184=LINE('',#40483,#10279); -#7185=LINE('',#40486,#10280); -#7186=LINE('',#40487,#10281); -#7187=LINE('',#40489,#10282); -#7188=LINE('',#40493,#10283); -#7189=LINE('',#40495,#10284); -#7190=LINE('',#40496,#10285); -#7191=LINE('',#40499,#10286); -#7192=LINE('',#40501,#10287); -#7193=LINE('',#40502,#10288); -#7194=LINE('',#40505,#10289); -#7195=LINE('',#40506,#10290); -#7196=LINE('',#40509,#10291); -#7197=LINE('',#40510,#10292); -#7198=LINE('',#40513,#10293); -#7199=LINE('',#40514,#10294); -#7200=LINE('',#40517,#10295); -#7201=LINE('',#40518,#10296); -#7202=LINE('',#40522,#10297); -#7203=LINE('',#40524,#10298); -#7204=LINE('',#40526,#10299); -#7205=LINE('',#40527,#10300); -#7206=LINE('',#40529,#10301); -#7207=LINE('',#40533,#10302); -#7208=LINE('',#40535,#10303); -#7209=LINE('',#40537,#10304); -#7210=LINE('',#40538,#10305); -#7211=LINE('',#40542,#10306); -#7212=LINE('',#40544,#10307); -#7213=LINE('',#40546,#10308); -#7214=LINE('',#40547,#10309); -#7215=LINE('',#40549,#10310); -#7216=LINE('',#40551,#10311); -#7217=LINE('',#40553,#10312); -#7218=LINE('',#40555,#10313); -#7219=LINE('',#40556,#10314); -#7220=LINE('',#40557,#10315); -#7221=LINE('',#40559,#10316); -#7222=LINE('',#40560,#10317); -#7223=LINE('',#40562,#10318); -#7224=LINE('',#40564,#10319); -#7225=LINE('',#40566,#10320); -#7226=LINE('',#40568,#10321); -#7227=LINE('',#40571,#10322); -#7228=LINE('',#40572,#10323); -#7229=LINE('',#40574,#10324); -#7230=LINE('',#40576,#10325); -#7231=LINE('',#40577,#10326); -#7232=LINE('',#40579,#10327); -#7233=LINE('',#40581,#10328); -#7234=LINE('',#40584,#10329); -#7235=LINE('',#40586,#10330); -#7236=VECTOR('',#26802,1000.); -#7237=VECTOR('',#26807,1000.); -#7238=VECTOR('',#26814,1000.); -#7239=VECTOR('',#26819,1000.); -#7240=VECTOR('',#26826,1000.); -#7241=VECTOR('',#26831,1000.); -#7242=VECTOR('',#26834,1000.); -#7243=VECTOR('',#26835,1000.); -#7244=VECTOR('',#26836,1000.); -#7245=VECTOR('',#26837,1000.); -#7246=VECTOR('',#26840,1000.); -#7247=VECTOR('',#26841,1000.); -#7248=VECTOR('',#26842,1000.); -#7249=VECTOR('',#26843,1000.); -#7250=VECTOR('',#26844,1000.); -#7251=VECTOR('',#26847,1000.); -#7252=VECTOR('',#26850,1000.); -#7253=VECTOR('',#26853,1000.); -#7254=VECTOR('',#26854,1000.); -#7255=VECTOR('',#26855,1000.); -#7256=VECTOR('',#26856,1000.); -#7257=VECTOR('',#26857,1000.); -#7258=VECTOR('',#26858,1000.); -#7259=VECTOR('',#26859,1000.); -#7260=VECTOR('',#26862,1000.); -#7261=VECTOR('',#26865,1000.); -#7262=VECTOR('',#26868,1000.); -#7263=VECTOR('',#26869,1000.); -#7264=VECTOR('',#26870,1000.); -#7265=VECTOR('',#26871,1000.); -#7266=VECTOR('',#26872,1000.); -#7267=VECTOR('',#26873,1000.); -#7268=VECTOR('',#26874,1000.); -#7269=VECTOR('',#26875,1000.); -#7270=VECTOR('',#26876,1000.); -#7271=VECTOR('',#26877,1000.); -#7272=VECTOR('',#26878,1000.); -#7273=VECTOR('',#26879,1000.); -#7274=VECTOR('',#26880,1000.); -#7275=VECTOR('',#26881,1000.); -#7276=VECTOR('',#26882,1000.); -#7277=VECTOR('',#26883,1000.); -#7278=VECTOR('',#26884,1000.); -#7279=VECTOR('',#26885,1000.); -#7280=VECTOR('',#26886,1000.); -#7281=VECTOR('',#26887,1000.); -#7282=VECTOR('',#26888,1000.); -#7283=VECTOR('',#26891,1000.); -#7284=VECTOR('',#26894,1000.); -#7285=VECTOR('',#26897,1000.); -#7286=VECTOR('',#26898,1000.); -#7287=VECTOR('',#26899,1000.); -#7288=VECTOR('',#26902,1000.); -#7289=VECTOR('',#26905,1000.); -#7290=VECTOR('',#26908,1000.); -#7291=VECTOR('',#26911,1000.); -#7292=VECTOR('',#26914,1000.); -#7293=VECTOR('',#26917,1000.); -#7294=VECTOR('',#26920,1000.); -#7295=VECTOR('',#26921,1000.); -#7296=VECTOR('',#26922,1000.); -#7297=VECTOR('',#26925,1000.); -#7298=VECTOR('',#26930,1000.); -#7299=VECTOR('',#26931,1000.); -#7300=VECTOR('',#26932,1000.); -#7301=VECTOR('',#26933,1000.); -#7302=VECTOR('',#26936,1000.); -#7303=VECTOR('',#26937,1000.); -#7304=VECTOR('',#26938,1000.); -#7305=VECTOR('',#26943,1000.); -#7306=VECTOR('',#26944,1000.); -#7307=VECTOR('',#26945,1000.); -#7308=VECTOR('',#26948,1000.); -#7309=VECTOR('',#26953,1000.); -#7310=VECTOR('',#26954,1000.); -#7311=VECTOR('',#26957,1000.); -#7312=VECTOR('',#26958,1000.); -#7313=VECTOR('',#26959,1000.); -#7314=VECTOR('',#26964,1000.); -#7315=VECTOR('',#26967,1000.); -#7316=VECTOR('',#26970,1000.); -#7317=VECTOR('',#26973,1000.); -#7318=VECTOR('',#26976,1000.); -#7319=VECTOR('',#26979,1000.); -#7320=VECTOR('',#26982,1000.); -#7321=VECTOR('',#26985,1000.); -#7322=VECTOR('',#26988,1000.); -#7323=VECTOR('',#26989,1000.); -#7324=VECTOR('',#26992,1000.); -#7325=VECTOR('',#26995,1000.); -#7326=VECTOR('',#26996,1000.); -#7327=VECTOR('',#26997,1000.); -#7328=VECTOR('',#26998,1000.); -#7329=VECTOR('',#26999,1000.); -#7330=VECTOR('',#27000,1000.); -#7331=VECTOR('',#27001,1000.); -#7332=VECTOR('',#27002,1000.); -#7333=VECTOR('',#27003,1000.); -#7334=VECTOR('',#27004,1000.); -#7335=VECTOR('',#27005,1000.); -#7336=VECTOR('',#27006,1000.); -#7337=VECTOR('',#27007,1000.); -#7338=VECTOR('',#27008,1000.); -#7339=VECTOR('',#27009,1000.); -#7340=VECTOR('',#27010,1000.); -#7341=VECTOR('',#27011,1000.); -#7342=VECTOR('',#27012,1000.); -#7343=VECTOR('',#27017,1000.); -#7344=VECTOR('',#27018,1000.); -#7345=VECTOR('',#27019,1000.); -#7346=VECTOR('',#27020,1000.); -#7347=VECTOR('',#27021,1000.); -#7348=VECTOR('',#27022,1000.); -#7349=VECTOR('',#27023,1000.); -#7350=VECTOR('',#27024,1000.); -#7351=VECTOR('',#27027,1000.); -#7352=VECTOR('',#27030,1000.); -#7353=VECTOR('',#27031,1000.); -#7354=VECTOR('',#27034,1000.); -#7355=VECTOR('',#27037,1000.); -#7356=VECTOR('',#27040,1000.); -#7357=VECTOR('',#27043,1000.); -#7358=VECTOR('',#27046,1000.); -#7359=VECTOR('',#27049,1000.); -#7360=VECTOR('',#27052,1000.); -#7361=VECTOR('',#27053,1000.); -#7362=VECTOR('',#27054,1000.); -#7363=VECTOR('',#27055,1000.); -#7364=VECTOR('',#27056,1000.); -#7365=VECTOR('',#27057,1000.); -#7366=VECTOR('',#27058,1000.); -#7367=VECTOR('',#27059,1000.); -#7368=VECTOR('',#27060,1000.); -#7369=VECTOR('',#27061,1000.); -#7370=VECTOR('',#27062,1000.); -#7371=VECTOR('',#27065,1000.); -#7372=VECTOR('',#27066,1000.); -#7373=VECTOR('',#27069,1000.); -#7374=VECTOR('',#27072,1000.); -#7375=VECTOR('',#27075,1000.); -#7376=VECTOR('',#27078,1000.); -#7377=VECTOR('',#27081,1000.); -#7378=VECTOR('',#27084,1000.); -#7379=VECTOR('',#27087,1000.); -#7380=VECTOR('',#27090,1000.); -#7381=VECTOR('',#27093,1000.); -#7382=VECTOR('',#27094,1000.); -#7383=VECTOR('',#27095,1000.); -#7384=VECTOR('',#27096,1000.); -#7385=VECTOR('',#27097,1000.); -#7386=VECTOR('',#27098,1000.); -#7387=VECTOR('',#27099,1000.); -#7388=VECTOR('',#27100,1000.); -#7389=VECTOR('',#27103,1000.); -#7390=VECTOR('',#27106,1000.); -#7391=VECTOR('',#27107,1000.); -#7392=VECTOR('',#27108,1000.); -#7393=VECTOR('',#27109,1000.); -#7394=VECTOR('',#27110,1000.); -#7395=VECTOR('',#27111,1000.); -#7396=VECTOR('',#27112,1000.); -#7397=VECTOR('',#27113,1000.); -#7398=VECTOR('',#27114,1000.); -#7399=VECTOR('',#27115,1000.); -#7400=VECTOR('',#27116,1000.); -#7401=VECTOR('',#27117,1000.); -#7402=VECTOR('',#27118,1000.); -#7403=VECTOR('',#27121,1000.); -#7404=VECTOR('',#27128,1000.); -#7405=VECTOR('',#27137,1000.); -#7406=VECTOR('',#27138,1000.); -#7407=VECTOR('',#27139,1000.); -#7408=VECTOR('',#27142,1000.); -#7409=VECTOR('',#27145,1000.); -#7410=VECTOR('',#27148,1000.); -#7411=VECTOR('',#27151,1000.); -#7412=VECTOR('',#27154,1000.); -#7413=VECTOR('',#27159,1000.); -#7414=VECTOR('',#27160,1000.); -#7415=VECTOR('',#27161,1000.); -#7416=VECTOR('',#27166,1000.); -#7417=VECTOR('',#27169,1000.); -#7418=VECTOR('',#27172,1000.); -#7419=VECTOR('',#27175,1000.); -#7420=VECTOR('',#27178,1000.); -#7421=VECTOR('',#27181,1000.); -#7422=VECTOR('',#27184,1000.); -#7423=VECTOR('',#27185,1000.); -#7424=VECTOR('',#27188,1000.); -#7425=VECTOR('',#27189,1000.); -#7426=VECTOR('',#27196,1000.); -#7427=VECTOR('',#27197,1000.); -#7428=VECTOR('',#27200,1000.); -#7429=VECTOR('',#27203,1000.); -#7430=VECTOR('',#27204,1000.); -#7431=VECTOR('',#27205,1000.); -#7432=VECTOR('',#27206,1000.); -#7433=VECTOR('',#27207,1000.); -#7434=VECTOR('',#27208,1000.); -#7435=VECTOR('',#27209,1000.); -#7436=VECTOR('',#27210,1000.); -#7437=VECTOR('',#27213,1000.); -#7438=VECTOR('',#27216,1000.); -#7439=VECTOR('',#27219,1000.); -#7440=VECTOR('',#27222,1000.); -#7441=VECTOR('',#27225,1000.); -#7442=VECTOR('',#27228,1000.); -#7443=VECTOR('',#27231,1000.); -#7444=VECTOR('',#27232,1000.); -#7445=VECTOR('',#27233,1000.); -#7446=VECTOR('',#27234,1000.); -#7447=VECTOR('',#27235,1000.); -#7448=VECTOR('',#27236,1000.); -#7449=VECTOR('',#27237,1000.); -#7450=VECTOR('',#27238,1000.); -#7451=VECTOR('',#27239,1000.); -#7452=VECTOR('',#27240,1000.); -#7453=VECTOR('',#27241,1000.); -#7454=VECTOR('',#27246,1000.); -#7455=VECTOR('',#27253,1000.); -#7456=VECTOR('',#27258,1000.); -#7457=VECTOR('',#27261,1000.); -#7458=VECTOR('',#27264,1000.); -#7459=VECTOR('',#27265,1000.); -#7460=VECTOR('',#27270,1000.); -#7461=VECTOR('',#27273,1000.); -#7462=VECTOR('',#27276,1000.); -#7463=VECTOR('',#27279,1000.); -#7464=VECTOR('',#27282,1000.); -#7465=VECTOR('',#27283,1000.); -#7466=VECTOR('',#27288,1000.); -#7467=VECTOR('',#27291,1000.); -#7468=VECTOR('',#27294,1000.); -#7469=VECTOR('',#27297,1000.); -#7470=VECTOR('',#27300,1000.); -#7471=VECTOR('',#27303,1000.); -#7472=VECTOR('',#27306,1000.); -#7473=VECTOR('',#27309,1000.); -#7474=VECTOR('',#27312,1000.); -#7475=VECTOR('',#27315,1000.); -#7476=VECTOR('',#27316,1000.); -#7477=VECTOR('',#27317,1000.); -#7478=VECTOR('',#27318,1000.); -#7479=VECTOR('',#27321,1000.); -#7480=VECTOR('',#27322,1000.); -#7481=VECTOR('',#27323,1000.); -#7482=VECTOR('',#27324,1000.); -#7483=VECTOR('',#27325,1000.); -#7484=VECTOR('',#27336,1000.); -#7485=VECTOR('',#27337,1000.); -#7486=VECTOR('',#27340,1000.); -#7487=VECTOR('',#27343,1000.); -#7488=VECTOR('',#27344,1000.); -#7489=VECTOR('',#27345,1000.); -#7490=VECTOR('',#27346,1000.); -#7491=VECTOR('',#27349,1000.); -#7492=VECTOR('',#27350,1000.); -#7493=VECTOR('',#27351,1000.); -#7494=VECTOR('',#27352,1000.); -#7495=VECTOR('',#27353,1000.); -#7496=VECTOR('',#27364,1000.); -#7497=VECTOR('',#27367,1000.); -#7498=VECTOR('',#27368,1000.); -#7499=VECTOR('',#27371,1000.); -#7500=VECTOR('',#27374,1000.); -#7501=VECTOR('',#27377,1000.); -#7502=VECTOR('',#27378,1000.); -#7503=VECTOR('',#27379,1000.); -#7504=VECTOR('',#27380,1000.); -#7505=VECTOR('',#27383,1000.); -#7506=VECTOR('',#27386,1000.); -#7507=VECTOR('',#27389,1000.); -#7508=VECTOR('',#27390,1000.); -#7509=VECTOR('',#27393,1000.); -#7510=VECTOR('',#27396,1000.); -#7511=VECTOR('',#27399,1000.); -#7512=VECTOR('',#27400,1000.); -#7513=VECTOR('',#27401,1000.); -#7514=VECTOR('',#27404,1000.); -#7515=VECTOR('',#27407,1000.); -#7516=VECTOR('',#27410,1000.); -#7517=VECTOR('',#27411,1000.); -#7518=VECTOR('',#27412,1000.); -#7519=VECTOR('',#27413,1000.); -#7520=VECTOR('',#27414,1000.); -#7521=VECTOR('',#27415,1000.); -#7522=VECTOR('',#27416,1000.); -#7523=VECTOR('',#27417,1000.); -#7524=VECTOR('',#27418,1000.); -#7525=VECTOR('',#27419,1000.); -#7526=VECTOR('',#27420,1000.); -#7527=VECTOR('',#27421,1000.); -#7528=VECTOR('',#27422,1000.); -#7529=VECTOR('',#27423,1000.); -#7530=VECTOR('',#27424,1000.); -#7531=VECTOR('',#27425,1000.); -#7532=VECTOR('',#27426,1000.); -#7533=VECTOR('',#27427,1000.); -#7534=VECTOR('',#27428,1000.); -#7535=VECTOR('',#27429,1000.); -#7536=VECTOR('',#27430,1000.); -#7537=VECTOR('',#27431,1000.); -#7538=VECTOR('',#27432,1000.); -#7539=VECTOR('',#27433,1000.); -#7540=VECTOR('',#27436,1000.); -#7541=VECTOR('',#27439,1000.); -#7542=VECTOR('',#27442,1000.); -#7543=VECTOR('',#27445,1000.); -#7544=VECTOR('',#27446,1000.); -#7545=VECTOR('',#27447,1000.); -#7546=VECTOR('',#27450,1000.); -#7547=VECTOR('',#27453,1000.); -#7548=VECTOR('',#27458,1000.); -#7549=VECTOR('',#27461,1000.); -#7550=VECTOR('',#27464,1000.); -#7551=VECTOR('',#27467,1000.); -#7552=VECTOR('',#27470,1000.); -#7553=VECTOR('',#27473,1000.); -#7554=VECTOR('',#27482,1000.); -#7555=VECTOR('',#27483,1000.); -#7556=VECTOR('',#27494,1000.); -#7557=VECTOR('',#27497,1000.); -#7558=VECTOR('',#27500,1000.); -#7559=VECTOR('',#27503,1000.); -#7560=VECTOR('',#27506,1000.); -#7561=VECTOR('',#27509,1000.); -#7562=VECTOR('',#27516,1000.); -#7563=VECTOR('',#27517,1000.); -#7564=VECTOR('',#27524,1000.); -#7565=VECTOR('',#27525,1000.); -#7566=VECTOR('',#27528,1000.); -#7567=VECTOR('',#27533,1000.); -#7568=VECTOR('',#27534,1000.); -#7569=VECTOR('',#27539,1000.); -#7570=VECTOR('',#27544,1000.); -#7571=VECTOR('',#27545,1000.); -#7572=VECTOR('',#27548,1000.); -#7573=VECTOR('',#27551,1000.); -#7574=VECTOR('',#27554,1000.); -#7575=VECTOR('',#27557,1000.); -#7576=VECTOR('',#27562,1000.); -#7577=VECTOR('',#27565,1000.); -#7578=VECTOR('',#27570,1000.); -#7579=VECTOR('',#27571,1000.); -#7580=VECTOR('',#27574,1000.); -#7581=VECTOR('',#27577,1000.); -#7582=VECTOR('',#27580,1000.); -#7583=VECTOR('',#27583,1000.); -#7584=VECTOR('',#27586,1000.); -#7585=VECTOR('',#27587,1000.); -#7586=VECTOR('',#27590,1000.); -#7587=VECTOR('',#27591,1000.); -#7588=VECTOR('',#27596,1000.); -#7589=VECTOR('',#27599,1000.); -#7590=VECTOR('',#27600,1000.); -#7591=VECTOR('',#27603,1000.); -#7592=VECTOR('',#27610,1000.); -#7593=VECTOR('',#27611,1000.); -#7594=VECTOR('',#27614,1000.); -#7595=VECTOR('',#27617,1000.); -#7596=VECTOR('',#27622,1000.); -#7597=VECTOR('',#27625,1000.); -#7598=VECTOR('',#27628,1000.); -#7599=VECTOR('',#27631,1000.); -#7600=VECTOR('',#27636,1000.); -#7601=VECTOR('',#27639,1000.); -#7602=VECTOR('',#27642,1000.); -#7603=VECTOR('',#27645,1000.); -#7604=VECTOR('',#27648,1000.); -#7605=VECTOR('',#27651,1000.); -#7606=VECTOR('',#27656,1000.); -#7607=VECTOR('',#27659,1000.); -#7608=VECTOR('',#27662,1000.); -#7609=VECTOR('',#27665,1000.); -#7610=VECTOR('',#27668,1000.); -#7611=VECTOR('',#27671,1000.); -#7612=VECTOR('',#27674,1000.); -#7613=VECTOR('',#27677,1000.); -#7614=VECTOR('',#27680,1000.); -#7615=VECTOR('',#27683,1000.); -#7616=VECTOR('',#27686,1000.); -#7617=VECTOR('',#27689,1000.); -#7618=VECTOR('',#27692,1000.); -#7619=VECTOR('',#27695,1000.); -#7620=VECTOR('',#27698,1000.); -#7621=VECTOR('',#27701,1000.); -#7622=VECTOR('',#27706,1000.); -#7623=VECTOR('',#27709,1000.); -#7624=VECTOR('',#27712,1000.); -#7625=VECTOR('',#27715,1000.); -#7626=VECTOR('',#27718,1000.); -#7627=VECTOR('',#27721,1000.); -#7628=VECTOR('',#27724,1000.); -#7629=VECTOR('',#27727,1000.); -#7630=VECTOR('',#27730,1000.); -#7631=VECTOR('',#27733,1000.); -#7632=VECTOR('',#27736,1000.); -#7633=VECTOR('',#27739,1000.); -#7634=VECTOR('',#27742,1000.); -#7635=VECTOR('',#27745,1000.); -#7636=VECTOR('',#27748,1000.); -#7637=VECTOR('',#27751,1000.); -#7638=VECTOR('',#27756,1000.); -#7639=VECTOR('',#27757,1000.); -#7640=VECTOR('',#27758,1000.); -#7641=VECTOR('',#27761,1000.); -#7642=VECTOR('',#27764,1000.); -#7643=VECTOR('',#27767,1000.); -#7644=VECTOR('',#27770,1000.); -#7645=VECTOR('',#27773,1000.); -#7646=VECTOR('',#27776,1000.); -#7647=VECTOR('',#27781,1000.); -#7648=VECTOR('',#27784,1000.); -#7649=VECTOR('',#27789,1000.); -#7650=VECTOR('',#27792,1000.); -#7651=VECTOR('',#27795,1000.); -#7652=VECTOR('',#27798,1000.); -#7653=VECTOR('',#27801,1000.); -#7654=VECTOR('',#27804,1000.); -#7655=VECTOR('',#27809,1000.); -#7656=VECTOR('',#27812,1000.); -#7657=VECTOR('',#27817,1000.); -#7658=VECTOR('',#27818,1000.); -#7659=VECTOR('',#27823,1000.); -#7660=VECTOR('',#27826,1000.); -#7661=VECTOR('',#27829,1000.); -#7662=VECTOR('',#27832,1000.); -#7663=VECTOR('',#27835,1000.); -#7664=VECTOR('',#27840,1000.); -#7665=VECTOR('',#27843,1000.); -#7666=VECTOR('',#27846,1000.); -#7667=VECTOR('',#27849,1000.); -#7668=VECTOR('',#27852,1000.); -#7669=VECTOR('',#27855,1000.); -#7670=VECTOR('',#27860,1000.); -#7671=VECTOR('',#27863,1000.); -#7672=VECTOR('',#27868,1000.); -#7673=VECTOR('',#27873,1000.); -#7674=VECTOR('',#27874,1000.); -#7675=VECTOR('',#27875,1000.); -#7676=VECTOR('',#27880,1000.); -#7677=VECTOR('',#27883,1000.); -#7678=VECTOR('',#27886,1000.); -#7679=VECTOR('',#27889,1000.); -#7680=VECTOR('',#27892,1000.); -#7681=VECTOR('',#27895,1000.); -#7682=VECTOR('',#27898,1000.); -#7683=VECTOR('',#27901,1000.); -#7684=VECTOR('',#27906,1000.); -#7685=VECTOR('',#27909,1000.); -#7686=VECTOR('',#27912,1000.); -#7687=VECTOR('',#27915,1000.); -#7688=VECTOR('',#27918,1000.); -#7689=VECTOR('',#27921,1000.); -#7690=VECTOR('',#27926,1000.); -#7691=VECTOR('',#27929,1000.); -#7692=VECTOR('',#27934,1000.); -#7693=VECTOR('',#27935,1000.); -#7694=VECTOR('',#27940,1000.); -#7695=VECTOR('',#27943,1000.); -#7696=VECTOR('',#27946,1000.); -#7697=VECTOR('',#27949,1000.); -#7698=VECTOR('',#27952,1000.); -#7699=VECTOR('',#27957,1000.); -#7700=VECTOR('',#27960,1000.); -#7701=VECTOR('',#27963,1000.); -#7702=VECTOR('',#27966,1000.); -#7703=VECTOR('',#27969,1000.); -#7704=VECTOR('',#27972,1000.); -#7705=VECTOR('',#27977,1000.); -#7706=VECTOR('',#27980,1000.); -#7707=VECTOR('',#27985,1000.); -#7708=VECTOR('',#27990,1000.); -#7709=VECTOR('',#27993,1000.); -#7710=VECTOR('',#27996,1000.); -#7711=VECTOR('',#27999,1000.); -#7712=VECTOR('',#28002,1000.); -#7713=VECTOR('',#28005,1000.); -#7714=VECTOR('',#28008,1000.); -#7715=VECTOR('',#28009,1000.); -#7716=VECTOR('',#28010,1000.); -#7717=VECTOR('',#28017,1000.); -#7718=VECTOR('',#28018,1000.); -#7719=VECTOR('',#28021,1000.); -#7720=VECTOR('',#28024,1000.); -#7721=VECTOR('',#28027,1000.); -#7722=VECTOR('',#28030,1000.); -#7723=VECTOR('',#28033,1000.); -#7724=VECTOR('',#28034,1000.); -#7725=VECTOR('',#28035,1000.); -#7726=VECTOR('',#28042,1000.); -#7727=VECTOR('',#28043,1000.); -#7728=VECTOR('',#28044,1000.); -#7729=VECTOR('',#28047,1000.); -#7730=VECTOR('',#28052,1000.); -#7731=VECTOR('',#28055,1000.); -#7732=VECTOR('',#28058,1000.); -#7733=VECTOR('',#28061,1000.); -#7734=VECTOR('',#28064,1000.); -#7735=VECTOR('',#28069,1000.); -#7736=VECTOR('',#28070,1000.); -#7737=VECTOR('',#28071,1000.); -#7738=VECTOR('',#28074,1000.); -#7739=VECTOR('',#28079,1000.); -#7740=VECTOR('',#28082,1000.); -#7741=VECTOR('',#28085,1000.); -#7742=VECTOR('',#28088,1000.); -#7743=VECTOR('',#28091,1000.); -#7744=VECTOR('',#28096,1000.); -#7745=VECTOR('',#28097,1000.); -#7746=VECTOR('',#28100,1000.); -#7747=VECTOR('',#28103,1000.); -#7748=VECTOR('',#28106,1000.); -#7749=VECTOR('',#28109,1000.); -#7750=VECTOR('',#28112,1000.); -#7751=VECTOR('',#28115,1000.); -#7752=VECTOR('',#28118,1000.); -#7753=VECTOR('',#28119,1000.); -#7754=VECTOR('',#28120,1000.); -#7755=VECTOR('',#28127,1000.); -#7756=VECTOR('',#28128,1000.); -#7757=VECTOR('',#28129,1000.); -#7758=VECTOR('',#28132,1000.); -#7759=VECTOR('',#28137,1000.); -#7760=VECTOR('',#28140,1000.); -#7761=VECTOR('',#28143,1000.); -#7762=VECTOR('',#28148,1000.); -#7763=VECTOR('',#28149,1000.); -#7764=VECTOR('',#28150,1000.); -#7765=VECTOR('',#28151,1000.); -#7766=VECTOR('',#28158,1000.); -#7767=VECTOR('',#28163,1000.); -#7768=VECTOR('',#28172,1000.); -#7769=VECTOR('',#28175,1000.); -#7770=VECTOR('',#28178,1000.); -#7771=VECTOR('',#28185,1000.); -#7772=VECTOR('',#28186,1000.); -#7773=VECTOR('',#28187,1000.); -#7774=VECTOR('',#28196,1000.); -#7775=VECTOR('',#28199,1000.); -#7776=VECTOR('',#28202,1000.); -#7777=VECTOR('',#28203,1000.); -#7778=VECTOR('',#28208,1000.); -#7779=VECTOR('',#28211,1000.); -#7780=VECTOR('',#28214,1000.); -#7781=VECTOR('',#28215,1000.); -#7782=VECTOR('',#28220,1000.); -#7783=VECTOR('',#28221,1000.); -#7784=VECTOR('',#28224,1000.); -#7785=VECTOR('',#28227,1000.); -#7786=VECTOR('',#28230,1000.); -#7787=VECTOR('',#28231,1000.); -#7788=VECTOR('',#28234,1000.); -#7789=VECTOR('',#28235,1000.); -#7790=VECTOR('',#28236,1000.); -#7791=VECTOR('',#28241,1000.); -#7792=VECTOR('',#28242,1000.); -#7793=VECTOR('',#28243,1000.); -#7794=VECTOR('',#28252,1000.); -#7795=VECTOR('',#28255,1000.); -#7796=VECTOR('',#28258,1000.); -#7797=VECTOR('',#28261,1000.); -#7798=VECTOR('',#28280,1000.); -#7799=VECTOR('',#28283,1000.); -#7800=VECTOR('',#28286,1000.); -#7801=VECTOR('',#28289,1000.); -#7802=VECTOR('',#28292,1000.); -#7803=VECTOR('',#28295,1000.); -#7804=VECTOR('',#28300,1000.); -#7805=VECTOR('',#28303,1000.); -#7806=VECTOR('',#28308,1000.); -#7807=VECTOR('',#28311,1000.); -#7808=VECTOR('',#28314,1000.); -#7809=VECTOR('',#28317,1000.); -#7810=VECTOR('',#28320,1000.); -#7811=VECTOR('',#28325,1000.); -#7812=VECTOR('',#28328,1000.); -#7813=VECTOR('',#28331,1000.); -#7814=VECTOR('',#28336,1000.); -#7815=VECTOR('',#28339,1000.); -#7816=VECTOR('',#28342,1000.); -#7817=VECTOR('',#28345,1000.); -#7818=VECTOR('',#28350,1000.); -#7819=VECTOR('',#28353,1000.); -#7820=VECTOR('',#28356,1000.); -#7821=VECTOR('',#28359,1000.); -#7822=VECTOR('',#28364,1000.); -#7823=VECTOR('',#28367,1000.); -#7824=VECTOR('',#28370,1000.); -#7825=VECTOR('',#28373,1000.); -#7826=VECTOR('',#28378,1000.); -#7827=VECTOR('',#28381,1000.); -#7828=VECTOR('',#28384,1000.); -#7829=VECTOR('',#28387,1000.); -#7830=VECTOR('',#28392,1000.); -#7831=VECTOR('',#28393,1000.); -#7832=VECTOR('',#28394,1000.); -#7833=VECTOR('',#28395,1000.); -#7834=VECTOR('',#28398,1000.); -#7835=VECTOR('',#28399,1000.); -#7836=VECTOR('',#28400,1000.); -#7837=VECTOR('',#28405,1000.); -#7838=VECTOR('',#28410,1000.); -#7839=VECTOR('',#28411,1000.); -#7840=VECTOR('',#28412,1000.); -#7841=VECTOR('',#28415,1000.); -#7842=VECTOR('',#28416,1000.); -#7843=VECTOR('',#28417,1000.); -#7844=VECTOR('',#28420,1000.); -#7845=VECTOR('',#28421,1000.); -#7846=VECTOR('',#28422,1000.); -#7847=VECTOR('',#28425,1000.); -#7848=VECTOR('',#28426,1000.); -#7849=VECTOR('',#28433,1000.); -#7850=VECTOR('',#28434,1000.); -#7851=VECTOR('',#28435,1000.); -#7852=VECTOR('',#28436,1000.); -#7853=VECTOR('',#28439,1000.); -#7854=VECTOR('',#28440,1000.); -#7855=VECTOR('',#28441,1000.); -#7856=VECTOR('',#28446,1000.); -#7857=VECTOR('',#28451,1000.); -#7858=VECTOR('',#28452,1000.); -#7859=VECTOR('',#28453,1000.); -#7860=VECTOR('',#28456,1000.); -#7861=VECTOR('',#28457,1000.); -#7862=VECTOR('',#28458,1000.); -#7863=VECTOR('',#28461,1000.); -#7864=VECTOR('',#28462,1000.); -#7865=VECTOR('',#28463,1000.); -#7866=VECTOR('',#28466,1000.); -#7867=VECTOR('',#28467,1000.); -#7868=VECTOR('',#28474,1000.); -#7869=VECTOR('',#28475,1000.); -#7870=VECTOR('',#28476,1000.); -#7871=VECTOR('',#28477,1000.); -#7872=VECTOR('',#28480,1000.); -#7873=VECTOR('',#28481,1000.); -#7874=VECTOR('',#28482,1000.); -#7875=VECTOR('',#28487,1000.); -#7876=VECTOR('',#28492,1000.); -#7877=VECTOR('',#28493,1000.); -#7878=VECTOR('',#28494,1000.); -#7879=VECTOR('',#28497,1000.); -#7880=VECTOR('',#28498,1000.); -#7881=VECTOR('',#28499,1000.); -#7882=VECTOR('',#28502,1000.); -#7883=VECTOR('',#28503,1000.); -#7884=VECTOR('',#28504,1000.); -#7885=VECTOR('',#28507,1000.); -#7886=VECTOR('',#28508,1000.); -#7887=VECTOR('',#28515,1000.); -#7888=VECTOR('',#28516,1000.); -#7889=VECTOR('',#28517,1000.); -#7890=VECTOR('',#28518,1000.); -#7891=VECTOR('',#28521,1000.); -#7892=VECTOR('',#28522,1000.); -#7893=VECTOR('',#28523,1000.); -#7894=VECTOR('',#28530,1000.); -#7895=VECTOR('',#28533,1000.); -#7896=VECTOR('',#28534,1000.); -#7897=VECTOR('',#28535,1000.); -#7898=VECTOR('',#28538,1000.); -#7899=VECTOR('',#28539,1000.); -#7900=VECTOR('',#28540,1000.); -#7901=VECTOR('',#28543,1000.); -#7902=VECTOR('',#28544,1000.); -#7903=VECTOR('',#28545,1000.); -#7904=VECTOR('',#28548,1000.); -#7905=VECTOR('',#28549,1000.); -#7906=VECTOR('',#28556,1000.); -#7907=VECTOR('',#28557,1000.); -#7908=VECTOR('',#28558,1000.); -#7909=VECTOR('',#28559,1000.); -#7910=VECTOR('',#28562,1000.); -#7911=VECTOR('',#28563,1000.); -#7912=VECTOR('',#28564,1000.); -#7913=VECTOR('',#28571,1000.); -#7914=VECTOR('',#28574,1000.); -#7915=VECTOR('',#28575,1000.); -#7916=VECTOR('',#28576,1000.); -#7917=VECTOR('',#28579,1000.); -#7918=VECTOR('',#28580,1000.); -#7919=VECTOR('',#28581,1000.); -#7920=VECTOR('',#28584,1000.); -#7921=VECTOR('',#28585,1000.); -#7922=VECTOR('',#28586,1000.); -#7923=VECTOR('',#28589,1000.); -#7924=VECTOR('',#28590,1000.); -#7925=VECTOR('',#28597,1000.); -#7926=VECTOR('',#28598,1000.); -#7927=VECTOR('',#28599,1000.); -#7928=VECTOR('',#28600,1000.); -#7929=VECTOR('',#28603,1000.); -#7930=VECTOR('',#28604,1000.); -#7931=VECTOR('',#28605,1000.); -#7932=VECTOR('',#28612,1000.); -#7933=VECTOR('',#28615,1000.); -#7934=VECTOR('',#28616,1000.); -#7935=VECTOR('',#28617,1000.); -#7936=VECTOR('',#28620,1000.); -#7937=VECTOR('',#28621,1000.); -#7938=VECTOR('',#28622,1000.); -#7939=VECTOR('',#28625,1000.); -#7940=VECTOR('',#28626,1000.); -#7941=VECTOR('',#28627,1000.); -#7942=VECTOR('',#28630,1000.); -#7943=VECTOR('',#28631,1000.); -#7944=VECTOR('',#28640,1000.); -#7945=VECTOR('',#28643,1000.); -#7946=VECTOR('',#28646,1000.); -#7947=VECTOR('',#28647,1000.); -#7948=VECTOR('',#28648,1000.); -#7949=VECTOR('',#28653,1000.); -#7950=VECTOR('',#28660,1000.); -#7951=VECTOR('',#28665,1000.); -#7952=VECTOR('',#28666,1000.); -#7953=VECTOR('',#28667,1000.); -#7954=VECTOR('',#28672,1000.); -#7955=VECTOR('',#28677,1000.); -#7956=VECTOR('',#28678,1000.); -#7957=VECTOR('',#28679,1000.); -#7958=VECTOR('',#28684,1000.); -#7959=VECTOR('',#28689,1000.); -#7960=VECTOR('',#28690,1000.); -#7961=VECTOR('',#28691,1000.); -#7962=VECTOR('',#28694,1000.); -#7963=VECTOR('',#28695,1000.); -#7964=VECTOR('',#28696,1000.); -#7965=VECTOR('',#28699,1000.); -#7966=VECTOR('',#28700,1000.); -#7967=VECTOR('',#28701,1000.); -#7968=VECTOR('',#28704,1000.); -#7969=VECTOR('',#28705,1000.); -#7970=VECTOR('',#28706,1000.); -#7971=VECTOR('',#28709,1000.); -#7972=VECTOR('',#28710,1000.); -#7973=VECTOR('',#28719,1000.); -#7974=VECTOR('',#28722,1000.); -#7975=VECTOR('',#28725,1000.); -#7976=VECTOR('',#28726,1000.); -#7977=VECTOR('',#28727,1000.); -#7978=VECTOR('',#28732,1000.); -#7979=VECTOR('',#28737,1000.); -#7980=VECTOR('',#28738,1000.); -#7981=VECTOR('',#28739,1000.); -#7982=VECTOR('',#28744,1000.); -#7983=VECTOR('',#28751,1000.); -#7984=VECTOR('',#28756,1000.); -#7985=VECTOR('',#28757,1000.); -#7986=VECTOR('',#28758,1000.); -#7987=VECTOR('',#28763,1000.); -#7988=VECTOR('',#28768,1000.); -#7989=VECTOR('',#28769,1000.); -#7990=VECTOR('',#28770,1000.); -#7991=VECTOR('',#28773,1000.); -#7992=VECTOR('',#28774,1000.); -#7993=VECTOR('',#28775,1000.); -#7994=VECTOR('',#28778,1000.); -#7995=VECTOR('',#28779,1000.); -#7996=VECTOR('',#28780,1000.); -#7997=VECTOR('',#28783,1000.); -#7998=VECTOR('',#28784,1000.); -#7999=VECTOR('',#28785,1000.); -#8000=VECTOR('',#28788,1000.); -#8001=VECTOR('',#28789,1000.); -#8002=VECTOR('',#28796,1000.); -#8003=VECTOR('',#28797,1000.); -#8004=VECTOR('',#28798,1000.); -#8005=VECTOR('',#28799,1000.); -#8006=VECTOR('',#28800,1000.); -#8007=VECTOR('',#28801,1000.); -#8008=VECTOR('',#28802,1000.); -#8009=VECTOR('',#28803,1000.); -#8010=VECTOR('',#28806,1000.); -#8011=VECTOR('',#28807,1000.); -#8012=VECTOR('',#28808,1000.); -#8013=VECTOR('',#28809,1000.); -#8014=VECTOR('',#28810,1000.); -#8015=VECTOR('',#28811,1000.); -#8016=VECTOR('',#28812,1000.); -#8017=VECTOR('',#28813,1000.); -#8018=VECTOR('',#28818,1000.); -#8019=VECTOR('',#28823,1000.); -#8020=VECTOR('',#28824,1000.); -#8021=VECTOR('',#28825,1000.); -#8022=VECTOR('',#28826,1000.); -#8023=VECTOR('',#28827,1000.); -#8024=VECTOR('',#28828,1000.); -#8025=VECTOR('',#28829,1000.); -#8026=VECTOR('',#28830,1000.); -#8027=VECTOR('',#28833,1000.); -#8028=VECTOR('',#28834,1000.); -#8029=VECTOR('',#28835,1000.); -#8030=VECTOR('',#28848,1000.); -#8031=VECTOR('',#28855,1000.); -#8032=VECTOR('',#28858,1000.); -#8033=VECTOR('',#28859,1000.); -#8034=VECTOR('',#28860,1000.); -#8035=VECTOR('',#28863,1000.); -#8036=VECTOR('',#28864,1000.); -#8037=VECTOR('',#28865,1000.); -#8038=VECTOR('',#28866,1000.); -#8039=VECTOR('',#28867,1000.); -#8040=VECTOR('',#28868,1000.); -#8041=VECTOR('',#28869,1000.); -#8042=VECTOR('',#28872,1000.); -#8043=VECTOR('',#28875,1000.); -#8044=VECTOR('',#28880,1000.); -#8045=VECTOR('',#28883,1000.); -#8046=VECTOR('',#28888,1000.); -#8047=VECTOR('',#28889,1000.); -#8048=VECTOR('',#28892,1000.); -#8049=VECTOR('',#28893,1000.); -#8050=VECTOR('',#28896,1000.); -#8051=VECTOR('',#28897,1000.); -#8052=VECTOR('',#28898,10.); -#8053=VECTOR('',#28899,1000.); -#8054=VECTOR('',#28900,1000.); -#8055=VECTOR('',#28901,1000.); -#8056=VECTOR('',#28902,1000.); -#8057=VECTOR('',#28903,1000.); -#8058=VECTOR('',#28904,1000.); -#8059=VECTOR('',#28905,1000.); -#8060=VECTOR('',#28916,10.); -#8061=VECTOR('',#28917,10.); -#8062=VECTOR('',#28918,10.); -#8063=VECTOR('',#28919,10.); -#8064=VECTOR('',#28920,10.); -#8065=VECTOR('',#28921,10.); -#8066=VECTOR('',#28922,10.); -#8067=VECTOR('',#28923,10.); -#8068=VECTOR('',#28924,10.); -#8069=VECTOR('',#28925,10.); -#8070=VECTOR('',#28928,1000.); -#8071=VECTOR('',#28929,1000.); -#8072=VECTOR('',#28930,1000.); -#8073=VECTOR('',#28931,1000.); -#8074=VECTOR('',#28934,1000.); -#8075=VECTOR('',#28935,1000.); -#8076=VECTOR('',#28936,1000.); -#8077=VECTOR('',#28937,1000.); -#8078=VECTOR('',#28938,1000.); -#8079=VECTOR('',#28939,1000.); -#8080=VECTOR('',#28940,1000.); -#8081=VECTOR('',#28941,1000.); -#8082=VECTOR('',#28942,1000.); -#8083=VECTOR('',#28943,1000.); -#8084=VECTOR('',#28944,1000.); -#8085=VECTOR('',#28947,1000.); -#8086=VECTOR('',#28948,1000.); -#8087=VECTOR('',#28949,1000.); -#8088=VECTOR('',#28950,1000.); -#8089=VECTOR('',#28951,1000.); -#8090=VECTOR('',#28952,1000.); -#8091=VECTOR('',#28955,1000.); -#8092=VECTOR('',#28958,1000.); -#8093=VECTOR('',#28961,1000.); -#8094=VECTOR('',#28966,1000.); -#8095=VECTOR('',#28967,1000.); -#8096=VECTOR('',#28968,1000.); -#8097=VECTOR('',#28971,1000.); -#8098=VECTOR('',#28974,1000.); -#8099=VECTOR('',#28977,1000.); -#8100=VECTOR('',#28980,1000.); -#8101=VECTOR('',#28981,1000.); -#8102=VECTOR('',#28982,1000.); -#8103=VECTOR('',#28983,1000.); -#8104=VECTOR('',#28984,1000.); -#8105=VECTOR('',#28985,1000.); -#8106=VECTOR('',#28986,1000.); -#8107=VECTOR('',#28987,1000.); -#8108=VECTOR('',#28988,1000.); -#8109=VECTOR('',#28989,1000.); -#8110=VECTOR('',#28990,1000.); -#8111=VECTOR('',#28991,1000.); -#8112=VECTOR('',#28992,1000.); -#8113=VECTOR('',#28993,1000.); -#8114=VECTOR('',#28994,1000.); -#8115=VECTOR('',#28995,1000.); -#8116=VECTOR('',#28996,1000.); -#8117=VECTOR('',#28997,1000.); -#8118=VECTOR('',#28998,1000.); -#8119=VECTOR('',#29001,1000.); -#8120=VECTOR('',#29004,1000.); -#8121=VECTOR('',#29005,1000.); -#8122=VECTOR('',#29008,1000.); -#8123=VECTOR('',#29009,1000.); -#8124=VECTOR('',#29010,1000.); -#8125=VECTOR('',#29011,1000.); -#8126=VECTOR('',#29012,1000.); -#8127=VECTOR('',#29013,1000.); -#8128=VECTOR('',#29014,1000.); -#8129=VECTOR('',#29015,1000.); -#8130=VECTOR('',#29016,1000.); -#8131=VECTOR('',#29017,1000.); -#8132=VECTOR('',#29018,1000.); -#8133=VECTOR('',#29019,1000.); -#8134=VECTOR('',#29020,1000.); -#8135=VECTOR('',#29023,1000.); -#8136=VECTOR('',#29024,1000.); -#8137=VECTOR('',#29027,1000.); -#8138=VECTOR('',#29030,1000.); -#8139=VECTOR('',#29033,1000.); -#8140=VECTOR('',#29036,1000.); -#8141=VECTOR('',#29037,1000.); -#8142=VECTOR('',#29038,1000.); -#8143=VECTOR('',#29039,1000.); -#8144=VECTOR('',#29040,1000.); -#8145=VECTOR('',#29041,1000.); -#8146=VECTOR('',#29044,1000.); -#8147=VECTOR('',#29045,1000.); -#8148=VECTOR('',#29048,1000.); -#8149=VECTOR('',#29049,1000.); -#8150=VECTOR('',#29052,1000.); -#8151=VECTOR('',#29057,1000.); -#8152=VECTOR('',#29058,1000.); -#8153=VECTOR('',#29061,1000.); -#8154=VECTOR('',#29062,1000.); -#8155=VECTOR('',#29065,1000.); -#8156=VECTOR('',#29070,1000.); -#8157=VECTOR('',#29071,1000.); -#8158=VECTOR('',#29074,1000.); -#8159=VECTOR('',#29075,1000.); -#8160=VECTOR('',#29078,1000.); -#8161=VECTOR('',#29083,1000.); -#8162=VECTOR('',#29084,1000.); -#8163=VECTOR('',#29087,1000.); -#8164=VECTOR('',#29088,1000.); -#8165=VECTOR('',#29091,1000.); -#8166=VECTOR('',#29096,1000.); -#8167=VECTOR('',#29097,1000.); -#8168=VECTOR('',#29100,1000.); -#8169=VECTOR('',#29101,1000.); -#8170=VECTOR('',#29104,1000.); -#8171=VECTOR('',#29109,1000.); -#8172=VECTOR('',#29110,1000.); -#8173=VECTOR('',#29111,1000.); -#8174=VECTOR('',#29114,1000.); -#8175=VECTOR('',#29117,1000.); -#8176=VECTOR('',#29122,1000.); -#8177=VECTOR('',#29123,1000.); -#8178=VECTOR('',#29126,1000.); -#8179=VECTOR('',#29129,1000.); -#8180=VECTOR('',#29132,1000.); -#8181=VECTOR('',#29133,1000.); -#8182=VECTOR('',#29134,1000.); -#8183=VECTOR('',#29135,1000.); -#8184=VECTOR('',#29138,1000.); -#8185=VECTOR('',#29141,1000.); -#8186=VECTOR('',#29144,1000.); -#8187=VECTOR('',#29147,1000.); -#8188=VECTOR('',#29148,1000.); -#8189=VECTOR('',#29151,1000.); -#8190=VECTOR('',#29152,1000.); -#8191=VECTOR('',#29153,1000.); -#8192=VECTOR('',#29154,1000.); -#8193=VECTOR('',#29155,1000.); -#8194=VECTOR('',#29156,1000.); -#8195=VECTOR('',#29157,1000.); -#8196=VECTOR('',#29158,1000.); -#8197=VECTOR('',#29159,1000.); -#8198=VECTOR('',#29160,1000.); -#8199=VECTOR('',#29161,1000.); -#8200=VECTOR('',#29162,1000.); -#8201=VECTOR('',#29165,1000.); -#8202=VECTOR('',#29166,1000.); -#8203=VECTOR('',#29167,1000.); -#8204=VECTOR('',#29168,1000.); -#8205=VECTOR('',#29169,1000.); -#8206=VECTOR('',#29174,1000.); -#8207=VECTOR('',#29175,1000.); -#8208=VECTOR('',#29180,1000.); -#8209=VECTOR('',#29181,1000.); -#8210=VECTOR('',#29182,1000.); -#8211=VECTOR('',#29183,1000.); -#8212=VECTOR('',#29184,1000.); -#8213=VECTOR('',#29185,1000.); -#8214=VECTOR('',#29186,1000.); -#8215=VECTOR('',#29189,10.); -#8216=VECTOR('',#29190,10.); -#8217=VECTOR('',#29197,1000.); -#8218=VECTOR('',#29202,1000.); -#8219=VECTOR('',#29203,1000.); -#8220=VECTOR('',#29212,1000.); -#8221=VECTOR('',#29217,1000.); -#8222=VECTOR('',#29220,1000.); -#8223=VECTOR('',#29229,1000.); -#8224=VECTOR('',#29230,1000.); -#8225=VECTOR('',#29231,1000.); -#8226=VECTOR('',#29234,1000.); -#8227=VECTOR('',#29235,1000.); -#8228=VECTOR('',#29236,1000.); -#8229=VECTOR('',#29239,1000.); -#8230=VECTOR('',#29242,1000.); -#8231=VECTOR('',#29247,1000.); -#8232=VECTOR('',#29248,1000.); -#8233=VECTOR('',#29249,1000.); -#8234=VECTOR('',#29252,1000.); -#8235=VECTOR('',#29253,1000.); -#8236=VECTOR('',#29254,1000.); -#8237=VECTOR('',#29257,1000.); -#8238=VECTOR('',#29260,1000.); -#8239=VECTOR('',#29265,1000.); -#8240=VECTOR('',#29266,1000.); -#8241=VECTOR('',#29267,1000.); -#8242=VECTOR('',#29270,1000.); -#8243=VECTOR('',#29271,1000.); -#8244=VECTOR('',#29274,1000.); -#8245=VECTOR('',#29275,1000.); -#8246=VECTOR('',#29278,1000.); -#8247=VECTOR('',#29283,1000.); -#8248=VECTOR('',#29284,1000.); -#8249=VECTOR('',#29285,1000.); -#8250=VECTOR('',#29288,1000.); -#8251=VECTOR('',#29289,1000.); -#8252=VECTOR('',#29292,1000.); -#8253=VECTOR('',#29293,1000.); -#8254=VECTOR('',#29296,1000.); -#8255=VECTOR('',#29301,1000.); -#8256=VECTOR('',#29302,1000.); -#8257=VECTOR('',#29303,1000.); -#8258=VECTOR('',#29306,1000.); -#8259=VECTOR('',#29307,1000.); -#8260=VECTOR('',#29310,1000.); -#8261=VECTOR('',#29311,1000.); -#8262=VECTOR('',#29314,1000.); -#8263=VECTOR('',#29319,1000.); -#8264=VECTOR('',#29320,1000.); -#8265=VECTOR('',#29321,1000.); -#8266=VECTOR('',#29324,1000.); -#8267=VECTOR('',#29325,1000.); -#8268=VECTOR('',#29328,1000.); -#8269=VECTOR('',#29329,1000.); -#8270=VECTOR('',#29332,1000.); -#8271=VECTOR('',#29337,1000.); -#8272=VECTOR('',#29338,1000.); -#8273=VECTOR('',#29339,1000.); -#8274=VECTOR('',#29342,1000.); -#8275=VECTOR('',#29343,1000.); -#8276=VECTOR('',#29346,1000.); -#8277=VECTOR('',#29347,1000.); -#8278=VECTOR('',#29350,1000.); -#8279=VECTOR('',#29355,1000.); -#8280=VECTOR('',#29356,1000.); -#8281=VECTOR('',#29357,1000.); -#8282=VECTOR('',#29360,1000.); -#8283=VECTOR('',#29361,1000.); -#8284=VECTOR('',#29364,1000.); -#8285=VECTOR('',#29365,1000.); -#8286=VECTOR('',#29368,1000.); -#8287=VECTOR('',#29373,1000.); -#8288=VECTOR('',#29374,1000.); -#8289=VECTOR('',#29375,1000.); -#8290=VECTOR('',#29376,1000.); -#8291=VECTOR('',#29379,1000.); -#8292=VECTOR('',#29380,1000.); -#8293=VECTOR('',#29381,1000.); -#8294=VECTOR('',#29384,1000.); -#8295=VECTOR('',#29385,1000.); -#8296=VECTOR('',#29386,1000.); -#8297=VECTOR('',#29389,1000.); -#8298=VECTOR('',#29390,1000.); -#8299=VECTOR('',#29397,1000.); -#8300=VECTOR('',#29398,1000.); -#8301=VECTOR('',#29399,1000.); -#8302=VECTOR('',#29400,1000.); -#8303=VECTOR('',#29403,1000.); -#8304=VECTOR('',#29404,1000.); -#8305=VECTOR('',#29405,1000.); -#8306=VECTOR('',#29408,1000.); -#8307=VECTOR('',#29409,1000.); -#8308=VECTOR('',#29410,1000.); -#8309=VECTOR('',#29413,1000.); -#8310=VECTOR('',#29414,1000.); -#8311=VECTOR('',#29421,1000.); -#8312=VECTOR('',#29422,1000.); -#8313=VECTOR('',#29423,1000.); -#8314=VECTOR('',#29424,1000.); -#8315=VECTOR('',#29427,1000.); -#8316=VECTOR('',#29428,1000.); -#8317=VECTOR('',#29429,1000.); -#8318=VECTOR('',#29432,1000.); -#8319=VECTOR('',#29433,1000.); -#8320=VECTOR('',#29434,1000.); -#8321=VECTOR('',#29437,1000.); -#8322=VECTOR('',#29438,1000.); -#8323=VECTOR('',#29445,1000.); -#8324=VECTOR('',#29446,1000.); -#8325=VECTOR('',#29447,1000.); -#8326=VECTOR('',#29448,1000.); -#8327=VECTOR('',#29451,1000.); -#8328=VECTOR('',#29452,1000.); -#8329=VECTOR('',#29453,1000.); -#8330=VECTOR('',#29456,1000.); -#8331=VECTOR('',#29457,1000.); -#8332=VECTOR('',#29458,1000.); -#8333=VECTOR('',#29461,1000.); -#8334=VECTOR('',#29462,1000.); -#8335=VECTOR('',#29469,1000.); -#8336=VECTOR('',#29470,1000.); -#8337=VECTOR('',#29471,1000.); -#8338=VECTOR('',#29472,1000.); -#8339=VECTOR('',#29475,1000.); -#8340=VECTOR('',#29476,1000.); -#8341=VECTOR('',#29477,1000.); -#8342=VECTOR('',#29480,1000.); -#8343=VECTOR('',#29481,1000.); -#8344=VECTOR('',#29482,1000.); -#8345=VECTOR('',#29485,1000.); -#8346=VECTOR('',#29486,1000.); -#8347=VECTOR('',#29493,1000.); -#8348=VECTOR('',#29494,1000.); -#8349=VECTOR('',#29495,1000.); -#8350=VECTOR('',#29496,1000.); -#8351=VECTOR('',#29499,1000.); -#8352=VECTOR('',#29500,1000.); -#8353=VECTOR('',#29501,1000.); -#8354=VECTOR('',#29504,1000.); -#8355=VECTOR('',#29505,1000.); -#8356=VECTOR('',#29506,1000.); -#8357=VECTOR('',#29509,1000.); -#8358=VECTOR('',#29510,1000.); -#8359=VECTOR('',#29519,10.); -#8360=VECTOR('',#29520,10.); -#8361=VECTOR('',#29521,10.); -#8362=VECTOR('',#29522,10.); -#8363=VECTOR('',#29525,10.); -#8364=VECTOR('',#29526,10.); -#8365=VECTOR('',#29527,10.); -#8366=VECTOR('',#29530,10.); -#8367=VECTOR('',#29531,10.); -#8368=VECTOR('',#29532,10.); -#8369=VECTOR('',#29535,10.); -#8370=VECTOR('',#29536,10.); -#8371=VECTOR('',#29541,10.); -#8372=VECTOR('',#29542,10.); -#8373=VECTOR('',#29543,10.); -#8374=VECTOR('',#29544,10.); -#8375=VECTOR('',#29547,10.); -#8376=VECTOR('',#29548,10.); -#8377=VECTOR('',#29549,10.); -#8378=VECTOR('',#29552,10.); -#8379=VECTOR('',#29553,10.); -#8380=VECTOR('',#29554,10.); -#8381=VECTOR('',#29557,10.); -#8382=VECTOR('',#29558,10.); -#8383=VECTOR('',#29573,10.); -#8384=VECTOR('',#29574,10.); -#8385=VECTOR('',#29575,10.); -#8386=VECTOR('',#29576,10.); -#8387=VECTOR('',#29579,10.); -#8388=VECTOR('',#29580,10.); -#8389=VECTOR('',#29581,10.); -#8390=VECTOR('',#29584,10.); -#8391=VECTOR('',#29585,10.); -#8392=VECTOR('',#29586,10.); -#8393=VECTOR('',#29589,10.); -#8394=VECTOR('',#29590,10.); -#8395=VECTOR('',#29591,10.); -#8396=VECTOR('',#29594,10.); -#8397=VECTOR('',#29595,10.); -#8398=VECTOR('',#29596,10.); -#8399=VECTOR('',#29599,10.); -#8400=VECTOR('',#29600,10.); -#8401=VECTOR('',#29601,10.); -#8402=VECTOR('',#29602,10.); -#8403=VECTOR('',#29605,10.); -#8404=VECTOR('',#29606,10.); -#8405=VECTOR('',#29607,10.); -#8406=VECTOR('',#29608,10.); -#8407=VECTOR('',#29609,10.); -#8408=VECTOR('',#29610,10.); -#8409=VECTOR('',#29611,10.); -#8410=VECTOR('',#29612,10.); -#8411=VECTOR('',#29615,10.); -#8412=VECTOR('',#29616,10.); -#8413=VECTOR('',#29617,10.); -#8414=VECTOR('',#29618,10.); -#8415=VECTOR('',#29621,10.); -#8416=VECTOR('',#29622,10.); -#8417=VECTOR('',#29623,10.); -#8418=VECTOR('',#29626,10.); -#8419=VECTOR('',#29627,10.); -#8420=VECTOR('',#29628,10.); -#8421=VECTOR('',#29631,10.); -#8422=VECTOR('',#29632,10.); -#8423=VECTOR('',#29633,10.); -#8424=VECTOR('',#29636,10.); -#8425=VECTOR('',#29637,10.); -#8426=VECTOR('',#29640,10.); -#8427=VECTOR('',#29643,10.); -#8428=VECTOR('',#29644,10.); -#8429=VECTOR('',#29645,10.); -#8430=VECTOR('',#29650,10.); -#8431=VECTOR('',#29653,10.); -#8432=VECTOR('',#29656,10.); -#8433=VECTOR('',#29657,10.); -#8434=VECTOR('',#29658,10.); -#8435=VECTOR('',#29669,10.); -#8436=VECTOR('',#29672,10.); -#8437=VECTOR('',#29673,10.); -#8438=VECTOR('',#29678,0.941174); -#8439=VECTOR('',#29683,0.974849500000001); -#8440=VECTOR('',#29690,10.); -#8441=VECTOR('',#29693,10.); -#8442=VECTOR('',#29694,10.); -#8443=VECTOR('',#29695,10.); -#8444=VECTOR('',#29702,10.); -#8445=VECTOR('',#29705,10.); -#8446=VECTOR('',#29706,10.); -#8447=VECTOR('',#29713,0.877007); -#8448=VECTOR('',#29714,10.); -#8449=VECTOR('',#29715,10.); -#8450=VECTOR('',#29716,10.); -#8451=VECTOR('',#29717,0.3); -#8452=VECTOR('',#29720,7.203201); -#8453=VECTOR('',#29721,0.877007); -#8454=VECTOR('',#29722,10.); -#8455=VECTOR('',#29725,8.702747030836); -#8456=VECTOR('',#29726,10.); -#8457=VECTOR('',#29727,10.); -#8458=VECTOR('',#29730,8.41615161103); -#8459=VECTOR('',#29733,58.7822127294799); -#8460=VECTOR('',#29736,23.50520218157); -#8461=VECTOR('',#29739,58.7822093770099); -#8462=VECTOR('',#29742,8.702747030836); -#8463=VECTOR('',#29743,0.877007); -#8464=VECTOR('',#29744,7.203201); -#8465=VECTOR('',#29795,0.7613631337673); -#8466=VECTOR('',#29796,1.703197); -#8467=VECTOR('',#29797,0.7613631337673); -#8468=VECTOR('',#29798,1.752337); -#8469=VECTOR('',#29799,18.5); -#8470=VECTOR('',#29800,1.852235); -#8471=VECTOR('',#29801,0.8416958662327); -#8472=VECTOR('',#29802,1.503198); -#8473=VECTOR('',#29803,0.8416958662327); -#8474=VECTOR('',#29804,2.444567); -#8475=VECTOR('',#29805,18.5); -#8476=VECTOR('',#29806,2.344466); -#8477=VECTOR('',#29809,3.35); -#8478=VECTOR('',#29810,4.2); -#8479=VECTOR('',#29811,3.35); -#8480=VECTOR('',#29812,4.2); -#8481=VECTOR('',#29813,3.35); -#8482=VECTOR('',#29814,4.2); -#8483=VECTOR('',#29815,3.35); -#8484=VECTOR('',#29816,4.2); -#8485=VECTOR('',#29819,0.3); -#8486=VECTOR('',#29820,10.); -#8487=VECTOR('',#29827,58.7822127294799); -#8488=VECTOR('',#29830,23.46889864187); -#8489=VECTOR('',#29833,58.7822093770099); -#8490=VECTOR('',#29836,23.50520218157); -#8491=VECTOR('',#29841,0.703199); -#8492=VECTOR('',#29842,0.955599); -#8493=VECTOR('',#29843,1.247254); -#8494=VECTOR('',#29844,4.699); -#8495=VECTOR('',#29845,6.321831); -#8496=VECTOR('',#29846,4.699); -#8497=VECTOR('',#29847,1.371384); -#8498=VECTOR('',#29848,0.955599); -#8499=VECTOR('',#29849,0.703199); -#8500=VECTOR('',#29850,0.955599); -#8501=VECTOR('',#29851,0.296799); -#8502=VECTOR('',#29852,0.955599); -#8503=VECTOR('',#29853,0.703199); -#8504=VECTOR('',#29854,0.955599); -#8505=VECTOR('',#29855,0.296799); -#8506=VECTOR('',#29856,0.955599); -#8507=VECTOR('',#29857,0.703199); -#8508=VECTOR('',#29858,0.955599); -#8509=VECTOR('',#29859,0.296799); -#8510=VECTOR('',#29860,0.955599); -#8511=VECTOR('',#29861,0.8332010989979); -#8512=VECTOR('',#29862,0.699999); -#8513=VECTOR('',#29863,0.827732030395); -#8514=VECTOR('',#29864,0.570016396800113); -#8515=VECTOR('',#29865,0.8232756474121); -#8516=VECTOR('',#29866,0.699998); -#8517=VECTOR('',#29867,0.8178065866222); -#8518=VECTOR('',#29868,2.28007258741405); -#8519=VECTOR('',#29869,0.353553390593276); -#8520=VECTOR('',#29870,2.907004); -#8521=VECTOR('',#29871,1.050001); -#8522=VECTOR('',#29872,1.192997999999); -#8523=VECTOR('',#29873,4.899998); -#8524=VECTOR('',#29874,1.192998); -#8525=VECTOR('',#29875,1.049997); -#8526=VECTOR('',#29876,2.75699900000198); -#8527=VECTOR('',#29877,0.494974039736429); -#8528=VECTOR('',#29878,2.15006861971605); -#8529=VECTOR('',#29881,4.25708299283198); -#8530=VECTOR('',#29884,1.51069481413399); -#8531=VECTOR('',#29885,0.108306885837499); -#8532=VECTOR('',#29888,2.41661263471299); -#8533=VECTOR('',#29891,0.108309748874199); -#8534=VECTOR('',#29892,1.51069587446799); -#8535=VECTOR('',#29893,5.08); -#8536=VECTOR('',#29894,4.826); -#8537=VECTOR('',#29895,5.08); -#8538=VECTOR('',#29896,4.826); -#8539=VECTOR('',#29897,1.6764); -#8540=VECTOR('',#29898,0.8128); -#8541=VECTOR('',#29899,1.6764); -#8542=VECTOR('',#29900,0.8128); -#8543=VECTOR('',#29901,1.6764); -#8544=VECTOR('',#29902,0.8128); -#8545=VECTOR('',#29903,1.6764); -#8546=VECTOR('',#29904,0.8128); -#8547=VECTOR('',#29905,1.6764); -#8548=VECTOR('',#29906,0.8128); -#8549=VECTOR('',#29907,1.6764); -#8550=VECTOR('',#29908,0.8128); -#8551=VECTOR('',#29909,1.6764); -#8552=VECTOR('',#29910,0.8128); -#8553=VECTOR('',#29911,1.6764); -#8554=VECTOR('',#29912,0.8128); -#8555=VECTOR('',#29913,1.6764); -#8556=VECTOR('',#29914,0.8128); -#8557=VECTOR('',#29915,1.6764); -#8558=VECTOR('',#29916,0.8128); -#8559=VECTOR('',#29917,1.6764); -#8560=VECTOR('',#29918,0.8128); -#8561=VECTOR('',#29919,1.6764); -#8562=VECTOR('',#29920,0.8128); -#8563=VECTOR('',#29921,1.6764); -#8564=VECTOR('',#29922,0.8128); -#8565=VECTOR('',#29923,1.6764); -#8566=VECTOR('',#29924,0.8128); -#8567=VECTOR('',#29925,1.6764); -#8568=VECTOR('',#29926,0.8128); -#8569=VECTOR('',#29927,1.6764); -#8570=VECTOR('',#29928,0.8128); -#8571=VECTOR('',#29929,0.265391); -#8572=VECTOR('',#29930,5.603189); -#8573=VECTOR('',#29931,0.265391); -#8574=VECTOR('',#29932,0.774624); -#8575=VECTOR('',#29933,0.774624); -#8576=VECTOR('',#29934,0.265392); -#8577=VECTOR('',#29935,5.603189); -#8578=VECTOR('',#29936,0.265392); -#8579=VECTOR('',#29937,0.774624); -#8580=VECTOR('',#29938,0.774624); -#8581=VECTOR('',#29939,0.265392); -#8582=VECTOR('',#29940,5.603189); -#8583=VECTOR('',#29941,0.265392); -#8584=VECTOR('',#29942,0.774624); -#8585=VECTOR('',#29943,0.774624); -#8586=VECTOR('',#29944,0.265392); -#8587=VECTOR('',#29945,5.603189); -#8588=VECTOR('',#29946,0.265392); -#8589=VECTOR('',#29947,0.774624); -#8590=VECTOR('',#29948,0.774624); -#8591=VECTOR('',#29949,1.983232); -#8592=VECTOR('',#29950,0.227914); -#8593=VECTOR('',#29951,0.08458199999998); -#8594=VECTOR('',#29952,2.152396); -#8595=VECTOR('',#29953,0.08458199999998); -#8596=VECTOR('',#29954,0.227914); -#8597=VECTOR('',#29955,1.983232); -#8598=VECTOR('',#29956,0.227914); -#8599=VECTOR('',#29957,0.08458199999998); -#8600=VECTOR('',#29958,2.152396); -#8601=VECTOR('',#29959,0.08458199999998); -#8602=VECTOR('',#29960,0.227914); -#8603=VECTOR('',#29961,3.269998); -#8604=VECTOR('',#29962,3.820002); -#8605=VECTOR('',#29963,3.269998); -#8606=VECTOR('',#29964,3.820002); -#8607=VECTOR('',#29965,0.1589614029687); -#8608=VECTOR('',#29966,0.3445891031842); -#8609=VECTOR('',#29967,3.519998); -#8610=VECTOR('',#29968,0.5223891031842); -#8611=VECTOR('',#29969,0.131044); -#8612=VECTOR('',#29970,1.484528); -#8613=VECTOR('',#29971,0.131044); -#8614=VECTOR('',#29972,0.55848); -#8615=VECTOR('',#29973,1.278397); -#8616=VECTOR('',#29974,0.571518); -#8617=VECTOR('',#29975,1.003199); -#8618=VECTOR('',#29976,0.571518); -#8619=VECTOR('',#29977,1.238402); -#8620=VECTOR('',#29978,0.55848); -#8621=VECTOR('',#29979,0.1589614029687); -#8622=VECTOR('',#29980,1.662328); -#8623=VECTOR('',#29981,1.5516); -#8624=VECTOR('',#29982,0.751602); -#8625=VECTOR('',#29983,1.5516); -#8626=VECTOR('',#29984,0.751602); -#8627=VECTOR('',#29985,1.5516); -#8628=VECTOR('',#29986,0.751602); -#8629=VECTOR('',#29987,1.5516); -#8630=VECTOR('',#29988,0.751602); -#8631=VECTOR('',#29989,1.5516); -#8632=VECTOR('',#29990,0.751602); -#8633=VECTOR('',#29991,1.5516); -#8634=VECTOR('',#29992,0.751602); -#8635=VECTOR('',#29993,0.751602); -#8636=VECTOR('',#29994,1.5516); -#8637=VECTOR('',#29995,0.751602); -#8638=VECTOR('',#29996,1.5516); -#8639=VECTOR('',#29997,1.5516); -#8640=VECTOR('',#29998,0.751602); -#8641=VECTOR('',#29999,1.5516); -#8642=VECTOR('',#30000,0.751602); -#8643=VECTOR('',#30001,0.751602); -#8644=VECTOR('',#30002,1.5516); -#8645=VECTOR('',#30003,0.751602); -#8646=VECTOR('',#30004,1.5516); -#8647=VECTOR('',#30005,1.5516); -#8648=VECTOR('',#30006,0.751602); -#8649=VECTOR('',#30007,1.5516); -#8650=VECTOR('',#30008,0.751602); -#8651=VECTOR('',#30009,1.5516); -#8652=VECTOR('',#30010,0.751602); -#8653=VECTOR('',#30011,1.5516); -#8654=VECTOR('',#30012,0.751602); -#8655=VECTOR('',#30013,1.5516); -#8656=VECTOR('',#30014,0.751602); -#8657=VECTOR('',#30015,1.5516); -#8658=VECTOR('',#30016,0.751602); -#8659=VECTOR('',#30017,0.751601); -#8660=VECTOR('',#30018,1.551599); -#8661=VECTOR('',#30019,0.751601); -#8662=VECTOR('',#30020,1.551599); -#8663=VECTOR('',#30021,0.751601); -#8664=VECTOR('',#30022,1.551599); -#8665=VECTOR('',#30023,0.751601); -#8666=VECTOR('',#30024,1.551599); -#8667=VECTOR('',#30025,0.751601); -#8668=VECTOR('',#30026,1.551599); -#8669=VECTOR('',#30027,0.751601); -#8670=VECTOR('',#30028,1.551599); -#8671=VECTOR('',#30029,1.5516); -#8672=VECTOR('',#30030,0.751602); -#8673=VECTOR('',#30031,1.5516); -#8674=VECTOR('',#30032,0.751602); -#8675=VECTOR('',#30033,0.751602); -#8676=VECTOR('',#30034,1.5516); -#8677=VECTOR('',#30035,0.751602); -#8678=VECTOR('',#30036,1.5516); -#8679=VECTOR('',#30037,1.5516); -#8680=VECTOR('',#30038,0.751602); -#8681=VECTOR('',#30039,1.5516); -#8682=VECTOR('',#30040,0.751602); -#8683=VECTOR('',#30041,0.751602); -#8684=VECTOR('',#30042,1.5516); -#8685=VECTOR('',#30043,0.751602); -#8686=VECTOR('',#30044,1.5516); -#8687=VECTOR('',#30045,1.5516); -#8688=VECTOR('',#30046,0.751602); -#8689=VECTOR('',#30047,1.5516); -#8690=VECTOR('',#30048,0.751602); -#8691=VECTOR('',#30049,0.751602); -#8692=VECTOR('',#30050,1.5516); -#8693=VECTOR('',#30051,0.751602); -#8694=VECTOR('',#30052,1.5516); -#8695=VECTOR('',#30053,1.5516); -#8696=VECTOR('',#30054,0.751602); -#8697=VECTOR('',#30055,1.5516); -#8698=VECTOR('',#30056,0.751602); -#8699=VECTOR('',#30057,0.751602); -#8700=VECTOR('',#30058,1.551599); -#8701=VECTOR('',#30059,0.751602); -#8702=VECTOR('',#30060,1.551599); -#8703=VECTOR('',#30061,0.701598); -#8704=VECTOR('',#30062,0.751602); -#8705=VECTOR('',#30063,0.701598); -#8706=VECTOR('',#30064,0.751602); -#8707=VECTOR('',#30065,1.5516); -#8708=VECTOR('',#30066,0.751602); -#8709=VECTOR('',#30067,1.5516); -#8710=VECTOR('',#30068,0.751602); -#8711=VECTOR('',#30069,0.751601); -#8712=VECTOR('',#30070,1.551599); -#8713=VECTOR('',#30071,0.751601); -#8714=VECTOR('',#30072,1.551599); -#8715=VECTOR('',#30073,1.551599); -#8716=VECTOR('',#30074,0.751601); -#8717=VECTOR('',#30075,1.551599); -#8718=VECTOR('',#30076,0.751601); -#8719=VECTOR('',#30077,1.551599); -#8720=VECTOR('',#30078,0.751601); -#8721=VECTOR('',#30079,1.551599); -#8722=VECTOR('',#30080,0.751601); -#8723=VECTOR('',#30081,0.751601); -#8724=VECTOR('',#30082,1.551599); -#8725=VECTOR('',#30083,0.751601); -#8726=VECTOR('',#30084,1.551599); -#8727=VECTOR('',#30085,1.551599); -#8728=VECTOR('',#30086,0.751601); -#8729=VECTOR('',#30087,1.551599); -#8730=VECTOR('',#30088,0.751601); -#8731=VECTOR('',#30089,0.751601); -#8732=VECTOR('',#30090,1.551599); -#8733=VECTOR('',#30091,0.751601); -#8734=VECTOR('',#30092,1.551599); -#8735=VECTOR('',#30093,1.5516); -#8736=VECTOR('',#30094,0.751601); -#8737=VECTOR('',#30095,1.5516); -#8738=VECTOR('',#30096,0.751601); -#8739=VECTOR('',#30097,1.5516); -#8740=VECTOR('',#30098,0.751601); -#8741=VECTOR('',#30099,1.5516); -#8742=VECTOR('',#30100,0.751601); -#8743=VECTOR('',#30101,0.751601); -#8744=VECTOR('',#30102,1.5516); -#8745=VECTOR('',#30103,0.751601); -#8746=VECTOR('',#30104,1.5516); -#8747=VECTOR('',#30105,0.751601); -#8748=VECTOR('',#30106,1.5516); -#8749=VECTOR('',#30107,0.751601); -#8750=VECTOR('',#30108,1.5516); -#8751=VECTOR('',#30109,1.5516); -#8752=VECTOR('',#30110,0.751601); -#8753=VECTOR('',#30111,1.5516); -#8754=VECTOR('',#30112,0.751601); -#8755=VECTOR('',#30113,0.751601); -#8756=VECTOR('',#30114,1.5516); -#8757=VECTOR('',#30115,0.751601); -#8758=VECTOR('',#30116,1.5516); -#8759=VECTOR('',#30117,1.551599); -#8760=VECTOR('',#30118,0.751601); -#8761=VECTOR('',#30119,1.551599); -#8762=VECTOR('',#30120,0.751601); -#8763=VECTOR('',#30121,0.751601); -#8764=VECTOR('',#30122,1.5516); -#8765=VECTOR('',#30123,0.751601); -#8766=VECTOR('',#30124,1.5516); -#8767=VECTOR('',#30125,0.751601); -#8768=VECTOR('',#30126,1.5516); -#8769=VECTOR('',#30127,0.751601); -#8770=VECTOR('',#30128,1.5516); -#8771=VECTOR('',#30129,0.751601); -#8772=VECTOR('',#30130,1.5516); -#8773=VECTOR('',#30131,0.751601); -#8774=VECTOR('',#30132,1.5516); -#8775=VECTOR('',#30133,1.5516); -#8776=VECTOR('',#30134,0.751601); -#8777=VECTOR('',#30135,1.5516); -#8778=VECTOR('',#30136,0.751601); -#8779=VECTOR('',#30137,0.751601); -#8780=VECTOR('',#30138,1.5516); -#8781=VECTOR('',#30139,0.751601); -#8782=VECTOR('',#30140,1.5516); -#8783=VECTOR('',#30141,1.5516); -#8784=VECTOR('',#30142,0.745203); -#8785=VECTOR('',#30143,1.5516); -#8786=VECTOR('',#30144,0.745203); -#8787=VECTOR('',#30145,1.183198); -#8788=VECTOR('',#30146,2.673202); -#8789=VECTOR('',#30147,1.183198); -#8790=VECTOR('',#30148,2.673202); -#8791=VECTOR('',#30149,1.183198); -#8792=VECTOR('',#30150,2.673203); -#8793=VECTOR('',#30151,1.183198); -#8794=VECTOR('',#30152,2.673203); -#8795=VECTOR('',#30153,2.673203); -#8796=VECTOR('',#30154,1.183198); -#8797=VECTOR('',#30155,2.673203); -#8798=VECTOR('',#30156,1.183198); -#8799=VECTOR('',#30157,1.183198); -#8800=VECTOR('',#30158,2.673203); -#8801=VECTOR('',#30159,1.183198); -#8802=VECTOR('',#30160,2.673203); -#8803=VECTOR('',#30161,2.673203); -#8804=VECTOR('',#30162,1.183198); -#8805=VECTOR('',#30163,2.673203); -#8806=VECTOR('',#30164,1.183198); -#8807=VECTOR('',#30165,2.673203); -#8808=VECTOR('',#30166,1.183198); -#8809=VECTOR('',#30167,2.673203); -#8810=VECTOR('',#30168,1.183198); -#8811=VECTOR('',#30169,1.183198); -#8812=VECTOR('',#30170,2.673203); -#8813=VECTOR('',#30171,1.183198); -#8814=VECTOR('',#30172,2.673203); -#8815=VECTOR('',#30173,1.183198); -#8816=VECTOR('',#30174,2.673203); -#8817=VECTOR('',#30175,1.183198); -#8818=VECTOR('',#30176,2.673203); -#8819=VECTOR('',#30177,1.6732); -#8820=VECTOR('',#30178,3.143199); -#8821=VECTOR('',#30179,1.6732); -#8822=VECTOR('',#30180,3.143199); -#8823=VECTOR('',#30181,1.6732); -#8824=VECTOR('',#30182,3.143199); -#8825=VECTOR('',#30183,1.6732); -#8826=VECTOR('',#30184,3.143199); -#8827=VECTOR('',#30185,1.6732); -#8828=VECTOR('',#30186,3.1432); -#8829=VECTOR('',#30187,1.6732); -#8830=VECTOR('',#30188,3.1432); -#8831=VECTOR('',#30189,1.673199); -#8832=VECTOR('',#30190,3.143199); -#8833=VECTOR('',#30191,1.673199); -#8834=VECTOR('',#30192,3.143199); -#8835=VECTOR('',#30193,3.143199); -#8836=VECTOR('',#30194,1.6732); -#8837=VECTOR('',#30195,3.143199); -#8838=VECTOR('',#30196,1.6732); -#8839=VECTOR('',#30197,4.313199); -#8840=VECTOR('',#30198,2.003197); -#8841=VECTOR('',#30199,4.313199); -#8842=VECTOR('',#30200,2.003197); -#8843=VECTOR('',#30201,4.3132); -#8844=VECTOR('',#30202,2.003196); -#8845=VECTOR('',#30203,4.3132); -#8846=VECTOR('',#30204,2.003196); -#8847=VECTOR('',#30205,1.033196); -#8848=VECTOR('',#30206,0.931598); -#8849=VECTOR('',#30207,0.108399); -#8850=VECTOR('',#30208,1.700002); -#8851=VECTOR('',#30209,0.108399); -#8852=VECTOR('',#30210,0.931598); -#8853=VECTOR('',#30211,1.033196); -#8854=VECTOR('',#30212,0.931598); -#8855=VECTOR('',#30213,0.1084); -#8856=VECTOR('',#30214,1.700002); -#8857=VECTOR('',#30215,0.1084); -#8858=VECTOR('',#30216,0.931598); -#8859=VECTOR('',#30217,0.931598); -#8860=VECTOR('',#30218,1.033206); -#8861=VECTOR('',#30219,0.931598); -#8862=VECTOR('',#30220,0.108395); -#8863=VECTOR('',#30221,1.700002); -#8864=VECTOR('',#30222,0.108395); -#8865=VECTOR('',#30223,0.931598); -#8866=VECTOR('',#30224,1.033206); -#8867=VECTOR('',#30225,0.931598); -#8868=VECTOR('',#30226,0.108394); -#8869=VECTOR('',#30227,1.700002); -#8870=VECTOR('',#30228,0.108394); -#8871=VECTOR('',#30229,0.9144); -#8872=VECTOR('',#30230,0.850001); -#8873=VECTOR('',#30231,1.017821); -#8874=VECTOR('',#30232,0.850001); -#8875=VECTOR('',#30233,0.850001); -#8876=VECTOR('',#30234,0.850001); -#8877=VECTOR('',#30235,0.1016); -#8878=VECTOR('',#30236,1.2); -#8879=VECTOR('',#30237,1.051611); -#8880=VECTOR('',#30238,0.906998); -#8881=VECTOR('',#30239,0.85); -#8882=VECTOR('',#30240,0.906998); -#8883=VECTOR('',#30241,1.083811); -#8884=VECTOR('',#30242,1.2); -#8885=VECTOR('',#30243,0.1016); -#8886=VECTOR('',#30244,0.850001); -#8887=VECTOR('',#30245,0.9144); -#8888=VECTOR('',#30246,0.9144); -#8889=VECTOR('',#30247,0.9144); -#8890=VECTOR('',#30248,0.985622); -#8891=VECTOR('',#30249,0.9144); -#8892=VECTOR('',#30250,0.9144); -#8893=VECTOR('',#30251,0.9144); -#8894=VECTOR('',#30252,0.1016); -#8895=VECTOR('',#30253,1.2); -#8896=VECTOR('',#30254,1.051611); -#8897=VECTOR('',#30255,0.924799); -#8898=VECTOR('',#30256,0.9144); -#8899=VECTOR('',#30257,0.924799); -#8900=VECTOR('',#30258,1.051611); -#8901=VECTOR('',#30259,1.2); -#8902=VECTOR('',#30260,0.1016); -#8903=VECTOR('',#30261,0.9144); -#8904=VECTOR('',#30262,0.924799); -#8905=VECTOR('',#30263,1.05161); -#8906=VECTOR('',#30264,1.2); -#8907=VECTOR('',#30265,0.1016); -#8908=VECTOR('',#30266,0.9144); -#8909=VECTOR('',#30267,0.9144); -#8910=VECTOR('',#30268,0.9144); -#8911=VECTOR('',#30269,0.985621); -#8912=VECTOR('',#30270,0.9144); -#8913=VECTOR('',#30271,0.9144); -#8914=VECTOR('',#30272,0.9144); -#8915=VECTOR('',#30273,0.1016); -#8916=VECTOR('',#30274,1.2); -#8917=VECTOR('',#30275,1.051611); -#8918=VECTOR('',#30276,0.924799); -#8919=VECTOR('',#30277,0.924799); -#8920=VECTOR('',#30278,0.9144); -#8921=VECTOR('',#30279,0.924799); -#8922=VECTOR('',#30280,1.051611); -#8923=VECTOR('',#30281,1.2); -#8924=VECTOR('',#30282,0.1016); -#8925=VECTOR('',#30283,0.9144); -#8926=VECTOR('',#30284,0.9144); -#8927=VECTOR('',#30285,0.9144); -#8928=VECTOR('',#30286,0.985622); -#8929=VECTOR('',#30287,0.9144); -#8930=VECTOR('',#30288,0.9144); -#8931=VECTOR('',#30289,0.9144); -#8932=VECTOR('',#30290,0.1016); -#8933=VECTOR('',#30291,1.2); -#8934=VECTOR('',#30292,1.051611); -#8935=VECTOR('',#30293,0.949998); -#8936=VECTOR('',#30294,1.399998); -#8937=VECTOR('',#30295,0.949998); -#8938=VECTOR('',#30296,0.200002); -#8939=VECTOR('',#30297,2.799999); -#8940=VECTOR('',#30298,0.200002); -#8941=VECTOR('',#30299,0.949998); -#8942=VECTOR('',#30300,1.399998); -#8943=VECTOR('',#30301,0.949998); -#8944=VECTOR('',#30302,0.200002); -#8945=VECTOR('',#30303,2.799999); -#8946=VECTOR('',#30304,0.200002); -#8947=VECTOR('',#30305,1.049998); -#8948=VECTOR('',#30306,0.599998); -#8949=VECTOR('',#30307,1.049998); -#8950=VECTOR('',#30308,1.3); -#8951=VECTOR('',#30309,1.049998); -#8952=VECTOR('',#30310,0.599999); -#8953=VECTOR('',#30311,1.049998); -#8954=VECTOR('',#30312,0.300002); -#8955=VECTOR('',#30313,1.800002); -#8956=VECTOR('',#30314,0.300002); -#8957=VECTOR('',#30315,1.049998); -#8958=VECTOR('',#30316,0.599999); -#8959=VECTOR('',#30317,1.049998); -#8960=VECTOR('',#30318,0.349999); -#8961=VECTOR('',#30319,1.049998); -#8962=VECTOR('',#30320,0.599999); -#8963=VECTOR('',#30321,1.049998); -#8964=VECTOR('',#30322,0.350002); -#8965=VECTOR('',#30323,1.049998); -#8966=VECTOR('',#30324,0.599998); -#8967=VECTOR('',#30325,1.049998); -#8968=VECTOR('',#30326,0.3); -#8969=VECTOR('',#30327,1.800002); -#8970=VECTOR('',#30328,0.3); -#8971=VECTOR('',#30329,1.5516); -#8972=VECTOR('',#30330,0.751602); -#8973=VECTOR('',#30331,1.5516); -#8974=VECTOR('',#30332,0.751602); -#8975=VECTOR('',#30333,1.183198); -#8976=VECTOR('',#30334,2.673202); -#8977=VECTOR('',#30335,1.183198); -#8978=VECTOR('',#30336,2.673202); -#8979=VECTOR('',#30337,0.599999); -#8980=VECTOR('',#30338,0.499999); -#8981=VECTOR('',#30339,0.300002); -#8982=VECTOR('',#30340,1.800001); -#8983=VECTOR('',#30341,0.300002); -#8984=VECTOR('',#30342,0.499999); -#8985=VECTOR('',#30343,0.599999); -#8986=VECTOR('',#30344,0.499999); -#8987=VECTOR('',#30345,0.349999); -#8988=VECTOR('',#30346,0.499999); -#8989=VECTOR('',#30347,0.599999); -#8990=VECTOR('',#30348,0.499999); -#8991=VECTOR('',#30349,0.350002); -#8992=VECTOR('',#30350,0.499999); -#8993=VECTOR('',#30351,0.599999); -#8994=VECTOR('',#30352,0.499999); -#8995=VECTOR('',#30353,0.299999); -#8996=VECTOR('',#30354,1.800001); -#8997=VECTOR('',#30355,0.299999); -#8998=VECTOR('',#30356,0.499999); -#8999=VECTOR('',#30357,0.599999); -#9000=VECTOR('',#30358,0.499999); -#9001=VECTOR('',#30359,1.3); -#9002=VECTOR('',#30360,0.499999); -#9003=VECTOR('',#30411,1.2); -#9004=VECTOR('',#30412,1.2); -#9005=VECTOR('',#30415,0.9748495); -#9006=VECTOR('',#30418,0.941174); -#9007=VECTOR('',#30421,0.701599); -#9008=VECTOR('',#30424,0.701599); -#9009=VECTOR('',#30427,0.701599); -#9010=VECTOR('',#30430,0.701599); -#9011=VECTOR('',#30433,0.701599); -#9012=VECTOR('',#30436,0.701599); -#9013=VECTOR('',#30439,0.801599); -#9014=VECTOR('',#30442,0.801599); -#9015=VECTOR('',#30445,0.801599); -#9016=VECTOR('',#30448,0.801599); -#9017=VECTOR('',#30451,0.801599); -#9018=VECTOR('',#30454,0.801599); -#9019=VECTOR('',#30457,0.801599); -#9020=VECTOR('',#30460,0.701599); -#9021=VECTOR('',#30463,0.701599); -#9022=VECTOR('',#30466,0.701599); -#9023=VECTOR('',#30469,0.701599); -#9024=VECTOR('',#30472,0.701599); -#9025=VECTOR('',#30475,0.701599); -#9026=VECTOR('',#30478,0.701599); -#9027=VECTOR('',#30481,0.701599); -#9028=VECTOR('',#30484,0.701599); -#9029=VECTOR('',#30487,0.701599); -#9030=VECTOR('',#30490,0.701599); -#9031=VECTOR('',#30493,0.5); -#9032=VECTOR('',#30494,0.7613631337673); -#9033=VECTOR('',#30495,0.5); -#9034=VECTOR('',#30498,1.703197); -#9035=VECTOR('',#30499,0.5); -#9036=VECTOR('',#30502,0.7613631337673); -#9037=VECTOR('',#30503,0.5); -#9038=VECTOR('',#30506,1.622658); -#9039=VECTOR('',#30507,1.); -#9040=VECTOR('',#30508,1.703197); -#9041=VECTOR('',#30509,2.); -#9042=VECTOR('',#30510,5.8); -#9043=VECTOR('',#30511,0.4); -#9044=VECTOR('',#30512,1.622658); -#9045=VECTOR('',#30513,0.6); -#9046=VECTOR('',#30516,1.622658); -#9047=VECTOR('',#30517,18.5); -#9048=VECTOR('',#30518,0.4097251337673); -#9049=VECTOR('',#30519,2.168906); -#9050=VECTOR('',#30520,19.400012); -#9051=VECTOR('',#30521,2.168906); -#9052=VECTOR('',#30522,0.4902868662327); -#9053=VECTOR('',#30525,0.6); -#9054=VECTOR('',#30526,1.622658); -#9055=VECTOR('',#30527,0.4); -#9056=VECTOR('',#30528,5.8); -#9057=VECTOR('',#30529,2.); -#9058=VECTOR('',#30530,0.5); -#9059=VECTOR('',#30531,1.503198); -#9060=VECTOR('',#30532,0.5); -#9061=VECTOR('',#30533,1.); -#9062=VECTOR('',#30538,18.5); -#9063=VECTOR('',#30539,18.5); -#9064=VECTOR('',#30542,0.4902868662327); -#9065=VECTOR('',#30543,2.168906); -#9066=VECTOR('',#30544,19.400012); -#9067=VECTOR('',#30545,2.168906); -#9068=VECTOR('',#30546,0.4097251337673); -#9069=VECTOR('',#30549,0.6); -#9070=VECTOR('',#30552,0.6); -#9071=VECTOR('',#30555,0.6); -#9072=VECTOR('',#30558,0.6); -#9073=VECTOR('',#30563,18.5); -#9074=VECTOR('',#30568,0.8416958662327); -#9075=VECTOR('',#30569,0.5); -#9076=VECTOR('',#30572,1.503198); -#9077=VECTOR('',#30573,0.5); -#9078=VECTOR('',#30576,0.8416958662327); -#9079=VECTOR('',#30585,1.2); -#9080=VECTOR('',#30586,1.2); -#9081=VECTOR('',#30589,1.2); -#9082=VECTOR('',#30594,1.2); -#9083=VECTOR('',#30597,1.2); -#9084=VECTOR('',#30600,1.2); -#9085=VECTOR('',#30605,3.35); -#9086=VECTOR('',#30606,1.5); -#9087=VECTOR('',#30607,1.5); -#9088=VECTOR('',#30610,4.2); -#9089=VECTOR('',#30611,3.35); -#9090=VECTOR('',#30612,4.2); -#9091=VECTOR('',#30615,0.6999999999999); -#9092=VECTOR('',#30618,0.6999999999999); -#9093=VECTOR('',#30621,3.35); -#9094=VECTOR('',#30622,4.2); -#9095=VECTOR('',#30623,3.35); -#9096=VECTOR('',#30624,4.2); -#9097=VECTOR('',#30627,0.6999999999999); -#9098=VECTOR('',#30630,0.6999999999999); -#9099=VECTOR('',#30635,0.7); -#9100=VECTOR('',#30636,0.7); -#9101=VECTOR('',#30647,0.6999999999999); -#9102=VECTOR('',#30650,0.7); -#9103=VECTOR('',#30655,0.6999999999999); -#9104=VECTOR('',#30656,0.6999999999999); -#9105=VECTOR('',#30663,0.6999999977315); -#9106=VECTOR('',#30674,0.6999999977315); -#9107=VECTOR('',#30683,0.7); -#9108=VECTOR('',#30684,0.7); -#9109=VECTOR('',#30687,0.7); -#9110=VECTOR('',#30690,0.7); -#9111=VECTOR('',#30697,0.6999999977315); -#9112=VECTOR('',#30700,0.7); -#9113=VECTOR('',#30705,0.7); -#9114=VECTOR('',#30712,0.6999999977315); -#9115=VECTOR('',#30717,1.5); -#9116=VECTOR('',#30720,1.5); -#9117=VECTOR('',#30725,1.5); -#9118=VECTOR('',#30726,1.5); -#9119=VECTOR('',#30729,1.5); -#9120=VECTOR('',#30732,1.5); -#9121=VECTOR('',#30737,0.5); -#9122=VECTOR('',#30738,0.703199); -#9123=VECTOR('',#30739,0.5); -#9124=VECTOR('',#30742,0.955599); -#9125=VECTOR('',#30743,0.5); -#9126=VECTOR('',#30746,0.703199); -#9127=VECTOR('',#30747,0.5); -#9128=VECTOR('',#30748,2.8); -#9129=VECTOR('',#30749,6.321831); -#9130=VECTOR('',#30750,2.8); -#9131=VECTOR('',#30751,0.703199); -#9132=VECTOR('',#30752,0.5); -#9133=VECTOR('',#30753,0.5); -#9134=VECTOR('',#30754,0.703199); -#9135=VECTOR('',#30755,0.5); -#9136=VECTOR('',#30756,0.5); -#9137=VECTOR('',#30757,0.703199); -#9138=VECTOR('',#30758,0.5); -#9139=VECTOR('',#30759,0.5); -#9140=VECTOR('',#30762,0.955599); -#9141=VECTOR('',#30763,0.703199); -#9142=VECTOR('',#30764,0.955599); -#9143=VECTOR('',#30767,0.955599); -#9144=VECTOR('',#30768,0.703199); -#9145=VECTOR('',#30769,0.955599); -#9146=VECTOR('',#30772,0.955599); -#9147=VECTOR('',#30773,0.703199); -#9148=VECTOR('',#30774,0.955599); -#9149=VECTOR('',#30777,0.955599); -#9150=VECTOR('',#30780,0.5); -#9151=VECTOR('',#30783,0.5); -#9152=VECTOR('',#30788,0.5); -#9153=VECTOR('',#30791,0.5); -#9154=VECTOR('',#30796,0.5); -#9155=VECTOR('',#30799,0.5); -#9156=VECTOR('',#30806,4.699); -#9157=VECTOR('',#30807,2.8); -#9158=VECTOR('',#30810,6.321831); -#9159=VECTOR('',#30811,2.8); -#9160=VECTOR('',#30812,0.5); -#9161=VECTOR('',#30813,0.4); -#9162=VECTOR('',#30814,0.4); -#9163=VECTOR('',#30815,4.4); -#9164=VECTOR('',#30816,0.4); -#9165=VECTOR('',#30817,0.4); -#9166=VECTOR('',#30818,0.5); -#9167=VECTOR('',#30819,0.4); -#9168=VECTOR('',#30820,0.95); -#9169=VECTOR('',#30821,4.4); -#9170=VECTOR('',#30822,0.95); -#9171=VECTOR('',#30823,0.4); -#9172=VECTOR('',#30826,4.699); -#9173=VECTOR('',#30831,3.5); -#9174=VECTOR('',#30832,0.5); -#9175=VECTOR('',#30833,3.5); -#9176=VECTOR('',#30836,3.5); -#9177=VECTOR('',#30837,0.4); -#9178=VECTOR('',#30838,3.5); -#9179=VECTOR('',#30841,0.4); -#9180=VECTOR('',#30842,3.5); -#9181=VECTOR('',#30845,0.5); -#9182=VECTOR('',#30846,3.5); -#9183=VECTOR('',#30849,3.5); -#9184=VECTOR('',#30850,0.4); -#9185=VECTOR('',#30853,0.4); -#9186=VECTOR('',#30854,3.5); -#9187=VECTOR('',#30857,0.4); -#9188=VECTOR('',#30858,4.4); -#9189=VECTOR('',#30859,0.4); -#9190=VECTOR('',#30860,0.95); -#9191=VECTOR('',#30861,4.4); -#9192=VECTOR('',#30862,0.95); -#9193=VECTOR('',#30865,3.5); -#9194=VECTOR('',#30868,3.5); -#9195=VECTOR('',#30871,3.5); -#9196=VECTOR('',#30876,3.5); -#9197=VECTOR('',#30881,0.5); -#9198=VECTOR('',#30882,0.8332010989979); -#9199=VECTOR('',#30883,0.5); -#9200=VECTOR('',#30886,0.699999); -#9201=VECTOR('',#30887,0.5); -#9202=VECTOR('',#30890,0.827732030395); -#9203=VECTOR('',#30891,0.5); -#9204=VECTOR('',#30894,0.800025416635518); -#9205=VECTOR('',#30895,2.8); -#9206=VECTOR('',#30896,0.5); -#9207=VECTOR('',#30897,0.700019364467816); -#9208=VECTOR('',#30898,0.5); -#9209=VECTOR('',#30899,0.700020364498316); -#9210=VECTOR('',#30900,2.8); -#9211=VECTOR('',#30901,0.700024364620416); -#9212=VECTOR('',#30902,0.7); -#9213=VECTOR('',#30903,4.90014755164011); -#9214=VECTOR('',#30904,0.7); -#9215=VECTOR('',#30907,1.050001); -#9216=VECTOR('',#30908,2.907004); -#9217=VECTOR('',#30909,0.353553390593276); -#9218=VECTOR('',#30910,3.150753619141); -#9219=VECTOR('',#30913,0.494974039736429); -#9220=VECTOR('',#30914,2.75699900000198); -#9221=VECTOR('',#30915,1.049997); -#9222=VECTOR('',#30916,3.112470099855); -#9223=VECTOR('',#30919,2.8); -#9224=VECTOR('',#30920,2.8); -#9225=VECTOR('',#30923,2.8); -#9226=VECTOR('',#30928,1.192998); -#9227=VECTOR('',#30929,3.1); -#9228=VECTOR('',#30930,3.150753619141); -#9229=VECTOR('',#30931,0.4); -#9230=VECTOR('',#30934,1.192998); -#9231=VECTOR('',#30935,0.4); -#9232=VECTOR('',#30936,1.192998); -#9233=VECTOR('',#30937,0.4); -#9234=VECTOR('',#30940,1.192998); -#9235=VECTOR('',#30941,0.4000000000009); -#9236=VECTOR('',#30942,0.4000000000009); -#9237=VECTOR('',#30945,2.7); -#9238=VECTOR('',#30946,2.7); -#9239=VECTOR('',#30947,2.7); -#9240=VECTOR('',#30948,1.507002); -#9241=VECTOR('',#30951,3.1); -#9242=VECTOR('',#30952,2.7); -#9243=VECTOR('',#30953,4.099997999999); -#9244=VECTOR('',#30956,2.7); -#9245=VECTOR('',#30957,4.099997999999); -#9246=VECTOR('',#30960,2.7); -#9247=VECTOR('',#30961,4.099997999999); -#9248=VECTOR('',#30964,4.099997999999); -#9249=VECTOR('',#30965,1.507002); -#9250=VECTOR('',#30968,4.899998); -#9251=VECTOR('',#30969,0.4); -#9252=VECTOR('',#30972,3.112470099855); -#9253=VECTOR('',#30973,2.8); -#9254=VECTOR('',#30976,2.8); -#9255=VECTOR('',#30979,2.8); -#9256=VECTOR('',#30988,0.8178065866222); -#9257=VECTOR('',#30989,0.699998); -#9258=VECTOR('',#30990,0.8232756474121); -#9259=VECTOR('',#30995,0.5); -#9260=VECTOR('',#30998,0.5); -#9261=VECTOR('',#31003,0.5); -#9262=VECTOR('',#31006,0.5); -#9263=VECTOR('',#31009,0.171454971162199); -#9264=VECTOR('',#31010,0.5); -#9265=VECTOR('',#31011,0.171458036005999); -#9266=VECTOR('',#31012,1.3); -#9267=VECTOR('',#31013,4.59999599999998); -#9268=VECTOR('',#31014,1.3); -#9269=VECTOR('',#31015,0.8); -#9270=VECTOR('',#31016,1.84999999999999); -#9271=VECTOR('',#31017,0.8); -#9272=VECTOR('',#31018,1.84999999999999); -#9273=VECTOR('',#31021,1.11999999999999); -#9274=VECTOR('',#31022,0.8); -#9275=VECTOR('',#31023,1.11999999999999); -#9276=VECTOR('',#31026,1.84999999999999); -#9277=VECTOR('',#31027,1.11999999999999); -#9278=VECTOR('',#31030,0.8); -#9279=VECTOR('',#31031,1.11999999999999); -#9280=VECTOR('',#31034,1.84999999999999); -#9281=VECTOR('',#31039,0.789305185867996); -#9282=VECTOR('',#31044,0.789304125533996); -#9283=VECTOR('',#31047,0.983382284856195); -#9284=VECTOR('',#31052,0.983384445719895); -#9285=VECTOR('',#31057,2.30000000000199); -#9286=VECTOR('',#31058,1.8); -#9287=VECTOR('',#31059,0.5); -#9288=VECTOR('',#31064,0.5); -#9289=VECTOR('',#31065,0.5); -#9290=VECTOR('',#31066,0.5); -#9291=VECTOR('',#31067,4.59999599999998); -#9292=VECTOR('',#31068,1.8); -#9293=VECTOR('',#31069,0.5); -#9294=VECTOR('',#31074,2.30000000000199); -#9295=VECTOR('',#31081,2.); -#9296=VECTOR('',#31082,5.08); -#9297=VECTOR('',#31083,2.); -#9298=VECTOR('',#31086,4.826); -#9299=VECTOR('',#31087,2.); -#9300=VECTOR('',#31088,0.8128); -#9301=VECTOR('',#31089,0.5); -#9302=VECTOR('',#31090,0.8128); -#9303=VECTOR('',#31091,0.5); -#9304=VECTOR('',#31092,0.8128); -#9305=VECTOR('',#31093,0.5); -#9306=VECTOR('',#31094,0.8128); -#9307=VECTOR('',#31095,0.5); -#9308=VECTOR('',#31096,0.8128); -#9309=VECTOR('',#31097,0.5); -#9310=VECTOR('',#31098,0.8128); -#9311=VECTOR('',#31099,0.5); -#9312=VECTOR('',#31100,0.8128); -#9313=VECTOR('',#31101,0.5); -#9314=VECTOR('',#31102,0.8128); -#9315=VECTOR('',#31103,0.5); -#9316=VECTOR('',#31106,5.08); -#9317=VECTOR('',#31107,2.); -#9318=VECTOR('',#31110,4.826); -#9319=VECTOR('',#31111,0.8128); -#9320=VECTOR('',#31112,0.5); -#9321=VECTOR('',#31113,0.8128); -#9322=VECTOR('',#31114,0.5); -#9323=VECTOR('',#31115,0.8128); -#9324=VECTOR('',#31116,0.5); -#9325=VECTOR('',#31117,0.8128); -#9326=VECTOR('',#31118,0.5); -#9327=VECTOR('',#31119,0.8128); -#9328=VECTOR('',#31120,0.5); -#9329=VECTOR('',#31121,0.8128); -#9330=VECTOR('',#31122,0.5); -#9331=VECTOR('',#31123,0.8128); -#9332=VECTOR('',#31124,0.5); -#9333=VECTOR('',#31125,0.8128); -#9334=VECTOR('',#31126,0.5); -#9335=VECTOR('',#31131,0.4826); -#9336=VECTOR('',#31132,0.8128); -#9337=VECTOR('',#31133,0.4826); -#9338=VECTOR('',#31136,0.4826); -#9339=VECTOR('',#31137,0.8128); -#9340=VECTOR('',#31138,0.4826); -#9341=VECTOR('',#31141,0.4826); -#9342=VECTOR('',#31142,0.8128); -#9343=VECTOR('',#31143,0.4825999999999); -#9344=VECTOR('',#31146,0.4826); -#9345=VECTOR('',#31147,0.8128); -#9346=VECTOR('',#31148,0.4826); -#9347=VECTOR('',#31151,0.4826); -#9348=VECTOR('',#31152,0.8128); -#9349=VECTOR('',#31153,0.4826); -#9350=VECTOR('',#31156,0.4826); -#9351=VECTOR('',#31157,0.8128); -#9352=VECTOR('',#31158,0.4826); -#9353=VECTOR('',#31161,0.4826); -#9354=VECTOR('',#31162,0.8128); -#9355=VECTOR('',#31163,0.4826); -#9356=VECTOR('',#31166,0.4826); -#9357=VECTOR('',#31167,0.8128); -#9358=VECTOR('',#31168,0.4826); -#9359=VECTOR('',#31171,1.); -#9360=VECTOR('',#31172,0.889); -#9361=VECTOR('',#31173,1.); -#9362=VECTOR('',#31174,0.5); -#9363=VECTOR('',#31175,1.27); -#9364=VECTOR('',#31178,1.); -#9365=VECTOR('',#31179,1.27); -#9366=VECTOR('',#31180,0.5); -#9367=VECTOR('',#31181,1.); -#9368=VECTOR('',#31182,0.889); -#9369=VECTOR('',#31185,0.8128); -#9370=VECTOR('',#31186,1.); -#9371=VECTOR('',#31187,0.8128); -#9372=VECTOR('',#31190,0.889); -#9373=VECTOR('',#31193,0.889); -#9374=VECTOR('',#31194,0.8128); -#9375=VECTOR('',#31195,0.889); -#9376=VECTOR('',#31198,0.889); -#9377=VECTOR('',#31199,0.8128); -#9378=VECTOR('',#31200,0.889); -#9379=VECTOR('',#31203,0.889); -#9380=VECTOR('',#31204,0.8128); -#9381=VECTOR('',#31205,0.889); -#9382=VECTOR('',#31208,0.889); -#9383=VECTOR('',#31209,0.8128); -#9384=VECTOR('',#31212,0.889); -#9385=VECTOR('',#31213,0.8128); -#9386=VECTOR('',#31214,0.889); -#9387=VECTOR('',#31217,0.889); -#9388=VECTOR('',#31218,0.8128); -#9389=VECTOR('',#31219,0.889); -#9390=VECTOR('',#31222,0.889); -#9391=VECTOR('',#31223,0.8128); -#9392=VECTOR('',#31224,0.889); -#9393=VECTOR('',#31227,1.27); -#9394=VECTOR('',#31228,0.5); -#9395=VECTOR('',#31229,1.); -#9396=VECTOR('',#31232,1.); -#9397=VECTOR('',#31233,0.5); -#9398=VECTOR('',#31234,1.27); -#9399=VECTOR('',#31235,1.); -#9400=VECTOR('',#31240,0.8128); -#9401=VECTOR('',#31245,0.8128); -#9402=VECTOR('',#31246,1.27); -#9403=VECTOR('',#31247,0.8128); -#9404=VECTOR('',#31248,1.27); -#9405=VECTOR('',#31251,0.8128); -#9406=VECTOR('',#31252,1.27); -#9407=VECTOR('',#31253,0.8128); -#9408=VECTOR('',#31254,1.27); -#9409=VECTOR('',#31257,0.8128); -#9410=VECTOR('',#31258,1.27); -#9411=VECTOR('',#31259,0.8128); -#9412=VECTOR('',#31260,1.27); -#9413=VECTOR('',#31263,0.8128); -#9414=VECTOR('',#31264,0.8128); -#9415=VECTOR('',#31267,0.8128); -#9416=VECTOR('',#31268,1.27); -#9417=VECTOR('',#31269,0.8128); -#9418=VECTOR('',#31270,1.27); -#9419=VECTOR('',#31273,0.8128); -#9420=VECTOR('',#31274,1.27); -#9421=VECTOR('',#31275,0.8128); -#9422=VECTOR('',#31276,1.27); -#9423=VECTOR('',#31279,0.8128); -#9424=VECTOR('',#31280,1.27); -#9425=VECTOR('',#31281,0.8128); -#9426=VECTOR('',#31282,1.27); -#9427=VECTOR('',#31285,1.); -#9428=VECTOR('',#31286,1.); -#9429=VECTOR('',#31289,1.); -#9430=VECTOR('',#31290,0.5); -#9431=VECTOR('',#31293,1.); -#9432=VECTOR('',#31294,0.5); -#9433=VECTOR('',#31295,1.); -#9434=VECTOR('',#31298,0.5); -#9435=VECTOR('',#31301,1.); -#9436=VECTOR('',#31304,1.); -#9437=VECTOR('',#31305,0.5); -#9438=VECTOR('',#31306,1.); -#9439=VECTOR('',#31317,1.); -#9440=VECTOR('',#31318,1.); -#9441=VECTOR('',#31321,1.); -#9442=VECTOR('',#31322,0.5); -#9443=VECTOR('',#31325,1.); -#9444=VECTOR('',#31326,0.5); -#9445=VECTOR('',#31327,1.); -#9446=VECTOR('',#31330,0.5); -#9447=VECTOR('',#31333,1.); -#9448=VECTOR('',#31336,1.); -#9449=VECTOR('',#31337,0.5); -#9450=VECTOR('',#31338,1.); -#9451=VECTOR('',#31349,1.); -#9452=VECTOR('',#31350,1.); -#9453=VECTOR('',#31353,1.); -#9454=VECTOR('',#31354,0.5); -#9455=VECTOR('',#31357,1.); -#9456=VECTOR('',#31358,0.5); -#9457=VECTOR('',#31359,1.); -#9458=VECTOR('',#31362,0.5); -#9459=VECTOR('',#31365,1.); -#9460=VECTOR('',#31368,1.); -#9461=VECTOR('',#31369,0.5); -#9462=VECTOR('',#31370,1.); -#9463=VECTOR('',#31387,0.2); -#9464=VECTOR('',#31388,0.265391); -#9465=VECTOR('',#31389,0.2); -#9466=VECTOR('',#31392,5.603189); -#9467=VECTOR('',#31393,0.2); -#9468=VECTOR('',#31396,0.265391); -#9469=VECTOR('',#31397,0.2); -#9470=VECTOR('',#31400,5.603189); -#9471=VECTOR('',#31401,0.8); -#9472=VECTOR('',#31402,7.152437); -#9473=VECTOR('',#31403,0.8); -#9474=VECTOR('',#31406,5.603189); -#9475=VECTOR('',#31407,0.265392); -#9476=VECTOR('',#31408,5.603189); -#9477=VECTOR('',#31409,0.265392); -#9478=VECTOR('',#31412,5.603189); -#9479=VECTOR('',#31413,0.265392); -#9480=VECTOR('',#31414,5.603189); -#9481=VECTOR('',#31415,0.265392); -#9482=VECTOR('',#31420,5.603189); -#9483=VECTOR('',#31421,0.265392); -#9484=VECTOR('',#31422,5.603189); -#9485=VECTOR('',#31423,0.265392); -#9486=VECTOR('',#31426,0.2); -#9487=VECTOR('',#31427,0.8); -#9488=VECTOR('',#31428,7.152437); -#9489=VECTOR('',#31429,0.8); -#9490=VECTOR('',#31430,0.2); -#9491=VECTOR('',#31433,0.2); -#9492=VECTOR('',#31436,0.2); -#9493=VECTOR('',#31441,0.2); -#9494=VECTOR('',#31442,7.152437); -#9495=VECTOR('',#31443,0.2); -#9496=VECTOR('',#31446,0.2); -#9497=VECTOR('',#31449,0.2); -#9498=VECTOR('',#31454,7.152437); -#9499=VECTOR('',#31457,0.2); -#9500=VECTOR('',#31458,0.2); -#9501=VECTOR('',#31461,0.2); -#9502=VECTOR('',#31464,0.2); -#9503=VECTOR('',#31469,0.2); -#9504=VECTOR('',#31470,1.983232); -#9505=VECTOR('',#31471,0.2); -#9506=VECTOR('',#31474,0.2); -#9507=VECTOR('',#31475,0.227914); -#9508=VECTOR('',#31476,0.2); -#9509=VECTOR('',#31479,0.227914); -#9510=VECTOR('',#31480,0.2); -#9511=VECTOR('',#31483,1.983232); -#9512=VECTOR('',#31484,0.2); -#9513=VECTOR('',#31487,0.2); -#9514=VECTOR('',#31488,0.227914); -#9515=VECTOR('',#31491,0.227914); -#9516=VECTOR('',#31492,0.2); -#9517=VECTOR('',#31495,1.983232); -#9518=VECTOR('',#31498,1.983232); -#9519=VECTOR('',#31501,0.8); -#9520=VECTOR('',#31502,2.152396); -#9521=VECTOR('',#31503,0.8); -#9522=VECTOR('',#31506,2.152396); -#9523=VECTOR('',#31507,0.8); -#9524=VECTOR('',#31510,2.152396); -#9525=VECTOR('',#31511,0.8); -#9526=VECTOR('',#31514,2.152396); -#9527=VECTOR('',#31519,0.5); -#9528=VECTOR('',#31520,3.269998); -#9529=VECTOR('',#31521,0.5); -#9530=VECTOR('',#31524,3.820002); -#9531=VECTOR('',#31525,0.5); -#9532=VECTOR('',#31528,3.269998); -#9533=VECTOR('',#31529,0.5); -#9534=VECTOR('',#31532,3.820002); -#9535=VECTOR('',#31537,0.2); -#9536=VECTOR('',#31538,0.1589614029687); -#9537=VECTOR('',#31539,0.2); -#9538=VECTOR('',#31542,1.662328); -#9539=VECTOR('',#31543,0.2); -#9540=VECTOR('',#31544,0.3); -#9541=VECTOR('',#31545,2.565397103184); -#9542=VECTOR('',#31546,0.3); -#9543=VECTOR('',#31549,1.662328); -#9544=VECTOR('',#31550,0.1589614029687); -#9545=VECTOR('',#31553,1.003199); -#9546=VECTOR('',#31554,0.571518); -#9547=VECTOR('',#31555,1.003199); -#9548=VECTOR('',#31556,0.571518); -#9549=VECTOR('',#31559,1.484528); -#9550=VECTOR('',#31560,0.131044); -#9551=VECTOR('',#31561,1.484528); -#9552=VECTOR('',#31562,0.131044); -#9553=VECTOR('',#31565,0.2); -#9554=VECTOR('',#31570,0.2); -#9555=VECTOR('',#31571,0.3); -#9556=VECTOR('',#31572,3.519998); -#9557=VECTOR('',#31573,0.2); -#9558=VECTOR('',#31576,0.2); -#9559=VECTOR('',#31579,0.2); -#9560=VECTOR('',#31584,10.); -#9561=VECTOR('',#31589,10.); -#9562=VECTOR('',#31590,2.565397103184); -#9563=VECTOR('',#31593,0.3); -#9564=VECTOR('',#31596,0.2); -#9565=VECTOR('',#31597,0.2); -#9566=VECTOR('',#31600,0.2); -#9567=VECTOR('',#31603,0.2); -#9568=VECTOR('',#31610,1.); -#9569=VECTOR('',#31623,0.8); -#9570=VECTOR('',#31630,0.25); -#9571=VECTOR('',#31633,0.5); -#9572=VECTOR('',#31634,1.5516); -#9573=VECTOR('',#31635,0.5); -#9574=VECTOR('',#31638,0.751602); -#9575=VECTOR('',#31639,0.5); -#9576=VECTOR('',#31642,1.5516); -#9577=VECTOR('',#31643,0.5); -#9578=VECTOR('',#31646,0.751602); -#9579=VECTOR('',#31651,1.5516); -#9580=VECTOR('',#31652,0.751602); -#9581=VECTOR('',#31653,1.5516); -#9582=VECTOR('',#31654,0.751602); -#9583=VECTOR('',#31657,0.5); -#9584=VECTOR('',#31658,0.5); -#9585=VECTOR('',#31661,0.5); -#9586=VECTOR('',#31664,0.5); -#9587=VECTOR('',#31669,0.4); -#9588=VECTOR('',#31670,1.5516); -#9589=VECTOR('',#31671,0.4); -#9590=VECTOR('',#31674,0.751602); -#9591=VECTOR('',#31675,0.4); -#9592=VECTOR('',#31678,1.5516); -#9593=VECTOR('',#31679,0.4); -#9594=VECTOR('',#31682,0.751602); -#9595=VECTOR('',#31687,0.751602); -#9596=VECTOR('',#31688,1.5516); -#9597=VECTOR('',#31689,0.751602); -#9598=VECTOR('',#31690,1.5516); -#9599=VECTOR('',#31693,1.5516); -#9600=VECTOR('',#31694,0.751602); -#9601=VECTOR('',#31695,1.5516); -#9602=VECTOR('',#31696,0.751602); -#9603=VECTOR('',#31699,0.751602); -#9604=VECTOR('',#31700,1.5516); -#9605=VECTOR('',#31701,0.751602); -#9606=VECTOR('',#31702,1.5516); -#9607=VECTOR('',#31705,1.5516); -#9608=VECTOR('',#31706,0.751602); -#9609=VECTOR('',#31707,1.5516); -#9610=VECTOR('',#31708,0.751602); -#9611=VECTOR('',#31711,1.5516); -#9612=VECTOR('',#31712,0.751602); -#9613=VECTOR('',#31713,1.5516); -#9614=VECTOR('',#31714,0.751602); -#9615=VECTOR('',#31717,1.5516); -#9616=VECTOR('',#31718,0.751602); -#9617=VECTOR('',#31719,1.5516); -#9618=VECTOR('',#31720,0.751602); -#9619=VECTOR('',#31723,0.751601); -#9620=VECTOR('',#31724,1.551599); -#9621=VECTOR('',#31725,0.751601); -#9622=VECTOR('',#31726,1.551599); -#9623=VECTOR('',#31729,0.751601); -#9624=VECTOR('',#31730,1.551599); -#9625=VECTOR('',#31731,0.751601); -#9626=VECTOR('',#31732,1.551599); -#9627=VECTOR('',#31735,0.751601); -#9628=VECTOR('',#31736,1.551599); -#9629=VECTOR('',#31737,0.751601); -#9630=VECTOR('',#31738,1.551599); -#9631=VECTOR('',#31741,1.5516); -#9632=VECTOR('',#31742,0.751602); -#9633=VECTOR('',#31743,1.5516); -#9634=VECTOR('',#31744,0.751602); -#9635=VECTOR('',#31747,0.751602); -#9636=VECTOR('',#31748,1.5516); -#9637=VECTOR('',#31749,0.751602); -#9638=VECTOR('',#31750,1.5516); -#9639=VECTOR('',#31753,1.5516); -#9640=VECTOR('',#31754,0.751602); -#9641=VECTOR('',#31755,1.5516); -#9642=VECTOR('',#31756,0.751602); -#9643=VECTOR('',#31759,0.751602); -#9644=VECTOR('',#31760,1.5516); -#9645=VECTOR('',#31761,0.751602); -#9646=VECTOR('',#31762,1.5516); -#9647=VECTOR('',#31765,1.5516); -#9648=VECTOR('',#31766,0.751602); -#9649=VECTOR('',#31767,1.5516); -#9650=VECTOR('',#31768,0.751602); -#9651=VECTOR('',#31771,0.751602); -#9652=VECTOR('',#31772,1.5516); -#9653=VECTOR('',#31773,0.751602); -#9654=VECTOR('',#31774,1.5516); -#9655=VECTOR('',#31777,1.5516); -#9656=VECTOR('',#31778,0.751602); -#9657=VECTOR('',#31779,1.5516); -#9658=VECTOR('',#31780,0.751602); -#9659=VECTOR('',#31783,0.751602); -#9660=VECTOR('',#31784,1.551599); -#9661=VECTOR('',#31785,0.751602); -#9662=VECTOR('',#31786,1.551599); -#9663=VECTOR('',#31789,0.701598); -#9664=VECTOR('',#31790,0.751602); -#9665=VECTOR('',#31791,0.701598); -#9666=VECTOR('',#31792,0.751602); -#9667=VECTOR('',#31795,1.5516); -#9668=VECTOR('',#31796,0.751602); -#9669=VECTOR('',#31797,1.5516); -#9670=VECTOR('',#31798,0.751602); -#9671=VECTOR('',#31801,0.4); -#9672=VECTOR('',#31802,0.4); -#9673=VECTOR('',#31805,0.4); -#9674=VECTOR('',#31808,0.4); -#9675=VECTOR('',#31813,0.4); -#9676=VECTOR('',#31814,0.4); -#9677=VECTOR('',#31817,0.4); -#9678=VECTOR('',#31820,0.4); -#9679=VECTOR('',#31825,0.4); -#9680=VECTOR('',#31826,0.4); -#9681=VECTOR('',#31829,0.4); -#9682=VECTOR('',#31832,0.4); -#9683=VECTOR('',#31837,0.4); -#9684=VECTOR('',#31838,0.4); -#9685=VECTOR('',#31841,0.4); -#9686=VECTOR('',#31844,0.4); -#9687=VECTOR('',#31849,0.4); -#9688=VECTOR('',#31850,0.4); -#9689=VECTOR('',#31853,0.4); -#9690=VECTOR('',#31856,0.4); -#9691=VECTOR('',#31861,0.4); -#9692=VECTOR('',#31862,0.4); -#9693=VECTOR('',#31865,0.4); -#9694=VECTOR('',#31868,0.4); -#9695=VECTOR('',#31873,0.4); -#9696=VECTOR('',#31874,0.4); -#9697=VECTOR('',#31877,0.4); -#9698=VECTOR('',#31880,0.4); -#9699=VECTOR('',#31885,0.4); -#9700=VECTOR('',#31886,0.4); -#9701=VECTOR('',#31889,0.4); -#9702=VECTOR('',#31892,0.4); -#9703=VECTOR('',#31897,0.4); -#9704=VECTOR('',#31898,0.4); -#9705=VECTOR('',#31901,0.4); -#9706=VECTOR('',#31904,0.4); -#9707=VECTOR('',#31909,0.4); -#9708=VECTOR('',#31910,0.4); -#9709=VECTOR('',#31913,0.4); -#9710=VECTOR('',#31916,0.4); -#9711=VECTOR('',#31921,0.4); -#9712=VECTOR('',#31922,0.4); -#9713=VECTOR('',#31925,0.4); -#9714=VECTOR('',#31928,0.4); -#9715=VECTOR('',#31933,0.4); -#9716=VECTOR('',#31934,0.4); -#9717=VECTOR('',#31937,0.4); -#9718=VECTOR('',#31940,0.4); -#9719=VECTOR('',#31945,0.4); -#9720=VECTOR('',#31946,0.4); -#9721=VECTOR('',#31949,0.4); -#9722=VECTOR('',#31952,0.4); -#9723=VECTOR('',#31957,0.4); -#9724=VECTOR('',#31958,0.4); -#9725=VECTOR('',#31961,0.4); -#9726=VECTOR('',#31964,0.4); -#9727=VECTOR('',#31969,0.4); -#9728=VECTOR('',#31970,0.4); -#9729=VECTOR('',#31973,0.4); -#9730=VECTOR('',#31976,0.4); -#9731=VECTOR('',#31981,0.4); -#9732=VECTOR('',#31982,0.4); -#9733=VECTOR('',#31985,0.4); -#9734=VECTOR('',#31988,0.4); -#9735=VECTOR('',#31993,0.4); -#9736=VECTOR('',#31994,0.4); -#9737=VECTOR('',#31997,0.4); -#9738=VECTOR('',#32000,0.4); -#9739=VECTOR('',#32005,0.4); -#9740=VECTOR('',#32006,0.4); -#9741=VECTOR('',#32009,0.4); -#9742=VECTOR('',#32012,0.4); -#9743=VECTOR('',#32017,0.4); -#9744=VECTOR('',#32018,0.4); -#9745=VECTOR('',#32021,0.4); -#9746=VECTOR('',#32024,0.4); -#9747=VECTOR('',#32029,0.5); -#9748=VECTOR('',#32030,0.751601); -#9749=VECTOR('',#32031,0.5); -#9750=VECTOR('',#32034,1.551599); -#9751=VECTOR('',#32035,0.5); -#9752=VECTOR('',#32038,0.751601); -#9753=VECTOR('',#32039,0.5); -#9754=VECTOR('',#32042,1.551599); -#9755=VECTOR('',#32047,1.551599); -#9756=VECTOR('',#32048,0.751601); -#9757=VECTOR('',#32049,1.551599); -#9758=VECTOR('',#32050,0.751601); -#9759=VECTOR('',#32053,1.551599); -#9760=VECTOR('',#32054,0.751601); -#9761=VECTOR('',#32055,1.551599); -#9762=VECTOR('',#32056,0.751601); -#9763=VECTOR('',#32059,0.751601); -#9764=VECTOR('',#32060,1.551599); -#9765=VECTOR('',#32061,0.751601); -#9766=VECTOR('',#32062,1.551599); -#9767=VECTOR('',#32065,1.551599); -#9768=VECTOR('',#32066,0.751601); -#9769=VECTOR('',#32067,1.551599); -#9770=VECTOR('',#32068,0.751601); -#9771=VECTOR('',#32071,0.751601); -#9772=VECTOR('',#32072,1.551599); -#9773=VECTOR('',#32073,0.751601); -#9774=VECTOR('',#32074,1.551599); -#9775=VECTOR('',#32077,1.5516); -#9776=VECTOR('',#32078,0.751601); -#9777=VECTOR('',#32079,1.5516); -#9778=VECTOR('',#32080,0.751601); -#9779=VECTOR('',#32083,1.5516); -#9780=VECTOR('',#32084,0.751601); -#9781=VECTOR('',#32085,1.5516); -#9782=VECTOR('',#32086,0.751601); -#9783=VECTOR('',#32089,0.751601); -#9784=VECTOR('',#32090,1.5516); -#9785=VECTOR('',#32091,0.751601); -#9786=VECTOR('',#32092,1.5516); -#9787=VECTOR('',#32095,0.751601); -#9788=VECTOR('',#32096,1.5516); -#9789=VECTOR('',#32097,0.751601); -#9790=VECTOR('',#32098,1.5516); -#9791=VECTOR('',#32101,1.5516); -#9792=VECTOR('',#32102,0.751601); -#9793=VECTOR('',#32103,1.5516); -#9794=VECTOR('',#32104,0.751601); -#9795=VECTOR('',#32107,0.751601); -#9796=VECTOR('',#32108,1.5516); -#9797=VECTOR('',#32109,0.751601); -#9798=VECTOR('',#32110,1.5516); -#9799=VECTOR('',#32113,1.551599); -#9800=VECTOR('',#32114,0.751601); -#9801=VECTOR('',#32115,1.551599); -#9802=VECTOR('',#32116,0.751601); -#9803=VECTOR('',#32119,0.751601); -#9804=VECTOR('',#32120,1.5516); -#9805=VECTOR('',#32121,0.751601); -#9806=VECTOR('',#32122,1.5516); -#9807=VECTOR('',#32125,0.751601); -#9808=VECTOR('',#32126,1.5516); -#9809=VECTOR('',#32127,0.751601); -#9810=VECTOR('',#32128,1.5516); -#9811=VECTOR('',#32131,0.751601); -#9812=VECTOR('',#32132,1.5516); -#9813=VECTOR('',#32133,0.751601); -#9814=VECTOR('',#32134,1.5516); -#9815=VECTOR('',#32137,1.5516); -#9816=VECTOR('',#32138,0.751601); -#9817=VECTOR('',#32139,1.5516); -#9818=VECTOR('',#32140,0.751601); -#9819=VECTOR('',#32143,0.751601); -#9820=VECTOR('',#32144,1.5516); -#9821=VECTOR('',#32145,0.751601); -#9822=VECTOR('',#32146,1.5516); -#9823=VECTOR('',#32149,1.5516); -#9824=VECTOR('',#32150,0.745203); -#9825=VECTOR('',#32151,1.5516); -#9826=VECTOR('',#32152,0.745203); -#9827=VECTOR('',#32155,0.5); -#9828=VECTOR('',#32156,0.5); -#9829=VECTOR('',#32159,0.5); -#9830=VECTOR('',#32162,0.5); -#9831=VECTOR('',#32167,0.5); -#9832=VECTOR('',#32168,0.5); -#9833=VECTOR('',#32171,0.5); -#9834=VECTOR('',#32174,0.5); -#9835=VECTOR('',#32179,0.5); -#9836=VECTOR('',#32180,0.5); -#9837=VECTOR('',#32183,0.5); -#9838=VECTOR('',#32186,0.5); -#9839=VECTOR('',#32191,0.5); -#9840=VECTOR('',#32192,0.5); -#9841=VECTOR('',#32195,0.5); -#9842=VECTOR('',#32198,0.5); -#9843=VECTOR('',#32203,0.5); -#9844=VECTOR('',#32204,0.5); -#9845=VECTOR('',#32207,0.5); -#9846=VECTOR('',#32210,0.5); -#9847=VECTOR('',#32215,0.5); -#9848=VECTOR('',#32216,0.5); -#9849=VECTOR('',#32219,0.5); -#9850=VECTOR('',#32222,0.5); -#9851=VECTOR('',#32227,0.5); -#9852=VECTOR('',#32228,0.5); -#9853=VECTOR('',#32231,0.5); -#9854=VECTOR('',#32234,0.5); -#9855=VECTOR('',#32239,0.5); -#9856=VECTOR('',#32240,0.5); -#9857=VECTOR('',#32243,0.5); -#9858=VECTOR('',#32246,0.5); -#9859=VECTOR('',#32251,0.5); -#9860=VECTOR('',#32252,0.5); -#9861=VECTOR('',#32255,0.5); -#9862=VECTOR('',#32258,0.5); -#9863=VECTOR('',#32263,0.5); -#9864=VECTOR('',#32264,0.5); -#9865=VECTOR('',#32267,0.5); -#9866=VECTOR('',#32270,0.5); -#9867=VECTOR('',#32275,0.5); -#9868=VECTOR('',#32276,0.5); -#9869=VECTOR('',#32279,0.5); -#9870=VECTOR('',#32282,0.5); -#9871=VECTOR('',#32287,0.5); -#9872=VECTOR('',#32288,0.5); -#9873=VECTOR('',#32291,0.5); -#9874=VECTOR('',#32294,0.5); -#9875=VECTOR('',#32299,0.5); -#9876=VECTOR('',#32300,0.5); -#9877=VECTOR('',#32303,0.5); -#9878=VECTOR('',#32306,0.5); -#9879=VECTOR('',#32311,0.5); -#9880=VECTOR('',#32312,0.5); -#9881=VECTOR('',#32315,0.5); -#9882=VECTOR('',#32318,0.5); -#9883=VECTOR('',#32323,0.5); -#9884=VECTOR('',#32324,0.5); -#9885=VECTOR('',#32327,0.5); -#9886=VECTOR('',#32330,0.5); -#9887=VECTOR('',#32335,0.5); -#9888=VECTOR('',#32336,0.5); -#9889=VECTOR('',#32339,0.5); -#9890=VECTOR('',#32342,0.5); -#9891=VECTOR('',#32347,0.5); -#9892=VECTOR('',#32348,0.5); -#9893=VECTOR('',#32351,0.5); -#9894=VECTOR('',#32354,0.5); -#9895=VECTOR('',#32359,0.5); -#9896=VECTOR('',#32360,0.5); -#9897=VECTOR('',#32363,0.5); -#9898=VECTOR('',#32366,0.5); -#9899=VECTOR('',#32371,0.8); -#9900=VECTOR('',#32372,1.183198); -#9901=VECTOR('',#32373,0.8); -#9902=VECTOR('',#32376,2.673202); -#9903=VECTOR('',#32377,0.8); -#9904=VECTOR('',#32380,1.183198); -#9905=VECTOR('',#32381,0.8); -#9906=VECTOR('',#32384,2.673202); -#9907=VECTOR('',#32389,1.183198); -#9908=VECTOR('',#32390,2.673203); -#9909=VECTOR('',#32391,1.183198); -#9910=VECTOR('',#32392,2.673203); -#9911=VECTOR('',#32395,2.673203); -#9912=VECTOR('',#32396,1.183198); -#9913=VECTOR('',#32397,2.673203); -#9914=VECTOR('',#32398,1.183198); -#9915=VECTOR('',#32401,1.183198); -#9916=VECTOR('',#32402,2.673203); -#9917=VECTOR('',#32403,1.183198); -#9918=VECTOR('',#32404,2.673203); -#9919=VECTOR('',#32407,2.673203); -#9920=VECTOR('',#32408,1.183198); -#9921=VECTOR('',#32409,2.673203); -#9922=VECTOR('',#32410,1.183198); -#9923=VECTOR('',#32413,2.673203); -#9924=VECTOR('',#32414,1.183198); -#9925=VECTOR('',#32415,2.673203); -#9926=VECTOR('',#32416,1.183198); -#9927=VECTOR('',#32419,1.183198); -#9928=VECTOR('',#32420,2.673203); -#9929=VECTOR('',#32421,1.183198); -#9930=VECTOR('',#32422,2.673203); -#9931=VECTOR('',#32425,1.183198); -#9932=VECTOR('',#32426,2.673203); -#9933=VECTOR('',#32427,1.183198); -#9934=VECTOR('',#32428,2.673203); -#9935=VECTOR('',#32431,0.8); -#9936=VECTOR('',#32432,0.8); -#9937=VECTOR('',#32435,0.8); -#9938=VECTOR('',#32438,0.8); -#9939=VECTOR('',#32443,0.8); -#9940=VECTOR('',#32444,0.8); -#9941=VECTOR('',#32447,0.8); -#9942=VECTOR('',#32450,0.8); -#9943=VECTOR('',#32455,0.8); -#9944=VECTOR('',#32456,0.8); -#9945=VECTOR('',#32459,0.8); -#9946=VECTOR('',#32462,0.8); -#9947=VECTOR('',#32467,0.8); -#9948=VECTOR('',#32468,0.8); -#9949=VECTOR('',#32471,0.8); -#9950=VECTOR('',#32474,0.8); -#9951=VECTOR('',#32479,0.8); -#9952=VECTOR('',#32480,0.8); -#9953=VECTOR('',#32483,0.8); -#9954=VECTOR('',#32486,0.8); -#9955=VECTOR('',#32491,0.8); -#9956=VECTOR('',#32492,0.8); -#9957=VECTOR('',#32495,0.8); -#9958=VECTOR('',#32498,0.8); -#9959=VECTOR('',#32503,0.8); -#9960=VECTOR('',#32504,0.8); -#9961=VECTOR('',#32507,0.8); -#9962=VECTOR('',#32510,0.8); -#9963=VECTOR('',#32515,1.2); -#9964=VECTOR('',#32516,1.6732); -#9965=VECTOR('',#32517,1.2); -#9966=VECTOR('',#32520,3.143199); -#9967=VECTOR('',#32521,1.2); -#9968=VECTOR('',#32524,1.6732); -#9969=VECTOR('',#32525,1.2); -#9970=VECTOR('',#32528,3.143199); -#9971=VECTOR('',#32533,1.6732); -#9972=VECTOR('',#32534,3.143199); -#9973=VECTOR('',#32535,1.6732); -#9974=VECTOR('',#32536,3.143199); -#9975=VECTOR('',#32539,1.6732); -#9976=VECTOR('',#32540,3.1432); -#9977=VECTOR('',#32541,1.6732); -#9978=VECTOR('',#32542,3.1432); -#9979=VECTOR('',#32545,1.673199); -#9980=VECTOR('',#32546,3.143199); -#9981=VECTOR('',#32547,1.673199); -#9982=VECTOR('',#32548,3.143199); -#9983=VECTOR('',#32551,3.143199); -#9984=VECTOR('',#32552,1.6732); -#9985=VECTOR('',#32553,3.143199); -#9986=VECTOR('',#32554,1.6732); -#9987=VECTOR('',#32557,1.2); -#9988=VECTOR('',#32558,1.2); -#9989=VECTOR('',#32561,1.2); -#9990=VECTOR('',#32564,1.2); -#9991=VECTOR('',#32569,1.2); -#9992=VECTOR('',#32570,1.2); -#9993=VECTOR('',#32573,1.2); -#9994=VECTOR('',#32576,1.2); -#9995=VECTOR('',#32581,1.2); -#9996=VECTOR('',#32582,1.2); -#9997=VECTOR('',#32585,1.2); -#9998=VECTOR('',#32588,1.2); -#9999=VECTOR('',#32593,1.2); -#10000=VECTOR('',#32594,1.2); -#10001=VECTOR('',#32597,1.2); -#10002=VECTOR('',#32600,1.2); -#10003=VECTOR('',#32605,1.5); -#10004=VECTOR('',#32606,4.313199); -#10005=VECTOR('',#32607,1.5); -#10006=VECTOR('',#32610,2.003197); -#10007=VECTOR('',#32611,1.5); -#10008=VECTOR('',#32614,4.313199); -#10009=VECTOR('',#32615,1.5); -#10010=VECTOR('',#32618,2.003197); -#10011=VECTOR('',#32623,4.3132); -#10012=VECTOR('',#32624,2.003196); -#10013=VECTOR('',#32625,4.3132); -#10014=VECTOR('',#32626,2.003196); -#10015=VECTOR('',#32629,1.5); -#10016=VECTOR('',#32630,1.5); -#10017=VECTOR('',#32633,1.5); -#10018=VECTOR('',#32636,1.5); -#10019=VECTOR('',#32641,0.5); -#10020=VECTOR('',#32642,1.033196); -#10021=VECTOR('',#32643,0.5); -#10022=VECTOR('',#32646,0.5); -#10023=VECTOR('',#32647,0.931598); -#10024=VECTOR('',#32648,0.5); -#10025=VECTOR('',#32651,0.931598); -#10026=VECTOR('',#32652,0.5); -#10027=VECTOR('',#32655,1.033196); -#10028=VECTOR('',#32656,0.5); -#10029=VECTOR('',#32659,0.5); -#10030=VECTOR('',#32660,0.931598); -#10031=VECTOR('',#32663,0.931598); -#10032=VECTOR('',#32664,0.5); -#10033=VECTOR('',#32667,1.033196); -#10034=VECTOR('',#32670,1.033196); -#10035=VECTOR('',#32673,1.033206); -#10036=VECTOR('',#32674,0.931598); -#10037=VECTOR('',#32675,1.033206); -#10038=VECTOR('',#32676,0.931598); -#10039=VECTOR('',#32679,1.033206); -#10040=VECTOR('',#32680,0.931598); -#10041=VECTOR('',#32681,1.033206); -#10042=VECTOR('',#32682,0.931598); -#10043=VECTOR('',#32685,0.8); -#10044=VECTOR('',#32686,1.249995); -#10045=VECTOR('',#32687,0.8); -#10046=VECTOR('',#32690,1.700002); -#10047=VECTOR('',#32691,0.8); -#10048=VECTOR('',#32694,1.249995); -#10049=VECTOR('',#32695,0.8); -#10050=VECTOR('',#32698,1.700002); -#10051=VECTOR('',#32703,1.249995); -#10052=VECTOR('',#32704,1.700002); -#10053=VECTOR('',#32705,1.249995); -#10054=VECTOR('',#32706,1.700002); -#10055=VECTOR('',#32709,0.5); -#10056=VECTOR('',#32710,0.8); -#10057=VECTOR('',#32711,0.8); -#10058=VECTOR('',#32712,0.5); -#10059=VECTOR('',#32715,0.5); -#10060=VECTOR('',#32716,0.5); -#10061=VECTOR('',#32719,0.5); -#10062=VECTOR('',#32722,0.5); -#10063=VECTOR('',#32725,0.5); -#10064=VECTOR('',#32728,0.5); -#10065=VECTOR('',#32733,0.8); -#10066=VECTOR('',#32734,0.8); -#10067=VECTOR('',#32741,0.5); -#10068=VECTOR('',#32742,0.9144); -#10069=VECTOR('',#32743,0.5); -#10070=VECTOR('',#32746,0.850001); -#10071=VECTOR('',#32747,0.5); -#10072=VECTOR('',#32750,0.850001); -#10073=VECTOR('',#32751,0.5); -#10074=VECTOR('',#32752,0.9144); -#10075=VECTOR('',#32753,0.5); -#10076=VECTOR('',#32754,1.2); -#10077=VECTOR('',#32755,2.985422); -#10078=VECTOR('',#32756,1.2); -#10079=VECTOR('',#32757,0.5); -#10080=VECTOR('',#32760,0.9144); -#10081=VECTOR('',#32761,0.9144); -#10082=VECTOR('',#32762,0.9144); -#10083=VECTOR('',#32763,0.9144); -#10084=VECTOR('',#32766,0.9144); -#10085=VECTOR('',#32767,0.9144); -#10086=VECTOR('',#32768,0.9144); -#10087=VECTOR('',#32769,0.9144); -#10088=VECTOR('',#32772,0.9144); -#10089=VECTOR('',#32773,0.924799); -#10090=VECTOR('',#32774,0.9144); -#10091=VECTOR('',#32775,0.924799); -#10092=VECTOR('',#32778,0.9144); -#10093=VECTOR('',#32779,0.924799); -#10094=VECTOR('',#32780,0.9144); -#10095=VECTOR('',#32781,0.924799); -#10096=VECTOR('',#32784,0.9144); -#10097=VECTOR('',#32785,0.9144); -#10098=VECTOR('',#32786,0.9144); -#10099=VECTOR('',#32787,0.9144); -#10100=VECTOR('',#32790,0.9144); -#10101=VECTOR('',#32791,0.9144); -#10102=VECTOR('',#32792,0.9144); -#10103=VECTOR('',#32793,0.9144); -#10104=VECTOR('',#32796,0.85); -#10105=VECTOR('',#32797,0.906998); -#10106=VECTOR('',#32798,0.85); -#10107=VECTOR('',#32799,0.906998); -#10108=VECTOR('',#32802,0.850001); -#10109=VECTOR('',#32803,0.850001); -#10110=VECTOR('',#32804,0.850001); -#10111=VECTOR('',#32807,0.850001); -#10112=VECTOR('',#32810,0.9144); -#10113=VECTOR('',#32811,0.924799); -#10114=VECTOR('',#32812,0.9144); -#10115=VECTOR('',#32813,0.924799); -#10116=VECTOR('',#32816,0.9144); -#10117=VECTOR('',#32817,0.9144); -#10118=VECTOR('',#32818,0.9144); -#10119=VECTOR('',#32819,0.9144); -#10120=VECTOR('',#32822,0.9144); -#10121=VECTOR('',#32823,0.9144); -#10122=VECTOR('',#32824,0.9144); -#10123=VECTOR('',#32825,0.9144); -#10124=VECTOR('',#32828,0.5); -#10125=VECTOR('',#32829,0.5); -#10126=VECTOR('',#32830,0.5); -#10127=VECTOR('',#32831,1.2); -#10128=VECTOR('',#32832,3.017622); -#10129=VECTOR('',#32833,1.2); -#10130=VECTOR('',#32834,0.5); -#10131=VECTOR('',#32837,0.5); -#10132=VECTOR('',#32840,0.5); -#10133=VECTOR('',#32845,1.2); -#10134=VECTOR('',#32846,1.2); -#10135=VECTOR('',#32849,0.5); -#10136=VECTOR('',#32850,3.017622); -#10137=VECTOR('',#32851,1.2); -#10138=VECTOR('',#32852,0.5); -#10139=VECTOR('',#32855,0.5); -#10140=VECTOR('',#32858,0.5); -#10141=VECTOR('',#32863,1.2); -#10142=VECTOR('',#32868,1.2); -#10143=VECTOR('',#32869,3.017621); -#10144=VECTOR('',#32870,1.2); -#10145=VECTOR('',#32871,3.017621); -#10146=VECTOR('',#32874,1.2); -#10147=VECTOR('',#32875,2.985422); -#10148=VECTOR('',#32876,1.2); -#10149=VECTOR('',#32879,1.2); -#10150=VECTOR('',#32880,3.017622); -#10151=VECTOR('',#32881,1.2); -#10152=VECTOR('',#32882,3.017622); -#10153=VECTOR('',#32885,1.2); -#10154=VECTOR('',#32886,1.2); -#10155=VECTOR('',#32889,0.5); -#10156=VECTOR('',#32890,1.2); -#10157=VECTOR('',#32891,0.5); -#10158=VECTOR('',#32892,0.5); -#10159=VECTOR('',#32893,0.5); -#10160=VECTOR('',#32896,0.5); -#10161=VECTOR('',#32899,0.5); -#10162=VECTOR('',#32904,0.5); -#10163=VECTOR('',#32907,0.5); -#10164=VECTOR('',#32912,1.2); -#10165=VECTOR('',#32915,0.5); -#10166=VECTOR('',#32916,0.5); -#10167=VECTOR('',#32919,0.5); -#10168=VECTOR('',#32922,0.5); -#10169=VECTOR('',#32927,1.2); -#10170=VECTOR('',#32930,0.5); -#10171=VECTOR('',#32931,1.2); -#10172=VECTOR('',#32932,0.5); -#10173=VECTOR('',#32935,0.5); -#10174=VECTOR('',#32938,0.5); -#10175=VECTOR('',#32945,1.2); -#10176=VECTOR('',#32946,1.2); -#10177=VECTOR('',#32949,0.5); -#10178=VECTOR('',#32950,0.5); -#10179=VECTOR('',#32951,0.5); -#10180=VECTOR('',#32952,1.2); -#10181=VECTOR('',#32953,0.5); -#10182=VECTOR('',#32956,0.5); -#10183=VECTOR('',#32959,0.5); -#10184=VECTOR('',#32964,1.2); -#10185=VECTOR('',#32967,0.5); -#10186=VECTOR('',#32968,0.5); -#10187=VECTOR('',#32971,0.5); -#10188=VECTOR('',#32974,0.5); -#10189=VECTOR('',#32979,0.5); -#10190=VECTOR('',#32982,0.5); -#10191=VECTOR('',#32987,0.5); -#10192=VECTOR('',#32990,0.5); -#10193=VECTOR('',#32995,0.5); -#10194=VECTOR('',#32998,0.5); -#10195=VECTOR('',#33005,0.5); -#10196=VECTOR('',#33006,0.949998); -#10197=VECTOR('',#33007,0.5); -#10198=VECTOR('',#33010,0.5); -#10199=VECTOR('',#33011,0.949998); -#10200=VECTOR('',#33012,0.5); -#10201=VECTOR('',#33015,1.399998); -#10202=VECTOR('',#33016,0.5); -#10203=VECTOR('',#33019,0.5); -#10204=VECTOR('',#33020,0.949998); -#10205=VECTOR('',#33021,0.5); -#10206=VECTOR('',#33024,0.949998); -#10207=VECTOR('',#33025,0.5); -#10208=VECTOR('',#33028,1.399998); -#10209=VECTOR('',#33031,1.399998); -#10210=VECTOR('',#33034,1.399998); -#10211=VECTOR('',#33037,1.2); -#10212=VECTOR('',#33038,1.800002); -#10213=VECTOR('',#33039,1.2); -#10214=VECTOR('',#33042,2.799999); -#10215=VECTOR('',#33043,1.2); -#10216=VECTOR('',#33046,1.800002); -#10217=VECTOR('',#33047,1.2); -#10218=VECTOR('',#33050,2.799999); -#10219=VECTOR('',#33055,0.5); -#10220=VECTOR('',#33056,1.049998); -#10221=VECTOR('',#33057,0.5); -#10222=VECTOR('',#33060,0.5); -#10223=VECTOR('',#33061,1.049998); -#10224=VECTOR('',#33062,0.5); -#10225=VECTOR('',#33065,0.599998); -#10226=VECTOR('',#33066,0.5); -#10227=VECTOR('',#33069,0.5); -#10228=VECTOR('',#33070,1.049998); -#10229=VECTOR('',#33071,0.5); -#10230=VECTOR('',#33074,1.049998); -#10231=VECTOR('',#33075,0.5); -#10232=VECTOR('',#33078,0.599998); -#10233=VECTOR('',#33081,0.599998); -#10234=VECTOR('',#33084,0.599999); -#10235=VECTOR('',#33085,1.049998); -#10236=VECTOR('',#33086,0.599999); -#10237=VECTOR('',#33087,1.049998); -#10238=VECTOR('',#33090,0.599999); -#10239=VECTOR('',#33091,1.049998); -#10240=VECTOR('',#33092,0.599999); -#10241=VECTOR('',#33093,1.049998); -#10242=VECTOR('',#33096,0.599998); -#10243=VECTOR('',#33099,0.599999); -#10244=VECTOR('',#33100,1.049998); -#10245=VECTOR('',#33101,0.599999); -#10246=VECTOR('',#33102,1.049998); -#10247=VECTOR('',#33105,0.5); -#10248=VECTOR('',#33106,0.5); -#10249=VECTOR('',#33107,0.5); -#10250=VECTOR('',#33108,0.5); -#10251=VECTOR('',#33109,1.5); -#10252=VECTOR('',#33110,3.099999); -#10253=VECTOR('',#33111,1.5); -#10254=VECTOR('',#33114,1.800002); -#10255=VECTOR('',#33115,1.5); -#10256=VECTOR('',#33118,3.099999); -#10257=VECTOR('',#33119,1.5); -#10258=VECTOR('',#33120,0.5); -#10259=VECTOR('',#33121,0.5); -#10260=VECTOR('',#33124,0.5); -#10261=VECTOR('',#33127,0.5); -#10262=VECTOR('',#33130,0.5); -#10263=VECTOR('',#33133,0.5); -#10264=VECTOR('',#33140,1.800002); -#10265=VECTOR('',#33145,0.5); -#10266=VECTOR('',#33148,0.5); -#10267=VECTOR('',#33153,0.5); -#10268=VECTOR('',#33154,1.5516); -#10269=VECTOR('',#33155,0.5); -#10270=VECTOR('',#33158,0.751602); -#10271=VECTOR('',#33159,0.5); -#10272=VECTOR('',#33162,1.5516); -#10273=VECTOR('',#33163,0.5); -#10274=VECTOR('',#33166,0.751602); -#10275=VECTOR('',#33171,0.8); -#10276=VECTOR('',#33172,1.183198); -#10277=VECTOR('',#33173,0.8); -#10278=VECTOR('',#33176,2.673202); -#10279=VECTOR('',#33177,0.8); -#10280=VECTOR('',#33180,1.183198); -#10281=VECTOR('',#33181,0.8); -#10282=VECTOR('',#33184,2.673202); -#10283=VECTOR('',#33189,0.5); -#10284=VECTOR('',#33190,0.599999); -#10285=VECTOR('',#33191,0.5); -#10286=VECTOR('',#33194,0.5); -#10287=VECTOR('',#33195,0.499999); -#10288=VECTOR('',#33196,0.5); -#10289=VECTOR('',#33199,0.499999); -#10290=VECTOR('',#33200,0.5); -#10291=VECTOR('',#33203,0.599999); -#10292=VECTOR('',#33204,0.5); -#10293=VECTOR('',#33207,0.5); -#10294=VECTOR('',#33208,0.499999); -#10295=VECTOR('',#33211,0.499999); -#10296=VECTOR('',#33212,0.5); -#10297=VECTOR('',#33215,0.599999); -#10298=VECTOR('',#33216,0.499999); -#10299=VECTOR('',#33217,0.599999); -#10300=VECTOR('',#33218,0.499999); -#10301=VECTOR('',#33221,0.599999); -#10302=VECTOR('',#33224,0.599999); -#10303=VECTOR('',#33225,0.499999); -#10304=VECTOR('',#33226,0.599999); -#10305=VECTOR('',#33227,0.499999); -#10306=VECTOR('',#33230,0.599999); -#10307=VECTOR('',#33231,0.499999); -#10308=VECTOR('',#33232,0.599999); -#10309=VECTOR('',#33233,0.499999); -#10310=VECTOR('',#33236,0.599999); -#10311=VECTOR('',#33239,0.5); -#10312=VECTOR('',#33240,0.8); -#10313=VECTOR('',#33241,3.099999); -#10314=VECTOR('',#33242,0.8); -#10315=VECTOR('',#33243,0.5); -#10316=VECTOR('',#33246,0.5); -#10317=VECTOR('',#33247,0.5); -#10318=VECTOR('',#33250,0.5); -#10319=VECTOR('',#33253,0.5); -#10320=VECTOR('',#33256,0.5); -#10321=VECTOR('',#33259,0.5); -#10322=VECTOR('',#33264,0.5); -#10323=VECTOR('',#33265,0.5); -#10324=VECTOR('',#33266,0.8); -#10325=VECTOR('',#33267,3.099999); -#10326=VECTOR('',#33268,0.8); -#10327=VECTOR('',#33271,1.800001); -#10328=VECTOR('',#33274,1.800001); -#10329=VECTOR('',#33279,0.5); -#10330=VECTOR('',#33282,0.5); -#10331=CIRCLE('',#25094,0.1); -#10332=CIRCLE('',#25095,0.1); -#10333=CIRCLE('',#25096,0.1); -#10334=CIRCLE('',#25097,0.1); -#10335=CIRCLE('',#25099,0.100000000000002); -#10336=CIRCLE('',#25100,0.100000000000002); -#10337=CIRCLE('',#25101,0.100000000000002); -#10338=CIRCLE('',#25102,0.100000000000002); -#10339=CIRCLE('',#25104,0.0999999999999849); -#10340=CIRCLE('',#25105,0.0999999999999849); -#10341=CIRCLE('',#25106,0.0999999999999849); -#10342=CIRCLE('',#25107,0.0999999999999849); -#10343=CIRCLE('',#25110,0.0999999999999996); -#10344=CIRCLE('',#25111,0.0999999999999996); -#10345=CIRCLE('',#25112,0.3); -#10346=CIRCLE('',#25113,0.3); -#10347=CIRCLE('',#25114,0.0999999999999998); -#10348=CIRCLE('',#25115,0.0999999999999998); -#10349=CIRCLE('',#25116,0.25); -#10350=CIRCLE('',#25117,0.0999999999999998); -#10351=CIRCLE('',#25118,0.0999999999999998); -#10352=CIRCLE('',#25119,0.100000000000002); -#10353=CIRCLE('',#25120,0.1); -#10354=CIRCLE('',#25121,0.25); -#10355=CIRCLE('',#25122,0.25); -#10356=CIRCLE('',#25123,0.25); -#10357=CIRCLE('',#25124,0.1); -#10358=CIRCLE('',#25125,0.100000000000002); -#10359=CIRCLE('',#25126,0.0999999999999998); -#10360=CIRCLE('',#25127,0.0999999999999998); -#10361=CIRCLE('',#25130,1.3); -#10362=CIRCLE('',#25131,1.3); -#10363=CIRCLE('',#25132,1.6); -#10364=CIRCLE('',#25133,1.6); -#10365=CIRCLE('',#25135,0.999999999999998); -#10366=CIRCLE('',#25136,0.699999999999999); -#10367=CIRCLE('',#25138,0.150000000000001); -#10368=CIRCLE('',#25139,0.149999999999999); -#10369=CIRCLE('',#25140,0.149999999999998); -#10370=CIRCLE('',#25141,0.15); -#10371=CIRCLE('',#25142,0.150000000000476); -#10372=CIRCLE('',#25143,0.15); -#10373=CIRCLE('',#25144,0.150000000000001); -#10374=CIRCLE('',#25145,0.149999999999999); -#10375=CIRCLE('',#25146,0.300000000000042); -#10376=CIRCLE('',#25147,0.300000000000046); -#10377=CIRCLE('',#25149,0.150000000000001); -#10378=CIRCLE('',#25150,0.300000000000046); -#10379=CIRCLE('',#25151,0.300000000000042); -#10380=CIRCLE('',#25152,0.150000000000002); -#10381=CIRCLE('',#25153,0.150000000000001); -#10382=CIRCLE('',#25154,0.15); -#10383=CIRCLE('',#25155,0.150000000000476); -#10384=CIRCLE('',#25156,0.15); -#10385=CIRCLE('',#25157,0.149999999999998); -#10386=CIRCLE('',#25158,0.15); -#10387=CIRCLE('',#25161,0.100000000000004); -#10388=CIRCLE('',#25162,0.200000000000002); -#10389=CIRCLE('',#25163,0.2); -#10390=CIRCLE('',#25164,0.100000000000477); -#10391=CIRCLE('',#25165,0.0999999999999997); -#10392=CIRCLE('',#25166,0.199999999999998); -#10393=CIRCLE('',#25167,0.2); -#10394=CIRCLE('',#25168,0.100000000000001); -#10395=CIRCLE('',#25169,0.300000000000046); -#10396=CIRCLE('',#25170,0.300000000000042); -#10397=CIRCLE('',#25172,1.); -#10398=CIRCLE('',#25173,1.); -#10399=CIRCLE('',#25175,1.); -#10400=CIRCLE('',#25176,1.); -#10401=CIRCLE('',#25178,0.1); -#10402=CIRCLE('',#25179,0.1); -#10403=CIRCLE('',#25181,0.349999999999999); -#10404=CIRCLE('',#25182,0.349999999999999); -#10405=CIRCLE('',#25183,0.1); -#10406=CIRCLE('',#25185,0.099999999999997); -#10407=CIRCLE('',#25187,0.1); -#10408=CIRCLE('',#25188,0.349999999999999); -#10409=CIRCLE('',#25189,0.35); -#10410=CIRCLE('',#25190,0.305000000000234); -#10411=CIRCLE('',#25191,0.305000000000083); -#10412=CIRCLE('',#25192,0.099999999999997); -#10413=CIRCLE('',#25194,0.700000000000019); -#10414=CIRCLE('',#25195,1.00000000000001); -#10415=CIRCLE('',#25197,0.100000000000001); -#10416=CIRCLE('',#25198,0.300000000000042); -#10417=CIRCLE('',#25199,0.300000000000046); -#10418=CIRCLE('',#25200,0.100000000000001); -#10419=CIRCLE('',#25201,0.199999999999999); -#10420=CIRCLE('',#25202,0.199999999999998); -#10421=CIRCLE('',#25203,0.100000000000001); -#10422=CIRCLE('',#25204,0.100000000000477); -#10423=CIRCLE('',#25205,0.2); -#10424=CIRCLE('',#25206,0.200000000000002); -#10425=CIRCLE('',#25208,0.700000000000004); -#10426=CIRCLE('',#25209,0.700000000000004); -#10427=CIRCLE('',#25211,0.700000000000004); -#10428=CIRCLE('',#25212,0.700000000000004); -#10429=CIRCLE('',#25214,0.1); -#10430=CIRCLE('',#25215,0.1); -#10431=CIRCLE('',#25217,0.349999999999999); -#10432=CIRCLE('',#25218,0.1); -#10433=CIRCLE('',#25219,0.349999999999999); -#10434=CIRCLE('',#25221,0.099999999999997); -#10435=CIRCLE('',#25223,0.099999999999997); -#10436=CIRCLE('',#25224,0.305000000000083); -#10437=CIRCLE('',#25225,0.305000000000234); -#10438=CIRCLE('',#25226,0.35); -#10439=CIRCLE('',#25227,0.349999999999999); -#10440=CIRCLE('',#25228,0.1); -#10441=CIRCLE('',#25230,0.349999999999999); -#10442=CIRCLE('',#25231,0.349999999999999); -#10443=CIRCLE('',#25235,0.999999999999999); -#10444=CIRCLE('',#25236,0.999999999999999); -#10445=CIRCLE('',#25238,0.349999999999999); -#10446=CIRCLE('',#25239,0.349999999999999); -#10447=CIRCLE('',#25243,0.700000000000005); -#10448=CIRCLE('',#25244,0.700000000000005); -#10449=CIRCLE('',#25246,1.00000000000001); -#10450=CIRCLE('',#25248,0.0999999999999998); -#10451=CIRCLE('',#25249,0.0999999999999998); -#10452=CIRCLE('',#25250,0.3); -#10453=CIRCLE('',#25251,0.3); -#10454=CIRCLE('',#25252,0.0999999999999996); -#10455=CIRCLE('',#25253,0.0999999999999996); -#10456=CIRCLE('',#25254,0.25); -#10457=CIRCLE('',#25255,0.0999999999999998); -#10458=CIRCLE('',#25256,0.0999999999999998); -#10459=CIRCLE('',#25257,0.100000000000002); -#10460=CIRCLE('',#25258,0.1); -#10461=CIRCLE('',#25259,0.25); -#10462=CIRCLE('',#25260,0.25); -#10463=CIRCLE('',#25261,0.25); -#10464=CIRCLE('',#25262,0.1); -#10465=CIRCLE('',#25263,0.100000000000002); -#10466=CIRCLE('',#25264,0.0999999999999998); -#10467=CIRCLE('',#25265,0.0999999999999998); -#10468=CIRCLE('',#25267,0.999999999999998); -#10469=CIRCLE('',#25269,0.305000000000083); -#10470=CIRCLE('',#25270,0.305000000000234); -#10471=CIRCLE('',#25271,0.35); -#10472=CIRCLE('',#25272,0.349999999999999); -#10473=CIRCLE('',#25274,0.999999999999999); -#10474=CIRCLE('',#25275,0.999999999999999); -#10475=CIRCLE('',#25277,0.700000000000019); -#10476=CIRCLE('',#25278,0.699999999999999); -#10477=CIRCLE('',#25279,0.700000000000005); -#10478=CIRCLE('',#25283,0.349999999999999); -#10479=CIRCLE('',#25284,0.35); -#10480=CIRCLE('',#25285,0.305000000000234); -#10481=CIRCLE('',#25286,0.305000000000083); -#10482=CIRCLE('',#25288,0.700000000000005); -#10483=CIRCLE('',#25373,0.449999999999999); -#10484=CIRCLE('',#25374,0.15); -#10485=CIRCLE('',#25375,0.450000000000001); -#10486=CIRCLE('',#25376,0.15); -#10487=CIRCLE('',#25377,0.45); -#10488=CIRCLE('',#25378,0.149999999999999); -#10489=CIRCLE('',#25383,0.149999999999999); -#10490=CIRCLE('',#25384,0.45); -#10491=CIRCLE('',#25385,0.15); -#10492=CIRCLE('',#25386,0.450000000000001); -#10493=CIRCLE('',#25387,0.15); -#10494=CIRCLE('',#25388,0.449999999999999); -#10495=CIRCLE('',#25394,0.15); -#10496=CIRCLE('',#25395,0.45); -#10497=CIRCLE('',#25396,0.149999999999999); -#10498=CIRCLE('',#25397,0.449999999999999); -#10499=CIRCLE('',#25398,0.15); -#10500=CIRCLE('',#25399,0.450000000000001); -#10501=CIRCLE('',#25401,0.149999999999999); -#10502=CIRCLE('',#25402,0.45); -#10503=CIRCLE('',#25403,0.15); -#10504=CIRCLE('',#25404,0.450000000000001); -#10505=CIRCLE('',#25405,0.15); -#10506=CIRCLE('',#25406,0.449999999999999); -#10507=CIRCLE('',#25414,0.450000000000001); -#10508=CIRCLE('',#25415,0.15); -#10509=CIRCLE('',#25416,0.449999999999999); -#10510=CIRCLE('',#25417,0.149999999999999); -#10511=CIRCLE('',#25418,0.45); -#10512=CIRCLE('',#25419,0.15); -#10513=CIRCLE('',#25424,0.15); -#10514=CIRCLE('',#25425,0.45); -#10515=CIRCLE('',#25426,0.149999999999999); -#10516=CIRCLE('',#25427,0.449999999999999); -#10517=CIRCLE('',#25428,0.15); -#10518=CIRCLE('',#25429,0.450000000000001); -#10519=CIRCLE('',#25435,0.15); -#10520=CIRCLE('',#25436,0.45); -#10521=CIRCLE('',#25437,0.149999999999999); -#10522=CIRCLE('',#25438,0.449999999999999); -#10523=CIRCLE('',#25439,0.15); -#10524=CIRCLE('',#25440,0.450000000000001); -#10525=CIRCLE('',#25442,0.450000000000001); -#10526=CIRCLE('',#25443,0.15); -#10527=CIRCLE('',#25444,0.449999999999999); -#10528=CIRCLE('',#25445,0.149999999999999); -#10529=CIRCLE('',#25446,0.45); -#10530=CIRCLE('',#25447,0.15); -#10531=CIRCLE('',#25460,1.6); -#10532=CIRCLE('',#25461,1.3); -#10533=CIRCLE('',#25468,1.6); -#10534=CIRCLE('',#25469,1.3); -#10535=CIRCLE('',#25471,1.3); -#10536=CIRCLE('',#25472,1.6); -#10537=CIRCLE('',#25480,1.3); -#10538=CIRCLE('',#25481,1.6); -#10539=CIRCLE('',#25496,1.6); -#10540=CIRCLE('',#25497,1.3); -#10541=CIRCLE('',#25499,1.3); -#10542=CIRCLE('',#25500,1.6); -#10543=CIRCLE('',#25507,0.15); -#10544=CIRCLE('',#25508,0.15); -#10545=CIRCLE('',#25512,0.15); -#10546=CIRCLE('',#25513,0.15); -#10547=CIRCLE('',#25517,0.15); -#10548=CIRCLE('',#25518,0.15); -#10549=CIRCLE('',#25521,0.15); -#10550=CIRCLE('',#25522,0.15); -#10551=CIRCLE('',#25524,0.150002013091126); -#10552=CIRCLE('',#25525,0.150002013091126); -#10553=CIRCLE('',#25529,0.150002013091127); -#10554=CIRCLE('',#25530,0.150002013091126); -#10555=CIRCLE('',#25533,0.150002013091128); -#10556=CIRCLE('',#25534,0.150002013091127); -#10557=CIRCLE('',#25537,0.150002013091125); -#10558=CIRCLE('',#25538,0.150002013091129); -#10559=CIRCLE('',#25596,0.2); -#10560=CIRCLE('',#25597,0.2); -#10561=CIRCLE('',#25607,0.2); -#10562=CIRCLE('',#25608,0.2); -#10563=CIRCLE('',#25618,0.2); -#10564=CIRCLE('',#25619,0.2); -#10565=CIRCLE('',#25629,0.2); -#10566=CIRCLE('',#25630,0.2); -#10567=CIRCLE('',#25640,0.2); -#10568=CIRCLE('',#25641,0.2); -#10569=CIRCLE('',#25651,0.2); -#10570=CIRCLE('',#25652,0.2); -#10571=CIRCLE('',#25660,0.299999999999999); -#10572=CIRCLE('',#25661,0.299999999999999); -#10573=CIRCLE('',#25664,0.100000000000001); -#10574=CIRCLE('',#25665,0.100000000000001); -#10575=CIRCLE('',#25667,0.195803989154979); -#10576=CIRCLE('',#25668,0.195803989154979); -#10577=CIRCLE('',#25671,0.0499999999999975); -#10578=CIRCLE('',#25672,0.0499999999999975); -#10579=CIRCLE('',#25675,0.049999999999998); -#10580=CIRCLE('',#25676,0.049999999999998); -#10581=CIRCLE('',#25685,0.0499999999999975); -#10582=CIRCLE('',#25686,0.0499999999999975); -#10583=CIRCLE('',#25689,0.0499999999999975); -#10584=CIRCLE('',#25690,0.0499999999999975); -#10585=CIRCLE('',#25693,0.195803989154979); -#10586=CIRCLE('',#25694,0.195803989154979); -#10587=CIRCLE('',#25696,0.100000000000001); -#10588=CIRCLE('',#25697,0.100000000000001); -#10589=CIRCLE('',#25700,0.299999999999999); -#10590=CIRCLE('',#25701,0.299999999999999); -#10591=CIRCLE('',#25712,0.12); -#10592=CIRCLE('',#25713,0.12); -#10593=CIRCLE('',#25717,0.336071985539977); -#10594=CIRCLE('',#25718,0.336071985539977); -#10595=CIRCLE('',#25720,0.120000000000001); -#10596=CIRCLE('',#25721,0.120000000000001); -#10597=CIRCLE('',#25723,0.336071985539979); -#10598=CIRCLE('',#25724,0.336071985539979); -#10599=CIRCLE('',#25728,0.690000000000007); -#10600=CIRCLE('',#25729,0.690000000000007); -#10601=CIRCLE('',#25731,0.690000000000005); -#10602=CIRCLE('',#25732,0.690000000000005); -#10603=CIRCLE('',#25737,0.299999999999999); -#10604=CIRCLE('',#25739,0.299999999999999); -#10605=CIRCLE('',#25742,0.690000000000025); -#10606=CIRCLE('',#25743,0.69); -#10607=CIRCLE('',#25744,0.299999999999999); -#10608=CIRCLE('',#25745,0.299999999999999); -#10609=CIRCLE('',#25746,0.299999999999999); -#10610=CIRCLE('',#25747,0.299999999999999); -#10611=CIRCLE('',#25749,0.69); -#10612=CIRCLE('',#25751,0.690000000000025); -#10613=CIRCLE('',#25795,0.336071985539977); -#10614=CIRCLE('',#25796,0.12); -#10615=CIRCLE('',#25797,0.120000000000001); -#10616=CIRCLE('',#25798,0.336071985539979); -#10617=CIRCLE('',#25803,0.12); -#10618=CIRCLE('',#25806,0.336071985539977); -#10619=CIRCLE('',#25808,0.120000000000001); -#10620=CIRCLE('',#25810,0.336071985539979); -#10621=CIRCLE('',#25904,0.941174); -#10622=CIRCLE('',#25906,0.974849500000001); -#10623=CIRCLE('',#25922,1.56220799999991); -#10624=CIRCLE('',#25923,1.56220799999991); -#10625=CIRCLE('',#25926,1.56220799999994); -#10626=CIRCLE('',#25927,1.56220799999994); -#10627=CIRCLE('',#25932,0.941174); -#10628=CIRCLE('',#25934,0.974849500000001); -#10629=CIRCLE('',#25936,0.999999999999783); -#10630=CIRCLE('',#25937,0.999999999999783); -#10631=CIRCLE('',#25940,1.00000000000001); -#10632=CIRCLE('',#25941,1.00000000000001); -#10633=CIRCLE('',#25949,1.); -#10634=CIRCLE('',#25950,1.); -#10635=CIRCLE('',#25951,1.); -#10636=CIRCLE('',#25952,1.); -#10637=CIRCLE('',#25953,0.941174); -#10638=CIRCLE('',#25954,0.701599); -#10639=CIRCLE('',#25955,0.701599); -#10640=CIRCLE('',#25956,0.701599); -#10641=CIRCLE('',#25957,0.701599); -#10642=CIRCLE('',#25958,0.701599); -#10643=CIRCLE('',#25959,0.701599); -#10644=CIRCLE('',#25960,0.801599); -#10645=CIRCLE('',#25961,0.801599); -#10646=CIRCLE('',#25962,0.801599); -#10647=CIRCLE('',#25963,0.801599); -#10648=CIRCLE('',#25964,0.801599); -#10649=CIRCLE('',#25965,0.801599); -#10650=CIRCLE('',#25966,0.801599); -#10651=CIRCLE('',#25967,0.701599); -#10652=CIRCLE('',#25968,0.701599); -#10653=CIRCLE('',#25969,0.701599); -#10654=CIRCLE('',#25970,0.701599); -#10655=CIRCLE('',#25971,0.701599); -#10656=CIRCLE('',#25972,0.701599); -#10657=CIRCLE('',#25973,0.701599); -#10658=CIRCLE('',#25974,0.701599); -#10659=CIRCLE('',#25975,0.701599); -#10660=CIRCLE('',#25976,0.701599); -#10661=CIRCLE('',#25977,0.701599); -#10662=CIRCLE('',#25978,0.9748495); -#10663=CIRCLE('',#25982,1.); -#10664=CIRCLE('',#25983,1.); -#10665=CIRCLE('',#25984,1.); -#10666=CIRCLE('',#25985,1.); -#10667=CIRCLE('',#25986,0.9748495); -#10668=CIRCLE('',#25987,0.941174); -#10669=CIRCLE('',#25988,0.601599); -#10670=CIRCLE('',#25989,0.601599); -#10671=CIRCLE('',#25990,0.5516005); -#10672=CIRCLE('',#25991,0.5516); -#10673=CIRCLE('',#25992,0.701599); -#10674=CIRCLE('',#25993,0.701599); -#10675=CIRCLE('',#25994,0.701599); -#10676=CIRCLE('',#25995,0.701599); -#10677=CIRCLE('',#25996,0.701599); -#10678=CIRCLE('',#25997,0.701599); -#10679=CIRCLE('',#25998,0.801599); -#10680=CIRCLE('',#25999,0.801599); -#10681=CIRCLE('',#26000,0.801599); -#10682=CIRCLE('',#26001,0.801599); -#10683=CIRCLE('',#26002,0.801599); -#10684=CIRCLE('',#26003,0.801599); -#10685=CIRCLE('',#26004,0.801599); -#10686=CIRCLE('',#26005,0.701599); -#10687=CIRCLE('',#26006,0.701599); -#10688=CIRCLE('',#26007,0.701599); -#10689=CIRCLE('',#26008,0.701599); -#10690=CIRCLE('',#26009,0.701599); -#10691=CIRCLE('',#26010,0.701599); -#10692=CIRCLE('',#26011,0.701599); -#10693=CIRCLE('',#26012,0.701599); -#10694=CIRCLE('',#26013,0.701599); -#10695=CIRCLE('',#26014,0.701599); -#10696=CIRCLE('',#26015,0.701599); -#10697=CIRCLE('',#26074,1.05); -#10698=CIRCLE('',#26075,1.05); -#10699=CIRCLE('',#26077,1.05); -#10700=CIRCLE('',#26078,1.05); -#10701=CIRCLE('',#26080,1.05); -#10702=CIRCLE('',#26082,0.75); -#10703=CIRCLE('',#26083,0.3); -#10704=CIRCLE('',#26084,0.3); -#10705=CIRCLE('',#26086,0.75); -#10706=CIRCLE('',#26087,0.75); -#10707=CIRCLE('',#26089,0.75); -#10708=CIRCLE('',#26091,0.3); -#10709=CIRCLE('',#26092,0.3); -#10710=CIRCLE('',#26094,1.05); -#10711=CIRCLE('',#26095,0.3); -#10712=CIRCLE('',#26097,0.3); -#10713=CIRCLE('',#26099,1.05); -#10714=CIRCLE('',#26105,0.3); -#10715=CIRCLE('',#26108,1.05); -#10716=CIRCLE('',#26110,0.3); -#10717=CIRCLE('',#26184,0.601599); -#10718=CIRCLE('',#26192,0.601599); -#10719=CIRCLE('',#26195,0.5516); -#10720=CIRCLE('',#26197,0.5516005); -#10721=CIRCLE('',#26325,1.); -#10722=CIRCLE('',#26326,1.); -#10723=CIRCLE('',#26333,1.); -#10724=CIRCLE('',#26335,0.8); -#10725=CIRCLE('',#26337,0.25); -#10726=CIRCLE('',#26339,0.8); -#10727=CIRCLE('',#26341,0.25); -#10728=VERTEX_POINT('',#33292); -#10729=VERTEX_POINT('',#33293); -#10730=VERTEX_POINT('',#33295); -#10731=VERTEX_POINT('',#33297); -#10732=VERTEX_POINT('',#33299); -#10733=VERTEX_POINT('',#33301); -#10734=VERTEX_POINT('',#33305); -#10735=VERTEX_POINT('',#33306); -#10736=VERTEX_POINT('',#33308); -#10737=VERTEX_POINT('',#33310); -#10738=VERTEX_POINT('',#33312); -#10739=VERTEX_POINT('',#33314); -#10740=VERTEX_POINT('',#33318); -#10741=VERTEX_POINT('',#33319); -#10742=VERTEX_POINT('',#33321); -#10743=VERTEX_POINT('',#33323); -#10744=VERTEX_POINT('',#33325); -#10745=VERTEX_POINT('',#33327); -#10746=VERTEX_POINT('',#33331); -#10747=VERTEX_POINT('',#33332); -#10748=VERTEX_POINT('',#33334); -#10749=VERTEX_POINT('',#33336); -#10750=VERTEX_POINT('',#33340); -#10751=VERTEX_POINT('',#33341); -#10752=VERTEX_POINT('',#33343); -#10753=VERTEX_POINT('',#33345); -#10754=VERTEX_POINT('',#33347); -#10755=VERTEX_POINT('',#33349); -#10756=VERTEX_POINT('',#33351); -#10757=VERTEX_POINT('',#33353); -#10758=VERTEX_POINT('',#33355); -#10759=VERTEX_POINT('',#33357); -#10760=VERTEX_POINT('',#33359); -#10761=VERTEX_POINT('',#33361); -#10762=VERTEX_POINT('',#33363); -#10763=VERTEX_POINT('',#33365); -#10764=VERTEX_POINT('',#33367); -#10765=VERTEX_POINT('',#33369); -#10766=VERTEX_POINT('',#33371); -#10767=VERTEX_POINT('',#33373); -#10768=VERTEX_POINT('',#33375); -#10769=VERTEX_POINT('',#33377); -#10770=VERTEX_POINT('',#33379); -#10771=VERTEX_POINT('',#33381); -#10772=VERTEX_POINT('',#33384); -#10773=VERTEX_POINT('',#33385); -#10774=VERTEX_POINT('',#33387); -#10775=VERTEX_POINT('',#33389); -#10776=VERTEX_POINT('',#33391); -#10777=VERTEX_POINT('',#33393); -#10778=VERTEX_POINT('',#33395); -#10779=VERTEX_POINT('',#33397); -#10780=VERTEX_POINT('',#33399); -#10781=VERTEX_POINT('',#33401); -#10782=VERTEX_POINT('',#33404); -#10783=VERTEX_POINT('',#33405); -#10784=VERTEX_POINT('',#33407); -#10785=VERTEX_POINT('',#33409); -#10786=VERTEX_POINT('',#33411); -#10787=VERTEX_POINT('',#33413); -#10788=VERTEX_POINT('',#33415); -#10789=VERTEX_POINT('',#33417); -#10790=VERTEX_POINT('',#33419); -#10791=VERTEX_POINT('',#33421); -#10792=VERTEX_POINT('',#33424); -#10793=VERTEX_POINT('',#33425); -#10794=VERTEX_POINT('',#33427); -#10795=VERTEX_POINT('',#33429); -#10796=VERTEX_POINT('',#33431); -#10797=VERTEX_POINT('',#33433); -#10798=VERTEX_POINT('',#33435); -#10799=VERTEX_POINT('',#33437); -#10800=VERTEX_POINT('',#33439); -#10801=VERTEX_POINT('',#33441); -#10802=VERTEX_POINT('',#33443); -#10803=VERTEX_POINT('',#33445); -#10804=VERTEX_POINT('',#33447); -#10805=VERTEX_POINT('',#33449); -#10806=VERTEX_POINT('',#33452); -#10807=VERTEX_POINT('',#33453); -#10808=VERTEX_POINT('',#33455); -#10809=VERTEX_POINT('',#33457); -#10810=VERTEX_POINT('',#33459); -#10811=VERTEX_POINT('',#33461); -#10812=VERTEX_POINT('',#33463); -#10813=VERTEX_POINT('',#33465); -#10814=VERTEX_POINT('',#33467); -#10815=VERTEX_POINT('',#33469); -#10816=VERTEX_POINT('',#33471); -#10817=VERTEX_POINT('',#33473); -#10818=VERTEX_POINT('',#33475); -#10819=VERTEX_POINT('',#33477); -#10820=VERTEX_POINT('',#33481); -#10821=VERTEX_POINT('',#33482); -#10822=VERTEX_POINT('',#33484); -#10823=VERTEX_POINT('',#33486); -#10824=VERTEX_POINT('',#33490); -#10825=VERTEX_POINT('',#33491); -#10826=VERTEX_POINT('',#33493); -#10827=VERTEX_POINT('',#33495); -#10828=VERTEX_POINT('',#33498); -#10829=VERTEX_POINT('',#33500); -#10830=VERTEX_POINT('',#33502); -#10831=VERTEX_POINT('',#33504); -#10832=VERTEX_POINT('',#33506); -#10833=VERTEX_POINT('',#33508); -#10834=VERTEX_POINT('',#33512); -#10835=VERTEX_POINT('',#33514); -#10836=VERTEX_POINT('',#33516); -#10837=VERTEX_POINT('',#33518); -#10838=VERTEX_POINT('',#33520); -#10839=VERTEX_POINT('',#33522); -#10840=VERTEX_POINT('',#33526); -#10841=VERTEX_POINT('',#33527); -#10842=VERTEX_POINT('',#33529); -#10843=VERTEX_POINT('',#33531); -#10844=VERTEX_POINT('',#33533); -#10845=VERTEX_POINT('',#33535); -#10846=VERTEX_POINT('',#33537); -#10847=VERTEX_POINT('',#33539); -#10848=VERTEX_POINT('',#33541); -#10849=VERTEX_POINT('',#33543); -#10850=VERTEX_POINT('',#33545); -#10851=VERTEX_POINT('',#33547); -#10852=VERTEX_POINT('',#33549); -#10853=VERTEX_POINT('',#33551); -#10854=VERTEX_POINT('',#33553); -#10855=VERTEX_POINT('',#33555); -#10856=VERTEX_POINT('',#33557); -#10857=VERTEX_POINT('',#33559); -#10858=VERTEX_POINT('',#33561); -#10859=VERTEX_POINT('',#33563); -#10860=VERTEX_POINT('',#33565); -#10861=VERTEX_POINT('',#33567); -#10862=VERTEX_POINT('',#33569); -#10863=VERTEX_POINT('',#33571); -#10864=VERTEX_POINT('',#33573); -#10865=VERTEX_POINT('',#33575); -#10866=VERTEX_POINT('',#33577); -#10867=VERTEX_POINT('',#33579); -#10868=VERTEX_POINT('',#33582); -#10869=VERTEX_POINT('',#33584); -#10870=VERTEX_POINT('',#33586); -#10871=VERTEX_POINT('',#33588); -#10872=VERTEX_POINT('',#33590); -#10873=VERTEX_POINT('',#33592); -#10874=VERTEX_POINT('',#33594); -#10875=VERTEX_POINT('',#33596); -#10876=VERTEX_POINT('',#33598); -#10877=VERTEX_POINT('',#33600); -#10878=VERTEX_POINT('',#33604); -#10879=VERTEX_POINT('',#33605); -#10880=VERTEX_POINT('',#33607); -#10881=VERTEX_POINT('',#33609); -#10882=VERTEX_POINT('',#33611); -#10883=VERTEX_POINT('',#33613); -#10884=VERTEX_POINT('',#33615); -#10885=VERTEX_POINT('',#33617); -#10886=VERTEX_POINT('',#33619); -#10887=VERTEX_POINT('',#33621); -#10888=VERTEX_POINT('',#33623); -#10889=VERTEX_POINT('',#33625); -#10890=VERTEX_POINT('',#33627); -#10891=VERTEX_POINT('',#33629); -#10892=VERTEX_POINT('',#33631); -#10893=VERTEX_POINT('',#33633); -#10894=VERTEX_POINT('',#33635); -#10895=VERTEX_POINT('',#33637); -#10896=VERTEX_POINT('',#33639); -#10897=VERTEX_POINT('',#33641); -#10898=VERTEX_POINT('',#33643); -#10899=VERTEX_POINT('',#33645); -#10900=VERTEX_POINT('',#33647); -#10901=VERTEX_POINT('',#33649); -#10902=VERTEX_POINT('',#33651); -#10903=VERTEX_POINT('',#33653); -#10904=VERTEX_POINT('',#33655); -#10905=VERTEX_POINT('',#33657); -#10906=VERTEX_POINT('',#33660); -#10907=VERTEX_POINT('',#33661); -#10908=VERTEX_POINT('',#33663); -#10909=VERTEX_POINT('',#33665); -#10910=VERTEX_POINT('',#33667); -#10911=VERTEX_POINT('',#33669); -#10912=VERTEX_POINT('',#33671); -#10913=VERTEX_POINT('',#33673); -#10914=VERTEX_POINT('',#33675); -#10915=VERTEX_POINT('',#33677); -#10916=VERTEX_POINT('',#33684); -#10917=VERTEX_POINT('',#33685); -#10918=VERTEX_POINT('',#33687); -#10919=VERTEX_POINT('',#33689); -#10920=VERTEX_POINT('',#33691); -#10921=VERTEX_POINT('',#33693); -#10922=VERTEX_POINT('',#33695); -#10923=VERTEX_POINT('',#33697); -#10924=VERTEX_POINT('',#33699); -#10925=VERTEX_POINT('',#33701); -#10926=VERTEX_POINT('',#33703); -#10927=VERTEX_POINT('',#33705); -#10928=VERTEX_POINT('',#33707); -#10929=VERTEX_POINT('',#33709); -#10930=VERTEX_POINT('',#33711); -#10931=VERTEX_POINT('',#33713); -#10932=VERTEX_POINT('',#33715); -#10933=VERTEX_POINT('',#33717); -#10934=VERTEX_POINT('',#33719); -#10935=VERTEX_POINT('',#33721); -#10936=VERTEX_POINT('',#33723); -#10937=VERTEX_POINT('',#33725); -#10938=VERTEX_POINT('',#33727); -#10939=VERTEX_POINT('',#33729); -#10940=VERTEX_POINT('',#33731); -#10941=VERTEX_POINT('',#33733); -#10942=VERTEX_POINT('',#33735); -#10943=VERTEX_POINT('',#33737); -#10944=VERTEX_POINT('',#33740); -#10945=VERTEX_POINT('',#33741); -#10946=VERTEX_POINT('',#33743); -#10947=VERTEX_POINT('',#33745); -#10948=VERTEX_POINT('',#33747); -#10949=VERTEX_POINT('',#33749); -#10950=VERTEX_POINT('',#33751); -#10951=VERTEX_POINT('',#33753); -#10952=VERTEX_POINT('',#33755); -#10953=VERTEX_POINT('',#33757); -#10954=VERTEX_POINT('',#33759); -#10955=VERTEX_POINT('',#33761); -#10956=VERTEX_POINT('',#33765); -#10957=VERTEX_POINT('',#33766); -#10958=VERTEX_POINT('',#33771); -#10959=VERTEX_POINT('',#33772); -#10960=VERTEX_POINT('',#33777); -#10961=VERTEX_POINT('',#33778); -#10962=VERTEX_POINT('',#33780); -#10963=VERTEX_POINT('',#33783); -#10964=VERTEX_POINT('',#33785); -#10965=VERTEX_POINT('',#33789); -#10966=VERTEX_POINT('',#33790); -#10967=VERTEX_POINT('',#33792); -#10968=VERTEX_POINT('',#33794); -#10969=VERTEX_POINT('',#33796); -#10970=VERTEX_POINT('',#33798); -#10971=VERTEX_POINT('',#33803); -#10972=VERTEX_POINT('',#33804); -#10973=VERTEX_POINT('',#33807); -#10974=VERTEX_POINT('',#33811); -#10975=VERTEX_POINT('',#33813); -#10976=VERTEX_POINT('',#33815); -#10977=VERTEX_POINT('',#33817); -#10978=VERTEX_POINT('',#33819); -#10979=VERTEX_POINT('',#33821); -#10980=VERTEX_POINT('',#33823); -#10981=VERTEX_POINT('',#33825); -#10982=VERTEX_POINT('',#33827); -#10983=VERTEX_POINT('',#33829); -#10984=VERTEX_POINT('',#33834); -#10985=VERTEX_POINT('',#33835); -#10986=VERTEX_POINT('',#33838); -#10987=VERTEX_POINT('',#33840); -#10988=VERTEX_POINT('',#33845); -#10989=VERTEX_POINT('',#33846); -#10990=VERTEX_POINT('',#33848); -#10991=VERTEX_POINT('',#33850); -#10992=VERTEX_POINT('',#33852); -#10993=VERTEX_POINT('',#33854); -#10994=VERTEX_POINT('',#33856); -#10995=VERTEX_POINT('',#33858); -#10996=VERTEX_POINT('',#33860); -#10997=VERTEX_POINT('',#33862); -#10998=VERTEX_POINT('',#33864); -#10999=VERTEX_POINT('',#33866); -#11000=VERTEX_POINT('',#33868); -#11001=VERTEX_POINT('',#33870); -#11002=VERTEX_POINT('',#33872); -#11003=VERTEX_POINT('',#33874); -#11004=VERTEX_POINT('',#33876); -#11005=VERTEX_POINT('',#33878); -#11006=VERTEX_POINT('',#33880); -#11007=VERTEX_POINT('',#33882); -#11008=VERTEX_POINT('',#33884); -#11009=VERTEX_POINT('',#33886); -#11010=VERTEX_POINT('',#33888); -#11011=VERTEX_POINT('',#33890); -#11012=VERTEX_POINT('',#33892); -#11013=VERTEX_POINT('',#33894); -#11014=VERTEX_POINT('',#33896); -#11015=VERTEX_POINT('',#33898); -#11016=VERTEX_POINT('',#33901); -#11017=VERTEX_POINT('',#33902); -#11018=VERTEX_POINT('',#33904); -#11019=VERTEX_POINT('',#33906); -#11020=VERTEX_POINT('',#33908); -#11021=VERTEX_POINT('',#33910); -#11022=VERTEX_POINT('',#33912); -#11023=VERTEX_POINT('',#33914); -#11024=VERTEX_POINT('',#33916); -#11025=VERTEX_POINT('',#33918); -#11026=VERTEX_POINT('',#33922); -#11027=VERTEX_POINT('',#33924); -#11028=VERTEX_POINT('',#33928); -#11029=VERTEX_POINT('',#33930); -#11030=VERTEX_POINT('',#33934); -#11031=VERTEX_POINT('',#33935); -#11032=VERTEX_POINT('',#33937); -#11033=VERTEX_POINT('',#33939); -#11034=VERTEX_POINT('',#33941); -#11035=VERTEX_POINT('',#33946); -#11036=VERTEX_POINT('',#33949); -#11037=VERTEX_POINT('',#33951); -#11038=VERTEX_POINT('',#33953); -#11039=VERTEX_POINT('',#33957); -#11040=VERTEX_POINT('',#33959); -#11041=VERTEX_POINT('',#33961); -#11042=VERTEX_POINT('',#33965); -#11043=VERTEX_POINT('',#33967); -#11044=VERTEX_POINT('',#33969); -#11045=VERTEX_POINT('',#33971); -#11046=VERTEX_POINT('',#33973); -#11047=VERTEX_POINT('',#33975); -#11048=VERTEX_POINT('',#33977); -#11049=VERTEX_POINT('',#33979); -#11050=VERTEX_POINT('',#33981); -#11051=VERTEX_POINT('',#33983); -#11052=VERTEX_POINT('',#33988); -#11053=VERTEX_POINT('',#33990); -#11054=VERTEX_POINT('',#33992); -#11055=VERTEX_POINT('',#33997); -#11056=VERTEX_POINT('',#33999); -#11057=VERTEX_POINT('',#34001); -#11058=VERTEX_POINT('',#34005); -#11059=VERTEX_POINT('',#34008); -#11060=VERTEX_POINT('',#34010); -#11061=VERTEX_POINT('',#34017); -#11062=VERTEX_POINT('',#34018); -#11063=VERTEX_POINT('',#34022); -#11064=VERTEX_POINT('',#34026); -#11065=VERTEX_POINT('',#34028); -#11066=VERTEX_POINT('',#34030); -#11067=VERTEX_POINT('',#34034); -#11068=VERTEX_POINT('',#34036); -#11069=VERTEX_POINT('',#34038); -#11070=VERTEX_POINT('',#34046); -#11071=VERTEX_POINT('',#34050); -#11072=VERTEX_POINT('',#34052); -#11073=VERTEX_POINT('',#34054); -#11074=VERTEX_POINT('',#34056); -#11075=VERTEX_POINT('',#34058); -#11076=VERTEX_POINT('',#34060); -#11077=VERTEX_POINT('',#34062); -#11078=VERTEX_POINT('',#34065); -#11079=VERTEX_POINT('',#34068); -#11080=VERTEX_POINT('',#34070); -#11081=VERTEX_POINT('',#34072); -#11082=VERTEX_POINT('',#34074); -#11083=VERTEX_POINT('',#34076); -#11084=VERTEX_POINT('',#34078); -#11085=VERTEX_POINT('',#34080); -#11086=VERTEX_POINT('',#34083); -#11087=VERTEX_POINT('',#34084); -#11088=VERTEX_POINT('',#34086); -#11089=VERTEX_POINT('',#34088); -#11090=VERTEX_POINT('',#34090); -#11091=VERTEX_POINT('',#34092); -#11092=VERTEX_POINT('',#34094); -#11093=VERTEX_POINT('',#34096); -#11094=VERTEX_POINT('',#34098); -#11095=VERTEX_POINT('',#34100); -#11096=VERTEX_POINT('',#34102); -#11097=VERTEX_POINT('',#34104); -#11098=VERTEX_POINT('',#34106); -#11099=VERTEX_POINT('',#34108); -#11100=VERTEX_POINT('',#34111); -#11101=VERTEX_POINT('',#34112); -#11102=VERTEX_POINT('',#34115); -#11103=VERTEX_POINT('',#34117); -#11104=VERTEX_POINT('',#34119); -#11105=VERTEX_POINT('',#34121); -#11106=VERTEX_POINT('',#34123); -#11107=VERTEX_POINT('',#34125); -#11108=VERTEX_POINT('',#34127); -#11109=VERTEX_POINT('',#34129); -#11110=VERTEX_POINT('',#34132); -#11111=VERTEX_POINT('',#34133); -#11112=VERTEX_POINT('',#34135); -#11113=VERTEX_POINT('',#34137); -#11114=VERTEX_POINT('',#34139); -#11115=VERTEX_POINT('',#34141); -#11116=VERTEX_POINT('',#34143); -#11117=VERTEX_POINT('',#34145); -#11118=VERTEX_POINT('',#34147); -#11119=VERTEX_POINT('',#34149); -#11120=VERTEX_POINT('',#34151); -#11121=VERTEX_POINT('',#34153); -#11122=VERTEX_POINT('',#34156); -#11123=VERTEX_POINT('',#34157); -#11124=VERTEX_POINT('',#34159); -#11125=VERTEX_POINT('',#34161); -#11126=VERTEX_POINT('',#34163); -#11127=VERTEX_POINT('',#34165); -#11128=VERTEX_POINT('',#34167); -#11129=VERTEX_POINT('',#34169); -#11130=VERTEX_POINT('',#34171); -#11131=VERTEX_POINT('',#34173); -#11132=VERTEX_POINT('',#34175); -#11133=VERTEX_POINT('',#34177); -#11134=VERTEX_POINT('',#34179); -#11135=VERTEX_POINT('',#34181); -#11136=VERTEX_POINT('',#34188); -#11137=VERTEX_POINT('',#34190); -#11138=VERTEX_POINT('',#34192); -#11139=VERTEX_POINT('',#34194); -#11140=VERTEX_POINT('',#34196); -#11141=VERTEX_POINT('',#34198); -#11142=VERTEX_POINT('',#34200); -#11143=VERTEX_POINT('',#34202); -#11144=VERTEX_POINT('',#34218); -#11145=VERTEX_POINT('',#34220); -#11146=VERTEX_POINT('',#34222); -#11147=VERTEX_POINT('',#34224); -#11148=VERTEX_POINT('',#34226); -#11149=VERTEX_POINT('',#34228); -#11150=VERTEX_POINT('',#34230); -#11151=VERTEX_POINT('',#34232); -#11152=VERTEX_POINT('',#34396); -#11153=VERTEX_POINT('',#34398); -#11154=VERTEX_POINT('',#34403); -#11155=VERTEX_POINT('',#34405); -#11156=VERTEX_POINT('',#34407); -#11157=VERTEX_POINT('',#34409); -#11158=VERTEX_POINT('',#34413); -#11159=VERTEX_POINT('',#34415); -#11160=VERTEX_POINT('',#34417); -#11161=VERTEX_POINT('',#34419); -#11162=VERTEX_POINT('',#34429); -#11163=VERTEX_POINT('',#34431); -#11164=VERTEX_POINT('',#34433); -#11165=VERTEX_POINT('',#34435); -#11166=VERTEX_POINT('',#34439); -#11167=VERTEX_POINT('',#34441); -#11168=VERTEX_POINT('',#34443); -#11169=VERTEX_POINT('',#34445); -#11170=VERTEX_POINT('',#34457); -#11171=VERTEX_POINT('',#34459); -#11172=VERTEX_POINT('',#34461); -#11173=VERTEX_POINT('',#34463); -#11174=VERTEX_POINT('',#34467); -#11175=VERTEX_POINT('',#34469); -#11176=VERTEX_POINT('',#34471); -#11177=VERTEX_POINT('',#34473); -#11178=VERTEX_POINT('',#34478); -#11179=VERTEX_POINT('',#34480); -#11180=VERTEX_POINT('',#34482); -#11181=VERTEX_POINT('',#34484); -#11182=VERTEX_POINT('',#34488); -#11183=VERTEX_POINT('',#34490); -#11184=VERTEX_POINT('',#34492); -#11185=VERTEX_POINT('',#34494); -#11186=VERTEX_POINT('',#34506); -#11187=VERTEX_POINT('',#34508); -#11188=VERTEX_POINT('',#34512); -#11189=VERTEX_POINT('',#34514); -#11190=VERTEX_POINT('',#34516); -#11191=VERTEX_POINT('',#34518); -#11192=VERTEX_POINT('',#34522); -#11193=VERTEX_POINT('',#34524); -#11194=VERTEX_POINT('',#34526); -#11195=VERTEX_POINT('',#34528); -#11196=VERTEX_POINT('',#34539); -#11197=VERTEX_POINT('',#34541); -#11198=VERTEX_POINT('',#34543); -#11199=VERTEX_POINT('',#34545); -#11200=VERTEX_POINT('',#34549); -#11201=VERTEX_POINT('',#34551); -#11202=VERTEX_POINT('',#34553); -#11203=VERTEX_POINT('',#34555); -#11204=VERTEX_POINT('',#34567); -#11205=VERTEX_POINT('',#34569); -#11206=VERTEX_POINT('',#34571); -#11207=VERTEX_POINT('',#34573); -#11208=VERTEX_POINT('',#34577); -#11209=VERTEX_POINT('',#34579); -#11210=VERTEX_POINT('',#34581); -#11211=VERTEX_POINT('',#34583); -#11212=VERTEX_POINT('',#34588); -#11213=VERTEX_POINT('',#34590); -#11214=VERTEX_POINT('',#34592); -#11215=VERTEX_POINT('',#34594); -#11216=VERTEX_POINT('',#34598); -#11217=VERTEX_POINT('',#34600); -#11218=VERTEX_POINT('',#34602); -#11219=VERTEX_POINT('',#34604); -#11220=VERTEX_POINT('',#34628); -#11221=VERTEX_POINT('',#34629); -#11222=VERTEX_POINT('',#34631); -#11223=VERTEX_POINT('',#34633); -#11224=VERTEX_POINT('',#34649); -#11225=VERTEX_POINT('',#34650); -#11226=VERTEX_POINT('',#34652); -#11227=VERTEX_POINT('',#34654); -#11228=VERTEX_POINT('',#34659); -#11229=VERTEX_POINT('',#34660); -#11230=VERTEX_POINT('',#34662); -#11231=VERTEX_POINT('',#34664); -#11232=VERTEX_POINT('',#34681); -#11233=VERTEX_POINT('',#34682); -#11234=VERTEX_POINT('',#34684); -#11235=VERTEX_POINT('',#34686); -#11236=VERTEX_POINT('',#34718); -#11237=VERTEX_POINT('',#34719); -#11238=VERTEX_POINT('',#34721); -#11239=VERTEX_POINT('',#34723); -#11240=VERTEX_POINT('',#34728); -#11241=VERTEX_POINT('',#34729); -#11242=VERTEX_POINT('',#34731); -#11243=VERTEX_POINT('',#34733); -#11244=VERTEX_POINT('',#34746); -#11245=VERTEX_POINT('',#34747); -#11246=VERTEX_POINT('',#34749); -#11247=VERTEX_POINT('',#34751); -#11248=VERTEX_POINT('',#34769); -#11249=VERTEX_POINT('',#34770); -#11250=VERTEX_POINT('',#34775); -#11251=VERTEX_POINT('',#34776); -#11252=VERTEX_POINT('',#34784); -#11253=VERTEX_POINT('',#34786); -#11254=VERTEX_POINT('',#34788); -#11255=VERTEX_POINT('',#34790); -#11256=VERTEX_POINT('',#34798); -#11257=VERTEX_POINT('',#34799); -#11258=VERTEX_POINT('',#34801); -#11259=VERTEX_POINT('',#34804); -#11260=VERTEX_POINT('',#34811); -#11261=VERTEX_POINT('',#34813); -#11262=VERTEX_POINT('',#34815); -#11263=VERTEX_POINT('',#34817); -#11264=VERTEX_POINT('',#34822); -#11265=VERTEX_POINT('',#34824); -#11266=VERTEX_POINT('',#34828); -#11267=VERTEX_POINT('',#34832); -#11268=VERTEX_POINT('',#34926); -#11269=VERTEX_POINT('',#34927); -#11270=VERTEX_POINT('',#34929); -#11271=VERTEX_POINT('',#34931); -#11272=VERTEX_POINT('',#34935); -#11273=VERTEX_POINT('',#34937); -#11274=VERTEX_POINT('',#34941); -#11275=VERTEX_POINT('',#34943); -#11276=VERTEX_POINT('',#34947); -#11277=VERTEX_POINT('',#34949); -#11278=VERTEX_POINT('',#34953); -#11279=VERTEX_POINT('',#34955); -#11280=VERTEX_POINT('',#34959); -#11281=VERTEX_POINT('',#34961); -#11282=VERTEX_POINT('',#34970); -#11283=VERTEX_POINT('',#34971); -#11284=VERTEX_POINT('',#34973); -#11285=VERTEX_POINT('',#34975); -#11286=VERTEX_POINT('',#34979); -#11287=VERTEX_POINT('',#34981); -#11288=VERTEX_POINT('',#34985); -#11289=VERTEX_POINT('',#34987); -#11290=VERTEX_POINT('',#34991); -#11291=VERTEX_POINT('',#34993); -#11292=VERTEX_POINT('',#34997); -#11293=VERTEX_POINT('',#34999); -#11294=VERTEX_POINT('',#35003); -#11295=VERTEX_POINT('',#35005); -#11296=VERTEX_POINT('',#35014); -#11297=VERTEX_POINT('',#35015); -#11298=VERTEX_POINT('',#35017); -#11299=VERTEX_POINT('',#35019); -#11300=VERTEX_POINT('',#35023); -#11301=VERTEX_POINT('',#35025); -#11302=VERTEX_POINT('',#35029); -#11303=VERTEX_POINT('',#35031); -#11304=VERTEX_POINT('',#35035); -#11305=VERTEX_POINT('',#35037); -#11306=VERTEX_POINT('',#35041); -#11307=VERTEX_POINT('',#35043); -#11308=VERTEX_POINT('',#35047); -#11309=VERTEX_POINT('',#35049); -#11310=VERTEX_POINT('',#35058); -#11311=VERTEX_POINT('',#35059); -#11312=VERTEX_POINT('',#35061); -#11313=VERTEX_POINT('',#35063); -#11314=VERTEX_POINT('',#35067); -#11315=VERTEX_POINT('',#35069); -#11316=VERTEX_POINT('',#35073); -#11317=VERTEX_POINT('',#35075); -#11318=VERTEX_POINT('',#35079); -#11319=VERTEX_POINT('',#35081); -#11320=VERTEX_POINT('',#35085); -#11321=VERTEX_POINT('',#35087); -#11322=VERTEX_POINT('',#35091); -#11323=VERTEX_POINT('',#35093); -#11324=VERTEX_POINT('',#35102); -#11325=VERTEX_POINT('',#35103); -#11326=VERTEX_POINT('',#35105); -#11327=VERTEX_POINT('',#35107); -#11328=VERTEX_POINT('',#35111); -#11329=VERTEX_POINT('',#35113); -#11330=VERTEX_POINT('',#35117); -#11331=VERTEX_POINT('',#35119); -#11332=VERTEX_POINT('',#35123); -#11333=VERTEX_POINT('',#35125); -#11334=VERTEX_POINT('',#35129); -#11335=VERTEX_POINT('',#35131); -#11336=VERTEX_POINT('',#35135); -#11337=VERTEX_POINT('',#35137); -#11338=VERTEX_POINT('',#35146); -#11339=VERTEX_POINT('',#35147); -#11340=VERTEX_POINT('',#35149); -#11341=VERTEX_POINT('',#35151); -#11342=VERTEX_POINT('',#35155); -#11343=VERTEX_POINT('',#35157); -#11344=VERTEX_POINT('',#35161); -#11345=VERTEX_POINT('',#35163); -#11346=VERTEX_POINT('',#35167); -#11347=VERTEX_POINT('',#35169); -#11348=VERTEX_POINT('',#35173); -#11349=VERTEX_POINT('',#35175); -#11350=VERTEX_POINT('',#35179); -#11351=VERTEX_POINT('',#35181); -#11352=VERTEX_POINT('',#35190); -#11353=VERTEX_POINT('',#35191); -#11354=VERTEX_POINT('',#35193); -#11355=VERTEX_POINT('',#35195); -#11356=VERTEX_POINT('',#35199); -#11357=VERTEX_POINT('',#35201); -#11358=VERTEX_POINT('',#35205); -#11359=VERTEX_POINT('',#35207); -#11360=VERTEX_POINT('',#35211); -#11361=VERTEX_POINT('',#35213); -#11362=VERTEX_POINT('',#35217); -#11363=VERTEX_POINT('',#35219); -#11364=VERTEX_POINT('',#35223); -#11365=VERTEX_POINT('',#35225); -#11366=VERTEX_POINT('',#35229); -#11367=VERTEX_POINT('',#35231); -#11368=VERTEX_POINT('',#35235); -#11369=VERTEX_POINT('',#35237); -#11370=VERTEX_POINT('',#35241); -#11371=VERTEX_POINT('',#35243); -#11372=VERTEX_POINT('',#35247); -#11373=VERTEX_POINT('',#35249); -#11374=VERTEX_POINT('',#35253); -#11375=VERTEX_POINT('',#35255); -#11376=VERTEX_POINT('',#35259); -#11377=VERTEX_POINT('',#35261); -#11378=VERTEX_POINT('',#35270); -#11379=VERTEX_POINT('',#35271); -#11380=VERTEX_POINT('',#35273); -#11381=VERTEX_POINT('',#35275); -#11382=VERTEX_POINT('',#35279); -#11383=VERTEX_POINT('',#35281); -#11384=VERTEX_POINT('',#35285); -#11385=VERTEX_POINT('',#35287); -#11386=VERTEX_POINT('',#35291); -#11387=VERTEX_POINT('',#35293); -#11388=VERTEX_POINT('',#35297); -#11389=VERTEX_POINT('',#35299); -#11390=VERTEX_POINT('',#35303); -#11391=VERTEX_POINT('',#35305); -#11392=VERTEX_POINT('',#35309); -#11393=VERTEX_POINT('',#35311); -#11394=VERTEX_POINT('',#35315); -#11395=VERTEX_POINT('',#35317); -#11396=VERTEX_POINT('',#35321); -#11397=VERTEX_POINT('',#35323); -#11398=VERTEX_POINT('',#35327); -#11399=VERTEX_POINT('',#35329); -#11400=VERTEX_POINT('',#35333); -#11401=VERTEX_POINT('',#35335); -#11402=VERTEX_POINT('',#35339); -#11403=VERTEX_POINT('',#35341); -#11404=VERTEX_POINT('',#35350); -#11405=VERTEX_POINT('',#35351); -#11406=VERTEX_POINT('',#35353); -#11407=VERTEX_POINT('',#35355); -#11408=VERTEX_POINT('',#35357); -#11409=VERTEX_POINT('',#35359); -#11410=VERTEX_POINT('',#35361); -#11411=VERTEX_POINT('',#35363); -#11412=VERTEX_POINT('',#35367); -#11413=VERTEX_POINT('',#35368); -#11414=VERTEX_POINT('',#35370); -#11415=VERTEX_POINT('',#35372); -#11416=VERTEX_POINT('',#35374); -#11417=VERTEX_POINT('',#35376); -#11418=VERTEX_POINT('',#35378); -#11419=VERTEX_POINT('',#35380); -#11420=VERTEX_POINT('',#35384); -#11421=VERTEX_POINT('',#35386); -#11422=VERTEX_POINT('',#35390); -#11423=VERTEX_POINT('',#35391); -#11424=VERTEX_POINT('',#35393); -#11425=VERTEX_POINT('',#35395); -#11426=VERTEX_POINT('',#35397); -#11427=VERTEX_POINT('',#35399); -#11428=VERTEX_POINT('',#35401); -#11429=VERTEX_POINT('',#35403); -#11430=VERTEX_POINT('',#35407); -#11431=VERTEX_POINT('',#35409); -#11432=VERTEX_POINT('',#35416); -#11433=VERTEX_POINT('',#35418); -#11434=VERTEX_POINT('',#35422); -#11435=VERTEX_POINT('',#35424); -#11436=VERTEX_POINT('',#35428); -#11437=VERTEX_POINT('',#35430); -#11438=VERTEX_POINT('',#35434); -#11439=VERTEX_POINT('',#35436); -#11440=VERTEX_POINT('',#35438); -#11441=VERTEX_POINT('',#35440); -#11442=VERTEX_POINT('',#35442); -#11443=VERTEX_POINT('',#35444); -#11444=VERTEX_POINT('',#35448); -#11445=VERTEX_POINT('',#35449); -#11446=VERTEX_POINT('',#35451); -#11447=VERTEX_POINT('',#35453); -#11448=VERTEX_POINT('',#35457); -#11449=VERTEX_POINT('',#35458); -#11450=VERTEX_POINT('',#35460); -#11451=VERTEX_POINT('',#35462); -#11452=VERTEX_POINT('',#35466); -#11453=VERTEX_POINT('',#35467); -#11454=VERTEX_POINT('',#35469); -#11455=VERTEX_POINT('',#35480); -#11456=VERTEX_POINT('',#35493); -#11457=VERTEX_POINT('',#35494); -#11458=VERTEX_POINT('',#35496); -#11459=VERTEX_POINT('',#35507); -#11460=VERTEX_POINT('',#35520); -#11461=VERTEX_POINT('',#35521); -#11462=VERTEX_POINT('',#35523); -#11463=VERTEX_POINT('',#35525); -#11464=VERTEX_POINT('',#35527); -#11465=VERTEX_POINT('',#35529); -#11466=VERTEX_POINT('',#35531); -#11467=VERTEX_POINT('',#35533); -#11468=VERTEX_POINT('',#35535); -#11469=VERTEX_POINT('',#35537); -#11470=VERTEX_POINT('',#35541); -#11471=VERTEX_POINT('',#35549); -#11472=VERTEX_POINT('',#35557); -#11473=VERTEX_POINT('',#35558); -#11474=VERTEX_POINT('',#35560); -#11475=VERTEX_POINT('',#35562); -#11476=VERTEX_POINT('',#35564); -#11477=VERTEX_POINT('',#35566); -#11478=VERTEX_POINT('',#35568); -#11479=VERTEX_POINT('',#35570); -#11480=VERTEX_POINT('',#35572); -#11481=VERTEX_POINT('',#35574); -#11482=VERTEX_POINT('',#35589); -#11483=VERTEX_POINT('',#35674); -#11484=VERTEX_POINT('',#35700); -#11485=VERTEX_POINT('',#35701); -#11486=VERTEX_POINT('',#35703); -#11487=VERTEX_POINT('',#35705); -#11488=VERTEX_POINT('',#35707); -#11489=VERTEX_POINT('',#35709); -#11490=VERTEX_POINT('',#35712); -#11491=VERTEX_POINT('',#35714); -#11492=VERTEX_POINT('',#35716); -#11493=VERTEX_POINT('',#35718); -#11494=VERTEX_POINT('',#35720); -#11495=VERTEX_POINT('',#35722); -#11496=VERTEX_POINT('',#35724); -#11497=VERTEX_POINT('',#35726); -#11498=VERTEX_POINT('',#35729); -#11499=VERTEX_POINT('',#35731); -#11500=VERTEX_POINT('',#35733); -#11501=VERTEX_POINT('',#35735); -#11502=VERTEX_POINT('',#35737); -#11503=VERTEX_POINT('',#35739); -#11504=VERTEX_POINT('',#35742); -#11505=VERTEX_POINT('',#35743); -#11506=VERTEX_POINT('',#35745); -#11507=VERTEX_POINT('',#35747); -#11508=VERTEX_POINT('',#35749); -#11509=VERTEX_POINT('',#35751); -#11510=VERTEX_POINT('',#35753); -#11511=VERTEX_POINT('',#35755); -#11512=VERTEX_POINT('',#35759); -#11513=VERTEX_POINT('',#35760); -#11514=VERTEX_POINT('',#35762); -#11515=VERTEX_POINT('',#35764); -#11516=VERTEX_POINT('',#35766); -#11517=VERTEX_POINT('',#35770); -#11518=VERTEX_POINT('',#35772); -#11519=VERTEX_POINT('',#35776); -#11520=VERTEX_POINT('',#35777); -#11521=VERTEX_POINT('',#35779); -#11522=VERTEX_POINT('',#35781); -#11523=VERTEX_POINT('',#35783); -#11524=VERTEX_POINT('',#35785); -#11525=VERTEX_POINT('',#35787); -#11526=VERTEX_POINT('',#35789); -#11527=VERTEX_POINT('',#35791); -#11528=VERTEX_POINT('',#35793); -#11529=VERTEX_POINT('',#35796); -#11530=VERTEX_POINT('',#35798); -#11531=VERTEX_POINT('',#35801); -#11532=VERTEX_POINT('',#35803); -#11533=VERTEX_POINT('',#35807); -#11534=VERTEX_POINT('',#35813); -#11535=VERTEX_POINT('',#35817); -#11536=VERTEX_POINT('',#35819); -#11537=VERTEX_POINT('',#35821); -#11538=VERTEX_POINT('',#35824); -#11539=VERTEX_POINT('',#35826); -#11540=VERTEX_POINT('',#35828); -#11541=VERTEX_POINT('',#35830); -#11542=VERTEX_POINT('',#35832); -#11543=VERTEX_POINT('',#35836); -#11544=VERTEX_POINT('',#35849); -#11545=VERTEX_POINT('',#35851); -#11546=VERTEX_POINT('',#35853); -#11547=VERTEX_POINT('',#35856); -#11548=VERTEX_POINT('',#35860); -#11549=VERTEX_POINT('',#35864); -#11550=VERTEX_POINT('',#35871); -#11551=VERTEX_POINT('',#35875); -#11552=VERTEX_POINT('',#35882); -#11553=VERTEX_POINT('',#35886); -#11554=VERTEX_POINT('',#35893); -#11555=VERTEX_POINT('',#35897); -#11556=VERTEX_POINT('',#35904); -#11557=VERTEX_POINT('',#35908); -#11558=VERTEX_POINT('',#35915); -#11559=VERTEX_POINT('',#35917); -#11560=VERTEX_POINT('',#35933); -#11561=VERTEX_POINT('',#35935); -#11562=VERTEX_POINT('',#35949); -#11563=VERTEX_POINT('',#35951); -#11564=VERTEX_POINT('',#35957); -#11565=VERTEX_POINT('',#35959); -#11566=VERTEX_POINT('',#35962); -#11567=VERTEX_POINT('',#35964); -#11568=VERTEX_POINT('',#35968); -#11569=VERTEX_POINT('',#35970); -#11570=VERTEX_POINT('',#35974); -#11571=VERTEX_POINT('',#35976); -#11572=VERTEX_POINT('',#35981); -#11573=VERTEX_POINT('',#35983); -#11574=VERTEX_POINT('',#35986); -#11575=VERTEX_POINT('',#35988); -#11576=VERTEX_POINT('',#35991); -#11577=VERTEX_POINT('',#35993); -#11578=VERTEX_POINT('',#36010); -#11579=VERTEX_POINT('',#36014); -#11580=VERTEX_POINT('',#36020); -#11581=VERTEX_POINT('',#36024); -#11582=VERTEX_POINT('',#36045); -#11583=VERTEX_POINT('',#36047); -#11584=VERTEX_POINT('',#36051); -#11585=VERTEX_POINT('',#36053); -#11586=VERTEX_POINT('',#36062); -#11587=VERTEX_POINT('',#36064); -#11588=VERTEX_POINT('',#36068); -#11589=VERTEX_POINT('',#36070); -#11590=VERTEX_POINT('',#36079); -#11591=VERTEX_POINT('',#36081); -#11592=VERTEX_POINT('',#36085); -#11593=VERTEX_POINT('',#36089); -#11594=VERTEX_POINT('',#36096); -#11595=VERTEX_POINT('',#36098); -#11596=VERTEX_POINT('',#36102); -#11597=VERTEX_POINT('',#36106); -#11598=VERTEX_POINT('',#36113); -#11599=VERTEX_POINT('',#36115); -#11600=VERTEX_POINT('',#36119); -#11601=VERTEX_POINT('',#36123); -#11602=VERTEX_POINT('',#36130); -#11603=VERTEX_POINT('',#36132); -#11604=VERTEX_POINT('',#36136); -#11605=VERTEX_POINT('',#36140); -#11606=VERTEX_POINT('',#36147); -#11607=VERTEX_POINT('',#36149); -#11608=VERTEX_POINT('',#36153); -#11609=VERTEX_POINT('',#36157); -#11610=VERTEX_POINT('',#36164); -#11611=VERTEX_POINT('',#36166); -#11612=VERTEX_POINT('',#36170); -#11613=VERTEX_POINT('',#36174); -#11614=VERTEX_POINT('',#36181); -#11615=VERTEX_POINT('',#36182); -#11616=VERTEX_POINT('',#36184); -#11617=VERTEX_POINT('',#36186); -#11618=VERTEX_POINT('',#36190); -#11619=VERTEX_POINT('',#36192); -#11620=VERTEX_POINT('',#36196); -#11621=VERTEX_POINT('',#36198); -#11622=VERTEX_POINT('',#36207); -#11623=VERTEX_POINT('',#36208); -#11624=VERTEX_POINT('',#36210); -#11625=VERTEX_POINT('',#36212); -#11626=VERTEX_POINT('',#36216); -#11627=VERTEX_POINT('',#36218); -#11628=VERTEX_POINT('',#36222); -#11629=VERTEX_POINT('',#36224); -#11630=VERTEX_POINT('',#36233); -#11631=VERTEX_POINT('',#36234); -#11632=VERTEX_POINT('',#36236); -#11633=VERTEX_POINT('',#36238); -#11634=VERTEX_POINT('',#36242); -#11635=VERTEX_POINT('',#36244); -#11636=VERTEX_POINT('',#36248); -#11637=VERTEX_POINT('',#36250); -#11638=VERTEX_POINT('',#36259); -#11639=VERTEX_POINT('',#36260); -#11640=VERTEX_POINT('',#36262); -#11641=VERTEX_POINT('',#36264); -#11642=VERTEX_POINT('',#36268); -#11643=VERTEX_POINT('',#36270); -#11644=VERTEX_POINT('',#36274); -#11645=VERTEX_POINT('',#36276); -#11646=VERTEX_POINT('',#36285); -#11647=VERTEX_POINT('',#36286); -#11648=VERTEX_POINT('',#36288); -#11649=VERTEX_POINT('',#36290); -#11650=VERTEX_POINT('',#36294); -#11651=VERTEX_POINT('',#36296); -#11652=VERTEX_POINT('',#36300); -#11653=VERTEX_POINT('',#36302); -#11654=VERTEX_POINT('',#36311); -#11655=VERTEX_POINT('',#36312); -#11656=VERTEX_POINT('',#36314); -#11657=VERTEX_POINT('',#36316); -#11658=VERTEX_POINT('',#36320); -#11659=VERTEX_POINT('',#36322); -#11660=VERTEX_POINT('',#36326); -#11661=VERTEX_POINT('',#36328); -#11662=VERTEX_POINT('',#36338); -#11663=VERTEX_POINT('',#36339); -#11664=VERTEX_POINT('',#36341); -#11665=VERTEX_POINT('',#36343); -#11666=VERTEX_POINT('',#36347); -#11667=VERTEX_POINT('',#36349); -#11668=VERTEX_POINT('',#36353); -#11669=VERTEX_POINT('',#36355); -#11670=VERTEX_POINT('',#36363); -#11671=VERTEX_POINT('',#36364); -#11672=VERTEX_POINT('',#36366); -#11673=VERTEX_POINT('',#36368); -#11674=VERTEX_POINT('',#36372); -#11675=VERTEX_POINT('',#36374); -#11676=VERTEX_POINT('',#36378); -#11677=VERTEX_POINT('',#36380); -#11678=VERTEX_POINT('',#36389); -#11679=VERTEX_POINT('',#36392); -#11680=VERTEX_POINT('',#36395); -#11681=VERTEX_POINT('',#36396); -#11682=VERTEX_POINT('',#36398); -#11683=VERTEX_POINT('',#36400); -#11684=VERTEX_POINT('',#36404); -#11685=VERTEX_POINT('',#36406); -#11686=VERTEX_POINT('',#36410); -#11687=VERTEX_POINT('',#36412); -#11688=VERTEX_POINT('',#36416); -#11689=VERTEX_POINT('',#36418); -#11690=VERTEX_POINT('',#36422); -#11691=VERTEX_POINT('',#36424); -#11692=VERTEX_POINT('',#36428); -#11693=VERTEX_POINT('',#36429); -#11694=VERTEX_POINT('',#36431); -#11695=VERTEX_POINT('',#36433); -#11696=VERTEX_POINT('',#36437); -#11697=VERTEX_POINT('',#36439); -#11698=VERTEX_POINT('',#36441); -#11699=VERTEX_POINT('',#36443); -#11700=VERTEX_POINT('',#36445); -#11701=VERTEX_POINT('',#36448); -#11702=VERTEX_POINT('',#36452); -#11703=VERTEX_POINT('',#36453); -#11704=VERTEX_POINT('',#36455); -#11705=VERTEX_POINT('',#36457); -#11706=VERTEX_POINT('',#36461); -#11707=VERTEX_POINT('',#36463); -#11708=VERTEX_POINT('',#36467); -#11709=VERTEX_POINT('',#36469); -#11710=VERTEX_POINT('',#36473); -#11711=VERTEX_POINT('',#36475); -#11712=VERTEX_POINT('',#36484); -#11713=VERTEX_POINT('',#36486); -#11714=VERTEX_POINT('',#36490); -#11715=VERTEX_POINT('',#36492); -#11716=VERTEX_POINT('',#36494); -#11717=VERTEX_POINT('',#36498); -#11718=VERTEX_POINT('',#36500); -#11719=VERTEX_POINT('',#36510); -#11720=VERTEX_POINT('',#36514); -#11721=VERTEX_POINT('',#36518); -#11722=VERTEX_POINT('',#36522); -#11723=VERTEX_POINT('',#36524); -#11724=VERTEX_POINT('',#36528); -#11725=VERTEX_POINT('',#36530); -#11726=VERTEX_POINT('',#36534); -#11727=VERTEX_POINT('',#36536); -#11728=VERTEX_POINT('',#36545); -#11729=VERTEX_POINT('',#36546); -#11730=VERTEX_POINT('',#36548); -#11731=VERTEX_POINT('',#36550); -#11732=VERTEX_POINT('',#36552); -#11733=VERTEX_POINT('',#36556); -#11734=VERTEX_POINT('',#36558); -#11735=VERTEX_POINT('',#36562); -#11736=VERTEX_POINT('',#36564); -#11737=VERTEX_POINT('',#36568); -#11738=VERTEX_POINT('',#36570); -#11739=VERTEX_POINT('',#36572); -#11740=VERTEX_POINT('',#36574); -#11741=VERTEX_POINT('',#36576); -#11742=VERTEX_POINT('',#36578); -#11743=VERTEX_POINT('',#36580); -#11744=VERTEX_POINT('',#36582); -#11745=VERTEX_POINT('',#36585); -#11746=VERTEX_POINT('',#36588); -#11747=VERTEX_POINT('',#36590); -#11748=VERTEX_POINT('',#36592); -#11749=VERTEX_POINT('',#36594); -#11750=VERTEX_POINT('',#36596); -#11751=VERTEX_POINT('',#36598); -#11752=VERTEX_POINT('',#36600); -#11753=VERTEX_POINT('',#36602); -#11754=VERTEX_POINT('',#36604); -#11755=VERTEX_POINT('',#36606); -#11756=VERTEX_POINT('',#36608); -#11757=VERTEX_POINT('',#36610); -#11758=VERTEX_POINT('',#36612); -#11759=VERTEX_POINT('',#36614); -#11760=VERTEX_POINT('',#36616); -#11761=VERTEX_POINT('',#36618); -#11762=VERTEX_POINT('',#36620); -#11763=VERTEX_POINT('',#36622); -#11764=VERTEX_POINT('',#36624); -#11765=VERTEX_POINT('',#36626); -#11766=VERTEX_POINT('',#36628); -#11767=VERTEX_POINT('',#36630); -#11768=VERTEX_POINT('',#36632); -#11769=VERTEX_POINT('',#36634); -#11770=VERTEX_POINT('',#36636); -#11771=VERTEX_POINT('',#36638); -#11772=VERTEX_POINT('',#36639); -#11773=VERTEX_POINT('',#36641); -#11774=VERTEX_POINT('',#36643); -#11775=VERTEX_POINT('',#36645); -#11776=VERTEX_POINT('',#36647); -#11777=VERTEX_POINT('',#36649); -#11778=VERTEX_POINT('',#36651); -#11779=VERTEX_POINT('',#36653); -#11780=VERTEX_POINT('',#36655); -#11781=VERTEX_POINT('',#36657); -#11782=VERTEX_POINT('',#36659); -#11783=VERTEX_POINT('',#36662); -#11784=VERTEX_POINT('',#36664); -#11785=VERTEX_POINT('',#36665); -#11786=VERTEX_POINT('',#36667); -#11787=VERTEX_POINT('',#36669); -#11788=VERTEX_POINT('',#36672); -#11789=VERTEX_POINT('',#36673); -#11790=VERTEX_POINT('',#36675); -#11791=VERTEX_POINT('',#36677); -#11792=VERTEX_POINT('',#36685); -#11793=VERTEX_POINT('',#36686); -#11794=VERTEX_POINT('',#36688); -#11795=VERTEX_POINT('',#36690); -#11796=VERTEX_POINT('',#36692); -#11797=VERTEX_POINT('',#36694); -#11798=VERTEX_POINT('',#36696); -#11799=VERTEX_POINT('',#36698); -#11800=VERTEX_POINT('',#36701); -#11801=VERTEX_POINT('',#36703); -#11802=VERTEX_POINT('',#36705); -#11803=VERTEX_POINT('',#36706); -#11804=VERTEX_POINT('',#36708); -#11805=VERTEX_POINT('',#36710); -#11806=VERTEX_POINT('',#36712); -#11807=VERTEX_POINT('',#36714); -#11808=VERTEX_POINT('',#36716); -#11809=VERTEX_POINT('',#36718); -#11810=VERTEX_POINT('',#36720); -#11811=VERTEX_POINT('',#36722); -#11812=VERTEX_POINT('',#36724); -#11813=VERTEX_POINT('',#36726); -#11814=VERTEX_POINT('',#36728); -#11815=VERTEX_POINT('',#36730); -#11816=VERTEX_POINT('',#36732); -#11817=VERTEX_POINT('',#36734); -#11818=VERTEX_POINT('',#36736); -#11819=VERTEX_POINT('',#36738); -#11820=VERTEX_POINT('',#36740); -#11821=VERTEX_POINT('',#36742); -#11822=VERTEX_POINT('',#36745); -#11823=VERTEX_POINT('',#36746); -#11824=VERTEX_POINT('',#36748); -#11825=VERTEX_POINT('',#36750); -#11826=VERTEX_POINT('',#36752); -#11827=VERTEX_POINT('',#36754); -#11828=VERTEX_POINT('',#36756); -#11829=VERTEX_POINT('',#36758); -#11830=VERTEX_POINT('',#36760); -#11831=VERTEX_POINT('',#36762); -#11832=VERTEX_POINT('',#36764); -#11833=VERTEX_POINT('',#36766); -#11834=VERTEX_POINT('',#36768); -#11835=VERTEX_POINT('',#36770); -#11836=VERTEX_POINT('',#36772); -#11837=VERTEX_POINT('',#36774); -#11838=VERTEX_POINT('',#36776); -#11839=VERTEX_POINT('',#36778); -#11840=VERTEX_POINT('',#36781); -#11841=VERTEX_POINT('',#36782); -#11842=VERTEX_POINT('',#36784); -#11843=VERTEX_POINT('',#36786); -#11844=VERTEX_POINT('',#36788); -#11845=VERTEX_POINT('',#36790); -#11846=VERTEX_POINT('',#36792); -#11847=VERTEX_POINT('',#36794); -#11848=VERTEX_POINT('',#36796); -#11849=VERTEX_POINT('',#36798); -#11850=VERTEX_POINT('',#36801); -#11851=VERTEX_POINT('',#36802); -#11852=VERTEX_POINT('',#36804); -#11853=VERTEX_POINT('',#36806); -#11854=VERTEX_POINT('',#36809); -#11855=VERTEX_POINT('',#36810); -#11856=VERTEX_POINT('',#36812); -#11857=VERTEX_POINT('',#36814); -#11858=VERTEX_POINT('',#36817); -#11859=VERTEX_POINT('',#36818); -#11860=VERTEX_POINT('',#36820); -#11861=VERTEX_POINT('',#36822); -#11862=VERTEX_POINT('',#36825); -#11863=VERTEX_POINT('',#36826); -#11864=VERTEX_POINT('',#36828); -#11865=VERTEX_POINT('',#36830); -#11866=VERTEX_POINT('',#36833); -#11867=VERTEX_POINT('',#36834); -#11868=VERTEX_POINT('',#36836); -#11869=VERTEX_POINT('',#36838); -#11870=VERTEX_POINT('',#36841); -#11871=VERTEX_POINT('',#36842); -#11872=VERTEX_POINT('',#36844); -#11873=VERTEX_POINT('',#36846); -#11874=VERTEX_POINT('',#36849); -#11875=VERTEX_POINT('',#36850); -#11876=VERTEX_POINT('',#36852); -#11877=VERTEX_POINT('',#36854); -#11878=VERTEX_POINT('',#36857); -#11879=VERTEX_POINT('',#36858); -#11880=VERTEX_POINT('',#36860); -#11881=VERTEX_POINT('',#36862); -#11882=VERTEX_POINT('',#36865); -#11883=VERTEX_POINT('',#36866); -#11884=VERTEX_POINT('',#36868); -#11885=VERTEX_POINT('',#36870); -#11886=VERTEX_POINT('',#36873); -#11887=VERTEX_POINT('',#36874); -#11888=VERTEX_POINT('',#36876); -#11889=VERTEX_POINT('',#36878); -#11890=VERTEX_POINT('',#36880); -#11891=VERTEX_POINT('',#36882); -#11892=VERTEX_POINT('',#36884); -#11893=VERTEX_POINT('',#36886); -#11894=VERTEX_POINT('',#36888); -#11895=VERTEX_POINT('',#36890); -#11896=VERTEX_POINT('',#36892); -#11897=VERTEX_POINT('',#36894); -#11898=VERTEX_POINT('',#36896); -#11899=VERTEX_POINT('',#36898); -#11900=VERTEX_POINT('',#36900); -#11901=VERTEX_POINT('',#36902); -#11902=VERTEX_POINT('',#36904); -#11903=VERTEX_POINT('',#36906); -#11904=VERTEX_POINT('',#36908); -#11905=VERTEX_POINT('',#36910); -#11906=VERTEX_POINT('',#36913); -#11907=VERTEX_POINT('',#36914); -#11908=VERTEX_POINT('',#36916); -#11909=VERTEX_POINT('',#36918); -#11910=VERTEX_POINT('',#36920); -#11911=VERTEX_POINT('',#36922); -#11912=VERTEX_POINT('',#36924); -#11913=VERTEX_POINT('',#36926); -#11914=VERTEX_POINT('',#36928); -#11915=VERTEX_POINT('',#36930); -#11916=VERTEX_POINT('',#36932); -#11917=VERTEX_POINT('',#36934); -#11918=VERTEX_POINT('',#36937); -#11919=VERTEX_POINT('',#36938); -#11920=VERTEX_POINT('',#36940); -#11921=VERTEX_POINT('',#36942); -#11922=VERTEX_POINT('',#36945); -#11923=VERTEX_POINT('',#36946); -#11924=VERTEX_POINT('',#36948); -#11925=VERTEX_POINT('',#36950); -#11926=VERTEX_POINT('',#36952); -#11927=VERTEX_POINT('',#36954); -#11928=VERTEX_POINT('',#36956); -#11929=VERTEX_POINT('',#36958); -#11930=VERTEX_POINT('',#36960); -#11931=VERTEX_POINT('',#36962); -#11932=VERTEX_POINT('',#36964); -#11933=VERTEX_POINT('',#36966); -#11934=VERTEX_POINT('',#36968); -#11935=VERTEX_POINT('',#36970); -#11936=VERTEX_POINT('',#36972); -#11937=VERTEX_POINT('',#36974); -#11938=VERTEX_POINT('',#36977); -#11939=VERTEX_POINT('',#36978); -#11940=VERTEX_POINT('',#36980); -#11941=VERTEX_POINT('',#36982); -#11942=VERTEX_POINT('',#36985); -#11943=VERTEX_POINT('',#36986); -#11944=VERTEX_POINT('',#36988); -#11945=VERTEX_POINT('',#36990); -#11946=VERTEX_POINT('',#36993); -#11947=VERTEX_POINT('',#36994); -#11948=VERTEX_POINT('',#36996); -#11949=VERTEX_POINT('',#36998); -#11950=VERTEX_POINT('',#37001); -#11951=VERTEX_POINT('',#37002); -#11952=VERTEX_POINT('',#37004); -#11953=VERTEX_POINT('',#37006); -#11954=VERTEX_POINT('',#37009); -#11955=VERTEX_POINT('',#37010); -#11956=VERTEX_POINT('',#37012); -#11957=VERTEX_POINT('',#37014); -#11958=VERTEX_POINT('',#37017); -#11959=VERTEX_POINT('',#37018); -#11960=VERTEX_POINT('',#37020); -#11961=VERTEX_POINT('',#37022); -#11962=VERTEX_POINT('',#37025); -#11963=VERTEX_POINT('',#37026); -#11964=VERTEX_POINT('',#37028); -#11965=VERTEX_POINT('',#37030); -#11966=VERTEX_POINT('',#37033); -#11967=VERTEX_POINT('',#37034); -#11968=VERTEX_POINT('',#37036); -#11969=VERTEX_POINT('',#37038); -#11970=VERTEX_POINT('',#37041); -#11971=VERTEX_POINT('',#37042); -#11972=VERTEX_POINT('',#37044); -#11973=VERTEX_POINT('',#37046); -#11974=VERTEX_POINT('',#37049); -#11975=VERTEX_POINT('',#37050); -#11976=VERTEX_POINT('',#37052); -#11977=VERTEX_POINT('',#37054); -#11978=VERTEX_POINT('',#37057); -#11979=VERTEX_POINT('',#37058); -#11980=VERTEX_POINT('',#37060); -#11981=VERTEX_POINT('',#37062); -#11982=VERTEX_POINT('',#37065); -#11983=VERTEX_POINT('',#37066); -#11984=VERTEX_POINT('',#37068); -#11985=VERTEX_POINT('',#37070); -#11986=VERTEX_POINT('',#37073); -#11987=VERTEX_POINT('',#37074); -#11988=VERTEX_POINT('',#37076); -#11989=VERTEX_POINT('',#37078); -#11990=VERTEX_POINT('',#37081); -#11991=VERTEX_POINT('',#37082); -#11992=VERTEX_POINT('',#37084); -#11993=VERTEX_POINT('',#37086); -#11994=VERTEX_POINT('',#37089); -#11995=VERTEX_POINT('',#37090); -#11996=VERTEX_POINT('',#37092); -#11997=VERTEX_POINT('',#37094); -#11998=VERTEX_POINT('',#37097); -#11999=VERTEX_POINT('',#37098); -#12000=VERTEX_POINT('',#37100); -#12001=VERTEX_POINT('',#37102); -#12002=VERTEX_POINT('',#37105); -#12003=VERTEX_POINT('',#37106); -#12004=VERTEX_POINT('',#37108); -#12005=VERTEX_POINT('',#37110); -#12006=VERTEX_POINT('',#37113); -#12007=VERTEX_POINT('',#37114); -#12008=VERTEX_POINT('',#37116); -#12009=VERTEX_POINT('',#37118); -#12010=VERTEX_POINT('',#37121); -#12011=VERTEX_POINT('',#37122); -#12012=VERTEX_POINT('',#37124); -#12013=VERTEX_POINT('',#37126); -#12014=VERTEX_POINT('',#37129); -#12015=VERTEX_POINT('',#37130); -#12016=VERTEX_POINT('',#37132); -#12017=VERTEX_POINT('',#37134); -#12018=VERTEX_POINT('',#37137); -#12019=VERTEX_POINT('',#37138); -#12020=VERTEX_POINT('',#37140); -#12021=VERTEX_POINT('',#37142); -#12022=VERTEX_POINT('',#37145); -#12023=VERTEX_POINT('',#37146); -#12024=VERTEX_POINT('',#37148); -#12025=VERTEX_POINT('',#37150); -#12026=VERTEX_POINT('',#37153); -#12027=VERTEX_POINT('',#37154); -#12028=VERTEX_POINT('',#37156); -#12029=VERTEX_POINT('',#37158); -#12030=VERTEX_POINT('',#37161); -#12031=VERTEX_POINT('',#37162); -#12032=VERTEX_POINT('',#37164); -#12033=VERTEX_POINT('',#37166); -#12034=VERTEX_POINT('',#37169); -#12035=VERTEX_POINT('',#37170); -#12036=VERTEX_POINT('',#37172); -#12037=VERTEX_POINT('',#37174); -#12038=VERTEX_POINT('',#37177); -#12039=VERTEX_POINT('',#37178); -#12040=VERTEX_POINT('',#37180); -#12041=VERTEX_POINT('',#37182); -#12042=VERTEX_POINT('',#37185); -#12043=VERTEX_POINT('',#37186); -#12044=VERTEX_POINT('',#37188); -#12045=VERTEX_POINT('',#37190); -#12046=VERTEX_POINT('',#37193); -#12047=VERTEX_POINT('',#37194); -#12048=VERTEX_POINT('',#37196); -#12049=VERTEX_POINT('',#37198); -#12050=VERTEX_POINT('',#37201); -#12051=VERTEX_POINT('',#37202); -#12052=VERTEX_POINT('',#37204); -#12053=VERTEX_POINT('',#37206); -#12054=VERTEX_POINT('',#37209); -#12055=VERTEX_POINT('',#37210); -#12056=VERTEX_POINT('',#37212); -#12057=VERTEX_POINT('',#37214); -#12058=VERTEX_POINT('',#37217); -#12059=VERTEX_POINT('',#37218); -#12060=VERTEX_POINT('',#37220); -#12061=VERTEX_POINT('',#37222); -#12062=VERTEX_POINT('',#37225); -#12063=VERTEX_POINT('',#37226); -#12064=VERTEX_POINT('',#37228); -#12065=VERTEX_POINT('',#37230); -#12066=VERTEX_POINT('',#37233); -#12067=VERTEX_POINT('',#37234); -#12068=VERTEX_POINT('',#37236); -#12069=VERTEX_POINT('',#37238); -#12070=VERTEX_POINT('',#37241); -#12071=VERTEX_POINT('',#37242); -#12072=VERTEX_POINT('',#37244); -#12073=VERTEX_POINT('',#37246); -#12074=VERTEX_POINT('',#37249); -#12075=VERTEX_POINT('',#37250); -#12076=VERTEX_POINT('',#37252); -#12077=VERTEX_POINT('',#37254); -#12078=VERTEX_POINT('',#37257); -#12079=VERTEX_POINT('',#37258); -#12080=VERTEX_POINT('',#37260); -#12081=VERTEX_POINT('',#37262); -#12082=VERTEX_POINT('',#37265); -#12083=VERTEX_POINT('',#37266); -#12084=VERTEX_POINT('',#37268); -#12085=VERTEX_POINT('',#37270); -#12086=VERTEX_POINT('',#37273); -#12087=VERTEX_POINT('',#37274); -#12088=VERTEX_POINT('',#37276); -#12089=VERTEX_POINT('',#37278); -#12090=VERTEX_POINT('',#37281); -#12091=VERTEX_POINT('',#37282); -#12092=VERTEX_POINT('',#37284); -#12093=VERTEX_POINT('',#37286); -#12094=VERTEX_POINT('',#37289); -#12095=VERTEX_POINT('',#37290); -#12096=VERTEX_POINT('',#37292); -#12097=VERTEX_POINT('',#37294); -#12098=VERTEX_POINT('',#37297); -#12099=VERTEX_POINT('',#37298); -#12100=VERTEX_POINT('',#37300); -#12101=VERTEX_POINT('',#37302); -#12102=VERTEX_POINT('',#37305); -#12103=VERTEX_POINT('',#37306); -#12104=VERTEX_POINT('',#37308); -#12105=VERTEX_POINT('',#37310); -#12106=VERTEX_POINT('',#37313); -#12107=VERTEX_POINT('',#37314); -#12108=VERTEX_POINT('',#37316); -#12109=VERTEX_POINT('',#37318); -#12110=VERTEX_POINT('',#37321); -#12111=VERTEX_POINT('',#37322); -#12112=VERTEX_POINT('',#37324); -#12113=VERTEX_POINT('',#37326); -#12114=VERTEX_POINT('',#37329); -#12115=VERTEX_POINT('',#37330); -#12116=VERTEX_POINT('',#37332); -#12117=VERTEX_POINT('',#37334); -#12118=VERTEX_POINT('',#37337); -#12119=VERTEX_POINT('',#37338); -#12120=VERTEX_POINT('',#37340); -#12121=VERTEX_POINT('',#37342); -#12122=VERTEX_POINT('',#37345); -#12123=VERTEX_POINT('',#37346); -#12124=VERTEX_POINT('',#37348); -#12125=VERTEX_POINT('',#37350); -#12126=VERTEX_POINT('',#37353); -#12127=VERTEX_POINT('',#37354); -#12128=VERTEX_POINT('',#37356); -#12129=VERTEX_POINT('',#37358); -#12130=VERTEX_POINT('',#37361); -#12131=VERTEX_POINT('',#37362); -#12132=VERTEX_POINT('',#37364); -#12133=VERTEX_POINT('',#37366); -#12134=VERTEX_POINT('',#37369); -#12135=VERTEX_POINT('',#37370); -#12136=VERTEX_POINT('',#37372); -#12137=VERTEX_POINT('',#37374); -#12138=VERTEX_POINT('',#37377); -#12139=VERTEX_POINT('',#37378); -#12140=VERTEX_POINT('',#37380); -#12141=VERTEX_POINT('',#37382); -#12142=VERTEX_POINT('',#37385); -#12143=VERTEX_POINT('',#37386); -#12144=VERTEX_POINT('',#37388); -#12145=VERTEX_POINT('',#37390); -#12146=VERTEX_POINT('',#37393); -#12147=VERTEX_POINT('',#37394); -#12148=VERTEX_POINT('',#37396); -#12149=VERTEX_POINT('',#37398); -#12150=VERTEX_POINT('',#37401); -#12151=VERTEX_POINT('',#37402); -#12152=VERTEX_POINT('',#37404); -#12153=VERTEX_POINT('',#37406); -#12154=VERTEX_POINT('',#37409); -#12155=VERTEX_POINT('',#37410); -#12156=VERTEX_POINT('',#37412); -#12157=VERTEX_POINT('',#37414); -#12158=VERTEX_POINT('',#37417); -#12159=VERTEX_POINT('',#37418); -#12160=VERTEX_POINT('',#37420); -#12161=VERTEX_POINT('',#37422); -#12162=VERTEX_POINT('',#37425); -#12163=VERTEX_POINT('',#37426); -#12164=VERTEX_POINT('',#37428); -#12165=VERTEX_POINT('',#37430); -#12166=VERTEX_POINT('',#37432); -#12167=VERTEX_POINT('',#37434); -#12168=VERTEX_POINT('',#37436); -#12169=VERTEX_POINT('',#37438); -#12170=VERTEX_POINT('',#37440); -#12171=VERTEX_POINT('',#37442); -#12172=VERTEX_POINT('',#37444); -#12173=VERTEX_POINT('',#37446); -#12174=VERTEX_POINT('',#37449); -#12175=VERTEX_POINT('',#37450); -#12176=VERTEX_POINT('',#37452); -#12177=VERTEX_POINT('',#37454); -#12178=VERTEX_POINT('',#37456); -#12179=VERTEX_POINT('',#37458); -#12180=VERTEX_POINT('',#37460); -#12181=VERTEX_POINT('',#37462); -#12182=VERTEX_POINT('',#37464); -#12183=VERTEX_POINT('',#37466); -#12184=VERTEX_POINT('',#37468); -#12185=VERTEX_POINT('',#37470); -#12186=VERTEX_POINT('',#37473); -#12187=VERTEX_POINT('',#37474); -#12188=VERTEX_POINT('',#37476); -#12189=VERTEX_POINT('',#37478); -#12190=VERTEX_POINT('',#37480); -#12191=VERTEX_POINT('',#37482); -#12192=VERTEX_POINT('',#37484); -#12193=VERTEX_POINT('',#37486); -#12194=VERTEX_POINT('',#37488); -#12195=VERTEX_POINT('',#37490); -#12196=VERTEX_POINT('',#37492); -#12197=VERTEX_POINT('',#37494); -#12198=VERTEX_POINT('',#37496); -#12199=VERTEX_POINT('',#37498); -#12200=VERTEX_POINT('',#37500); -#12201=VERTEX_POINT('',#37502); -#12202=VERTEX_POINT('',#37505); -#12203=VERTEX_POINT('',#37506); -#12204=VERTEX_POINT('',#37508); -#12205=VERTEX_POINT('',#37510); -#12206=VERTEX_POINT('',#37512); -#12207=VERTEX_POINT('',#37514); -#12208=VERTEX_POINT('',#37516); -#12209=VERTEX_POINT('',#37518); -#12210=VERTEX_POINT('',#37520); -#12211=VERTEX_POINT('',#37522); -#12212=VERTEX_POINT('',#37524); -#12213=VERTEX_POINT('',#37526); -#12214=VERTEX_POINT('',#37528); -#12215=VERTEX_POINT('',#37530); -#12216=VERTEX_POINT('',#37532); -#12217=VERTEX_POINT('',#37534); -#12218=VERTEX_POINT('',#37537); -#12219=VERTEX_POINT('',#37538); -#12220=VERTEX_POINT('',#37540); -#12221=VERTEX_POINT('',#37542); -#12222=VERTEX_POINT('',#37544); -#12223=VERTEX_POINT('',#37546); -#12224=VERTEX_POINT('',#37548); -#12225=VERTEX_POINT('',#37550); -#12226=VERTEX_POINT('',#37552); -#12227=VERTEX_POINT('',#37554); -#12228=VERTEX_POINT('',#37556); -#12229=VERTEX_POINT('',#37558); -#12230=VERTEX_POINT('',#37560); -#12231=VERTEX_POINT('',#37562); -#12232=VERTEX_POINT('',#37564); -#12233=VERTEX_POINT('',#37566); -#12234=VERTEX_POINT('',#37569); -#12235=VERTEX_POINT('',#37570); -#12236=VERTEX_POINT('',#37572); -#12237=VERTEX_POINT('',#37574); -#12238=VERTEX_POINT('',#37576); -#12239=VERTEX_POINT('',#37578); -#12240=VERTEX_POINT('',#37580); -#12241=VERTEX_POINT('',#37582); -#12242=VERTEX_POINT('',#37584); -#12243=VERTEX_POINT('',#37586); -#12244=VERTEX_POINT('',#37588); -#12245=VERTEX_POINT('',#37590); -#12246=VERTEX_POINT('',#37592); -#12247=VERTEX_POINT('',#37594); -#12248=VERTEX_POINT('',#37596); -#12249=VERTEX_POINT('',#37598); -#12250=VERTEX_POINT('',#37601); -#12251=VERTEX_POINT('',#37602); -#12252=VERTEX_POINT('',#37604); -#12253=VERTEX_POINT('',#37606); -#12254=VERTEX_POINT('',#37608); -#12255=VERTEX_POINT('',#37610); -#12256=VERTEX_POINT('',#37612); -#12257=VERTEX_POINT('',#37614); -#12258=VERTEX_POINT('',#37616); -#12259=VERTEX_POINT('',#37618); -#12260=VERTEX_POINT('',#37620); -#12261=VERTEX_POINT('',#37622); -#12262=VERTEX_POINT('',#37625); -#12263=VERTEX_POINT('',#37626); -#12264=VERTEX_POINT('',#37628); -#12265=VERTEX_POINT('',#37630); -#12266=VERTEX_POINT('',#37632); -#12267=VERTEX_POINT('',#37634); -#12268=VERTEX_POINT('',#37636); -#12269=VERTEX_POINT('',#37638); -#12270=VERTEX_POINT('',#37640); -#12271=VERTEX_POINT('',#37642); -#12272=VERTEX_POINT('',#37644); -#12273=VERTEX_POINT('',#37646); -#12274=VERTEX_POINT('',#37648); -#12275=VERTEX_POINT('',#37650); -#12276=VERTEX_POINT('',#37652); -#12277=VERTEX_POINT('',#37654); -#12278=VERTEX_POINT('',#37656); -#12279=VERTEX_POINT('',#37658); -#12280=VERTEX_POINT('',#37660); -#12281=VERTEX_POINT('',#37662); -#12282=VERTEX_POINT('',#37664); -#12283=VERTEX_POINT('',#37666); -#12284=VERTEX_POINT('',#37668); -#12285=VERTEX_POINT('',#37670); -#12286=VERTEX_POINT('',#37673); -#12287=VERTEX_POINT('',#37674); -#12288=VERTEX_POINT('',#37676); -#12289=VERTEX_POINT('',#37678); -#12290=VERTEX_POINT('',#37681); -#12291=VERTEX_POINT('',#37682); -#12292=VERTEX_POINT('',#37684); -#12293=VERTEX_POINT('',#37686); -#12294=VERTEX_POINT('',#37689); -#12295=VERTEX_POINT('',#37690); -#12296=VERTEX_POINT('',#37692); -#12297=VERTEX_POINT('',#37694); -#12298=VERTEX_POINT('',#37696); -#12299=VERTEX_POINT('',#37698); -#12300=VERTEX_POINT('',#37700); -#12301=VERTEX_POINT('',#37702); -#12302=VERTEX_POINT('',#37704); -#12303=VERTEX_POINT('',#37706); -#12304=VERTEX_POINT('',#37708); -#12305=VERTEX_POINT('',#37710); -#12306=VERTEX_POINT('',#37712); -#12307=VERTEX_POINT('',#37714); -#12308=VERTEX_POINT('',#37716); -#12309=VERTEX_POINT('',#37718); -#12310=VERTEX_POINT('',#37720); -#12311=VERTEX_POINT('',#37722); -#12312=VERTEX_POINT('',#37724); -#12313=VERTEX_POINT('',#37726); -#12314=VERTEX_POINT('',#37728); -#12315=VERTEX_POINT('',#37730); -#12316=VERTEX_POINT('',#37732); -#12317=VERTEX_POINT('',#37734); -#12318=VERTEX_POINT('',#37737); -#12319=VERTEX_POINT('',#37739); -#12320=VERTEX_POINT('',#37741); -#12321=VERTEX_POINT('',#37743); -#12322=VERTEX_POINT('',#37745); -#12323=VERTEX_POINT('',#37747); -#12324=VERTEX_POINT('',#37749); -#12325=VERTEX_POINT('',#37751); -#12326=VERTEX_POINT('',#37753); -#12327=VERTEX_POINT('',#37755); -#12328=VERTEX_POINT('',#37757); -#12329=VERTEX_POINT('',#37759); -#12330=VERTEX_POINT('',#37761); -#12331=VERTEX_POINT('',#37763); -#12332=VERTEX_POINT('',#37765); -#12333=VERTEX_POINT('',#37767); -#12334=VERTEX_POINT('',#37769); -#12335=VERTEX_POINT('',#37771); -#12336=VERTEX_POINT('',#37773); -#12337=VERTEX_POINT('',#37775); -#12338=VERTEX_POINT('',#37777); -#12339=VERTEX_POINT('',#37779); -#12340=VERTEX_POINT('',#37781); -#12341=VERTEX_POINT('',#37783); -#12342=VERTEX_POINT('',#37841); -#12343=VERTEX_POINT('',#37843); -#12344=VERTEX_POINT('',#37847); -#12345=VERTEX_POINT('',#37851); -#12346=VERTEX_POINT('',#37855); -#12347=VERTEX_POINT('',#37856); -#12348=VERTEX_POINT('',#37860); -#12349=VERTEX_POINT('',#37862); -#12350=VERTEX_POINT('',#37864); -#12351=VERTEX_POINT('',#37866); -#12352=VERTEX_POINT('',#37870); -#12353=VERTEX_POINT('',#37871); -#12354=VERTEX_POINT('',#37874); -#12355=VERTEX_POINT('',#37876); -#12356=VERTEX_POINT('',#37878); -#12357=VERTEX_POINT('',#37880); -#12358=VERTEX_POINT('',#37884); -#12359=VERTEX_POINT('',#37886); -#12360=VERTEX_POINT('',#37888); -#12361=VERTEX_POINT('',#37890); -#12362=VERTEX_POINT('',#37893); -#12363=VERTEX_POINT('',#37895); -#12364=VERTEX_POINT('',#37904); -#12365=VERTEX_POINT('',#37906); -#12366=VERTEX_POINT('',#37908); -#12367=VERTEX_POINT('',#37910); -#12368=VERTEX_POINT('',#37926); -#12369=VERTEX_POINT('',#37930); -#12370=VERTEX_POINT('',#37952); -#12371=VERTEX_POINT('',#37953); -#12372=VERTEX_POINT('',#37958); -#12373=VERTEX_POINT('',#37960); -#12374=VERTEX_POINT('',#37963); -#12375=VERTEX_POINT('',#37964); -#12376=VERTEX_POINT('',#37966); -#12377=VERTEX_POINT('',#37968); -#12378=VERTEX_POINT('',#37972); -#12379=VERTEX_POINT('',#37973); -#12380=VERTEX_POINT('',#37975); -#12381=VERTEX_POINT('',#37977); -#12382=VERTEX_POINT('',#37980); -#12383=VERTEX_POINT('',#37981); -#12384=VERTEX_POINT('',#37983); -#12385=VERTEX_POINT('',#37985); -#12386=VERTEX_POINT('',#37989); -#12387=VERTEX_POINT('',#37990); -#12388=VERTEX_POINT('',#37995); -#12389=VERTEX_POINT('',#37996); -#12390=VERTEX_POINT('',#38001); -#12391=VERTEX_POINT('',#38002); -#12392=VERTEX_POINT('',#38004); -#12393=VERTEX_POINT('',#38006); -#12394=VERTEX_POINT('',#38010); -#12395=VERTEX_POINT('',#38012); -#12396=VERTEX_POINT('',#38016); -#12397=VERTEX_POINT('',#38018); -#12398=VERTEX_POINT('',#38022); -#12399=VERTEX_POINT('',#38026); -#12400=VERTEX_POINT('',#38040); -#12401=VERTEX_POINT('',#38046); -#12402=VERTEX_POINT('',#38068); -#12403=VERTEX_POINT('',#38070); -#12404=VERTEX_POINT('',#38074); -#12405=VERTEX_POINT('',#38078); -#12406=VERTEX_POINT('',#38079); -#12407=VERTEX_POINT('',#38082); -#12408=VERTEX_POINT('',#38084); -#12409=VERTEX_POINT('',#38087); -#12410=VERTEX_POINT('',#38090); -#12411=VERTEX_POINT('',#38092); -#12412=VERTEX_POINT('',#38095); -#12413=VERTEX_POINT('',#38097); -#12414=VERTEX_POINT('',#38102); -#12415=VERTEX_POINT('',#38104); -#12416=VERTEX_POINT('',#38108); -#12417=VERTEX_POINT('',#38110); -#12418=VERTEX_POINT('',#38114); -#12419=VERTEX_POINT('',#38116); -#12420=VERTEX_POINT('',#38138); -#12421=VERTEX_POINT('',#38142); -#12422=VERTEX_POINT('',#38145); -#12423=VERTEX_POINT('',#38146); -#12424=VERTEX_POINT('',#38148); -#12425=VERTEX_POINT('',#38150); -#12426=VERTEX_POINT('',#38152); -#12427=VERTEX_POINT('',#38154); -#12428=VERTEX_POINT('',#38156); -#12429=VERTEX_POINT('',#38158); -#12430=VERTEX_POINT('',#38160); -#12431=VERTEX_POINT('',#38162); -#12432=VERTEX_POINT('',#38164); -#12433=VERTEX_POINT('',#38166); -#12434=VERTEX_POINT('',#38173); -#12435=VERTEX_POINT('',#38175); -#12436=VERTEX_POINT('',#38179); -#12437=VERTEX_POINT('',#38181); -#12438=VERTEX_POINT('',#38185); -#12439=VERTEX_POINT('',#38189); -#12440=VERTEX_POINT('',#38193); -#12441=VERTEX_POINT('',#38197); -#12442=VERTEX_POINT('',#38201); -#12443=VERTEX_POINT('',#38203); -#12444=VERTEX_POINT('',#38206); -#12445=VERTEX_POINT('',#38208); -#12446=VERTEX_POINT('',#38222); -#12447=VERTEX_POINT('',#38224); -#12448=VERTEX_POINT('',#38228); -#12449=VERTEX_POINT('',#38232); -#12450=VERTEX_POINT('',#38236); -#12451=VERTEX_POINT('',#38237); -#12452=VERTEX_POINT('',#38240); -#12453=VERTEX_POINT('',#38242); -#12454=VERTEX_POINT('',#38246); -#12455=VERTEX_POINT('',#38248); -#12456=VERTEX_POINT('',#38250); -#12457=VERTEX_POINT('',#38252); -#12458=VERTEX_POINT('',#38256); -#12459=VERTEX_POINT('',#38257); -#12460=VERTEX_POINT('',#38259); -#12461=VERTEX_POINT('',#38264); -#12462=VERTEX_POINT('',#38266); -#12463=VERTEX_POINT('',#38268); -#12464=VERTEX_POINT('',#38278); -#12465=VERTEX_POINT('',#38279); -#12466=VERTEX_POINT('',#38282); -#12467=VERTEX_POINT('',#38286); -#12468=VERTEX_POINT('',#38287); -#12469=VERTEX_POINT('',#38289); -#12470=VERTEX_POINT('',#38291); -#12471=VERTEX_POINT('',#38295); -#12472=VERTEX_POINT('',#38296); -#12473=VERTEX_POINT('',#38301); -#12474=VERTEX_POINT('',#38303); -#12475=VERTEX_POINT('',#38305); -#12476=VERTEX_POINT('',#38310); -#12477=VERTEX_POINT('',#38314); -#12478=VERTEX_POINT('',#38318); -#12479=VERTEX_POINT('',#38325); -#12480=VERTEX_POINT('',#38339); -#12481=VERTEX_POINT('',#38341); -#12482=VERTEX_POINT('',#38351); -#12483=VERTEX_POINT('',#38353); -#12484=VERTEX_POINT('',#38357); -#12485=VERTEX_POINT('',#38358); -#12486=VERTEX_POINT('',#38361); -#12487=VERTEX_POINT('',#38363); -#12488=VERTEX_POINT('',#38365); -#12489=VERTEX_POINT('',#38368); -#12490=VERTEX_POINT('',#38369); -#12491=VERTEX_POINT('',#38371); -#12492=VERTEX_POINT('',#38373); -#12493=VERTEX_POINT('',#38377); -#12494=VERTEX_POINT('',#38379); -#12495=VERTEX_POINT('',#38383); -#12496=VERTEX_POINT('',#38387); -#12497=VERTEX_POINT('',#38394); -#12498=VERTEX_POINT('',#38400); -#12499=VERTEX_POINT('',#38401); -#12500=VERTEX_POINT('',#38405); -#12501=VERTEX_POINT('',#38406); -#12502=VERTEX_POINT('',#38410); -#12503=VERTEX_POINT('',#38419); -#12504=VERTEX_POINT('',#38429); -#12505=VERTEX_POINT('',#38431); -#12506=VERTEX_POINT('',#38435); -#12507=VERTEX_POINT('',#38438); -#12508=VERTEX_POINT('',#38439); -#12509=VERTEX_POINT('',#38441); -#12510=VERTEX_POINT('',#38443); -#12511=VERTEX_POINT('',#38446); -#12512=VERTEX_POINT('',#38447); -#12513=VERTEX_POINT('',#38449); -#12514=VERTEX_POINT('',#38451); -#12515=VERTEX_POINT('',#38454); -#12516=VERTEX_POINT('',#38455); -#12517=VERTEX_POINT('',#38457); -#12518=VERTEX_POINT('',#38459); -#12519=VERTEX_POINT('',#38462); -#12520=VERTEX_POINT('',#38463); -#12521=VERTEX_POINT('',#38465); -#12522=VERTEX_POINT('',#38467); -#12523=VERTEX_POINT('',#38471); -#12524=VERTEX_POINT('',#38476); -#12525=VERTEX_POINT('',#38477); -#12526=VERTEX_POINT('',#38479); -#12527=VERTEX_POINT('',#38481); -#12528=VERTEX_POINT('',#38484); -#12529=VERTEX_POINT('',#38485); -#12530=VERTEX_POINT('',#38487); -#12531=VERTEX_POINT('',#38489); -#12532=VERTEX_POINT('',#38492); -#12533=VERTEX_POINT('',#38493); -#12534=VERTEX_POINT('',#38495); -#12535=VERTEX_POINT('',#38497); -#12536=VERTEX_POINT('',#38500); -#12537=VERTEX_POINT('',#38501); -#12538=VERTEX_POINT('',#38503); -#12539=VERTEX_POINT('',#38505); -#12540=VERTEX_POINT('',#38510); -#12541=VERTEX_POINT('',#38512); -#12542=VERTEX_POINT('',#38516); -#12543=VERTEX_POINT('',#38518); -#12544=VERTEX_POINT('',#38522); -#12545=VERTEX_POINT('',#38524); -#12546=VERTEX_POINT('',#38528); -#12547=VERTEX_POINT('',#38530); -#12548=VERTEX_POINT('',#38534); -#12549=VERTEX_POINT('',#38536); -#12550=VERTEX_POINT('',#38540); -#12551=VERTEX_POINT('',#38542); -#12552=VERTEX_POINT('',#38546); -#12553=VERTEX_POINT('',#38548); -#12554=VERTEX_POINT('',#38552); -#12555=VERTEX_POINT('',#38554); -#12556=VERTEX_POINT('',#38558); -#12557=VERTEX_POINT('',#38559); -#12558=VERTEX_POINT('',#38563); -#12559=VERTEX_POINT('',#38567); -#12560=VERTEX_POINT('',#38568); -#12561=VERTEX_POINT('',#38570); -#12562=VERTEX_POINT('',#38576); -#12563=VERTEX_POINT('',#38578); -#12564=VERTEX_POINT('',#38584); -#12565=VERTEX_POINT('',#38586); -#12566=VERTEX_POINT('',#38590); -#12567=VERTEX_POINT('',#38592); -#12568=VERTEX_POINT('',#38596); -#12569=VERTEX_POINT('',#38598); -#12570=VERTEX_POINT('',#38602); -#12571=VERTEX_POINT('',#38606); -#12572=VERTEX_POINT('',#38608); -#12573=VERTEX_POINT('',#38612); -#12574=VERTEX_POINT('',#38614); -#12575=VERTEX_POINT('',#38618); -#12576=VERTEX_POINT('',#38620); -#12577=VERTEX_POINT('',#38624); -#12578=VERTEX_POINT('',#38630); -#12579=VERTEX_POINT('',#38632); -#12580=VERTEX_POINT('',#38640); -#12581=VERTEX_POINT('',#38641); -#12582=VERTEX_POINT('',#38643); -#12583=VERTEX_POINT('',#38645); -#12584=VERTEX_POINT('',#38649); -#12585=VERTEX_POINT('',#38650); -#12586=VERTEX_POINT('',#38652); -#12587=VERTEX_POINT('',#38654); -#12588=VERTEX_POINT('',#38658); -#12589=VERTEX_POINT('',#38659); -#12590=VERTEX_POINT('',#38661); -#12591=VERTEX_POINT('',#38663); -#12592=VERTEX_POINT('',#38670); -#12593=VERTEX_POINT('',#38671); -#12594=VERTEX_POINT('',#38673); -#12595=VERTEX_POINT('',#38675); -#12596=VERTEX_POINT('',#38679); -#12597=VERTEX_POINT('',#38680); -#12598=VERTEX_POINT('',#38682); -#12599=VERTEX_POINT('',#38684); -#12600=VERTEX_POINT('',#38688); -#12601=VERTEX_POINT('',#38689); -#12602=VERTEX_POINT('',#38691); -#12603=VERTEX_POINT('',#38693); -#12604=VERTEX_POINT('',#38766); -#12605=VERTEX_POINT('',#38768); -#12606=VERTEX_POINT('',#38772); -#12607=VERTEX_POINT('',#38776); -#12608=VERTEX_POINT('',#38781); -#12609=VERTEX_POINT('',#38783); -#12610=VERTEX_POINT('',#38787); -#12611=VERTEX_POINT('',#38788); -#12612=VERTEX_POINT('',#38790); -#12613=VERTEX_POINT('',#38792); -#12614=VERTEX_POINT('',#38796); -#12615=VERTEX_POINT('',#38797); -#12616=VERTEX_POINT('',#38799); -#12617=VERTEX_POINT('',#38801); -#12618=VERTEX_POINT('',#38806); -#12619=VERTEX_POINT('',#38807); -#12620=VERTEX_POINT('',#38809); -#12621=VERTEX_POINT('',#38811); -#12622=VERTEX_POINT('',#38816); -#12623=VERTEX_POINT('',#38818); -#12624=VERTEX_POINT('',#38847); -#12625=VERTEX_POINT('',#38849); -#12626=VERTEX_POINT('',#38853); -#12627=VERTEX_POINT('',#38855); -#12628=VERTEX_POINT('',#38859); -#12629=VERTEX_POINT('',#38863); -#12630=VERTEX_POINT('',#38867); -#12631=VERTEX_POINT('',#38871); -#12632=VERTEX_POINT('',#38879); -#12633=VERTEX_POINT('',#38881); -#12634=VERTEX_POINT('',#38885); -#12635=VERTEX_POINT('',#38889); -#12636=VERTEX_POINT('',#38896); -#12637=VERTEX_POINT('',#38898); -#12638=VERTEX_POINT('',#38902); -#12639=VERTEX_POINT('',#38906); -#12640=VERTEX_POINT('',#38913); -#12641=VERTEX_POINT('',#38915); -#12642=VERTEX_POINT('',#38919); -#12643=VERTEX_POINT('',#38922); -#12644=VERTEX_POINT('',#38924); -#12645=VERTEX_POINT('',#38928); -#12646=VERTEX_POINT('',#38932); -#12647=VERTEX_POINT('',#38933); -#12648=VERTEX_POINT('',#38935); -#12649=VERTEX_POINT('',#38937); -#12650=VERTEX_POINT('',#38941); -#12651=VERTEX_POINT('',#38942); -#12652=VERTEX_POINT('',#38944); -#12653=VERTEX_POINT('',#38946); -#12654=VERTEX_POINT('',#38954); -#12655=VERTEX_POINT('',#38964); -#12656=VERTEX_POINT('',#38965); -#12657=VERTEX_POINT('',#38967); -#12658=VERTEX_POINT('',#38983); -#12659=VERTEX_POINT('',#38987); -#12660=VERTEX_POINT('',#38990); -#12661=VERTEX_POINT('',#38993); -#12662=VERTEX_POINT('',#38997); -#12663=VERTEX_POINT('',#39002); -#12664=VERTEX_POINT('',#39004); -#12665=VERTEX_POINT('',#39008); -#12666=VERTEX_POINT('',#39012); -#12667=VERTEX_POINT('',#39019); -#12668=VERTEX_POINT('',#39020); -#12669=VERTEX_POINT('',#39022); -#12670=VERTEX_POINT('',#39024); -#12671=VERTEX_POINT('',#39036); -#12672=VERTEX_POINT('',#39038); -#12673=VERTEX_POINT('',#39042); -#12674=VERTEX_POINT('',#39046); -#12675=VERTEX_POINT('',#39053); -#12676=VERTEX_POINT('',#39054); -#12677=VERTEX_POINT('',#39056); -#12678=VERTEX_POINT('',#39058); -#12679=VERTEX_POINT('',#39062); -#12680=VERTEX_POINT('',#39063); -#12681=VERTEX_POINT('',#39065); -#12682=VERTEX_POINT('',#39067); -#12683=VERTEX_POINT('',#39071); -#12684=VERTEX_POINT('',#39072); -#12685=VERTEX_POINT('',#39074); -#12686=VERTEX_POINT('',#39076); -#12687=VERTEX_POINT('',#39080); -#12688=VERTEX_POINT('',#39081); -#12689=VERTEX_POINT('',#39083); -#12690=VERTEX_POINT('',#39085); -#12691=VERTEX_POINT('',#39089); -#12692=VERTEX_POINT('',#39090); -#12693=VERTEX_POINT('',#39092); -#12694=VERTEX_POINT('',#39094); -#12695=VERTEX_POINT('',#39098); -#12696=VERTEX_POINT('',#39099); -#12697=VERTEX_POINT('',#39101); -#12698=VERTEX_POINT('',#39103); -#12699=VERTEX_POINT('',#39107); -#12700=VERTEX_POINT('',#39108); -#12701=VERTEX_POINT('',#39110); -#12702=VERTEX_POINT('',#39112); -#12703=VERTEX_POINT('',#39116); -#12704=VERTEX_POINT('',#39117); -#12705=VERTEX_POINT('',#39119); -#12706=VERTEX_POINT('',#39121); -#12707=VERTEX_POINT('',#39125); -#12708=VERTEX_POINT('',#39126); -#12709=VERTEX_POINT('',#39128); -#12710=VERTEX_POINT('',#39130); -#12711=VERTEX_POINT('',#39134); -#12712=VERTEX_POINT('',#39135); -#12713=VERTEX_POINT('',#39137); -#12714=VERTEX_POINT('',#39139); -#12715=VERTEX_POINT('',#39143); -#12716=VERTEX_POINT('',#39144); -#12717=VERTEX_POINT('',#39146); -#12718=VERTEX_POINT('',#39148); -#12719=VERTEX_POINT('',#39152); -#12720=VERTEX_POINT('',#39153); -#12721=VERTEX_POINT('',#39155); -#12722=VERTEX_POINT('',#39157); -#12723=VERTEX_POINT('',#39161); -#12724=VERTEX_POINT('',#39162); -#12725=VERTEX_POINT('',#39164); -#12726=VERTEX_POINT('',#39166); -#12727=VERTEX_POINT('',#39170); -#12728=VERTEX_POINT('',#39171); -#12729=VERTEX_POINT('',#39173); -#12730=VERTEX_POINT('',#39175); -#12731=VERTEX_POINT('',#39179); -#12732=VERTEX_POINT('',#39180); -#12733=VERTEX_POINT('',#39182); -#12734=VERTEX_POINT('',#39184); -#12735=VERTEX_POINT('',#39188); -#12736=VERTEX_POINT('',#39189); -#12737=VERTEX_POINT('',#39191); -#12738=VERTEX_POINT('',#39193); -#12739=VERTEX_POINT('',#39197); -#12740=VERTEX_POINT('',#39198); -#12741=VERTEX_POINT('',#39200); -#12742=VERTEX_POINT('',#39202); -#12743=VERTEX_POINT('',#39206); -#12744=VERTEX_POINT('',#39207); -#12745=VERTEX_POINT('',#39209); -#12746=VERTEX_POINT('',#39211); -#12747=VERTEX_POINT('',#39215); -#12748=VERTEX_POINT('',#39216); -#12749=VERTEX_POINT('',#39218); -#12750=VERTEX_POINT('',#39220); -#12751=VERTEX_POINT('',#39376); -#12752=VERTEX_POINT('',#39378); -#12753=VERTEX_POINT('',#39382); -#12754=VERTEX_POINT('',#39386); -#12755=VERTEX_POINT('',#39393); -#12756=VERTEX_POINT('',#39394); -#12757=VERTEX_POINT('',#39396); -#12758=VERTEX_POINT('',#39398); -#12759=VERTEX_POINT('',#39402); -#12760=VERTEX_POINT('',#39403); -#12761=VERTEX_POINT('',#39405); -#12762=VERTEX_POINT('',#39407); -#12763=VERTEX_POINT('',#39411); -#12764=VERTEX_POINT('',#39412); -#12765=VERTEX_POINT('',#39414); -#12766=VERTEX_POINT('',#39416); -#12767=VERTEX_POINT('',#39420); -#12768=VERTEX_POINT('',#39421); -#12769=VERTEX_POINT('',#39423); -#12770=VERTEX_POINT('',#39425); -#12771=VERTEX_POINT('',#39429); -#12772=VERTEX_POINT('',#39430); -#12773=VERTEX_POINT('',#39432); -#12774=VERTEX_POINT('',#39434); -#12775=VERTEX_POINT('',#39438); -#12776=VERTEX_POINT('',#39439); -#12777=VERTEX_POINT('',#39441); -#12778=VERTEX_POINT('',#39443); -#12779=VERTEX_POINT('',#39447); -#12780=VERTEX_POINT('',#39448); -#12781=VERTEX_POINT('',#39450); -#12782=VERTEX_POINT('',#39452); -#12783=VERTEX_POINT('',#39456); -#12784=VERTEX_POINT('',#39457); -#12785=VERTEX_POINT('',#39459); -#12786=VERTEX_POINT('',#39461); -#12787=VERTEX_POINT('',#39465); -#12788=VERTEX_POINT('',#39466); -#12789=VERTEX_POINT('',#39468); -#12790=VERTEX_POINT('',#39470); -#12791=VERTEX_POINT('',#39474); -#12792=VERTEX_POINT('',#39475); -#12793=VERTEX_POINT('',#39477); -#12794=VERTEX_POINT('',#39479); -#12795=VERTEX_POINT('',#39483); -#12796=VERTEX_POINT('',#39484); -#12797=VERTEX_POINT('',#39486); -#12798=VERTEX_POINT('',#39488); -#12799=VERTEX_POINT('',#39492); -#12800=VERTEX_POINT('',#39493); -#12801=VERTEX_POINT('',#39495); -#12802=VERTEX_POINT('',#39497); -#12803=VERTEX_POINT('',#39501); -#12804=VERTEX_POINT('',#39502); -#12805=VERTEX_POINT('',#39504); -#12806=VERTEX_POINT('',#39506); -#12807=VERTEX_POINT('',#39510); -#12808=VERTEX_POINT('',#39511); -#12809=VERTEX_POINT('',#39513); -#12810=VERTEX_POINT('',#39515); -#12811=VERTEX_POINT('',#39519); -#12812=VERTEX_POINT('',#39520); -#12813=VERTEX_POINT('',#39522); -#12814=VERTEX_POINT('',#39524); -#12815=VERTEX_POINT('',#39528); -#12816=VERTEX_POINT('',#39529); -#12817=VERTEX_POINT('',#39531); -#12818=VERTEX_POINT('',#39533); -#12819=VERTEX_POINT('',#39537); -#12820=VERTEX_POINT('',#39538); -#12821=VERTEX_POINT('',#39540); -#12822=VERTEX_POINT('',#39542); -#12823=VERTEX_POINT('',#39546); -#12824=VERTEX_POINT('',#39547); -#12825=VERTEX_POINT('',#39549); -#12826=VERTEX_POINT('',#39551); -#12827=VERTEX_POINT('',#39699); -#12828=VERTEX_POINT('',#39701); -#12829=VERTEX_POINT('',#39705); -#12830=VERTEX_POINT('',#39709); -#12831=VERTEX_POINT('',#39716); -#12832=VERTEX_POINT('',#39717); -#12833=VERTEX_POINT('',#39719); -#12834=VERTEX_POINT('',#39721); -#12835=VERTEX_POINT('',#39725); -#12836=VERTEX_POINT('',#39726); -#12837=VERTEX_POINT('',#39728); -#12838=VERTEX_POINT('',#39730); -#12839=VERTEX_POINT('',#39734); -#12840=VERTEX_POINT('',#39735); -#12841=VERTEX_POINT('',#39737); -#12842=VERTEX_POINT('',#39739); -#12843=VERTEX_POINT('',#39743); -#12844=VERTEX_POINT('',#39744); -#12845=VERTEX_POINT('',#39746); -#12846=VERTEX_POINT('',#39748); -#12847=VERTEX_POINT('',#39752); -#12848=VERTEX_POINT('',#39753); -#12849=VERTEX_POINT('',#39755); -#12850=VERTEX_POINT('',#39757); -#12851=VERTEX_POINT('',#39761); -#12852=VERTEX_POINT('',#39762); -#12853=VERTEX_POINT('',#39764); -#12854=VERTEX_POINT('',#39766); -#12855=VERTEX_POINT('',#39770); -#12856=VERTEX_POINT('',#39771); -#12857=VERTEX_POINT('',#39773); -#12858=VERTEX_POINT('',#39775); -#12859=VERTEX_POINT('',#39835); -#12860=VERTEX_POINT('',#39837); -#12861=VERTEX_POINT('',#39841); -#12862=VERTEX_POINT('',#39845); -#12863=VERTEX_POINT('',#39852); -#12864=VERTEX_POINT('',#39853); -#12865=VERTEX_POINT('',#39855); -#12866=VERTEX_POINT('',#39857); -#12867=VERTEX_POINT('',#39861); -#12868=VERTEX_POINT('',#39862); -#12869=VERTEX_POINT('',#39864); -#12870=VERTEX_POINT('',#39866); -#12871=VERTEX_POINT('',#39870); -#12872=VERTEX_POINT('',#39871); -#12873=VERTEX_POINT('',#39873); -#12874=VERTEX_POINT('',#39875); -#12875=VERTEX_POINT('',#39879); -#12876=VERTEX_POINT('',#39880); -#12877=VERTEX_POINT('',#39882); -#12878=VERTEX_POINT('',#39884); -#12879=VERTEX_POINT('',#39920); -#12880=VERTEX_POINT('',#39922); -#12881=VERTEX_POINT('',#39926); -#12882=VERTEX_POINT('',#39930); -#12883=VERTEX_POINT('',#39937); -#12884=VERTEX_POINT('',#39938); -#12885=VERTEX_POINT('',#39940); -#12886=VERTEX_POINT('',#39942); -#12887=VERTEX_POINT('',#39954); -#12888=VERTEX_POINT('',#39956); -#12889=VERTEX_POINT('',#39960); -#12890=VERTEX_POINT('',#39962); -#12891=VERTEX_POINT('',#39966); -#12892=VERTEX_POINT('',#39970); -#12893=VERTEX_POINT('',#39974); -#12894=VERTEX_POINT('',#39978); -#12895=VERTEX_POINT('',#39986); -#12896=VERTEX_POINT('',#39987); -#12897=VERTEX_POINT('',#39989); -#12898=VERTEX_POINT('',#39991); -#12899=VERTEX_POINT('',#39995); -#12900=VERTEX_POINT('',#39996); -#12901=VERTEX_POINT('',#39998); -#12902=VERTEX_POINT('',#40000); -#12903=VERTEX_POINT('',#40004); -#12904=VERTEX_POINT('',#40006); -#12905=VERTEX_POINT('',#40010); -#12906=VERTEX_POINT('',#40014); -#12907=VERTEX_POINT('',#40021); -#12908=VERTEX_POINT('',#40022); -#12909=VERTEX_POINT('',#40024); -#12910=VERTEX_POINT('',#40026); -#12911=VERTEX_POINT('',#40052); -#12912=VERTEX_POINT('',#40054); -#12913=VERTEX_POINT('',#40058); -#12914=VERTEX_POINT('',#40062); -#12915=VERTEX_POINT('',#40063); -#12916=VERTEX_POINT('',#40066); -#12917=VERTEX_POINT('',#40069); -#12918=VERTEX_POINT('',#40071); -#12919=VERTEX_POINT('',#40076); -#12920=VERTEX_POINT('',#40077); -#12921=VERTEX_POINT('',#40079); -#12922=VERTEX_POINT('',#40081); -#12923=VERTEX_POINT('',#40085); -#12924=VERTEX_POINT('',#40086); -#12925=VERTEX_POINT('',#40088); -#12926=VERTEX_POINT('',#40090); -#12927=VERTEX_POINT('',#40094); -#12928=VERTEX_POINT('',#40095); -#12929=VERTEX_POINT('',#40097); -#12930=VERTEX_POINT('',#40099); -#12931=VERTEX_POINT('',#40103); -#12932=VERTEX_POINT('',#40104); -#12933=VERTEX_POINT('',#40106); -#12934=VERTEX_POINT('',#40108); -#12935=VERTEX_POINT('',#40112); -#12936=VERTEX_POINT('',#40113); -#12937=VERTEX_POINT('',#40115); -#12938=VERTEX_POINT('',#40117); -#12939=VERTEX_POINT('',#40121); -#12940=VERTEX_POINT('',#40122); -#12941=VERTEX_POINT('',#40124); -#12942=VERTEX_POINT('',#40126); -#12943=VERTEX_POINT('',#40130); -#12944=VERTEX_POINT('',#40131); -#12945=VERTEX_POINT('',#40133); -#12946=VERTEX_POINT('',#40135); -#12947=VERTEX_POINT('',#40139); -#12948=VERTEX_POINT('',#40141); -#12949=VERTEX_POINT('',#40147); -#12950=VERTEX_POINT('',#40148); -#12951=VERTEX_POINT('',#40150); -#12952=VERTEX_POINT('',#40152); -#12953=VERTEX_POINT('',#40156); -#12954=VERTEX_POINT('',#40157); -#12955=VERTEX_POINT('',#40159); -#12956=VERTEX_POINT('',#40161); -#12957=VERTEX_POINT('',#40165); -#12958=VERTEX_POINT('',#40166); -#12959=VERTEX_POINT('',#40168); -#12960=VERTEX_POINT('',#40170); -#12961=VERTEX_POINT('',#40177); -#12962=VERTEX_POINT('',#40179); -#12963=VERTEX_POINT('',#40189); -#12964=VERTEX_POINT('',#40194); -#12965=VERTEX_POINT('',#40207); -#12966=VERTEX_POINT('',#40208); -#12967=VERTEX_POINT('',#40210); -#12968=VERTEX_POINT('',#40212); -#12969=VERTEX_POINT('',#40216); -#12970=VERTEX_POINT('',#40218); -#12971=VERTEX_POINT('',#40222); -#12972=VERTEX_POINT('',#40223); -#12973=VERTEX_POINT('',#40225); -#12974=VERTEX_POINT('',#40227); -#12975=VERTEX_POINT('',#40312); -#12976=VERTEX_POINT('',#40314); -#12977=VERTEX_POINT('',#40318); -#12978=VERTEX_POINT('',#40320); -#12979=VERTEX_POINT('',#40324); -#12980=VERTEX_POINT('',#40328); -#12981=VERTEX_POINT('',#40330); -#12982=VERTEX_POINT('',#40334); -#12983=VERTEX_POINT('',#40344); -#12984=VERTEX_POINT('',#40346); -#12985=VERTEX_POINT('',#40350); -#12986=VERTEX_POINT('',#40354); -#12987=VERTEX_POINT('',#40361); -#12988=VERTEX_POINT('',#40363); -#12989=VERTEX_POINT('',#40367); -#12990=VERTEX_POINT('',#40369); -#12991=VERTEX_POINT('',#40373); -#12992=VERTEX_POINT('',#40377); -#12993=VERTEX_POINT('',#40379); -#12994=VERTEX_POINT('',#40383); -#12995=VERTEX_POINT('',#40391); -#12996=VERTEX_POINT('',#40392); -#12997=VERTEX_POINT('',#40394); -#12998=VERTEX_POINT('',#40396); -#12999=VERTEX_POINT('',#40400); -#13000=VERTEX_POINT('',#40401); -#13001=VERTEX_POINT('',#40403); -#13002=VERTEX_POINT('',#40405); -#13003=VERTEX_POINT('',#40411); -#13004=VERTEX_POINT('',#40412); -#13005=VERTEX_POINT('',#40414); -#13006=VERTEX_POINT('',#40416); -#13007=VERTEX_POINT('',#40424); -#13008=VERTEX_POINT('',#40426); -#13009=VERTEX_POINT('',#40430); -#13010=VERTEX_POINT('',#40434); -#13011=VERTEX_POINT('',#40458); -#13012=VERTEX_POINT('',#40460); -#13013=VERTEX_POINT('',#40464); -#13014=VERTEX_POINT('',#40468); -#13015=VERTEX_POINT('',#40475); -#13016=VERTEX_POINT('',#40477); -#13017=VERTEX_POINT('',#40481); -#13018=VERTEX_POINT('',#40485); -#13019=VERTEX_POINT('',#40492); -#13020=VERTEX_POINT('',#40494); -#13021=VERTEX_POINT('',#40498); -#13022=VERTEX_POINT('',#40500); -#13023=VERTEX_POINT('',#40504); -#13024=VERTEX_POINT('',#40508); -#13025=VERTEX_POINT('',#40512); -#13026=VERTEX_POINT('',#40516); -#13027=VERTEX_POINT('',#40520); -#13028=VERTEX_POINT('',#40521); -#13029=VERTEX_POINT('',#40523); -#13030=VERTEX_POINT('',#40525); -#13031=VERTEX_POINT('',#40531); -#13032=VERTEX_POINT('',#40532); -#13033=VERTEX_POINT('',#40534); -#13034=VERTEX_POINT('',#40536); -#13035=VERTEX_POINT('',#40540); -#13036=VERTEX_POINT('',#40541); -#13037=VERTEX_POINT('',#40543); -#13038=VERTEX_POINT('',#40545); -#13039=VERTEX_POINT('',#40552); -#13040=VERTEX_POINT('',#40554); -#13041=VERTEX_POINT('',#40573); -#13042=VERTEX_POINT('',#40575); -#13043=EDGE_CURVE('',#10728,#10729,#10331,.T.); -#13044=EDGE_CURVE('',#10730,#10728,#10332,.T.); -#13045=EDGE_CURVE('',#10730,#10731,#4141,.T.); -#13046=EDGE_CURVE('',#10731,#10732,#10333,.T.); -#13047=EDGE_CURVE('',#10732,#10733,#10334,.T.); -#13048=EDGE_CURVE('',#10729,#10733,#4142,.T.); -#13049=EDGE_CURVE('',#10734,#10735,#10335,.T.); -#13050=EDGE_CURVE('',#10736,#10734,#10336,.T.); -#13051=EDGE_CURVE('',#10736,#10737,#4143,.T.); -#13052=EDGE_CURVE('',#10737,#10738,#10337,.T.); -#13053=EDGE_CURVE('',#10738,#10739,#10338,.T.); -#13054=EDGE_CURVE('',#10735,#10739,#4144,.T.); -#13055=EDGE_CURVE('',#10740,#10741,#10339,.T.); -#13056=EDGE_CURVE('',#10742,#10740,#10340,.T.); -#13057=EDGE_CURVE('',#10742,#10743,#4145,.T.); -#13058=EDGE_CURVE('',#10743,#10744,#10341,.T.); -#13059=EDGE_CURVE('',#10744,#10745,#10342,.T.); -#13060=EDGE_CURVE('',#10741,#10745,#4146,.T.); -#13061=EDGE_CURVE('',#10746,#10747,#4147,.T.); -#13062=EDGE_CURVE('',#10746,#10748,#4148,.T.); -#13063=EDGE_CURVE('',#10748,#10749,#4149,.T.); -#13064=EDGE_CURVE('',#10747,#10749,#4150,.T.); -#13065=EDGE_CURVE('',#10750,#10751,#4151,.T.); -#13066=EDGE_CURVE('',#10751,#10752,#4152,.T.); -#13067=EDGE_CURVE('',#10753,#10752,#4153,.T.); -#13068=EDGE_CURVE('',#10753,#10754,#4154,.T.); -#13069=EDGE_CURVE('',#10754,#10755,#4155,.T.); -#13070=EDGE_CURVE('',#10755,#10756,#10343,.T.); -#13071=EDGE_CURVE('',#10756,#10757,#4156,.T.); -#13072=EDGE_CURVE('',#10757,#10758,#10344,.T.); -#13073=EDGE_CURVE('',#10758,#10759,#4157,.T.); -#13074=EDGE_CURVE('',#10759,#10760,#10345,.T.); -#13075=EDGE_CURVE('',#10760,#10761,#4158,.T.); -#13076=EDGE_CURVE('',#10762,#10761,#4159,.T.); -#13077=EDGE_CURVE('',#10762,#10763,#4160,.T.); -#13078=EDGE_CURVE('',#10763,#10764,#4161,.T.); -#13079=EDGE_CURVE('',#10765,#10764,#4162,.T.); -#13080=EDGE_CURVE('',#10766,#10765,#4163,.T.); -#13081=EDGE_CURVE('',#10766,#10767,#4164,.T.); -#13082=EDGE_CURVE('',#10767,#10768,#10346,.T.); -#13083=EDGE_CURVE('',#10768,#10769,#4165,.T.); -#13084=EDGE_CURVE('',#10769,#10770,#10347,.T.); -#13085=EDGE_CURVE('',#10770,#10771,#4166,.T.); -#13086=EDGE_CURVE('',#10771,#10750,#10348,.T.); -#13087=EDGE_CURVE('',#10772,#10773,#4167,.T.); -#13088=EDGE_CURVE('',#10772,#10774,#4168,.T.); -#13089=EDGE_CURVE('',#10774,#10775,#4169,.T.); -#13090=EDGE_CURVE('',#10775,#10776,#4170,.T.); -#13091=EDGE_CURVE('',#10776,#10777,#4171,.T.); -#13092=EDGE_CURVE('',#10777,#10778,#4172,.T.); -#13093=EDGE_CURVE('',#10778,#10779,#4173,.T.); -#13094=EDGE_CURVE('',#10779,#10780,#4174,.T.); -#13095=EDGE_CURVE('',#10780,#10781,#4175,.T.); -#13096=EDGE_CURVE('',#10781,#10773,#4176,.T.); -#13097=EDGE_CURVE('',#10782,#10783,#4177,.T.); -#13098=EDGE_CURVE('',#10783,#10784,#4178,.T.); -#13099=EDGE_CURVE('',#10784,#10785,#4179,.T.); -#13100=EDGE_CURVE('',#10785,#10786,#4180,.T.); -#13101=EDGE_CURVE('',#10786,#10787,#4181,.T.); -#13102=EDGE_CURVE('',#10787,#10788,#4182,.T.); -#13103=EDGE_CURVE('',#10789,#10788,#4183,.T.); -#13104=EDGE_CURVE('',#10789,#10790,#4184,.T.); -#13105=EDGE_CURVE('',#10790,#10791,#4185,.T.); -#13106=EDGE_CURVE('',#10791,#10782,#4186,.T.); -#13107=EDGE_CURVE('',#10792,#10793,#4187,.T.); -#13108=EDGE_CURVE('',#10793,#10794,#10349,.T.); -#13109=EDGE_CURVE('',#10794,#10795,#4188,.T.); -#13110=EDGE_CURVE('',#10795,#10796,#10350,.T.); -#13111=EDGE_CURVE('',#10796,#10797,#4189,.T.); -#13112=EDGE_CURVE('',#10797,#10798,#10351,.T.); -#13113=EDGE_CURVE('',#10798,#10799,#4190,.T.); -#13114=EDGE_CURVE('',#10799,#10800,#4191,.T.); -#13115=EDGE_CURVE('',#10800,#10801,#4192,.T.); -#13116=EDGE_CURVE('',#10801,#10802,#10352,.T.); -#13117=EDGE_CURVE('',#10802,#10803,#4193,.T.); -#13118=EDGE_CURVE('',#10803,#10804,#10353,.T.); -#13119=EDGE_CURVE('',#10804,#10805,#4194,.T.); -#13120=EDGE_CURVE('',#10805,#10792,#10354,.T.); -#13121=EDGE_CURVE('',#10806,#10807,#4195,.T.); -#13122=EDGE_CURVE('',#10807,#10808,#10355,.T.); -#13123=EDGE_CURVE('',#10808,#10809,#4196,.T.); -#13124=EDGE_CURVE('',#10809,#10810,#10356,.T.); -#13125=EDGE_CURVE('',#10810,#10811,#4197,.T.); -#13126=EDGE_CURVE('',#10811,#10812,#10357,.T.); -#13127=EDGE_CURVE('',#10812,#10813,#4198,.T.); -#13128=EDGE_CURVE('',#10813,#10814,#10358,.T.); -#13129=EDGE_CURVE('',#10814,#10815,#4199,.T.); -#13130=EDGE_CURVE('',#10815,#10816,#4200,.T.); -#13131=EDGE_CURVE('',#10816,#10817,#4201,.T.); -#13132=EDGE_CURVE('',#10817,#10818,#10359,.T.); -#13133=EDGE_CURVE('',#10818,#10819,#4202,.T.); -#13134=EDGE_CURVE('',#10819,#10806,#10360,.T.); -#13135=EDGE_CURVE('',#10820,#10821,#4203,.T.); -#13136=EDGE_CURVE('',#10820,#10822,#4204,.T.); -#13137=EDGE_CURVE('',#10822,#10823,#4205,.T.); -#13138=EDGE_CURVE('',#10821,#10823,#4206,.T.); -#13139=EDGE_CURVE('',#10824,#10825,#4207,.T.); -#13140=EDGE_CURVE('',#10825,#10826,#4208,.T.); -#13141=EDGE_CURVE('',#10826,#10827,#4209,.T.); -#13142=EDGE_CURVE('',#10827,#10753,#10361,.T.); -#13143=EDGE_CURVE('',#10752,#10828,#10362,.T.); -#13144=EDGE_CURVE('',#10828,#10829,#4210,.T.); -#13145=EDGE_CURVE('',#10829,#10830,#4211,.T.); -#13146=EDGE_CURVE('',#10830,#10831,#4212,.T.); -#13147=EDGE_CURVE('',#10831,#10832,#10363,.T.); -#13148=EDGE_CURVE('',#10833,#10832,#4213,.T.); -#13149=EDGE_CURVE('',#10833,#10824,#10364,.T.); -#13150=EDGE_CURVE('',#10761,#10834,#4214,.T.); -#13151=EDGE_CURVE('',#10835,#10834,#4215,.T.); -#13152=EDGE_CURVE('',#10836,#10835,#10365,.T.); -#13153=EDGE_CURVE('',#10837,#10836,#4216,.T.); -#13154=EDGE_CURVE('',#10838,#10837,#4217,.T.); -#13155=EDGE_CURVE('',#10838,#10839,#4218,.T.); -#13156=EDGE_CURVE('',#10839,#10762,#10366,.T.); -#13157=EDGE_CURVE('',#10840,#10841,#4219,.T.); -#13158=EDGE_CURVE('',#10842,#10840,#10367,.T.); -#13159=EDGE_CURVE('',#10843,#10842,#4220,.T.); -#13160=EDGE_CURVE('',#10844,#10843,#10368,.T.); -#13161=EDGE_CURVE('',#10845,#10844,#4221,.T.); -#13162=EDGE_CURVE('',#10846,#10845,#10369,.T.); -#13163=EDGE_CURVE('',#10847,#10846,#4222,.T.); -#13164=EDGE_CURVE('',#10848,#10847,#10370,.T.); -#13165=EDGE_CURVE('',#10849,#10848,#4223,.T.); -#13166=EDGE_CURVE('',#10850,#10849,#10371,.T.); -#13167=EDGE_CURVE('',#10851,#10850,#4224,.T.); -#13168=EDGE_CURVE('',#10852,#10851,#10372,.T.); -#13169=EDGE_CURVE('',#10853,#10852,#4225,.T.); -#13170=EDGE_CURVE('',#10854,#10853,#10373,.T.); -#13171=EDGE_CURVE('',#10855,#10854,#4226,.T.); -#13172=EDGE_CURVE('',#10856,#10855,#10374,.T.); -#13173=EDGE_CURVE('',#10857,#10856,#4227,.T.); -#13174=EDGE_CURVE('',#10858,#10857,#4228,.T.); -#13175=EDGE_CURVE('',#10859,#10858,#10375,.T.); -#13176=EDGE_CURVE('',#10860,#10859,#4229,.T.); -#13177=EDGE_CURVE('',#10861,#10860,#10376,.T.); -#13178=EDGE_CURVE('',#10861,#10862,#4230,.T.); -#13179=EDGE_CURVE('',#10862,#10863,#4231,.T.); -#13180=EDGE_CURVE('',#10864,#10863,#4232,.T.); -#13181=EDGE_CURVE('',#10865,#10864,#4233,.T.); -#13182=EDGE_CURVE('',#10865,#10866,#4234,.T.); -#13183=EDGE_CURVE('',#10866,#10867,#4235,.T.); -#13184=EDGE_CURVE('',#10841,#10867,#4236,.T.); -#13185=EDGE_CURVE('',#10868,#10748,#4237,.T.); -#13186=EDGE_CURVE('',#10869,#10868,#4238,.T.); -#13187=EDGE_CURVE('',#10870,#10869,#4239,.T.); -#13188=EDGE_CURVE('',#10871,#10870,#4240,.T.); -#13189=EDGE_CURVE('',#10872,#10871,#4241,.T.); -#13190=EDGE_CURVE('',#10872,#10873,#4242,.T.); -#13191=EDGE_CURVE('',#10874,#10873,#4243,.T.); -#13192=EDGE_CURVE('',#10875,#10874,#4244,.T.); -#13193=EDGE_CURVE('',#10876,#10875,#4245,.T.); -#13194=EDGE_CURVE('',#10877,#10876,#4246,.T.); -#13195=EDGE_CURVE('',#10749,#10877,#4247,.T.); -#13196=EDGE_CURVE('',#10878,#10879,#10377,.T.); -#13197=EDGE_CURVE('',#10879,#10880,#4248,.T.); -#13198=EDGE_CURVE('',#10880,#10881,#4249,.T.); -#13199=EDGE_CURVE('',#10882,#10881,#4250,.T.); -#13200=EDGE_CURVE('',#10882,#10883,#4251,.T.); -#13201=EDGE_CURVE('',#10884,#10883,#4252,.T.); -#13202=EDGE_CURVE('',#10884,#10885,#4253,.T.); -#13203=EDGE_CURVE('',#10886,#10885,#4254,.T.); -#13204=EDGE_CURVE('',#10886,#10887,#4255,.T.); -#13205=EDGE_CURVE('',#10887,#10888,#10378,.T.); -#13206=EDGE_CURVE('',#10888,#10889,#4256,.T.); -#13207=EDGE_CURVE('',#10889,#10890,#10379,.T.); -#13208=EDGE_CURVE('',#10890,#10891,#4257,.T.); -#13209=EDGE_CURVE('',#10891,#10892,#4258,.T.); -#13210=EDGE_CURVE('',#10892,#10893,#10380,.T.); -#13211=EDGE_CURVE('',#10893,#10894,#4259,.T.); -#13212=EDGE_CURVE('',#10894,#10895,#10381,.T.); -#13213=EDGE_CURVE('',#10895,#10896,#4260,.T.); -#13214=EDGE_CURVE('',#10896,#10897,#10382,.T.); -#13215=EDGE_CURVE('',#10897,#10898,#4261,.T.); -#13216=EDGE_CURVE('',#10898,#10899,#10383,.T.); -#13217=EDGE_CURVE('',#10899,#10900,#4262,.T.); -#13218=EDGE_CURVE('',#10900,#10901,#10384,.T.); -#13219=EDGE_CURVE('',#10901,#10902,#4263,.T.); -#13220=EDGE_CURVE('',#10902,#10903,#10385,.T.); -#13221=EDGE_CURVE('',#10903,#10904,#4264,.T.); -#13222=EDGE_CURVE('',#10904,#10905,#10386,.T.); -#13223=EDGE_CURVE('',#10905,#10878,#4265,.T.); -#13224=EDGE_CURVE('',#10906,#10907,#4266,.T.); -#13225=EDGE_CURVE('',#10907,#10908,#4267,.T.); -#13226=EDGE_CURVE('',#10908,#10909,#4268,.T.); -#13227=EDGE_CURVE('',#10909,#10910,#4269,.T.); -#13228=EDGE_CURVE('',#10910,#10911,#4270,.T.); -#13229=EDGE_CURVE('',#10911,#10912,#4271,.T.); -#13230=EDGE_CURVE('',#10913,#10912,#4272,.T.); -#13231=EDGE_CURVE('',#10913,#10914,#4273,.T.); -#13232=EDGE_CURVE('',#10914,#10915,#4274,.T.); -#13233=EDGE_CURVE('',#10915,#10906,#4275,.T.); -#13234=EDGE_CURVE('',#10857,#10891,#4276,.T.); -#13235=EDGE_CURVE('',#10890,#10858,#4277,.T.); -#13236=EDGE_CURVE('',#10916,#10917,#4278,.T.); -#13237=EDGE_CURVE('',#10918,#10916,#10387,.T.); -#13238=EDGE_CURVE('',#10919,#10918,#4279,.T.); -#13239=EDGE_CURVE('',#10920,#10919,#10388,.T.); -#13240=EDGE_CURVE('',#10921,#10920,#4280,.T.); -#13241=EDGE_CURVE('',#10922,#10921,#10389,.T.); -#13242=EDGE_CURVE('',#10923,#10922,#4281,.T.); -#13243=EDGE_CURVE('',#10924,#10923,#10390,.T.); -#13244=EDGE_CURVE('',#10925,#10924,#4282,.T.); -#13245=EDGE_CURVE('',#10926,#10925,#10391,.T.); -#13246=EDGE_CURVE('',#10927,#10926,#4283,.T.); -#13247=EDGE_CURVE('',#10928,#10927,#10392,.T.); -#13248=EDGE_CURVE('',#10929,#10928,#4284,.T.); -#13249=EDGE_CURVE('',#10930,#10929,#10393,.T.); -#13250=EDGE_CURVE('',#10931,#10930,#4285,.T.); -#13251=EDGE_CURVE('',#10932,#10931,#10394,.T.); -#13252=EDGE_CURVE('',#10933,#10932,#4286,.T.); -#13253=EDGE_CURVE('',#10934,#10933,#4287,.T.); -#13254=EDGE_CURVE('',#10935,#10934,#4288,.T.); -#13255=EDGE_CURVE('',#10936,#10935,#4289,.T.); -#13256=EDGE_CURVE('',#10936,#10937,#4290,.T.); -#13257=EDGE_CURVE('',#10937,#10938,#4291,.T.); -#13258=EDGE_CURVE('',#10939,#10938,#4292,.T.); -#13259=EDGE_CURVE('',#10940,#10939,#4293,.T.); -#13260=EDGE_CURVE('',#10941,#10940,#10395,.T.); -#13261=EDGE_CURVE('',#10942,#10941,#4294,.T.); -#13262=EDGE_CURVE('',#10943,#10942,#10396,.T.); -#13263=EDGE_CURVE('',#10917,#10943,#4295,.T.); -#13264=EDGE_CURVE('',#10944,#10945,#4296,.T.); -#13265=EDGE_CURVE('',#10946,#10944,#4297,.T.); -#13266=EDGE_CURVE('',#10947,#10946,#4298,.T.); -#13267=EDGE_CURVE('',#10948,#10947,#4299,.T.); -#13268=EDGE_CURVE('',#10949,#10948,#4300,.T.); -#13269=EDGE_CURVE('',#10950,#10949,#4301,.T.); -#13270=EDGE_CURVE('',#10951,#10950,#4302,.T.); -#13271=EDGE_CURVE('',#10952,#10951,#4303,.T.); -#13272=EDGE_CURVE('',#10953,#10952,#4304,.T.); -#13273=EDGE_CURVE('',#10954,#10953,#4305,.T.); -#13274=EDGE_CURVE('',#10955,#10954,#4306,.T.); -#13275=EDGE_CURVE('',#10945,#10955,#4307,.T.); -#13276=EDGE_CURVE('',#10956,#10957,#4308,.T.); -#13277=EDGE_CURVE('',#10956,#10939,#10397,.T.); -#13278=EDGE_CURVE('',#10938,#10957,#10398,.T.); -#13279=EDGE_CURVE('',#10958,#10959,#4309,.T.); -#13280=EDGE_CURVE('',#10958,#10935,#10399,.T.); -#13281=EDGE_CURVE('',#10959,#10934,#10400,.T.); -#13282=EDGE_CURVE('',#10960,#10961,#10401,.T.); -#13283=EDGE_CURVE('',#10961,#10962,#4310,.T.); -#13284=EDGE_CURVE('',#10962,#10956,#4311,.T.); -#13285=EDGE_CURVE('',#10957,#10963,#4312,.T.); -#13286=EDGE_CURVE('',#10963,#10964,#10402,.T.); -#13287=EDGE_CURVE('',#10960,#10964,#4313,.T.); -#13288=EDGE_CURVE('',#10965,#10966,#4314,.T.); -#13289=EDGE_CURVE('',#10967,#10966,#10403,.T.); -#13290=EDGE_CURVE('',#10968,#10967,#4315,.T.); -#13291=EDGE_CURVE('',#10969,#10968,#10404,.T.); -#13292=EDGE_CURVE('',#10970,#10969,#4316,.T.); -#13293=EDGE_CURVE('',#10970,#10960,#10405,.T.); -#13294=EDGE_CURVE('',#10965,#10960,#4317,.T.); -#13295=EDGE_CURVE('',#10971,#10972,#10406,.T.); -#13296=EDGE_CURVE('',#10972,#10958,#4318,.T.); -#13297=EDGE_CURVE('',#10973,#10959,#4319,.T.); -#13298=EDGE_CURVE('',#10971,#10973,#4320,.T.); -#13299=EDGE_CURVE('',#10964,#10974,#10407,.T.); -#13300=EDGE_CURVE('',#10975,#10974,#4321,.T.); -#13301=EDGE_CURVE('',#10976,#10975,#10408,.T.); -#13302=EDGE_CURVE('',#10977,#10976,#4322,.T.); -#13303=EDGE_CURVE('',#10978,#10977,#10409,.T.); -#13304=EDGE_CURVE('',#10979,#10978,#4323,.T.); -#13305=EDGE_CURVE('',#10980,#10979,#10410,.T.); -#13306=EDGE_CURVE('',#10981,#10980,#4324,.T.); -#13307=EDGE_CURVE('',#10982,#10981,#10411,.T.); -#13308=EDGE_CURVE('',#10983,#10982,#4325,.T.); -#13309=EDGE_CURVE('',#10983,#10971,#10412,.T.); -#13310=EDGE_CURVE('',#10964,#10971,#4326,.T.); -#13311=EDGE_CURVE('',#10984,#10985,#4327,.T.); -#13312=EDGE_CURVE('',#10766,#10984,#4328,.T.); -#13313=EDGE_CURVE('',#10765,#10986,#10413,.T.); -#13314=EDGE_CURVE('',#10986,#10987,#4329,.T.); -#13315=EDGE_CURVE('',#10987,#10966,#4330,.T.); -#13316=EDGE_CURVE('',#10985,#10965,#10414,.T.); -#13317=EDGE_CURVE('',#10988,#10989,#10415,.T.); -#13318=EDGE_CURVE('',#10989,#10990,#4331,.T.); -#13319=EDGE_CURVE('',#10990,#10991,#4332,.T.); -#13320=EDGE_CURVE('',#10991,#10992,#10416,.T.); -#13321=EDGE_CURVE('',#10992,#10993,#4333,.T.); -#13322=EDGE_CURVE('',#10993,#10994,#10417,.T.); -#13323=EDGE_CURVE('',#10995,#10994,#4334,.T.); -#13324=EDGE_CURVE('',#10995,#10996,#4335,.T.); -#13325=EDGE_CURVE('',#10997,#10996,#4336,.T.); -#13326=EDGE_CURVE('',#10998,#10997,#4337,.T.); -#13327=EDGE_CURVE('',#10999,#10998,#4338,.T.); -#13328=EDGE_CURVE('',#10999,#11000,#4339,.T.); -#13329=EDGE_CURVE('',#11000,#11001,#4340,.T.); -#13330=EDGE_CURVE('',#11001,#11002,#4341,.T.); -#13331=EDGE_CURVE('',#11002,#11003,#10418,.T.); -#13332=EDGE_CURVE('',#11003,#11004,#4342,.T.); -#13333=EDGE_CURVE('',#11004,#11005,#10419,.T.); -#13334=EDGE_CURVE('',#11005,#11006,#4343,.T.); -#13335=EDGE_CURVE('',#11006,#11007,#10420,.T.); -#13336=EDGE_CURVE('',#11007,#11008,#4344,.T.); -#13337=EDGE_CURVE('',#11008,#11009,#10421,.T.); -#13338=EDGE_CURVE('',#11009,#11010,#4345,.T.); -#13339=EDGE_CURVE('',#11010,#11011,#10422,.T.); -#13340=EDGE_CURVE('',#11011,#11012,#4346,.T.); -#13341=EDGE_CURVE('',#11012,#11013,#10423,.T.); -#13342=EDGE_CURVE('',#11013,#11014,#4347,.T.); -#13343=EDGE_CURVE('',#11014,#11015,#10424,.T.); -#13344=EDGE_CURVE('',#11015,#10988,#4348,.T.); -#13345=EDGE_CURVE('',#11016,#11017,#4349,.T.); -#13346=EDGE_CURVE('',#11016,#11018,#4350,.T.); -#13347=EDGE_CURVE('',#11018,#11019,#4351,.T.); -#13348=EDGE_CURVE('',#11019,#11020,#4352,.T.); -#13349=EDGE_CURVE('',#11020,#11021,#4353,.T.); -#13350=EDGE_CURVE('',#11021,#11022,#4354,.T.); -#13351=EDGE_CURVE('',#11022,#11023,#4355,.T.); -#13352=EDGE_CURVE('',#11023,#11024,#4356,.T.); -#13353=EDGE_CURVE('',#11024,#11025,#4357,.T.); -#13354=EDGE_CURVE('',#11025,#11017,#4358,.T.); -#13355=EDGE_CURVE('',#11026,#10995,#10425,.T.); -#13356=EDGE_CURVE('',#11026,#11027,#4359,.T.); -#13357=EDGE_CURVE('',#10996,#11027,#10426,.T.); -#13358=EDGE_CURVE('',#11028,#10999,#10427,.T.); -#13359=EDGE_CURVE('',#11028,#11029,#4360,.T.); -#13360=EDGE_CURVE('',#11029,#11000,#10428,.T.); -#13361=EDGE_CURVE('',#11030,#11031,#4361,.T.); -#13362=EDGE_CURVE('',#11032,#11030,#10429,.T.); -#13363=EDGE_CURVE('',#11032,#11033,#4362,.T.); -#13364=EDGE_CURVE('',#11034,#11033,#10430,.T.); -#13365=EDGE_CURVE('',#11027,#11034,#4363,.T.); -#13366=EDGE_CURVE('',#11031,#11026,#4364,.T.); -#13367=EDGE_CURVE('',#11035,#10987,#10431,.T.); -#13368=EDGE_CURVE('',#10986,#11032,#4365,.T.); -#13369=EDGE_CURVE('',#11036,#11032,#10432,.T.); -#13370=EDGE_CURVE('',#11036,#11037,#4366,.T.); -#13371=EDGE_CURVE('',#11037,#11038,#10433,.T.); -#13372=EDGE_CURVE('',#11038,#11035,#4367,.T.); -#13373=EDGE_CURVE('',#11039,#11028,#4368,.T.); -#13374=EDGE_CURVE('',#11040,#11039,#10434,.T.); -#13375=EDGE_CURVE('',#11040,#11041,#4369,.T.); -#13376=EDGE_CURVE('',#11041,#11029,#4370,.T.); -#13377=EDGE_CURVE('',#11042,#11040,#10435,.T.); -#13378=EDGE_CURVE('',#11042,#11043,#4371,.T.); -#13379=EDGE_CURVE('',#11043,#11044,#10436,.T.); -#13380=EDGE_CURVE('',#11044,#11045,#4372,.T.); -#13381=EDGE_CURVE('',#11045,#11046,#10437,.T.); -#13382=EDGE_CURVE('',#11046,#11047,#4373,.T.); -#13383=EDGE_CURVE('',#11047,#11048,#10438,.T.); -#13384=EDGE_CURVE('',#11048,#11049,#4374,.T.); -#13385=EDGE_CURVE('',#11049,#11050,#10439,.T.); -#13386=EDGE_CURVE('',#11050,#11051,#4375,.T.); -#13387=EDGE_CURVE('',#11033,#11051,#10440,.T.); -#13388=EDGE_CURVE('',#11033,#11040,#4376,.T.); -#13389=EDGE_CURVE('',#10731,#11052,#4377,.T.); -#13390=EDGE_CURVE('',#11052,#11053,#10441,.T.); -#13391=EDGE_CURVE('',#11053,#11054,#4378,.T.); -#13392=EDGE_CURVE('',#11054,#10837,#10442,.T.); -#13393=EDGE_CURVE('',#10836,#10732,#4379,.T.); -#13394=EDGE_CURVE('',#10745,#11055,#4380,.T.); -#13395=EDGE_CURVE('',#10744,#11056,#4381,.T.); -#13396=EDGE_CURVE('',#11057,#11056,#4382,.T.); -#13397=EDGE_CURVE('',#11055,#11057,#4383,.T.); -#13398=EDGE_CURVE('',#10733,#11058,#4384,.T.); -#13399=EDGE_CURVE('',#10732,#10738,#4385,.T.); -#13400=EDGE_CURVE('',#11059,#10737,#4386,.T.); -#13401=EDGE_CURVE('',#11060,#11059,#4387,.T.); -#13402=EDGE_CURVE('',#11058,#11060,#4388,.T.); -#13403=EDGE_CURVE('',#11060,#10862,#10443,.T.); -#13404=EDGE_CURVE('',#10863,#11059,#10444,.T.); -#13405=EDGE_CURVE('',#11061,#11062,#10445,.T.); -#13406=EDGE_CURVE('',#10730,#11061,#4389,.T.); -#13407=EDGE_CURVE('',#10839,#10728,#4390,.T.); -#13408=EDGE_CURVE('',#11063,#10838,#10446,.T.); -#13409=EDGE_CURVE('',#11062,#11063,#4391,.T.); -#13410=EDGE_CURVE('',#10741,#11064,#4392,.T.); -#13411=EDGE_CURVE('',#11064,#11065,#4393,.T.); -#13412=EDGE_CURVE('',#11065,#11066,#4394,.T.); -#13413=EDGE_CURVE('',#10740,#11066,#4395,.T.); -#13414=EDGE_CURVE('',#10729,#11067,#4396,.T.); -#13415=EDGE_CURVE('',#11067,#11068,#4397,.T.); -#13416=EDGE_CURVE('',#11068,#11069,#4398,.T.); -#13417=EDGE_CURVE('',#11069,#10736,#4399,.T.); -#13418=EDGE_CURVE('',#10728,#10734,#4400,.T.); -#13419=EDGE_CURVE('',#11068,#10886,#10447,.T.); -#13420=EDGE_CURVE('',#10885,#11069,#10448,.T.); -#13421=EDGE_CURVE('',#11070,#10973,#10449,.T.); -#13422=EDGE_CURVE('',#10985,#11070,#4401,.T.); -#13423=EDGE_CURVE('',#11071,#10832,#4402,.T.); -#13424=EDGE_CURVE('',#11072,#11071,#4403,.T.); -#13425=EDGE_CURVE('',#11073,#11072,#10450,.T.); -#13426=EDGE_CURVE('',#11074,#11073,#4404,.T.); -#13427=EDGE_CURVE('',#11075,#11074,#10451,.T.); -#13428=EDGE_CURVE('',#11076,#11075,#4405,.T.); -#13429=EDGE_CURVE('',#11077,#11076,#10452,.T.); -#13430=EDGE_CURVE('',#10984,#11077,#4406,.T.); -#13431=EDGE_CURVE('',#11078,#11070,#4407,.T.); -#13432=EDGE_CURVE('',#10835,#11078,#4408,.T.); -#13433=EDGE_CURVE('',#11079,#10834,#4409,.T.); -#13434=EDGE_CURVE('',#11080,#11079,#10453,.T.); -#13435=EDGE_CURVE('',#11081,#11080,#4410,.T.); -#13436=EDGE_CURVE('',#11082,#11081,#10454,.T.); -#13437=EDGE_CURVE('',#11083,#11082,#4411,.T.); -#13438=EDGE_CURVE('',#11084,#11083,#10455,.T.); -#13439=EDGE_CURVE('',#11085,#11084,#4412,.T.); -#13440=EDGE_CURVE('',#10833,#11085,#4413,.T.); -#13441=EDGE_CURVE('',#11086,#11087,#10456,.T.); -#13442=EDGE_CURVE('',#11088,#11086,#4414,.T.); -#13443=EDGE_CURVE('',#11089,#11088,#10457,.T.); -#13444=EDGE_CURVE('',#11090,#11089,#4415,.T.); -#13445=EDGE_CURVE('',#11091,#11090,#10458,.T.); -#13446=EDGE_CURVE('',#11092,#11091,#4416,.T.); -#13447=EDGE_CURVE('',#11093,#11092,#4417,.T.); -#13448=EDGE_CURVE('',#11094,#11093,#4418,.T.); -#13449=EDGE_CURVE('',#11095,#11094,#10459,.T.); -#13450=EDGE_CURVE('',#11096,#11095,#4419,.T.); -#13451=EDGE_CURVE('',#11097,#11096,#10460,.T.); -#13452=EDGE_CURVE('',#11098,#11097,#4420,.T.); -#13453=EDGE_CURVE('',#11099,#11098,#10461,.T.); -#13454=EDGE_CURVE('',#11087,#11099,#4421,.T.); -#13455=EDGE_CURVE('',#11100,#11101,#4422,.T.); -#13456=EDGE_CURVE('',#10823,#11100,#4423,.T.); -#13457=EDGE_CURVE('',#11102,#10822,#4424,.T.); -#13458=EDGE_CURVE('',#11103,#11102,#4425,.T.); -#13459=EDGE_CURVE('',#11104,#11103,#4426,.T.); -#13460=EDGE_CURVE('',#11105,#11104,#4427,.T.); -#13461=EDGE_CURVE('',#11106,#11105,#4428,.T.); -#13462=EDGE_CURVE('',#11107,#11106,#4429,.T.); -#13463=EDGE_CURVE('',#11108,#11107,#4430,.T.); -#13464=EDGE_CURVE('',#11109,#11108,#4431,.T.); -#13465=EDGE_CURVE('',#11101,#11109,#4432,.T.); -#13466=EDGE_CURVE('',#11110,#11111,#4433,.T.); -#13467=EDGE_CURVE('',#11112,#11110,#4434,.T.); -#13468=EDGE_CURVE('',#11113,#11112,#4435,.T.); -#13469=EDGE_CURVE('',#11114,#11113,#4436,.T.); -#13470=EDGE_CURVE('',#11115,#11114,#4437,.T.); -#13471=EDGE_CURVE('',#11116,#11115,#4438,.T.); -#13472=EDGE_CURVE('',#11117,#11116,#4439,.T.); -#13473=EDGE_CURVE('',#11118,#11117,#4440,.T.); -#13474=EDGE_CURVE('',#11118,#11119,#4441,.T.); -#13475=EDGE_CURVE('',#11120,#11119,#4442,.T.); -#13476=EDGE_CURVE('',#11121,#11120,#4443,.T.); -#13477=EDGE_CURVE('',#11111,#11121,#4444,.T.); -#13478=EDGE_CURVE('',#11122,#11123,#10462,.T.); -#13479=EDGE_CURVE('',#11124,#11122,#4445,.T.); -#13480=EDGE_CURVE('',#11125,#11124,#10463,.T.); -#13481=EDGE_CURVE('',#11126,#11125,#4446,.T.); -#13482=EDGE_CURVE('',#11127,#11126,#10464,.T.); -#13483=EDGE_CURVE('',#11128,#11127,#4447,.T.); -#13484=EDGE_CURVE('',#11129,#11128,#10465,.T.); -#13485=EDGE_CURVE('',#11130,#11129,#4448,.T.); -#13486=EDGE_CURVE('',#11131,#11130,#4449,.T.); -#13487=EDGE_CURVE('',#11132,#11131,#4450,.T.); -#13488=EDGE_CURVE('',#11133,#11132,#10466,.T.); -#13489=EDGE_CURVE('',#11134,#11133,#4451,.T.); -#13490=EDGE_CURVE('',#11135,#11134,#10467,.T.); -#13491=EDGE_CURVE('',#11123,#11135,#4452,.T.); -#13492=EDGE_CURVE('',#11056,#11078,#10468,.T.); -#13493=EDGE_CURVE('',#10738,#10744,#4453,.T.); -#13494=EDGE_CURVE('',#10743,#11136,#4454,.T.); -#13495=EDGE_CURVE('',#11136,#11137,#10469,.T.); -#13496=EDGE_CURVE('',#11137,#11138,#4455,.T.); -#13497=EDGE_CURVE('',#11138,#11139,#10470,.T.); -#13498=EDGE_CURVE('',#11139,#11140,#4456,.T.); -#13499=EDGE_CURVE('',#11140,#11141,#10471,.T.); -#13500=EDGE_CURVE('',#11141,#11142,#4457,.T.); -#13501=EDGE_CURVE('',#11142,#11143,#10472,.T.); -#13502=EDGE_CURVE('',#11143,#10739,#4458,.T.); -#13503=EDGE_CURVE('',#11055,#10866,#10473,.T.); -#13504=EDGE_CURVE('',#10867,#11057,#10474,.T.); -#13505=EDGE_CURVE('',#10880,#10841,#4459,.T.); -#13506=EDGE_CURVE('',#11001,#10933,#4460,.T.); -#13507=EDGE_CURVE('',#10764,#11041,#10475,.T.); -#13508=EDGE_CURVE('',#11066,#10763,#10476,.T.); -#13509=EDGE_CURVE('',#10881,#11065,#10477,.T.); -#13510=EDGE_CURVE('',#10734,#10740,#4461,.T.); -#13511=EDGE_CURVE('',#11144,#10735,#4462,.T.); -#13512=EDGE_CURVE('',#11145,#11144,#10478,.T.); -#13513=EDGE_CURVE('',#11146,#11145,#4463,.T.); -#13514=EDGE_CURVE('',#11147,#11146,#10479,.T.); -#13515=EDGE_CURVE('',#11148,#11147,#4464,.T.); -#13516=EDGE_CURVE('',#11149,#11148,#10480,.T.); -#13517=EDGE_CURVE('',#11150,#11149,#4465,.T.); -#13518=EDGE_CURVE('',#11151,#11150,#10481,.T.); -#13519=EDGE_CURVE('',#10742,#11151,#4466,.T.); -#13520=EDGE_CURVE('',#11064,#10882,#10482,.T.); -#13521=EDGE_CURVE('',#10883,#10865,#4467,.T.); -#13522=EDGE_CURVE('',#10864,#10884,#4468,.T.); -#13523=EDGE_CURVE('',#10887,#10861,#4469,.T.); -#13524=EDGE_CURVE('',#11068,#11060,#4470,.T.); -#13525=EDGE_CURVE('',#11067,#11058,#4471,.T.); -#13526=EDGE_CURVE('',#11061,#11052,#4472,.T.); -#13527=EDGE_CURVE('',#11062,#11053,#4473,.T.); -#13528=EDGE_CURVE('',#11063,#11054,#4474,.T.); -#13529=EDGE_CURVE('',#11147,#11140,#4475,.T.); -#13530=EDGE_CURVE('',#11146,#11141,#4476,.T.); -#13531=EDGE_CURVE('',#11148,#11139,#4477,.T.); -#13532=EDGE_CURVE('',#11149,#11138,#4478,.T.); -#13533=EDGE_CURVE('',#11150,#11137,#4479,.T.); -#13534=EDGE_CURVE('',#11151,#11136,#4480,.T.); -#13535=EDGE_CURVE('',#11144,#11143,#4481,.T.); -#13536=EDGE_CURVE('',#11145,#11142,#4482,.T.); -#13537=EDGE_CURVE('',#10937,#10997,#4483,.T.); -#13538=EDGE_CURVE('',#10998,#10936,#4484,.T.); -#13539=EDGE_CURVE('',#11039,#10972,#4485,.T.); -#13540=EDGE_CURVE('',#11034,#10963,#4486,.T.); -#13541=EDGE_CURVE('',#11051,#10974,#4487,.T.); -#13542=EDGE_CURVE('',#11042,#10983,#4488,.T.); -#13543=EDGE_CURVE('',#11026,#10956,#4489,.T.); -#13544=EDGE_CURVE('',#10994,#10940,#4490,.T.); -#13545=EDGE_CURVE('',#11031,#10962,#4491,.T.); -#13546=EDGE_CURVE('',#11030,#10961,#4492,.T.); -#13547=EDGE_CURVE('',#11036,#10970,#4493,.T.); -#13548=EDGE_CURVE('',#11038,#10968,#4494,.T.); -#13549=EDGE_CURVE('',#11037,#10969,#4495,.T.); -#13550=EDGE_CURVE('',#11035,#10967,#4496,.T.); -#13551=EDGE_CURVE('',#11048,#10977,#4497,.T.); -#13552=EDGE_CURVE('',#11047,#10978,#4498,.T.); -#13553=EDGE_CURVE('',#11049,#10976,#4499,.T.); -#13554=EDGE_CURVE('',#11050,#10975,#4500,.T.); -#13555=EDGE_CURVE('',#11043,#10982,#4501,.T.); -#13556=EDGE_CURVE('',#11044,#10981,#4502,.T.); -#13557=EDGE_CURVE('',#11045,#10980,#4503,.T.); -#13558=EDGE_CURVE('',#11046,#10979,#4504,.T.); -#13559=EDGE_CURVE('',#10993,#10941,#4505,.T.); -#13560=EDGE_CURVE('',#10992,#10942,#4506,.T.); -#13561=EDGE_CURVE('',#10991,#10943,#4507,.T.); -#13562=EDGE_CURVE('',#10990,#10917,#4508,.T.); -#13563=EDGE_CURVE('',#10889,#10859,#4509,.T.); -#13564=EDGE_CURVE('',#10888,#10860,#4510,.T.); -#13565=EDGE_CURVE('',#10989,#10916,#4511,.T.); -#13566=EDGE_CURVE('',#11002,#10932,#4512,.T.); -#13567=EDGE_CURVE('',#11003,#10931,#4513,.T.); -#13568=EDGE_CURVE('',#11004,#10930,#4514,.T.); -#13569=EDGE_CURVE('',#11005,#10929,#4515,.T.); -#13570=EDGE_CURVE('',#11006,#10928,#4516,.T.); -#13571=EDGE_CURVE('',#11007,#10927,#4517,.T.); -#13572=EDGE_CURVE('',#11008,#10926,#4518,.T.); -#13573=EDGE_CURVE('',#11009,#10925,#4519,.T.); -#13574=EDGE_CURVE('',#11010,#10924,#4520,.T.); -#13575=EDGE_CURVE('',#11011,#10923,#4521,.T.); -#13576=EDGE_CURVE('',#11012,#10922,#4522,.T.); -#13577=EDGE_CURVE('',#11013,#10921,#4523,.T.); -#13578=EDGE_CURVE('',#11014,#10920,#4524,.T.); -#13579=EDGE_CURVE('',#11015,#10919,#4525,.T.); -#13580=EDGE_CURVE('',#10988,#10918,#4526,.T.); -#13581=EDGE_CURVE('',#10892,#10856,#4527,.T.); -#13582=EDGE_CURVE('',#10879,#10840,#4528,.T.); -#13583=EDGE_CURVE('',#10878,#10842,#4529,.T.); -#13584=EDGE_CURVE('',#10905,#10843,#4530,.T.); -#13585=EDGE_CURVE('',#10904,#10844,#4531,.T.); -#13586=EDGE_CURVE('',#10903,#10845,#4532,.T.); -#13587=EDGE_CURVE('',#10902,#10846,#4533,.T.); -#13588=EDGE_CURVE('',#10901,#10847,#4534,.T.); -#13589=EDGE_CURVE('',#10900,#10848,#4535,.T.); -#13590=EDGE_CURVE('',#10899,#10849,#4536,.T.); -#13591=EDGE_CURVE('',#10898,#10850,#4537,.T.); -#13592=EDGE_CURVE('',#10897,#10851,#4538,.T.); -#13593=EDGE_CURVE('',#10896,#10852,#4539,.T.); -#13594=EDGE_CURVE('',#10895,#10853,#4540,.T.); -#13595=EDGE_CURVE('',#10894,#10854,#4541,.T.); -#13596=EDGE_CURVE('',#10893,#10855,#4542,.T.); -#13597=EDGE_CURVE('',#11152,#10955,#4543,.T.); -#13598=EDGE_CURVE('',#11152,#11153,#4544,.T.); -#13599=EDGE_CURVE('',#11153,#10945,#4545,.T.); -#13600=EDGE_CURVE('',#11017,#10944,#4546,.T.); -#13601=EDGE_CURVE('',#11153,#11154,#10483,.T.); -#13602=EDGE_CURVE('',#11154,#11155,#4547,.T.); -#13603=EDGE_CURVE('',#11155,#11156,#10484,.T.); -#13604=EDGE_CURVE('',#11156,#11157,#4548,.T.); -#13605=EDGE_CURVE('',#11157,#10949,#10485,.T.); -#13606=EDGE_CURVE('',#11023,#10948,#4549,.T.); -#13607=EDGE_CURVE('',#11022,#11158,#10486,.T.); -#13608=EDGE_CURVE('',#11158,#11159,#4550,.T.); -#13609=EDGE_CURVE('',#11159,#11160,#10487,.T.); -#13610=EDGE_CURVE('',#11160,#11161,#4551,.T.); -#13611=EDGE_CURVE('',#11161,#11017,#10488,.T.); -#13612=EDGE_CURVE('',#11025,#10946,#4552,.T.); -#13613=EDGE_CURVE('',#11024,#10947,#4553,.T.); -#13614=EDGE_CURVE('',#11016,#10954,#4554,.T.); -#13615=EDGE_CURVE('',#11162,#11016,#10489,.T.); -#13616=EDGE_CURVE('',#11163,#11162,#4555,.T.); -#13617=EDGE_CURVE('',#11164,#11163,#10490,.T.); -#13618=EDGE_CURVE('',#11165,#11164,#4556,.T.); -#13619=EDGE_CURVE('',#11021,#11165,#10491,.T.); -#13620=EDGE_CURVE('',#11020,#10951,#4557,.T.); -#13621=EDGE_CURVE('',#11166,#10950,#10492,.T.); -#13622=EDGE_CURVE('',#11167,#11166,#4558,.T.); -#13623=EDGE_CURVE('',#11168,#11167,#10493,.T.); -#13624=EDGE_CURVE('',#11169,#11168,#4559,.T.); -#13625=EDGE_CURVE('',#11152,#11169,#10494,.T.); -#13626=EDGE_CURVE('',#11019,#10952,#4560,.T.); -#13627=EDGE_CURVE('',#11018,#10953,#4561,.T.); -#13628=EDGE_CURVE('',#10915,#10870,#4562,.T.); -#13629=EDGE_CURVE('',#10914,#10871,#4563,.T.); -#13630=EDGE_CURVE('',#10913,#11170,#10495,.T.); -#13631=EDGE_CURVE('',#11170,#11171,#4564,.T.); -#13632=EDGE_CURVE('',#11171,#11172,#10496,.T.); -#13633=EDGE_CURVE('',#11172,#11173,#4565,.T.); -#13634=EDGE_CURVE('',#11173,#10907,#10497,.T.); -#13635=EDGE_CURVE('',#10907,#10868,#4566,.T.); -#13636=EDGE_CURVE('',#10746,#11174,#10498,.T.); -#13637=EDGE_CURVE('',#11174,#11175,#4567,.T.); -#13638=EDGE_CURVE('',#11175,#11176,#10499,.T.); -#13639=EDGE_CURVE('',#11176,#11177,#4568,.T.); -#13640=EDGE_CURVE('',#11177,#10872,#10500,.T.); -#13641=EDGE_CURVE('',#10908,#10877,#4569,.T.); -#13642=EDGE_CURVE('',#11178,#10908,#10501,.T.); -#13643=EDGE_CURVE('',#11179,#11178,#4570,.T.); -#13644=EDGE_CURVE('',#11180,#11179,#10502,.T.); -#13645=EDGE_CURVE('',#11181,#11180,#4571,.T.); -#13646=EDGE_CURVE('',#10912,#11181,#10503,.T.); -#13647=EDGE_CURVE('',#10911,#10874,#4572,.T.); -#13648=EDGE_CURVE('',#11182,#10873,#10504,.T.); -#13649=EDGE_CURVE('',#11183,#11182,#4573,.T.); -#13650=EDGE_CURVE('',#11184,#11183,#10505,.T.); -#13651=EDGE_CURVE('',#11185,#11184,#4574,.T.); -#13652=EDGE_CURVE('',#10747,#11185,#10506,.T.); -#13653=EDGE_CURVE('',#10910,#10875,#4575,.T.); -#13654=EDGE_CURVE('',#10909,#10876,#4576,.T.); -#13655=EDGE_CURVE('',#10906,#10869,#4577,.T.); -#13656=EDGE_CURVE('',#11186,#11112,#4578,.T.); -#13657=EDGE_CURVE('',#11186,#11187,#4579,.T.); -#13658=EDGE_CURVE('',#11187,#11113,#4580,.T.); -#13659=EDGE_CURVE('',#11188,#11187,#10507,.T.); -#13660=EDGE_CURVE('',#11189,#11188,#4581,.T.); -#13661=EDGE_CURVE('',#11190,#11189,#10508,.T.); -#13662=EDGE_CURVE('',#11191,#11190,#4582,.T.); -#13663=EDGE_CURVE('',#11118,#11191,#10509,.T.); -#13664=EDGE_CURVE('',#10790,#11117,#4583,.T.); -#13665=EDGE_CURVE('',#11192,#10789,#10510,.T.); -#13666=EDGE_CURVE('',#11193,#11192,#4584,.T.); -#13667=EDGE_CURVE('',#11194,#11193,#10511,.T.); -#13668=EDGE_CURVE('',#11195,#11194,#4585,.T.); -#13669=EDGE_CURVE('',#10783,#11195,#10512,.T.); -#13670=EDGE_CURVE('',#10783,#11114,#4586,.T.); -#13671=EDGE_CURVE('',#10782,#11115,#4587,.T.); -#13672=EDGE_CURVE('',#10791,#11116,#4588,.T.); -#13673=EDGE_CURVE('',#10784,#11110,#4589,.T.); -#13674=EDGE_CURVE('',#10784,#11196,#10513,.T.); -#13675=EDGE_CURVE('',#11196,#11197,#4590,.T.); -#13676=EDGE_CURVE('',#11197,#11198,#10514,.T.); -#13677=EDGE_CURVE('',#11198,#11199,#4591,.T.); -#13678=EDGE_CURVE('',#11199,#10788,#10515,.T.); -#13679=EDGE_CURVE('',#10787,#11120,#4592,.T.); -#13680=EDGE_CURVE('',#11119,#11200,#10516,.T.); -#13681=EDGE_CURVE('',#11200,#11201,#4593,.T.); -#13682=EDGE_CURVE('',#11201,#11202,#10517,.T.); -#13683=EDGE_CURVE('',#11202,#11203,#4594,.T.); -#13684=EDGE_CURVE('',#11203,#11186,#10518,.T.); -#13685=EDGE_CURVE('',#10786,#11121,#4595,.T.); -#13686=EDGE_CURVE('',#10785,#11111,#4596,.T.); -#13687=EDGE_CURVE('',#10774,#11101,#4597,.T.); -#13688=EDGE_CURVE('',#10772,#11100,#4598,.T.); -#13689=EDGE_CURVE('',#10772,#11204,#10519,.T.); -#13690=EDGE_CURVE('',#11204,#11205,#4599,.T.); -#13691=EDGE_CURVE('',#11205,#11206,#10520,.T.); -#13692=EDGE_CURVE('',#11206,#11207,#4600,.T.); -#13693=EDGE_CURVE('',#11207,#10777,#10521,.T.); -#13694=EDGE_CURVE('',#10776,#11108,#4601,.T.); -#13695=EDGE_CURVE('',#11107,#11208,#10522,.T.); -#13696=EDGE_CURVE('',#11208,#11209,#4602,.T.); -#13697=EDGE_CURVE('',#11209,#11210,#10523,.T.); -#13698=EDGE_CURVE('',#11210,#11211,#4603,.T.); -#13699=EDGE_CURVE('',#11211,#10821,#10524,.T.); -#13700=EDGE_CURVE('',#10773,#11102,#4604,.T.); -#13701=EDGE_CURVE('',#11212,#10820,#10525,.T.); -#13702=EDGE_CURVE('',#11213,#11212,#4605,.T.); -#13703=EDGE_CURVE('',#11214,#11213,#10526,.T.); -#13704=EDGE_CURVE('',#11215,#11214,#4606,.T.); -#13705=EDGE_CURVE('',#11106,#11215,#10527,.T.); -#13706=EDGE_CURVE('',#10779,#11105,#4607,.T.); -#13707=EDGE_CURVE('',#11216,#10778,#10528,.T.); -#13708=EDGE_CURVE('',#11217,#11216,#4608,.T.); -#13709=EDGE_CURVE('',#11218,#11217,#10529,.T.); -#13710=EDGE_CURVE('',#11219,#11218,#4609,.T.); -#13711=EDGE_CURVE('',#10773,#11219,#10530,.T.); -#13712=EDGE_CURVE('',#10781,#11103,#4610,.T.); -#13713=EDGE_CURVE('',#10780,#11104,#4611,.T.); -#13714=EDGE_CURVE('',#10775,#11109,#4612,.T.); -#13715=EDGE_CURVE('',#10760,#11079,#4613,.T.); -#13716=EDGE_CURVE('',#10759,#11080,#4614,.T.); -#13717=EDGE_CURVE('',#10758,#11081,#4615,.T.); -#13718=EDGE_CURVE('',#10757,#11082,#4616,.T.); -#13719=EDGE_CURVE('',#10756,#11083,#4617,.T.); -#13720=EDGE_CURVE('',#10755,#11084,#4618,.T.); -#13721=EDGE_CURVE('',#11220,#11221,#4619,.T.); -#13722=EDGE_CURVE('',#11220,#11222,#4620,.T.); -#13723=EDGE_CURVE('',#11223,#11222,#4621,.T.); -#13724=EDGE_CURVE('',#11085,#11223,#10531,.T.); -#13725=EDGE_CURVE('',#11221,#10754,#10532,.T.); -#13726=EDGE_CURVE('',#10808,#11087,#4622,.T.); -#13727=EDGE_CURVE('',#10807,#11086,#4623,.T.); -#13728=EDGE_CURVE('',#10806,#11088,#4624,.T.); -#13729=EDGE_CURVE('',#10819,#11089,#4625,.T.); -#13730=EDGE_CURVE('',#10818,#11090,#4626,.T.); -#13731=EDGE_CURVE('',#10817,#11091,#4627,.T.); -#13732=EDGE_CURVE('',#11224,#11225,#4628,.T.); -#13733=EDGE_CURVE('',#11224,#11226,#4629,.T.); -#13734=EDGE_CURVE('',#11227,#11226,#4630,.T.); -#13735=EDGE_CURVE('',#11092,#11227,#10533,.T.); -#13736=EDGE_CURVE('',#11225,#10816,#10534,.T.); -#13737=EDGE_CURVE('',#11228,#11229,#4631,.T.); -#13738=EDGE_CURVE('',#11230,#11229,#4632,.T.); -#13739=EDGE_CURVE('',#11230,#11231,#4633,.T.); -#13740=EDGE_CURVE('',#11231,#10815,#10535,.T.); -#13741=EDGE_CURVE('',#10814,#11094,#4634,.T.); -#13742=EDGE_CURVE('',#11093,#11228,#10536,.T.); -#13743=EDGE_CURVE('',#10813,#11095,#4635,.T.); -#13744=EDGE_CURVE('',#10812,#11096,#4636,.T.); -#13745=EDGE_CURVE('',#10811,#11097,#4637,.T.); -#13746=EDGE_CURVE('',#10810,#11098,#4638,.T.); -#13747=EDGE_CURVE('',#10809,#11099,#4639,.T.); -#13748=EDGE_CURVE('',#11232,#11233,#4640,.T.); -#13749=EDGE_CURVE('',#11232,#11234,#4641,.T.); -#13750=EDGE_CURVE('',#11235,#11234,#4642,.T.); -#13751=EDGE_CURVE('',#10751,#11235,#10537,.T.); -#13752=EDGE_CURVE('',#10750,#11072,#4643,.T.); -#13753=EDGE_CURVE('',#11233,#11071,#10538,.T.); -#13754=EDGE_CURVE('',#10771,#11073,#4644,.T.); -#13755=EDGE_CURVE('',#10770,#11074,#4645,.T.); -#13756=EDGE_CURVE('',#10769,#11075,#4646,.T.); -#13757=EDGE_CURVE('',#10768,#11076,#4647,.T.); -#13758=EDGE_CURVE('',#10767,#11077,#4648,.T.); -#13759=EDGE_CURVE('',#10794,#11123,#4649,.T.); -#13760=EDGE_CURVE('',#10793,#11122,#4650,.T.); -#13761=EDGE_CURVE('',#10792,#11124,#4651,.T.); -#13762=EDGE_CURVE('',#10805,#11125,#4652,.T.); -#13763=EDGE_CURVE('',#10804,#11126,#4653,.T.); -#13764=EDGE_CURVE('',#10803,#11127,#4654,.T.); -#13765=EDGE_CURVE('',#10802,#11128,#4655,.T.); -#13766=EDGE_CURVE('',#10801,#11129,#4656,.T.); -#13767=EDGE_CURVE('',#11236,#11237,#4657,.T.); -#13768=EDGE_CURVE('',#11236,#11238,#4658,.T.); -#13769=EDGE_CURVE('',#11239,#11238,#4659,.T.); -#13770=EDGE_CURVE('',#11130,#11239,#10539,.T.); -#13771=EDGE_CURVE('',#11237,#10800,#10540,.T.); -#13772=EDGE_CURVE('',#11240,#11241,#4660,.T.); -#13773=EDGE_CURVE('',#11242,#11241,#4661,.T.); -#13774=EDGE_CURVE('',#11242,#11243,#4662,.T.); -#13775=EDGE_CURVE('',#11243,#10799,#10541,.T.); -#13776=EDGE_CURVE('',#10798,#11132,#4663,.T.); -#13777=EDGE_CURVE('',#11131,#11240,#10542,.T.); -#13778=EDGE_CURVE('',#10797,#11133,#4664,.T.); -#13779=EDGE_CURVE('',#10796,#11134,#4665,.T.); -#13780=EDGE_CURVE('',#10795,#11135,#4666,.T.); -#13781=EDGE_CURVE('',#11244,#11245,#4667,.T.); -#13782=EDGE_CURVE('',#11244,#11246,#4668,.T.); -#13783=EDGE_CURVE('',#11246,#11247,#4669,.T.); -#13784=EDGE_CURVE('',#11247,#11245,#4670,.T.); -#13785=EDGE_CURVE('',#11234,#11244,#10543,.T.); -#13786=EDGE_CURVE('',#11245,#10829,#10544,.T.); -#13787=EDGE_CURVE('',#11235,#10828,#4671,.T.); -#13788=EDGE_CURVE('',#11233,#10831,#4672,.T.); -#13789=EDGE_CURVE('',#11246,#11232,#10545,.T.); -#13790=EDGE_CURVE('',#10830,#11247,#10546,.T.); -#13791=EDGE_CURVE('',#11223,#10824,#4673,.T.); -#13792=EDGE_CURVE('',#11221,#10827,#4674,.T.); -#13793=EDGE_CURVE('',#11248,#11249,#4675,.T.); -#13794=EDGE_CURVE('',#11248,#11220,#10547,.T.); -#13795=EDGE_CURVE('',#10826,#11249,#10548,.T.); -#13796=EDGE_CURVE('',#11250,#11251,#4676,.T.); -#13797=EDGE_CURVE('',#11250,#11248,#4677,.T.); -#13798=EDGE_CURVE('',#11249,#11251,#4678,.T.); -#13799=EDGE_CURVE('',#11222,#11250,#10549,.T.); -#13800=EDGE_CURVE('',#11251,#10825,#10550,.T.); -#13801=EDGE_CURVE('',#11252,#11238,#4679,.T.); -#13802=EDGE_CURVE('',#11252,#11253,#10551,.T.); -#13803=EDGE_CURVE('',#11253,#11254,#4680,.T.); -#13804=EDGE_CURVE('',#11254,#11255,#10552,.T.); -#13805=EDGE_CURVE('',#11241,#11255,#4681,.T.); -#13806=EDGE_CURVE('',#11239,#11240,#4682,.T.); -#13807=EDGE_CURVE('',#11237,#11243,#4683,.T.); -#13808=EDGE_CURVE('',#11256,#11257,#4684,.T.); -#13809=EDGE_CURVE('',#11256,#11258,#10553,.T.); -#13810=EDGE_CURVE('',#11236,#11258,#4685,.T.); -#13811=EDGE_CURVE('',#11259,#11242,#4686,.T.); -#13812=EDGE_CURVE('',#11259,#11257,#10554,.T.); -#13813=EDGE_CURVE('',#11253,#11256,#4687,.T.); -#13814=EDGE_CURVE('',#11257,#11254,#4688,.T.); -#13815=EDGE_CURVE('',#11226,#11260,#4689,.T.); -#13816=EDGE_CURVE('',#11260,#11261,#10555,.T.); -#13817=EDGE_CURVE('',#11262,#11261,#4690,.T.); -#13818=EDGE_CURVE('',#11262,#11263,#10556,.T.); -#13819=EDGE_CURVE('',#11263,#11229,#4691,.T.); -#13820=EDGE_CURVE('',#11228,#11227,#4692,.T.); -#13821=EDGE_CURVE('',#11261,#11264,#4693,.T.); -#13822=EDGE_CURVE('',#11265,#11264,#4694,.T.); -#13823=EDGE_CURVE('',#11265,#11262,#4695,.T.); -#13824=EDGE_CURVE('',#11264,#11266,#10557,.T.); -#13825=EDGE_CURVE('',#11266,#11224,#4696,.T.); -#13826=EDGE_CURVE('',#11231,#11225,#4697,.T.); -#13827=EDGE_CURVE('',#11230,#11267,#4698,.T.); -#13828=EDGE_CURVE('',#11267,#11265,#10558,.T.); -#13829=EDGE_CURVE('',#11266,#11260,#4699,.T.); -#13830=EDGE_CURVE('',#11263,#11267,#4700,.T.); -#13831=EDGE_CURVE('',#11258,#11252,#4701,.T.); -#13832=EDGE_CURVE('',#11255,#11259,#4702,.T.); -#13833=EDGE_CURVE('',#11188,#11203,#4703,.T.); -#13834=EDGE_CURVE('',#11191,#11200,#4704,.T.); -#13835=EDGE_CURVE('',#11192,#11199,#4705,.T.); -#13836=EDGE_CURVE('',#11193,#11198,#4706,.T.); -#13837=EDGE_CURVE('',#11194,#11197,#4707,.T.); -#13838=EDGE_CURVE('',#11195,#11196,#4708,.T.); -#13839=EDGE_CURVE('',#11189,#11202,#4709,.T.); -#13840=EDGE_CURVE('',#11190,#11201,#4710,.T.); -#13841=EDGE_CURVE('',#11169,#11154,#4711,.T.); -#13842=EDGE_CURVE('',#11162,#11161,#4712,.T.); -#13843=EDGE_CURVE('',#11163,#11160,#4713,.T.); -#13844=EDGE_CURVE('',#11164,#11159,#4714,.T.); -#13845=EDGE_CURVE('',#11165,#11158,#4715,.T.); -#13846=EDGE_CURVE('',#11166,#11157,#4716,.T.); -#13847=EDGE_CURVE('',#11167,#11156,#4717,.T.); -#13848=EDGE_CURVE('',#11168,#11155,#4718,.T.); -#13849=EDGE_CURVE('',#11211,#11212,#4719,.T.); -#13850=EDGE_CURVE('',#11208,#11215,#4720,.T.); -#13851=EDGE_CURVE('',#11209,#11214,#4721,.T.); -#13852=EDGE_CURVE('',#11210,#11213,#4722,.T.); -#13853=EDGE_CURVE('',#11204,#11219,#4723,.T.); -#13854=EDGE_CURVE('',#11205,#11218,#4724,.T.); -#13855=EDGE_CURVE('',#11206,#11217,#4725,.T.); -#13856=EDGE_CURVE('',#11207,#11216,#4726,.T.); -#13857=EDGE_CURVE('',#11174,#11185,#4727,.T.); -#13858=EDGE_CURVE('',#11175,#11184,#4728,.T.); -#13859=EDGE_CURVE('',#11176,#11183,#4729,.T.); -#13860=EDGE_CURVE('',#11177,#11182,#4730,.T.); -#13861=EDGE_CURVE('',#11170,#11181,#4731,.T.); -#13862=EDGE_CURVE('',#11171,#11180,#4732,.T.); -#13863=EDGE_CURVE('',#11172,#11179,#4733,.T.); -#13864=EDGE_CURVE('',#11173,#11178,#4734,.T.); -#13865=EDGE_CURVE('',#11268,#11269,#4735,.T.); -#13866=EDGE_CURVE('',#11270,#11268,#4736,.T.); -#13867=EDGE_CURVE('',#11270,#11271,#4737,.T.); -#13868=EDGE_CURVE('',#11271,#11269,#4738,.T.); -#13869=EDGE_CURVE('',#11272,#11268,#4739,.T.); -#13870=EDGE_CURVE('',#11273,#11272,#4740,.T.); -#13871=EDGE_CURVE('',#11273,#11270,#4741,.T.); -#13872=EDGE_CURVE('',#11274,#11272,#10559,.T.); -#13873=EDGE_CURVE('',#11275,#11274,#4742,.T.); -#13874=EDGE_CURVE('',#11275,#11273,#10560,.T.); -#13875=EDGE_CURVE('',#11276,#11274,#4743,.T.); -#13876=EDGE_CURVE('',#11277,#11276,#4744,.T.); -#13877=EDGE_CURVE('',#11277,#11275,#4745,.T.); -#13878=EDGE_CURVE('',#11278,#11276,#4746,.T.); -#13879=EDGE_CURVE('',#11279,#11278,#4747,.T.); -#13880=EDGE_CURVE('',#11279,#11277,#4748,.T.); -#13881=EDGE_CURVE('',#11280,#11278,#4749,.T.); -#13882=EDGE_CURVE('',#11281,#11280,#4750,.T.); -#13883=EDGE_CURVE('',#11281,#11279,#4751,.T.); -#13884=EDGE_CURVE('',#11269,#11280,#4752,.T.); -#13885=EDGE_CURVE('',#11271,#11281,#4753,.T.); -#13886=EDGE_CURVE('',#11282,#11283,#4754,.T.); -#13887=EDGE_CURVE('',#11284,#11282,#4755,.T.); -#13888=EDGE_CURVE('',#11284,#11285,#4756,.T.); -#13889=EDGE_CURVE('',#11285,#11283,#4757,.T.); -#13890=EDGE_CURVE('',#11286,#11282,#4758,.T.); -#13891=EDGE_CURVE('',#11287,#11286,#4759,.T.); -#13892=EDGE_CURVE('',#11287,#11284,#4760,.T.); -#13893=EDGE_CURVE('',#11288,#11286,#10561,.T.); -#13894=EDGE_CURVE('',#11289,#11288,#4761,.T.); -#13895=EDGE_CURVE('',#11289,#11287,#10562,.T.); -#13896=EDGE_CURVE('',#11290,#11288,#4762,.T.); -#13897=EDGE_CURVE('',#11291,#11290,#4763,.T.); -#13898=EDGE_CURVE('',#11291,#11289,#4764,.T.); -#13899=EDGE_CURVE('',#11292,#11290,#4765,.T.); -#13900=EDGE_CURVE('',#11293,#11292,#4766,.T.); -#13901=EDGE_CURVE('',#11293,#11291,#4767,.T.); -#13902=EDGE_CURVE('',#11294,#11292,#4768,.T.); -#13903=EDGE_CURVE('',#11295,#11294,#4769,.T.); -#13904=EDGE_CURVE('',#11295,#11293,#4770,.T.); -#13905=EDGE_CURVE('',#11283,#11294,#4771,.T.); -#13906=EDGE_CURVE('',#11285,#11295,#4772,.T.); -#13907=EDGE_CURVE('',#11296,#11297,#4773,.T.); -#13908=EDGE_CURVE('',#11298,#11296,#4774,.T.); -#13909=EDGE_CURVE('',#11298,#11299,#4775,.T.); -#13910=EDGE_CURVE('',#11299,#11297,#4776,.T.); -#13911=EDGE_CURVE('',#11300,#11296,#4777,.T.); -#13912=EDGE_CURVE('',#11301,#11300,#4778,.T.); -#13913=EDGE_CURVE('',#11301,#11298,#4779,.T.); -#13914=EDGE_CURVE('',#11302,#11300,#10563,.T.); -#13915=EDGE_CURVE('',#11303,#11302,#4780,.T.); -#13916=EDGE_CURVE('',#11303,#11301,#10564,.T.); -#13917=EDGE_CURVE('',#11304,#11302,#4781,.T.); -#13918=EDGE_CURVE('',#11305,#11304,#4782,.T.); -#13919=EDGE_CURVE('',#11305,#11303,#4783,.T.); -#13920=EDGE_CURVE('',#11306,#11304,#4784,.T.); -#13921=EDGE_CURVE('',#11307,#11306,#4785,.T.); -#13922=EDGE_CURVE('',#11307,#11305,#4786,.T.); -#13923=EDGE_CURVE('',#11308,#11306,#4787,.T.); -#13924=EDGE_CURVE('',#11309,#11308,#4788,.T.); -#13925=EDGE_CURVE('',#11309,#11307,#4789,.T.); -#13926=EDGE_CURVE('',#11297,#11308,#4790,.T.); -#13927=EDGE_CURVE('',#11299,#11309,#4791,.T.); -#13928=EDGE_CURVE('',#11310,#11311,#4792,.T.); -#13929=EDGE_CURVE('',#11312,#11311,#4793,.T.); -#13930=EDGE_CURVE('',#11313,#11312,#4794,.T.); -#13931=EDGE_CURVE('',#11313,#11310,#4795,.T.); -#13932=EDGE_CURVE('',#11314,#11310,#4796,.T.); -#13933=EDGE_CURVE('',#11315,#11313,#4797,.T.); -#13934=EDGE_CURVE('',#11315,#11314,#4798,.T.); -#13935=EDGE_CURVE('',#11316,#11314,#10565,.T.); -#13936=EDGE_CURVE('',#11317,#11315,#10566,.T.); -#13937=EDGE_CURVE('',#11317,#11316,#4799,.T.); -#13938=EDGE_CURVE('',#11318,#11316,#4800,.T.); -#13939=EDGE_CURVE('',#11319,#11317,#4801,.T.); -#13940=EDGE_CURVE('',#11319,#11318,#4802,.T.); -#13941=EDGE_CURVE('',#11320,#11318,#4803,.T.); -#13942=EDGE_CURVE('',#11321,#11319,#4804,.T.); -#13943=EDGE_CURVE('',#11321,#11320,#4805,.T.); -#13944=EDGE_CURVE('',#11322,#11320,#4806,.T.); -#13945=EDGE_CURVE('',#11323,#11321,#4807,.T.); -#13946=EDGE_CURVE('',#11323,#11322,#4808,.T.); -#13947=EDGE_CURVE('',#11311,#11322,#4809,.T.); -#13948=EDGE_CURVE('',#11312,#11323,#4810,.T.); -#13949=EDGE_CURVE('',#11324,#11325,#4811,.T.); -#13950=EDGE_CURVE('',#11326,#11325,#4812,.T.); -#13951=EDGE_CURVE('',#11327,#11326,#4813,.T.); -#13952=EDGE_CURVE('',#11327,#11324,#4814,.T.); -#13953=EDGE_CURVE('',#11328,#11324,#4815,.T.); -#13954=EDGE_CURVE('',#11329,#11327,#4816,.T.); -#13955=EDGE_CURVE('',#11329,#11328,#4817,.T.); -#13956=EDGE_CURVE('',#11330,#11328,#10567,.T.); -#13957=EDGE_CURVE('',#11331,#11329,#10568,.T.); -#13958=EDGE_CURVE('',#11331,#11330,#4818,.T.); -#13959=EDGE_CURVE('',#11332,#11330,#4819,.T.); -#13960=EDGE_CURVE('',#11333,#11331,#4820,.T.); -#13961=EDGE_CURVE('',#11333,#11332,#4821,.T.); -#13962=EDGE_CURVE('',#11334,#11332,#4822,.T.); -#13963=EDGE_CURVE('',#11335,#11333,#4823,.T.); -#13964=EDGE_CURVE('',#11335,#11334,#4824,.T.); -#13965=EDGE_CURVE('',#11336,#11334,#4825,.T.); -#13966=EDGE_CURVE('',#11337,#11335,#4826,.T.); -#13967=EDGE_CURVE('',#11337,#11336,#4827,.T.); -#13968=EDGE_CURVE('',#11325,#11336,#4828,.T.); -#13969=EDGE_CURVE('',#11326,#11337,#4829,.T.); -#13970=EDGE_CURVE('',#11338,#11339,#4830,.T.); -#13971=EDGE_CURVE('',#11340,#11339,#4831,.T.); -#13972=EDGE_CURVE('',#11341,#11340,#4832,.T.); -#13973=EDGE_CURVE('',#11341,#11338,#4833,.T.); -#13974=EDGE_CURVE('',#11342,#11338,#4834,.T.); -#13975=EDGE_CURVE('',#11343,#11341,#4835,.T.); -#13976=EDGE_CURVE('',#11343,#11342,#4836,.T.); -#13977=EDGE_CURVE('',#11344,#11342,#10569,.T.); -#13978=EDGE_CURVE('',#11345,#11343,#10570,.T.); -#13979=EDGE_CURVE('',#11345,#11344,#4837,.T.); -#13980=EDGE_CURVE('',#11346,#11344,#4838,.T.); -#13981=EDGE_CURVE('',#11347,#11345,#4839,.T.); -#13982=EDGE_CURVE('',#11347,#11346,#4840,.T.); -#13983=EDGE_CURVE('',#11348,#11346,#4841,.T.); -#13984=EDGE_CURVE('',#11349,#11347,#4842,.T.); -#13985=EDGE_CURVE('',#11349,#11348,#4843,.T.); -#13986=EDGE_CURVE('',#11350,#11348,#4844,.T.); -#13987=EDGE_CURVE('',#11351,#11349,#4845,.T.); -#13988=EDGE_CURVE('',#11351,#11350,#4846,.T.); -#13989=EDGE_CURVE('',#11339,#11350,#4847,.T.); -#13990=EDGE_CURVE('',#11340,#11351,#4848,.T.); -#13991=EDGE_CURVE('',#11352,#11353,#10571,.T.); -#13992=EDGE_CURVE('',#11354,#11353,#4849,.T.); -#13993=EDGE_CURVE('',#11355,#11354,#10572,.T.); -#13994=EDGE_CURVE('',#11355,#11352,#4850,.T.); -#13995=EDGE_CURVE('',#11353,#11356,#4851,.T.); -#13996=EDGE_CURVE('',#11357,#11356,#4852,.T.); -#13997=EDGE_CURVE('',#11354,#11357,#4853,.T.); -#13998=EDGE_CURVE('',#11356,#11358,#10573,.T.); -#13999=EDGE_CURVE('',#11359,#11358,#4854,.T.); -#14000=EDGE_CURVE('',#11357,#11359,#10574,.T.); -#14001=EDGE_CURVE('',#11358,#11360,#10575,.T.); -#14002=EDGE_CURVE('',#11361,#11360,#4855,.T.); -#14003=EDGE_CURVE('',#11359,#11361,#10576,.T.); -#14004=EDGE_CURVE('',#11360,#11362,#4856,.T.); -#14005=EDGE_CURVE('',#11363,#11362,#4857,.T.); -#14006=EDGE_CURVE('',#11361,#11363,#4858,.T.); -#14007=EDGE_CURVE('',#11362,#11364,#10577,.T.); -#14008=EDGE_CURVE('',#11365,#11364,#4859,.T.); -#14009=EDGE_CURVE('',#11363,#11365,#10578,.T.); -#14010=EDGE_CURVE('',#11364,#11366,#4860,.T.); -#14011=EDGE_CURVE('',#11367,#11366,#4861,.T.); -#14012=EDGE_CURVE('',#11365,#11367,#4862,.T.); -#14013=EDGE_CURVE('',#11366,#11368,#10579,.T.); -#14014=EDGE_CURVE('',#11369,#11368,#4863,.T.); -#14015=EDGE_CURVE('',#11367,#11369,#10580,.T.); -#14016=EDGE_CURVE('',#11368,#11370,#4864,.T.); -#14017=EDGE_CURVE('',#11371,#11370,#4865,.T.); -#14018=EDGE_CURVE('',#11369,#11371,#4866,.T.); -#14019=EDGE_CURVE('',#11370,#11372,#4867,.T.); -#14020=EDGE_CURVE('',#11373,#11372,#4868,.T.); -#14021=EDGE_CURVE('',#11371,#11373,#4869,.T.); -#14022=EDGE_CURVE('',#11372,#11374,#4870,.T.); -#14023=EDGE_CURVE('',#11375,#11374,#4871,.T.); -#14024=EDGE_CURVE('',#11373,#11375,#4872,.T.); -#14025=EDGE_CURVE('',#11374,#11376,#4873,.T.); -#14026=EDGE_CURVE('',#11377,#11376,#4874,.T.); -#14027=EDGE_CURVE('',#11375,#11377,#4875,.T.); -#14028=EDGE_CURVE('',#11376,#11352,#4876,.T.); -#14029=EDGE_CURVE('',#11377,#11355,#4877,.T.); -#14030=EDGE_CURVE('',#11378,#11379,#10581,.T.); -#14031=EDGE_CURVE('',#11380,#11379,#4878,.T.); -#14032=EDGE_CURVE('',#11381,#11380,#10582,.T.); -#14033=EDGE_CURVE('',#11381,#11378,#4879,.T.); -#14034=EDGE_CURVE('',#11379,#11382,#4880,.T.); -#14035=EDGE_CURVE('',#11383,#11382,#4881,.T.); -#14036=EDGE_CURVE('',#11380,#11383,#4882,.T.); -#14037=EDGE_CURVE('',#11382,#11384,#10583,.T.); -#14038=EDGE_CURVE('',#11385,#11384,#4883,.T.); -#14039=EDGE_CURVE('',#11383,#11385,#10584,.T.); -#14040=EDGE_CURVE('',#11384,#11386,#4884,.T.); -#14041=EDGE_CURVE('',#11387,#11386,#4885,.T.); -#14042=EDGE_CURVE('',#11385,#11387,#4886,.T.); -#14043=EDGE_CURVE('',#11386,#11388,#10585,.T.); -#14044=EDGE_CURVE('',#11389,#11388,#4887,.T.); -#14045=EDGE_CURVE('',#11387,#11389,#10586,.T.); -#14046=EDGE_CURVE('',#11388,#11390,#10587,.T.); -#14047=EDGE_CURVE('',#11391,#11390,#4888,.T.); -#14048=EDGE_CURVE('',#11389,#11391,#10588,.T.); -#14049=EDGE_CURVE('',#11390,#11392,#4889,.T.); -#14050=EDGE_CURVE('',#11393,#11392,#4890,.T.); -#14051=EDGE_CURVE('',#11391,#11393,#4891,.T.); -#14052=EDGE_CURVE('',#11392,#11394,#10589,.T.); -#14053=EDGE_CURVE('',#11395,#11394,#4892,.T.); -#14054=EDGE_CURVE('',#11393,#11395,#10590,.T.); -#14055=EDGE_CURVE('',#11394,#11396,#4893,.T.); -#14056=EDGE_CURVE('',#11397,#11396,#4894,.T.); -#14057=EDGE_CURVE('',#11395,#11397,#4895,.T.); -#14058=EDGE_CURVE('',#11396,#11398,#4896,.T.); -#14059=EDGE_CURVE('',#11399,#11398,#4897,.T.); -#14060=EDGE_CURVE('',#11397,#11399,#4898,.T.); -#14061=EDGE_CURVE('',#11398,#11400,#4899,.T.); -#14062=EDGE_CURVE('',#11401,#11400,#4900,.T.); -#14063=EDGE_CURVE('',#11399,#11401,#4901,.T.); -#14064=EDGE_CURVE('',#11400,#11402,#4902,.T.); -#14065=EDGE_CURVE('',#11403,#11402,#4903,.T.); -#14066=EDGE_CURVE('',#11401,#11403,#4904,.T.); -#14067=EDGE_CURVE('',#11402,#11378,#4905,.T.); -#14068=EDGE_CURVE('',#11403,#11381,#4906,.T.); -#14069=EDGE_CURVE('',#11404,#11405,#4907,.T.); -#14070=EDGE_CURVE('',#11404,#11406,#4908,.T.); -#14071=EDGE_CURVE('',#11407,#11406,#4909,.T.); -#14072=EDGE_CURVE('',#11407,#11408,#4910,.T.); -#14073=EDGE_CURVE('',#11408,#11409,#4911,.T.); -#14074=EDGE_CURVE('',#11409,#11410,#4912,.T.); -#14075=EDGE_CURVE('',#11410,#11411,#4913,.T.); -#14076=EDGE_CURVE('',#11411,#11405,#4914,.T.); -#14077=EDGE_CURVE('',#11412,#11413,#4915,.T.); -#14078=EDGE_CURVE('',#11412,#11414,#4916,.T.); -#14079=EDGE_CURVE('',#11414,#11415,#4917,.T.); -#14080=EDGE_CURVE('',#11415,#11416,#4918,.T.); -#14081=EDGE_CURVE('',#11417,#11416,#4919,.T.); -#14082=EDGE_CURVE('',#11418,#11417,#4920,.T.); -#14083=EDGE_CURVE('',#11418,#11419,#4921,.T.); -#14084=EDGE_CURVE('',#11419,#11413,#4922,.T.); -#14085=EDGE_CURVE('',#11420,#11404,#10591,.T.); -#14086=EDGE_CURVE('',#11421,#11420,#4923,.T.); -#14087=EDGE_CURVE('',#11406,#11421,#10592,.T.); -#14088=EDGE_CURVE('',#11422,#11423,#4924,.T.); -#14089=EDGE_CURVE('',#11422,#11424,#4925,.T.); -#14090=EDGE_CURVE('',#11424,#11425,#4926,.T.); -#14091=EDGE_CURVE('',#11425,#11426,#4927,.T.); -#14092=EDGE_CURVE('',#11426,#11427,#4928,.T.); -#14093=EDGE_CURVE('',#11428,#11427,#4929,.T.); -#14094=EDGE_CURVE('',#11429,#11428,#4930,.T.); -#14095=EDGE_CURVE('',#11429,#11423,#4931,.T.); -#14096=EDGE_CURVE('',#11423,#11430,#4932,.T.); -#14097=EDGE_CURVE('',#11431,#11429,#4933,.T.); -#14098=EDGE_CURVE('',#11431,#11430,#4934,.T.); -#14099=EDGE_CURVE('',#11430,#11420,#10593,.T.); -#14100=EDGE_CURVE('',#11421,#11431,#10594,.T.); -#14101=EDGE_CURVE('',#11413,#11432,#10595,.T.); -#14102=EDGE_CURVE('',#11433,#11419,#10596,.T.); -#14103=EDGE_CURVE('',#11433,#11432,#4935,.T.); -#14104=EDGE_CURVE('',#11432,#11434,#10597,.T.); -#14105=EDGE_CURVE('',#11435,#11433,#10598,.T.); -#14106=EDGE_CURVE('',#11435,#11434,#4936,.T.); -#14107=EDGE_CURVE('',#11434,#11436,#4937,.T.); -#14108=EDGE_CURVE('',#11437,#11435,#4938,.T.); -#14109=EDGE_CURVE('',#11437,#11436,#4939,.T.); -#14110=EDGE_CURVE('',#11436,#11438,#4940,.T.); -#14111=EDGE_CURVE('',#11439,#11437,#4941,.T.); -#14112=EDGE_CURVE('',#11439,#11440,#4942,.T.); -#14113=EDGE_CURVE('',#11440,#11441,#4943,.T.); -#14114=EDGE_CURVE('',#11442,#11441,#4944,.T.); -#14115=EDGE_CURVE('',#11442,#11443,#4945,.T.); -#14116=EDGE_CURVE('',#11443,#11438,#4946,.T.); -#14117=EDGE_CURVE('',#11444,#11445,#4947,.T.); -#14118=EDGE_CURVE('',#11444,#11446,#10599,.T.); -#14119=EDGE_CURVE('',#11446,#11447,#4948,.T.); -#14120=EDGE_CURVE('',#11445,#11447,#10600,.T.); -#14121=EDGE_CURVE('',#11448,#11449,#4949,.T.); -#14122=EDGE_CURVE('',#11448,#11450,#10601,.T.); -#14123=EDGE_CURVE('',#11450,#11451,#4950,.T.); -#14124=EDGE_CURVE('',#11449,#11451,#10602,.T.); -#14125=EDGE_CURVE('',#11452,#11453,#4951,.T.); -#14126=EDGE_CURVE('',#11452,#11454,#37,.T.); -#14127=EDGE_CURVE('',#11455,#11454,#4952,.T.); -#14128=EDGE_CURVE('',#11455,#11453,#38,.T.); -#14129=EDGE_CURVE('',#11456,#11457,#4953,.T.); -#14130=EDGE_CURVE('',#11456,#11458,#39,.T.); -#14131=EDGE_CURVE('',#11459,#11458,#4954,.T.); -#14132=EDGE_CURVE('',#11459,#11457,#40,.T.); -#14133=EDGE_CURVE('',#11460,#11461,#4955,.T.); -#14134=EDGE_CURVE('',#11462,#11460,#4956,.T.); -#14135=EDGE_CURVE('',#11462,#11463,#4957,.T.); -#14136=EDGE_CURVE('',#11464,#11463,#4958,.T.); -#14137=EDGE_CURVE('',#11465,#11464,#4959,.T.); -#14138=EDGE_CURVE('',#11466,#11465,#4960,.T.); -#14139=EDGE_CURVE('',#11467,#11466,#4961,.T.); -#14140=EDGE_CURVE('',#11468,#11467,#4962,.T.); -#14141=EDGE_CURVE('',#11469,#11468,#4963,.T.); -#14142=EDGE_CURVE('',#11461,#11469,#4964,.T.); -#14143=EDGE_CURVE('',#11470,#11462,#10603,.T.); -#14144=EDGE_CURVE('',#11470,#11463,#33,.T.); -#14145=EDGE_CURVE('',#11460,#11471,#10604,.T.); -#14146=EDGE_CURVE('',#11461,#11471,#34,.T.); -#14147=EDGE_CURVE('',#11472,#11473,#4965,.T.); -#14148=EDGE_CURVE('',#11474,#11472,#4966,.T.); -#14149=EDGE_CURVE('',#11474,#11475,#4967,.T.); -#14150=EDGE_CURVE('',#11476,#11475,#4968,.T.); -#14151=EDGE_CURVE('',#11477,#11476,#4969,.T.); -#14152=EDGE_CURVE('',#11478,#11477,#4970,.T.); -#14153=EDGE_CURVE('',#11479,#11478,#4971,.T.); -#14154=EDGE_CURVE('',#11480,#11479,#4972,.T.); -#14155=EDGE_CURVE('',#11481,#11480,#4973,.T.); -#14156=EDGE_CURVE('',#11473,#11481,#4974,.T.); -#14157=EDGE_CURVE('',#11482,#11474,#41,.T.); -#14158=EDGE_CURVE('',#11482,#11475,#42,.T.); -#14159=EDGE_CURVE('',#11472,#11483,#43,.T.); -#14160=EDGE_CURVE('',#11473,#11483,#44,.T.); -#14161=EDGE_CURVE('',#11484,#11485,#4975,.T.); -#14162=EDGE_CURVE('',#11486,#11484,#4976,.T.); -#14163=EDGE_CURVE('',#11487,#11486,#4977,.T.); -#14164=EDGE_CURVE('',#11487,#11488,#4978,.T.); -#14165=EDGE_CURVE('',#11488,#11489,#10605,.T.); -#14166=EDGE_CURVE('',#11489,#11445,#4979,.T.); -#14167=EDGE_CURVE('',#11447,#11490,#4980,.T.); -#14168=EDGE_CURVE('',#11491,#11490,#4981,.T.); -#14169=EDGE_CURVE('',#11492,#11491,#4982,.T.); -#14170=EDGE_CURVE('',#11493,#11492,#4983,.T.); -#14171=EDGE_CURVE('',#11493,#11494,#4984,.T.); -#14172=EDGE_CURVE('',#11495,#11494,#4985,.T.); -#14173=EDGE_CURVE('',#11496,#11495,#4986,.T.); -#14174=EDGE_CURVE('',#11497,#11496,#4987,.T.); -#14175=EDGE_CURVE('',#11497,#11449,#4988,.T.); -#14176=EDGE_CURVE('',#11451,#11498,#4989,.T.); -#14177=EDGE_CURVE('',#11498,#11499,#10606,.T.); -#14178=EDGE_CURVE('',#11499,#11500,#4990,.T.); -#14179=EDGE_CURVE('',#11501,#11500,#4991,.T.); -#14180=EDGE_CURVE('',#11502,#11501,#4992,.T.); -#14181=EDGE_CURVE('',#11503,#11502,#4993,.T.); -#14182=EDGE_CURVE('',#11503,#11485,#4994,.T.); -#14183=EDGE_CURVE('',#11504,#11505,#4995,.T.); -#14184=EDGE_CURVE('',#11506,#11504,#10607,.T.); -#14185=EDGE_CURVE('',#11507,#11506,#4996,.T.); -#14186=EDGE_CURVE('',#11508,#11507,#10608,.T.); -#14187=EDGE_CURVE('',#11509,#11508,#4997,.T.); -#14188=EDGE_CURVE('',#11510,#11509,#10609,.T.); -#14189=EDGE_CURVE('',#11511,#11510,#4998,.T.); -#14190=EDGE_CURVE('',#11505,#11511,#10610,.T.); -#14191=EDGE_CURVE('',#11512,#11513,#4999,.T.); -#14192=EDGE_CURVE('',#11514,#11512,#5000,.T.); -#14193=EDGE_CURVE('',#11515,#11514,#5001,.T.); -#14194=EDGE_CURVE('',#11516,#11515,#10611,.T.); -#14195=EDGE_CURVE('',#11513,#11516,#5002,.T.); -#14196=EDGE_CURVE('',#11517,#11488,#5003,.T.); -#14197=EDGE_CURVE('',#11517,#11518,#10612,.T.); -#14198=EDGE_CURVE('',#11518,#11489,#5004,.T.); -#14199=EDGE_CURVE('',#11519,#11520,#5005,.T.); -#14200=EDGE_CURVE('',#11521,#11519,#5006,.T.); -#14201=EDGE_CURVE('',#11521,#11522,#5007,.T.); -#14202=EDGE_CURVE('',#11523,#11522,#5008,.T.); -#14203=EDGE_CURVE('',#11524,#11523,#5009,.T.); -#14204=EDGE_CURVE('',#11525,#11524,#5010,.T.); -#14205=EDGE_CURVE('',#11525,#11526,#5011,.T.); -#14206=EDGE_CURVE('',#11527,#11526,#5012,.T.); -#14207=EDGE_CURVE('',#11527,#11528,#5013,.T.); -#14208=EDGE_CURVE('',#11528,#11517,#5014,.T.); -#14209=EDGE_CURVE('',#11529,#11487,#5015,.T.); -#14210=EDGE_CURVE('',#11530,#11529,#5016,.T.); -#14211=EDGE_CURVE('',#11530,#11485,#5017,.T.); -#14212=EDGE_CURVE('',#11531,#11503,#5018,.T.); -#14213=EDGE_CURVE('',#11532,#11531,#5019,.T.); -#14214=EDGE_CURVE('',#11532,#11500,#5020,.T.); -#14215=EDGE_CURVE('',#11515,#11499,#5021,.T.); -#14216=EDGE_CURVE('',#11533,#11514,#5022,.T.); -#14217=EDGE_CURVE('',#11520,#11533,#5023,.T.); -#14218=EDGE_CURVE('',#11516,#11498,#5024,.T.); -#14219=EDGE_CURVE('',#11450,#11534,#5025,.T.); -#14220=EDGE_CURVE('',#11534,#11513,#5026,.T.); -#14221=EDGE_CURVE('',#11535,#11494,#5027,.T.); -#14222=EDGE_CURVE('',#11536,#11493,#5028,.T.); -#14223=EDGE_CURVE('',#11537,#11536,#5029,.T.); -#14224=EDGE_CURVE('',#11537,#11490,#5030,.T.); -#14225=EDGE_CURVE('',#11446,#11538,#5031,.T.); -#14226=EDGE_CURVE('',#11538,#11539,#5032,.T.); -#14227=EDGE_CURVE('',#11539,#11540,#5033,.T.); -#14228=EDGE_CURVE('',#11541,#11540,#5034,.T.); -#14229=EDGE_CURVE('',#11542,#11541,#5035,.T.); -#14230=EDGE_CURVE('',#11542,#11512,#5036,.T.); -#14231=EDGE_CURVE('',#11534,#11448,#5037,.T.); -#14232=EDGE_CURVE('',#11543,#11497,#5038,.T.); -#14233=EDGE_CURVE('',#11535,#11543,#5039,.T.); -#14234=EDGE_CURVE('',#11518,#11539,#5040,.T.); -#14235=EDGE_CURVE('',#11538,#11444,#5041,.T.); -#14236=EDGE_CURVE('',#11540,#11528,#5042,.T.); -#14237=EDGE_CURVE('',#11533,#11542,#5043,.T.); -#14238=EDGE_CURVE('',#11541,#11527,#5044,.T.); -#14239=EDGE_CURVE('',#11520,#11544,#5045,.T.); -#14240=EDGE_CURVE('',#11545,#11526,#5046,.T.); -#14241=EDGE_CURVE('',#11546,#11545,#5047,.T.); -#14242=EDGE_CURVE('',#11523,#11546,#5048,.T.); -#14243=EDGE_CURVE('',#11547,#11522,#5049,.T.); -#14244=EDGE_CURVE('',#11544,#11547,#5050,.T.); -#14245=EDGE_CURVE('',#11548,#11532,#5051,.T.); -#14246=EDGE_CURVE('',#11548,#11501,#5052,.T.); -#14247=EDGE_CURVE('',#11549,#11548,#5053,.T.); -#14248=EDGE_CURVE('',#11549,#11502,#5054,.T.); -#14249=EDGE_CURVE('',#11531,#11549,#5055,.T.); -#14250=EDGE_CURVE('',#11550,#11537,#5056,.T.); -#14251=EDGE_CURVE('',#11550,#11491,#5057,.T.); -#14252=EDGE_CURVE('',#11551,#11550,#5058,.T.); -#14253=EDGE_CURVE('',#11551,#11492,#5059,.T.); -#14254=EDGE_CURVE('',#11536,#11551,#5060,.T.); -#14255=EDGE_CURVE('',#11552,#11535,#5061,.T.); -#14256=EDGE_CURVE('',#11552,#11495,#5062,.T.); -#14257=EDGE_CURVE('',#11553,#11552,#5063,.T.); -#14258=EDGE_CURVE('',#11553,#11496,#5064,.T.); -#14259=EDGE_CURVE('',#11543,#11553,#5065,.T.); -#14260=EDGE_CURVE('',#11554,#11530,#5066,.T.); -#14261=EDGE_CURVE('',#11554,#11484,#5067,.T.); -#14262=EDGE_CURVE('',#11555,#11554,#5068,.T.); -#14263=EDGE_CURVE('',#11555,#11486,#5069,.T.); -#14264=EDGE_CURVE('',#11529,#11555,#5070,.T.); -#14265=EDGE_CURVE('',#11556,#11525,#5071,.T.); -#14266=EDGE_CURVE('',#11556,#11545,#5072,.T.); -#14267=EDGE_CURVE('',#11557,#11556,#5073,.T.); -#14268=EDGE_CURVE('',#11557,#11546,#5074,.T.); -#14269=EDGE_CURVE('',#11524,#11557,#5075,.T.); -#14270=EDGE_CURVE('',#11558,#11547,#5076,.T.); -#14271=EDGE_CURVE('',#11559,#11558,#5077,.T.); -#14272=EDGE_CURVE('',#11559,#11544,#5078,.T.); -#14273=EDGE_CURVE('',#11519,#11559,#5079,.T.); -#14274=EDGE_CURVE('',#11558,#11521,#5080,.T.); -#14275=EDGE_CURVE('',#11472,#11504,#5081,.T.); -#14276=EDGE_CURVE('',#11483,#11506,#5082,.T.); -#14277=EDGE_CURVE('',#11474,#11505,#5083,.T.); -#14278=EDGE_CURVE('',#11482,#11511,#5084,.T.); -#14279=EDGE_CURVE('',#11560,#11471,#5085,.T.); -#14280=EDGE_CURVE('',#11560,#11561,#5086,.T.); -#14281=EDGE_CURVE('',#11561,#11482,#5087,.T.); -#14282=EDGE_CURVE('',#11471,#11510,#5088,.T.); -#14283=EDGE_CURVE('',#11460,#11509,#5089,.T.); -#14284=EDGE_CURVE('',#11462,#11508,#5090,.T.); -#14285=EDGE_CURVE('',#11470,#11507,#5091,.T.); -#14286=EDGE_CURVE('',#11440,#11483,#5092,.T.); -#14287=EDGE_CURVE('',#11439,#11470,#5093,.T.); -#14288=EDGE_CURVE('',#11562,#11465,#5094,.T.); -#14289=EDGE_CURVE('',#11563,#11562,#5095,.T.); -#14290=EDGE_CURVE('',#11464,#11563,#5096,.T.); -#14291=EDGE_CURVE('',#11418,#11455,#5097,.T.); -#14292=EDGE_CURVE('',#11454,#11407,#5098,.T.); -#14293=EDGE_CURVE('',#11428,#11560,#5099,.T.); -#14294=EDGE_CURVE('',#11564,#11469,#5100,.T.); -#14295=EDGE_CURVE('',#11565,#11564,#5101,.T.); -#14296=EDGE_CURVE('',#11468,#11565,#5102,.T.); -#14297=EDGE_CURVE('',#11566,#11467,#5103,.T.); -#14298=EDGE_CURVE('',#11567,#11566,#5104,.T.); -#14299=EDGE_CURVE('',#11466,#11567,#5105,.T.); -#14300=EDGE_CURVE('',#11568,#11477,#5106,.T.); -#14301=EDGE_CURVE('',#11569,#11568,#5107,.T.); -#14302=EDGE_CURVE('',#11476,#11569,#5108,.T.); -#14303=EDGE_CURVE('',#11427,#11561,#5109,.T.); -#14304=EDGE_CURVE('',#11570,#11426,#5110,.T.); -#14305=EDGE_CURVE('',#11571,#11570,#10613,.T.); -#14306=EDGE_CURVE('',#11409,#11571,#10614,.T.); -#14307=EDGE_CURVE('',#11456,#11408,#5111,.T.); -#14308=EDGE_CURVE('',#11417,#11457,#5112,.T.); -#14309=EDGE_CURVE('',#11572,#11416,#10615,.T.); -#14310=EDGE_CURVE('',#11573,#11572,#10616,.T.); -#14311=EDGE_CURVE('',#11441,#11573,#5113,.T.); -#14312=EDGE_CURVE('',#11574,#11481,#5114,.T.); -#14313=EDGE_CURVE('',#11575,#11574,#5115,.T.); -#14314=EDGE_CURVE('',#11480,#11575,#5116,.T.); -#14315=EDGE_CURVE('',#11576,#11479,#5117,.T.); -#14316=EDGE_CURVE('',#11577,#11576,#5118,.T.); -#14317=EDGE_CURVE('',#11478,#11577,#5119,.T.); -#14318=EDGE_CURVE('',#11458,#11452,#5120,.T.); -#14319=EDGE_CURVE('',#11453,#11459,#5121,.T.); -#14320=EDGE_CURVE('',#11454,#11456,#45,.T.); -#14321=EDGE_CURVE('',#11457,#11455,#46,.T.); -#14322=EDGE_CURVE('',#11578,#11571,#5122,.T.); -#14323=EDGE_CURVE('',#11578,#11410,#10617,.T.); -#14324=EDGE_CURVE('',#11425,#11579,#5123,.T.); -#14325=EDGE_CURVE('',#11579,#11570,#5124,.T.); -#14326=EDGE_CURVE('',#11579,#11578,#10618,.T.); -#14327=EDGE_CURVE('',#11415,#11580,#10619,.T.); -#14328=EDGE_CURVE('',#11580,#11572,#5125,.T.); -#14329=EDGE_CURVE('',#11580,#11581,#10620,.T.); -#14330=EDGE_CURVE('',#11581,#11573,#5126,.T.); -#14331=EDGE_CURVE('',#11581,#11442,#5127,.T.); -#14332=EDGE_CURVE('',#11582,#11412,#5128,.T.); -#14333=EDGE_CURVE('',#11582,#11583,#5129,.T.); -#14334=EDGE_CURVE('',#11583,#11414,#5130,.T.); -#14335=EDGE_CURVE('',#11443,#11584,#5131,.T.); -#14336=EDGE_CURVE('',#11585,#11584,#5132,.T.); -#14337=EDGE_CURVE('',#11438,#11585,#5133,.T.); -#14338=EDGE_CURVE('',#11585,#11582,#5134,.T.); -#14339=EDGE_CURVE('',#11584,#11583,#5135,.T.); -#14340=EDGE_CURVE('',#11411,#11586,#5136,.T.); -#14341=EDGE_CURVE('',#11587,#11586,#5137,.T.); -#14342=EDGE_CURVE('',#11405,#11587,#5138,.T.); -#14343=EDGE_CURVE('',#11588,#11422,#5139,.T.); -#14344=EDGE_CURVE('',#11588,#11589,#5140,.T.); -#14345=EDGE_CURVE('',#11589,#11424,#5141,.T.); -#14346=EDGE_CURVE('',#11587,#11588,#5142,.T.); -#14347=EDGE_CURVE('',#11586,#11589,#5143,.T.); -#14348=EDGE_CURVE('',#11590,#11480,#5144,.T.); -#14349=EDGE_CURVE('',#11591,#11590,#5145,.T.); -#14350=EDGE_CURVE('',#11591,#11481,#5146,.T.); -#14351=EDGE_CURVE('',#11592,#11591,#5147,.T.); -#14352=EDGE_CURVE('',#11592,#11574,#5148,.T.); -#14353=EDGE_CURVE('',#11593,#11592,#5149,.T.); -#14354=EDGE_CURVE('',#11593,#11575,#5150,.T.); -#14355=EDGE_CURVE('',#11590,#11593,#5151,.T.); -#14356=EDGE_CURVE('',#11594,#11478,#5152,.T.); -#14357=EDGE_CURVE('',#11595,#11594,#5153,.T.); -#14358=EDGE_CURVE('',#11595,#11479,#5154,.T.); -#14359=EDGE_CURVE('',#11596,#11595,#5155,.T.); -#14360=EDGE_CURVE('',#11596,#11576,#5156,.T.); -#14361=EDGE_CURVE('',#11597,#11596,#5157,.T.); -#14362=EDGE_CURVE('',#11597,#11577,#5158,.T.); -#14363=EDGE_CURVE('',#11594,#11597,#5159,.T.); -#14364=EDGE_CURVE('',#11598,#11476,#5160,.T.); -#14365=EDGE_CURVE('',#11599,#11598,#5161,.T.); -#14366=EDGE_CURVE('',#11599,#11477,#5162,.T.); -#14367=EDGE_CURVE('',#11600,#11599,#5163,.T.); -#14368=EDGE_CURVE('',#11600,#11568,#5164,.T.); -#14369=EDGE_CURVE('',#11601,#11600,#5165,.T.); -#14370=EDGE_CURVE('',#11601,#11569,#5166,.T.); -#14371=EDGE_CURVE('',#11598,#11601,#5167,.T.); -#14372=EDGE_CURVE('',#11602,#11469,#5168,.T.); -#14373=EDGE_CURVE('',#11603,#11602,#5169,.T.); -#14374=EDGE_CURVE('',#11603,#11564,#5170,.T.); -#14375=EDGE_CURVE('',#11604,#11603,#5171,.T.); -#14376=EDGE_CURVE('',#11604,#11565,#5172,.T.); -#14377=EDGE_CURVE('',#11605,#11604,#5173,.T.); -#14378=EDGE_CURVE('',#11605,#11468,#5174,.T.); -#14379=EDGE_CURVE('',#11602,#11605,#5175,.T.); -#14380=EDGE_CURVE('',#11606,#11467,#5176,.T.); -#14381=EDGE_CURVE('',#11607,#11606,#5177,.T.); -#14382=EDGE_CURVE('',#11607,#11566,#5178,.T.); -#14383=EDGE_CURVE('',#11608,#11607,#5179,.T.); -#14384=EDGE_CURVE('',#11608,#11567,#5180,.T.); -#14385=EDGE_CURVE('',#11609,#11608,#5181,.T.); -#14386=EDGE_CURVE('',#11609,#11466,#5182,.T.); -#14387=EDGE_CURVE('',#11606,#11609,#5183,.T.); -#14388=EDGE_CURVE('',#11610,#11465,#5184,.T.); -#14389=EDGE_CURVE('',#11611,#11610,#5185,.T.); -#14390=EDGE_CURVE('',#11611,#11562,#5186,.T.); -#14391=EDGE_CURVE('',#11612,#11611,#5187,.T.); -#14392=EDGE_CURVE('',#11612,#11563,#5188,.T.); -#14393=EDGE_CURVE('',#11613,#11612,#5189,.T.); -#14394=EDGE_CURVE('',#11613,#11464,#5190,.T.); -#14395=EDGE_CURVE('',#11610,#11613,#5191,.T.); -#14396=EDGE_CURVE('',#11614,#11615,#5192,.T.); -#14397=EDGE_CURVE('',#11616,#11615,#5193,.T.); -#14398=EDGE_CURVE('',#11617,#11616,#5194,.T.); -#14399=EDGE_CURVE('',#11617,#11614,#5195,.T.); -#14400=EDGE_CURVE('',#11615,#11618,#5196,.T.); -#14401=EDGE_CURVE('',#11619,#11618,#5197,.T.); -#14402=EDGE_CURVE('',#11616,#11619,#5198,.T.); -#14403=EDGE_CURVE('',#11618,#11620,#5199,.T.); -#14404=EDGE_CURVE('',#11621,#11620,#5200,.T.); -#14405=EDGE_CURVE('',#11619,#11621,#5201,.T.); -#14406=EDGE_CURVE('',#11620,#11614,#5202,.T.); -#14407=EDGE_CURVE('',#11621,#11617,#5203,.T.); -#14408=EDGE_CURVE('',#11622,#11623,#5204,.T.); -#14409=EDGE_CURVE('',#11624,#11623,#5205,.T.); -#14410=EDGE_CURVE('',#11625,#11624,#5206,.T.); -#14411=EDGE_CURVE('',#11625,#11622,#5207,.T.); -#14412=EDGE_CURVE('',#11623,#11626,#5208,.T.); -#14413=EDGE_CURVE('',#11627,#11626,#5209,.T.); -#14414=EDGE_CURVE('',#11624,#11627,#5210,.T.); -#14415=EDGE_CURVE('',#11626,#11628,#5211,.T.); -#14416=EDGE_CURVE('',#11629,#11628,#5212,.T.); -#14417=EDGE_CURVE('',#11627,#11629,#5213,.T.); -#14418=EDGE_CURVE('',#11628,#11622,#5214,.T.); -#14419=EDGE_CURVE('',#11629,#11625,#5215,.T.); -#14420=EDGE_CURVE('',#11630,#11631,#5216,.T.); -#14421=EDGE_CURVE('',#11632,#11631,#5217,.T.); -#14422=EDGE_CURVE('',#11633,#11632,#5218,.T.); -#14423=EDGE_CURVE('',#11633,#11630,#5219,.T.); -#14424=EDGE_CURVE('',#11631,#11634,#5220,.T.); -#14425=EDGE_CURVE('',#11635,#11634,#5221,.T.); -#14426=EDGE_CURVE('',#11632,#11635,#5222,.T.); -#14427=EDGE_CURVE('',#11634,#11636,#5223,.T.); -#14428=EDGE_CURVE('',#11637,#11636,#5224,.T.); -#14429=EDGE_CURVE('',#11635,#11637,#5225,.T.); -#14430=EDGE_CURVE('',#11636,#11630,#5226,.T.); -#14431=EDGE_CURVE('',#11637,#11633,#5227,.T.); -#14432=EDGE_CURVE('',#11638,#11639,#5228,.T.); -#14433=EDGE_CURVE('',#11640,#11639,#5229,.T.); -#14434=EDGE_CURVE('',#11641,#11640,#5230,.T.); -#14435=EDGE_CURVE('',#11641,#11638,#5231,.T.); -#14436=EDGE_CURVE('',#11639,#11642,#5232,.T.); -#14437=EDGE_CURVE('',#11643,#11642,#5233,.T.); -#14438=EDGE_CURVE('',#11640,#11643,#5234,.T.); -#14439=EDGE_CURVE('',#11642,#11644,#5235,.T.); -#14440=EDGE_CURVE('',#11645,#11644,#5236,.T.); -#14441=EDGE_CURVE('',#11643,#11645,#5237,.T.); -#14442=EDGE_CURVE('',#11644,#11638,#5238,.T.); -#14443=EDGE_CURVE('',#11645,#11641,#5239,.T.); -#14444=EDGE_CURVE('',#11646,#11647,#5240,.T.); -#14445=EDGE_CURVE('',#11648,#11647,#5241,.T.); -#14446=EDGE_CURVE('',#11649,#11648,#5242,.T.); -#14447=EDGE_CURVE('',#11649,#11646,#5243,.T.); -#14448=EDGE_CURVE('',#11647,#11650,#5244,.T.); -#14449=EDGE_CURVE('',#11651,#11650,#5245,.T.); -#14450=EDGE_CURVE('',#11648,#11651,#5246,.T.); -#14451=EDGE_CURVE('',#11650,#11652,#5247,.T.); -#14452=EDGE_CURVE('',#11653,#11652,#5248,.T.); -#14453=EDGE_CURVE('',#11651,#11653,#5249,.T.); -#14454=EDGE_CURVE('',#11652,#11646,#5250,.T.); -#14455=EDGE_CURVE('',#11653,#11649,#5251,.T.); -#14456=EDGE_CURVE('',#11654,#11655,#5252,.T.); -#14457=EDGE_CURVE('',#11656,#11655,#5253,.T.); -#14458=EDGE_CURVE('',#11657,#11656,#5254,.T.); -#14459=EDGE_CURVE('',#11657,#11654,#5255,.T.); -#14460=EDGE_CURVE('',#11655,#11658,#5256,.T.); -#14461=EDGE_CURVE('',#11659,#11658,#5257,.T.); -#14462=EDGE_CURVE('',#11656,#11659,#5258,.T.); -#14463=EDGE_CURVE('',#11658,#11660,#5259,.T.); -#14464=EDGE_CURVE('',#11661,#11660,#5260,.T.); -#14465=EDGE_CURVE('',#11659,#11661,#5261,.T.); -#14466=EDGE_CURVE('',#11660,#11654,#5262,.T.); -#14467=EDGE_CURVE('',#11661,#11657,#5263,.T.); -#14468=EDGE_CURVE('',#11662,#11663,#5264,.T.); -#14469=EDGE_CURVE('',#11662,#11664,#5265,.T.); -#14470=EDGE_CURVE('',#11664,#11665,#5266,.T.); -#14471=EDGE_CURVE('',#11663,#11665,#5267,.T.); -#14472=EDGE_CURVE('',#11666,#11662,#5268,.T.); -#14473=EDGE_CURVE('',#11666,#11667,#5269,.T.); -#14474=EDGE_CURVE('',#11667,#11664,#5270,.T.); -#14475=EDGE_CURVE('',#11668,#11666,#5271,.T.); -#14476=EDGE_CURVE('',#11668,#11669,#5272,.T.); -#14477=EDGE_CURVE('',#11669,#11667,#5273,.T.); -#14478=EDGE_CURVE('',#11663,#11668,#5274,.T.); -#14479=EDGE_CURVE('',#11665,#11669,#5275,.T.); -#14480=EDGE_CURVE('',#11670,#11671,#5276,.T.); -#14481=EDGE_CURVE('',#11670,#11672,#5277,.T.); -#14482=EDGE_CURVE('',#11673,#11672,#5278,.T.); -#14483=EDGE_CURVE('',#11671,#11673,#5279,.T.); -#14484=EDGE_CURVE('',#11671,#11674,#5280,.T.); -#14485=EDGE_CURVE('',#11675,#11673,#5281,.T.); -#14486=EDGE_CURVE('',#11674,#11675,#5282,.T.); -#14487=EDGE_CURVE('',#11674,#11676,#5283,.T.); -#14488=EDGE_CURVE('',#11677,#11675,#5284,.T.); -#14489=EDGE_CURVE('',#11676,#11677,#5285,.T.); -#14490=EDGE_CURVE('',#11676,#11670,#5286,.T.); -#14491=EDGE_CURVE('',#11672,#11677,#5287,.T.); -#14492=EDGE_CURVE('',#11678,#11678,#10621,.T.); -#14493=EDGE_CURVE('',#11679,#11679,#10622,.T.); -#14494=EDGE_CURVE('',#11680,#11681,#5288,.T.); -#14495=EDGE_CURVE('',#11680,#11682,#5289,.T.); -#14496=EDGE_CURVE('',#11683,#11682,#5290,.T.); -#14497=EDGE_CURVE('',#11681,#11683,#5291,.T.); -#14498=EDGE_CURVE('',#11684,#11680,#5292,.T.); -#14499=EDGE_CURVE('',#11684,#11685,#5293,.T.); -#14500=EDGE_CURVE('',#11682,#11685,#5294,.T.); -#14501=EDGE_CURVE('',#11686,#11684,#5295,.T.); -#14502=EDGE_CURVE('',#11686,#11687,#5296,.T.); -#14503=EDGE_CURVE('',#11685,#11687,#5297,.T.); -#14504=EDGE_CURVE('',#11688,#11686,#5298,.T.); -#14505=EDGE_CURVE('',#11688,#11689,#5299,.T.); -#14506=EDGE_CURVE('',#11687,#11689,#5300,.T.); -#14507=EDGE_CURVE('',#11690,#11688,#5301,.T.); -#14508=EDGE_CURVE('',#11690,#11691,#5302,.T.); -#14509=EDGE_CURVE('',#11689,#11691,#5303,.T.); -#14510=EDGE_CURVE('',#11692,#11693,#5304,.T.); -#14511=EDGE_CURVE('',#11694,#11693,#5305,.T.); -#14512=EDGE_CURVE('',#11695,#11694,#5306,.T.); -#14513=EDGE_CURVE('',#11692,#11695,#5307,.T.); -#14514=EDGE_CURVE('',#11696,#11690,#5308,.T.); -#14515=EDGE_CURVE('',#11696,#11697,#5309,.T.); -#14516=EDGE_CURVE('',#11698,#11697,#5310,.T.); -#14517=EDGE_CURVE('',#11699,#11698,#5311,.T.); -#14518=EDGE_CURVE('',#11700,#11699,#5312,.T.); -#14519=EDGE_CURVE('',#11700,#11695,#5313,.T.); -#14520=EDGE_CURVE('',#11694,#11701,#5314,.T.); -#14521=EDGE_CURVE('',#11691,#11701,#5315,.T.); -#14522=EDGE_CURVE('',#11702,#11703,#5316,.T.); -#14523=EDGE_CURVE('',#11702,#11704,#5317,.T.); -#14524=EDGE_CURVE('',#11705,#11704,#5318,.T.); -#14525=EDGE_CURVE('',#11703,#11705,#5319,.T.); -#14526=EDGE_CURVE('',#11706,#11702,#5320,.T.); -#14527=EDGE_CURVE('',#11707,#11706,#5321,.T.); -#14528=EDGE_CURVE('',#11704,#11707,#5322,.T.); -#14529=EDGE_CURVE('',#11703,#11708,#5323,.T.); -#14530=EDGE_CURVE('',#11709,#11705,#5324,.T.); -#14531=EDGE_CURVE('',#11708,#11709,#5325,.T.); -#14532=EDGE_CURVE('',#11706,#11710,#5326,.T.); -#14533=EDGE_CURVE('',#11710,#11711,#5327,.T.); -#14534=EDGE_CURVE('',#11707,#11711,#5328,.T.); -#14535=EDGE_CURVE('',#11697,#11709,#5329,.T.); -#14536=EDGE_CURVE('',#11696,#11708,#5330,.T.); -#14537=EDGE_CURVE('',#11681,#11710,#5331,.T.); -#14538=EDGE_CURVE('',#11712,#11693,#5332,.T.); -#14539=EDGE_CURVE('',#11712,#11713,#5333,.T.); -#14540=EDGE_CURVE('',#11713,#11694,#5334,.T.); -#14541=EDGE_CURVE('',#11712,#11714,#10623,.T.); -#14542=EDGE_CURVE('',#11693,#11715,#5335,.T.); -#14543=EDGE_CURVE('',#11716,#11715,#10624,.T.); -#14544=EDGE_CURVE('',#11714,#11716,#5336,.T.); -#14545=EDGE_CURVE('',#11717,#11714,#5337,.T.); -#14546=EDGE_CURVE('',#11718,#11716,#5338,.T.); -#14547=EDGE_CURVE('',#11717,#11718,#5339,.T.); -#14548=EDGE_CURVE('',#11717,#11713,#10625,.T.); -#14549=EDGE_CURVE('',#11701,#11718,#10626,.T.); -#14550=EDGE_CURVE('',#11715,#11683,#5340,.T.); -#14551=EDGE_CURVE('',#11700,#11719,#5341,.T.); -#14552=EDGE_CURVE('',#11719,#11692,#5342,.T.); -#14553=EDGE_CURVE('',#11720,#11720,#10627,.T.); -#14554=EDGE_CURVE('',#11720,#11678,#5343,.T.); -#14555=EDGE_CURVE('',#11721,#11721,#10628,.T.); -#14556=EDGE_CURVE('',#11721,#11679,#5344,.T.); -#14557=EDGE_CURVE('',#11699,#11722,#10629,.T.); -#14558=EDGE_CURVE('',#11723,#11698,#10630,.T.); -#14559=EDGE_CURVE('',#11722,#11723,#5345,.T.); -#14560=EDGE_CURVE('',#11722,#11724,#5346,.T.); -#14561=EDGE_CURVE('',#11725,#11723,#5347,.T.); -#14562=EDGE_CURVE('',#11724,#11725,#5348,.T.); -#14563=EDGE_CURVE('',#11724,#11726,#10631,.T.); -#14564=EDGE_CURVE('',#11727,#11725,#10632,.T.); -#14565=EDGE_CURVE('',#11726,#11727,#5349,.T.); -#14566=EDGE_CURVE('',#11719,#11726,#5350,.T.); -#14567=EDGE_CURVE('',#11711,#11727,#5351,.T.); -#14568=EDGE_CURVE('',#11728,#11729,#5352,.T.); -#14569=EDGE_CURVE('',#11730,#11728,#5353,.T.); -#14570=EDGE_CURVE('',#11730,#11731,#5354,.T.); -#14571=EDGE_CURVE('',#11731,#11732,#5355,.T.); -#14572=EDGE_CURVE('',#11732,#11729,#5356,.T.); -#14573=EDGE_CURVE('',#11729,#11733,#5357,.T.); -#14574=EDGE_CURVE('',#11733,#11734,#5358,.T.); -#14575=EDGE_CURVE('',#11728,#11734,#5359,.T.); -#14576=EDGE_CURVE('',#11731,#11735,#5360,.T.); -#14577=EDGE_CURVE('',#11730,#11736,#5361,.T.); -#14578=EDGE_CURVE('',#11735,#11736,#5362,.T.); -#14579=EDGE_CURVE('',#11737,#11731,#5363,.T.); -#14580=EDGE_CURVE('',#11737,#11738,#10633,.T.); -#14581=EDGE_CURVE('',#11739,#11738,#5364,.T.); -#14582=EDGE_CURVE('',#11739,#11740,#10634,.T.); -#14583=EDGE_CURVE('',#11741,#11740,#5365,.T.); -#14584=EDGE_CURVE('',#11741,#11742,#10635,.T.); -#14585=EDGE_CURVE('',#11743,#11742,#5366,.T.); -#14586=EDGE_CURVE('',#11743,#11744,#10636,.T.); -#14587=EDGE_CURVE('',#11735,#11744,#5367,.T.); -#14588=EDGE_CURVE('',#11745,#11735,#5368,.T.); -#14589=EDGE_CURVE('',#11732,#11745,#5369,.T.); -#14590=EDGE_CURVE('',#11746,#11746,#10637,.T.); -#14591=EDGE_CURVE('',#11747,#11747,#10638,.T.); -#14592=EDGE_CURVE('',#11748,#11748,#10639,.T.); -#14593=EDGE_CURVE('',#11749,#11749,#10640,.T.); -#14594=EDGE_CURVE('',#11750,#11750,#10641,.T.); -#14595=EDGE_CURVE('',#11751,#11751,#10642,.T.); -#14596=EDGE_CURVE('',#11752,#11752,#10643,.T.); -#14597=EDGE_CURVE('',#11753,#11753,#10644,.T.); -#14598=EDGE_CURVE('',#11754,#11754,#10645,.T.); -#14599=EDGE_CURVE('',#11755,#11755,#10646,.T.); -#14600=EDGE_CURVE('',#11756,#11756,#10647,.T.); -#14601=EDGE_CURVE('',#11757,#11757,#10648,.T.); -#14602=EDGE_CURVE('',#11758,#11758,#10649,.T.); -#14603=EDGE_CURVE('',#11759,#11759,#10650,.T.); -#14604=EDGE_CURVE('',#11760,#11760,#10651,.T.); -#14605=EDGE_CURVE('',#11761,#11761,#10652,.T.); -#14606=EDGE_CURVE('',#11762,#11762,#10653,.T.); -#14607=EDGE_CURVE('',#11763,#11763,#10654,.T.); -#14608=EDGE_CURVE('',#11764,#11764,#10655,.T.); -#14609=EDGE_CURVE('',#11765,#11765,#10656,.T.); -#14610=EDGE_CURVE('',#11766,#11766,#10657,.T.); -#14611=EDGE_CURVE('',#11767,#11767,#10658,.T.); -#14612=EDGE_CURVE('',#11768,#11768,#10659,.T.); -#14613=EDGE_CURVE('',#11769,#11769,#10660,.T.); -#14614=EDGE_CURVE('',#11770,#11770,#10661,.T.); -#14615=EDGE_CURVE('',#11771,#11772,#5370,.T.); -#14616=EDGE_CURVE('',#11773,#11771,#5371,.T.); -#14617=EDGE_CURVE('',#11774,#11773,#5372,.T.); -#14618=EDGE_CURVE('',#11775,#11774,#5373,.T.); -#14619=EDGE_CURVE('',#11776,#11775,#5374,.T.); -#14620=EDGE_CURVE('',#11777,#11776,#5375,.T.); -#14621=EDGE_CURVE('',#11778,#11777,#5376,.T.); -#14622=EDGE_CURVE('',#11779,#11778,#5377,.T.); -#14623=EDGE_CURVE('',#11780,#11779,#5378,.T.); -#14624=EDGE_CURVE('',#11781,#11780,#5379,.T.); -#14625=EDGE_CURVE('',#11782,#11781,#5380,.T.); -#14626=EDGE_CURVE('',#11772,#11782,#5381,.T.); -#14627=EDGE_CURVE('',#11783,#11783,#10662,.T.); -#14628=EDGE_CURVE('',#11784,#11785,#5382,.T.); -#14629=EDGE_CURVE('',#11786,#11784,#5383,.T.); -#14630=EDGE_CURVE('',#11787,#11786,#5384,.T.); -#14631=EDGE_CURVE('',#11785,#11787,#5385,.T.); -#14632=EDGE_CURVE('',#11788,#11789,#5386,.T.); -#14633=EDGE_CURVE('',#11790,#11788,#5387,.T.); -#14634=EDGE_CURVE('',#11791,#11790,#5388,.T.); -#14635=EDGE_CURVE('',#11789,#11791,#5389,.T.); -#14636=EDGE_CURVE('',#11745,#11733,#5390,.T.); -#14637=EDGE_CURVE('',#11734,#11736,#5391,.T.); -#14638=EDGE_CURVE('',#11792,#11793,#10663,.T.); -#14639=EDGE_CURVE('',#11793,#11794,#5392,.T.); -#14640=EDGE_CURVE('',#11794,#11795,#10664,.T.); -#14641=EDGE_CURVE('',#11795,#11796,#5393,.T.); -#14642=EDGE_CURVE('',#11796,#11797,#10665,.T.); -#14643=EDGE_CURVE('',#11797,#11798,#5394,.T.); -#14644=EDGE_CURVE('',#11798,#11799,#10666,.T.); -#14645=EDGE_CURVE('',#11799,#11792,#5395,.T.); -#14646=EDGE_CURVE('',#11800,#11800,#10667,.T.); -#14647=EDGE_CURVE('',#11801,#11801,#10668,.T.); -#14648=EDGE_CURVE('',#11802,#11803,#5396,.T.); -#14649=EDGE_CURVE('',#11804,#11802,#5397,.T.); -#14650=EDGE_CURVE('',#11805,#11804,#5398,.T.); -#14651=EDGE_CURVE('',#11806,#11805,#5399,.T.); -#14652=EDGE_CURVE('',#11807,#11806,#5400,.T.); -#14653=EDGE_CURVE('',#11808,#11807,#5401,.T.); -#14654=EDGE_CURVE('',#11809,#11808,#5402,.T.); -#14655=EDGE_CURVE('',#11810,#11809,#5403,.T.); -#14656=EDGE_CURVE('',#11811,#11810,#5404,.T.); -#14657=EDGE_CURVE('',#11812,#11811,#5405,.T.); -#14658=EDGE_CURVE('',#11813,#11812,#5406,.T.); -#14659=EDGE_CURVE('',#11814,#11813,#5407,.T.); -#14660=EDGE_CURVE('',#11815,#11814,#5408,.T.); -#14661=EDGE_CURVE('',#11816,#11815,#5409,.T.); -#14662=EDGE_CURVE('',#11817,#11816,#5410,.T.); -#14663=EDGE_CURVE('',#11818,#11817,#5411,.T.); -#14664=EDGE_CURVE('',#11819,#11818,#5412,.T.); -#14665=EDGE_CURVE('',#11820,#11819,#5413,.T.); -#14666=EDGE_CURVE('',#11821,#11820,#5414,.T.); -#14667=EDGE_CURVE('',#11803,#11821,#5415,.T.); -#14668=EDGE_CURVE('',#11822,#11823,#5416,.T.); -#14669=EDGE_CURVE('',#11824,#11822,#5417,.T.); -#14670=EDGE_CURVE('',#11825,#11824,#5418,.T.); -#14671=EDGE_CURVE('',#11826,#11825,#5419,.T.); -#14672=EDGE_CURVE('',#11827,#11826,#5420,.T.); -#14673=EDGE_CURVE('',#11828,#11827,#5421,.T.); -#14674=EDGE_CURVE('',#11829,#11828,#5422,.T.); -#14675=EDGE_CURVE('',#11830,#11829,#5423,.T.); -#14676=EDGE_CURVE('',#11831,#11830,#5424,.T.); -#14677=EDGE_CURVE('',#11832,#11831,#5425,.T.); -#14678=EDGE_CURVE('',#11833,#11832,#5426,.T.); -#14679=EDGE_CURVE('',#11834,#11833,#5427,.T.); -#14680=EDGE_CURVE('',#11835,#11834,#5428,.T.); -#14681=EDGE_CURVE('',#11836,#11835,#5429,.T.); -#14682=EDGE_CURVE('',#11837,#11836,#5430,.T.); -#14683=EDGE_CURVE('',#11838,#11837,#5431,.T.); -#14684=EDGE_CURVE('',#11839,#11838,#5432,.T.); -#14685=EDGE_CURVE('',#11823,#11839,#5433,.T.); -#14686=EDGE_CURVE('',#11840,#11841,#10669,.T.); -#14687=EDGE_CURVE('',#11842,#11841,#5434,.T.); -#14688=EDGE_CURVE('',#11842,#11843,#10670,.T.); -#14689=EDGE_CURVE('',#11844,#11843,#5435,.T.); -#14690=EDGE_CURVE('',#11845,#11844,#5436,.T.); -#14691=EDGE_CURVE('',#11845,#11846,#10671,.T.); -#14692=EDGE_CURVE('',#11847,#11846,#5437,.T.); -#14693=EDGE_CURVE('',#11847,#11848,#10672,.T.); -#14694=EDGE_CURVE('',#11849,#11848,#5438,.T.); -#14695=EDGE_CURVE('',#11840,#11849,#5439,.T.); -#14696=EDGE_CURVE('',#11850,#11851,#5440,.T.); -#14697=EDGE_CURVE('',#11852,#11850,#5441,.T.); -#14698=EDGE_CURVE('',#11853,#11852,#5442,.T.); -#14699=EDGE_CURVE('',#11851,#11853,#5443,.T.); -#14700=EDGE_CURVE('',#11854,#11855,#5444,.T.); -#14701=EDGE_CURVE('',#11856,#11855,#5445,.T.); -#14702=EDGE_CURVE('',#11856,#11857,#5446,.T.); -#14703=EDGE_CURVE('',#11854,#11857,#5447,.T.); -#14704=EDGE_CURVE('',#11858,#11859,#5448,.T.); -#14705=EDGE_CURVE('',#11860,#11859,#5449,.T.); -#14706=EDGE_CURVE('',#11860,#11861,#5450,.T.); -#14707=EDGE_CURVE('',#11858,#11861,#5451,.T.); -#14708=EDGE_CURVE('',#11862,#11863,#5452,.T.); -#14709=EDGE_CURVE('',#11864,#11863,#5453,.T.); -#14710=EDGE_CURVE('',#11864,#11865,#5454,.T.); -#14711=EDGE_CURVE('',#11862,#11865,#5455,.T.); -#14712=EDGE_CURVE('',#11866,#11867,#5456,.T.); -#14713=EDGE_CURVE('',#11868,#11867,#5457,.T.); -#14714=EDGE_CURVE('',#11868,#11869,#5458,.T.); -#14715=EDGE_CURVE('',#11866,#11869,#5459,.T.); -#14716=EDGE_CURVE('',#11870,#11871,#5460,.T.); -#14717=EDGE_CURVE('',#11872,#11871,#5461,.T.); -#14718=EDGE_CURVE('',#11872,#11873,#5462,.T.); -#14719=EDGE_CURVE('',#11870,#11873,#5463,.T.); -#14720=EDGE_CURVE('',#11874,#11875,#5464,.T.); -#14721=EDGE_CURVE('',#11876,#11875,#5465,.T.); -#14722=EDGE_CURVE('',#11876,#11877,#5466,.T.); -#14723=EDGE_CURVE('',#11874,#11877,#5467,.T.); -#14724=EDGE_CURVE('',#11878,#11879,#5468,.T.); -#14725=EDGE_CURVE('',#11880,#11879,#5469,.T.); -#14726=EDGE_CURVE('',#11880,#11881,#5470,.T.); -#14727=EDGE_CURVE('',#11878,#11881,#5471,.T.); -#14728=EDGE_CURVE('',#11882,#11883,#5472,.T.); -#14729=EDGE_CURVE('',#11884,#11883,#5473,.T.); -#14730=EDGE_CURVE('',#11884,#11885,#5474,.T.); -#14731=EDGE_CURVE('',#11882,#11885,#5475,.T.); -#14732=EDGE_CURVE('',#11886,#11887,#5476,.T.); -#14733=EDGE_CURVE('',#11888,#11886,#5477,.T.); -#14734=EDGE_CURVE('',#11889,#11888,#5478,.T.); -#14735=EDGE_CURVE('',#11890,#11889,#5479,.T.); -#14736=EDGE_CURVE('',#11891,#11890,#5480,.T.); -#14737=EDGE_CURVE('',#11892,#11891,#5481,.T.); -#14738=EDGE_CURVE('',#11893,#11892,#5482,.T.); -#14739=EDGE_CURVE('',#11894,#11893,#5483,.T.); -#14740=EDGE_CURVE('',#11895,#11894,#5484,.T.); -#14741=EDGE_CURVE('',#11896,#11895,#5485,.T.); -#14742=EDGE_CURVE('',#11897,#11896,#5486,.T.); -#14743=EDGE_CURVE('',#11898,#11897,#5487,.T.); -#14744=EDGE_CURVE('',#11899,#11898,#5488,.T.); -#14745=EDGE_CURVE('',#11900,#11899,#5489,.T.); -#14746=EDGE_CURVE('',#11901,#11900,#5490,.T.); -#14747=EDGE_CURVE('',#11902,#11901,#5491,.T.); -#14748=EDGE_CURVE('',#11903,#11902,#5492,.T.); -#14749=EDGE_CURVE('',#11904,#11903,#5493,.T.); -#14750=EDGE_CURVE('',#11905,#11904,#5494,.T.); -#14751=EDGE_CURVE('',#11887,#11905,#5495,.T.); -#14752=EDGE_CURVE('',#11906,#11907,#5496,.T.); -#14753=EDGE_CURVE('',#11908,#11906,#5497,.T.); -#14754=EDGE_CURVE('',#11909,#11908,#5498,.T.); -#14755=EDGE_CURVE('',#11910,#11909,#5499,.T.); -#14756=EDGE_CURVE('',#11911,#11910,#5500,.T.); -#14757=EDGE_CURVE('',#11912,#11911,#5501,.T.); -#14758=EDGE_CURVE('',#11913,#11912,#5502,.T.); -#14759=EDGE_CURVE('',#11914,#11913,#5503,.T.); -#14760=EDGE_CURVE('',#11915,#11914,#5504,.T.); -#14761=EDGE_CURVE('',#11916,#11915,#5505,.T.); -#14762=EDGE_CURVE('',#11917,#11916,#5506,.T.); -#14763=EDGE_CURVE('',#11907,#11917,#5507,.T.); -#14764=EDGE_CURVE('',#11918,#11919,#5508,.T.); -#14765=EDGE_CURVE('',#11920,#11918,#5509,.T.); -#14766=EDGE_CURVE('',#11921,#11920,#5510,.T.); -#14767=EDGE_CURVE('',#11919,#11921,#5511,.T.); -#14768=EDGE_CURVE('',#11922,#11923,#5512,.T.); -#14769=EDGE_CURVE('',#11924,#11922,#5513,.T.); -#14770=EDGE_CURVE('',#11925,#11924,#5514,.T.); -#14771=EDGE_CURVE('',#11926,#11925,#5515,.T.); -#14772=EDGE_CURVE('',#11927,#11926,#5516,.T.); -#14773=EDGE_CURVE('',#11928,#11927,#5517,.T.); -#14774=EDGE_CURVE('',#11929,#11928,#5518,.T.); -#14775=EDGE_CURVE('',#11930,#11929,#5519,.T.); -#14776=EDGE_CURVE('',#11931,#11930,#5520,.T.); -#14777=EDGE_CURVE('',#11932,#11931,#5521,.T.); -#14778=EDGE_CURVE('',#11933,#11932,#5522,.T.); -#14779=EDGE_CURVE('',#11934,#11933,#5523,.T.); -#14780=EDGE_CURVE('',#11935,#11934,#5524,.T.); -#14781=EDGE_CURVE('',#11936,#11935,#5525,.T.); -#14782=EDGE_CURVE('',#11937,#11936,#5526,.T.); -#14783=EDGE_CURVE('',#11923,#11937,#5527,.T.); -#14784=EDGE_CURVE('',#11938,#11939,#5528,.T.); -#14785=EDGE_CURVE('',#11940,#11938,#5529,.T.); -#14786=EDGE_CURVE('',#11941,#11940,#5530,.T.); -#14787=EDGE_CURVE('',#11939,#11941,#5531,.T.); -#14788=EDGE_CURVE('',#11942,#11943,#5532,.T.); -#14789=EDGE_CURVE('',#11944,#11942,#5533,.T.); -#14790=EDGE_CURVE('',#11945,#11944,#5534,.T.); -#14791=EDGE_CURVE('',#11943,#11945,#5535,.T.); -#14792=EDGE_CURVE('',#11946,#11947,#5536,.T.); -#14793=EDGE_CURVE('',#11948,#11946,#5537,.T.); -#14794=EDGE_CURVE('',#11949,#11948,#5538,.T.); -#14795=EDGE_CURVE('',#11947,#11949,#5539,.T.); -#14796=EDGE_CURVE('',#11950,#11951,#5540,.T.); -#14797=EDGE_CURVE('',#11952,#11950,#5541,.T.); -#14798=EDGE_CURVE('',#11953,#11952,#5542,.T.); -#14799=EDGE_CURVE('',#11951,#11953,#5543,.T.); -#14800=EDGE_CURVE('',#11954,#11955,#5544,.T.); -#14801=EDGE_CURVE('',#11956,#11954,#5545,.T.); -#14802=EDGE_CURVE('',#11957,#11956,#5546,.T.); -#14803=EDGE_CURVE('',#11955,#11957,#5547,.T.); -#14804=EDGE_CURVE('',#11958,#11959,#5548,.T.); -#14805=EDGE_CURVE('',#11960,#11958,#5549,.T.); -#14806=EDGE_CURVE('',#11961,#11960,#5550,.T.); -#14807=EDGE_CURVE('',#11959,#11961,#5551,.T.); -#14808=EDGE_CURVE('',#11962,#11963,#5552,.T.); -#14809=EDGE_CURVE('',#11964,#11962,#5553,.T.); -#14810=EDGE_CURVE('',#11965,#11964,#5554,.T.); -#14811=EDGE_CURVE('',#11963,#11965,#5555,.T.); -#14812=EDGE_CURVE('',#11966,#11967,#5556,.T.); -#14813=EDGE_CURVE('',#11968,#11966,#5557,.T.); -#14814=EDGE_CURVE('',#11969,#11968,#5558,.T.); -#14815=EDGE_CURVE('',#11967,#11969,#5559,.T.); -#14816=EDGE_CURVE('',#11970,#11971,#5560,.T.); -#14817=EDGE_CURVE('',#11972,#11970,#5561,.T.); -#14818=EDGE_CURVE('',#11973,#11972,#5562,.T.); -#14819=EDGE_CURVE('',#11971,#11973,#5563,.T.); -#14820=EDGE_CURVE('',#11974,#11975,#5564,.T.); -#14821=EDGE_CURVE('',#11976,#11974,#5565,.T.); -#14822=EDGE_CURVE('',#11977,#11976,#5566,.T.); -#14823=EDGE_CURVE('',#11975,#11977,#5567,.T.); -#14824=EDGE_CURVE('',#11978,#11979,#5568,.T.); -#14825=EDGE_CURVE('',#11980,#11978,#5569,.T.); -#14826=EDGE_CURVE('',#11981,#11980,#5570,.T.); -#14827=EDGE_CURVE('',#11979,#11981,#5571,.T.); -#14828=EDGE_CURVE('',#11982,#11983,#5572,.T.); -#14829=EDGE_CURVE('',#11984,#11982,#5573,.T.); -#14830=EDGE_CURVE('',#11985,#11984,#5574,.T.); -#14831=EDGE_CURVE('',#11983,#11985,#5575,.T.); -#14832=EDGE_CURVE('',#11986,#11987,#5576,.T.); -#14833=EDGE_CURVE('',#11988,#11986,#5577,.T.); -#14834=EDGE_CURVE('',#11989,#11988,#5578,.T.); -#14835=EDGE_CURVE('',#11987,#11989,#5579,.T.); -#14836=EDGE_CURVE('',#11990,#11991,#5580,.T.); -#14837=EDGE_CURVE('',#11992,#11990,#5581,.T.); -#14838=EDGE_CURVE('',#11993,#11992,#5582,.T.); -#14839=EDGE_CURVE('',#11991,#11993,#5583,.T.); -#14840=EDGE_CURVE('',#11994,#11995,#5584,.T.); -#14841=EDGE_CURVE('',#11996,#11994,#5585,.T.); -#14842=EDGE_CURVE('',#11997,#11996,#5586,.T.); -#14843=EDGE_CURVE('',#11995,#11997,#5587,.T.); -#14844=EDGE_CURVE('',#11998,#11999,#5588,.T.); -#14845=EDGE_CURVE('',#12000,#11998,#5589,.T.); -#14846=EDGE_CURVE('',#12001,#12000,#5590,.T.); -#14847=EDGE_CURVE('',#11999,#12001,#5591,.T.); -#14848=EDGE_CURVE('',#12002,#12003,#5592,.T.); -#14849=EDGE_CURVE('',#12004,#12002,#5593,.T.); -#14850=EDGE_CURVE('',#12005,#12004,#5594,.T.); -#14851=EDGE_CURVE('',#12003,#12005,#5595,.T.); -#14852=EDGE_CURVE('',#12006,#12007,#5596,.T.); -#14853=EDGE_CURVE('',#12008,#12006,#5597,.T.); -#14854=EDGE_CURVE('',#12009,#12008,#5598,.T.); -#14855=EDGE_CURVE('',#12007,#12009,#5599,.T.); -#14856=EDGE_CURVE('',#12010,#12011,#5600,.T.); -#14857=EDGE_CURVE('',#12012,#12010,#5601,.T.); -#14858=EDGE_CURVE('',#12013,#12012,#5602,.T.); -#14859=EDGE_CURVE('',#12011,#12013,#5603,.T.); -#14860=EDGE_CURVE('',#12014,#12015,#5604,.T.); -#14861=EDGE_CURVE('',#12016,#12014,#5605,.T.); -#14862=EDGE_CURVE('',#12017,#12016,#5606,.T.); -#14863=EDGE_CURVE('',#12015,#12017,#5607,.T.); -#14864=EDGE_CURVE('',#12018,#12019,#5608,.T.); -#14865=EDGE_CURVE('',#12020,#12018,#5609,.T.); -#14866=EDGE_CURVE('',#12021,#12020,#5610,.T.); -#14867=EDGE_CURVE('',#12019,#12021,#5611,.T.); -#14868=EDGE_CURVE('',#12022,#12023,#5612,.T.); -#14869=EDGE_CURVE('',#12024,#12022,#5613,.T.); -#14870=EDGE_CURVE('',#12025,#12024,#5614,.T.); -#14871=EDGE_CURVE('',#12023,#12025,#5615,.T.); -#14872=EDGE_CURVE('',#12026,#12027,#5616,.T.); -#14873=EDGE_CURVE('',#12028,#12026,#5617,.T.); -#14874=EDGE_CURVE('',#12029,#12028,#5618,.T.); -#14875=EDGE_CURVE('',#12027,#12029,#5619,.T.); -#14876=EDGE_CURVE('',#12030,#12031,#5620,.T.); -#14877=EDGE_CURVE('',#12032,#12030,#5621,.T.); -#14878=EDGE_CURVE('',#12033,#12032,#5622,.T.); -#14879=EDGE_CURVE('',#12031,#12033,#5623,.T.); -#14880=EDGE_CURVE('',#12034,#12035,#5624,.T.); -#14881=EDGE_CURVE('',#12036,#12034,#5625,.T.); -#14882=EDGE_CURVE('',#12037,#12036,#5626,.T.); -#14883=EDGE_CURVE('',#12035,#12037,#5627,.T.); -#14884=EDGE_CURVE('',#12038,#12039,#5628,.T.); -#14885=EDGE_CURVE('',#12040,#12038,#5629,.T.); -#14886=EDGE_CURVE('',#12041,#12040,#5630,.T.); -#14887=EDGE_CURVE('',#12039,#12041,#5631,.T.); -#14888=EDGE_CURVE('',#12042,#12043,#5632,.T.); -#14889=EDGE_CURVE('',#12044,#12042,#5633,.T.); -#14890=EDGE_CURVE('',#12045,#12044,#5634,.T.); -#14891=EDGE_CURVE('',#12043,#12045,#5635,.T.); -#14892=EDGE_CURVE('',#12046,#12047,#5636,.T.); -#14893=EDGE_CURVE('',#12048,#12046,#5637,.T.); -#14894=EDGE_CURVE('',#12049,#12048,#5638,.T.); -#14895=EDGE_CURVE('',#12047,#12049,#5639,.T.); -#14896=EDGE_CURVE('',#12050,#12051,#5640,.T.); -#14897=EDGE_CURVE('',#12052,#12050,#5641,.T.); -#14898=EDGE_CURVE('',#12053,#12052,#5642,.T.); -#14899=EDGE_CURVE('',#12051,#12053,#5643,.T.); -#14900=EDGE_CURVE('',#12054,#12055,#5644,.T.); -#14901=EDGE_CURVE('',#12056,#12054,#5645,.T.); -#14902=EDGE_CURVE('',#12057,#12056,#5646,.T.); -#14903=EDGE_CURVE('',#12055,#12057,#5647,.T.); -#14904=EDGE_CURVE('',#12058,#12059,#5648,.T.); -#14905=EDGE_CURVE('',#12060,#12058,#5649,.T.); -#14906=EDGE_CURVE('',#12061,#12060,#5650,.T.); -#14907=EDGE_CURVE('',#12059,#12061,#5651,.T.); -#14908=EDGE_CURVE('',#12062,#12063,#5652,.T.); -#14909=EDGE_CURVE('',#12064,#12062,#5653,.T.); -#14910=EDGE_CURVE('',#12065,#12064,#5654,.T.); -#14911=EDGE_CURVE('',#12063,#12065,#5655,.T.); -#14912=EDGE_CURVE('',#12066,#12067,#5656,.T.); -#14913=EDGE_CURVE('',#12068,#12066,#5657,.T.); -#14914=EDGE_CURVE('',#12069,#12068,#5658,.T.); -#14915=EDGE_CURVE('',#12067,#12069,#5659,.T.); -#14916=EDGE_CURVE('',#12070,#12071,#5660,.T.); -#14917=EDGE_CURVE('',#12072,#12070,#5661,.T.); -#14918=EDGE_CURVE('',#12073,#12072,#5662,.T.); -#14919=EDGE_CURVE('',#12071,#12073,#5663,.T.); -#14920=EDGE_CURVE('',#12074,#12075,#5664,.T.); -#14921=EDGE_CURVE('',#12076,#12074,#5665,.T.); -#14922=EDGE_CURVE('',#12077,#12076,#5666,.T.); -#14923=EDGE_CURVE('',#12075,#12077,#5667,.T.); -#14924=EDGE_CURVE('',#12078,#12079,#5668,.T.); -#14925=EDGE_CURVE('',#12080,#12078,#5669,.T.); -#14926=EDGE_CURVE('',#12081,#12080,#5670,.T.); -#14927=EDGE_CURVE('',#12079,#12081,#5671,.T.); -#14928=EDGE_CURVE('',#12082,#12083,#5672,.T.); -#14929=EDGE_CURVE('',#12084,#12082,#5673,.T.); -#14930=EDGE_CURVE('',#12085,#12084,#5674,.T.); -#14931=EDGE_CURVE('',#12083,#12085,#5675,.T.); -#14932=EDGE_CURVE('',#12086,#12087,#5676,.T.); -#14933=EDGE_CURVE('',#12088,#12086,#5677,.T.); -#14934=EDGE_CURVE('',#12089,#12088,#5678,.T.); -#14935=EDGE_CURVE('',#12087,#12089,#5679,.T.); -#14936=EDGE_CURVE('',#12090,#12091,#5680,.T.); -#14937=EDGE_CURVE('',#12092,#12090,#5681,.T.); -#14938=EDGE_CURVE('',#12093,#12092,#5682,.T.); -#14939=EDGE_CURVE('',#12091,#12093,#5683,.T.); -#14940=EDGE_CURVE('',#12094,#12095,#5684,.T.); -#14941=EDGE_CURVE('',#12096,#12094,#5685,.T.); -#14942=EDGE_CURVE('',#12097,#12096,#5686,.T.); -#14943=EDGE_CURVE('',#12095,#12097,#5687,.T.); -#14944=EDGE_CURVE('',#12098,#12099,#5688,.T.); -#14945=EDGE_CURVE('',#12100,#12098,#5689,.T.); -#14946=EDGE_CURVE('',#12101,#12100,#5690,.T.); -#14947=EDGE_CURVE('',#12099,#12101,#5691,.T.); -#14948=EDGE_CURVE('',#12102,#12103,#5692,.T.); -#14949=EDGE_CURVE('',#12104,#12102,#5693,.T.); -#14950=EDGE_CURVE('',#12105,#12104,#5694,.T.); -#14951=EDGE_CURVE('',#12103,#12105,#5695,.T.); -#14952=EDGE_CURVE('',#12106,#12107,#5696,.T.); -#14953=EDGE_CURVE('',#12108,#12106,#5697,.T.); -#14954=EDGE_CURVE('',#12109,#12108,#5698,.T.); -#14955=EDGE_CURVE('',#12107,#12109,#5699,.T.); -#14956=EDGE_CURVE('',#12110,#12111,#5700,.T.); -#14957=EDGE_CURVE('',#12112,#12110,#5701,.T.); -#14958=EDGE_CURVE('',#12113,#12112,#5702,.T.); -#14959=EDGE_CURVE('',#12111,#12113,#5703,.T.); -#14960=EDGE_CURVE('',#12114,#12115,#5704,.T.); -#14961=EDGE_CURVE('',#12116,#12114,#5705,.T.); -#14962=EDGE_CURVE('',#12117,#12116,#5706,.T.); -#14963=EDGE_CURVE('',#12115,#12117,#5707,.T.); -#14964=EDGE_CURVE('',#12118,#12119,#5708,.T.); -#14965=EDGE_CURVE('',#12120,#12118,#5709,.T.); -#14966=EDGE_CURVE('',#12121,#12120,#5710,.T.); -#14967=EDGE_CURVE('',#12119,#12121,#5711,.T.); -#14968=EDGE_CURVE('',#12122,#12123,#5712,.T.); -#14969=EDGE_CURVE('',#12124,#12122,#5713,.T.); -#14970=EDGE_CURVE('',#12125,#12124,#5714,.T.); -#14971=EDGE_CURVE('',#12123,#12125,#5715,.T.); -#14972=EDGE_CURVE('',#12126,#12127,#5716,.T.); -#14973=EDGE_CURVE('',#12128,#12126,#5717,.T.); -#14974=EDGE_CURVE('',#12129,#12128,#5718,.T.); -#14975=EDGE_CURVE('',#12127,#12129,#5719,.T.); -#14976=EDGE_CURVE('',#12130,#12131,#5720,.T.); -#14977=EDGE_CURVE('',#12132,#12130,#5721,.T.); -#14978=EDGE_CURVE('',#12133,#12132,#5722,.T.); -#14979=EDGE_CURVE('',#12131,#12133,#5723,.T.); -#14980=EDGE_CURVE('',#12134,#12135,#5724,.T.); -#14981=EDGE_CURVE('',#12136,#12134,#5725,.T.); -#14982=EDGE_CURVE('',#12137,#12136,#5726,.T.); -#14983=EDGE_CURVE('',#12135,#12137,#5727,.T.); -#14984=EDGE_CURVE('',#12138,#12139,#5728,.T.); -#14985=EDGE_CURVE('',#12140,#12138,#5729,.T.); -#14986=EDGE_CURVE('',#12141,#12140,#5730,.T.); -#14987=EDGE_CURVE('',#12139,#12141,#5731,.T.); -#14988=EDGE_CURVE('',#12142,#12143,#5732,.T.); -#14989=EDGE_CURVE('',#12144,#12142,#5733,.T.); -#14990=EDGE_CURVE('',#12145,#12144,#5734,.T.); -#14991=EDGE_CURVE('',#12143,#12145,#5735,.T.); -#14992=EDGE_CURVE('',#12146,#12147,#5736,.T.); -#14993=EDGE_CURVE('',#12148,#12146,#5737,.T.); -#14994=EDGE_CURVE('',#12149,#12148,#5738,.T.); -#14995=EDGE_CURVE('',#12147,#12149,#5739,.T.); -#14996=EDGE_CURVE('',#12150,#12151,#5740,.T.); -#14997=EDGE_CURVE('',#12152,#12150,#5741,.T.); -#14998=EDGE_CURVE('',#12153,#12152,#5742,.T.); -#14999=EDGE_CURVE('',#12151,#12153,#5743,.T.); -#15000=EDGE_CURVE('',#12154,#12155,#5744,.T.); -#15001=EDGE_CURVE('',#12156,#12154,#5745,.T.); -#15002=EDGE_CURVE('',#12157,#12156,#5746,.T.); -#15003=EDGE_CURVE('',#12155,#12157,#5747,.T.); -#15004=EDGE_CURVE('',#12158,#12159,#5748,.T.); -#15005=EDGE_CURVE('',#12160,#12158,#5749,.T.); -#15006=EDGE_CURVE('',#12161,#12160,#5750,.T.); -#15007=EDGE_CURVE('',#12159,#12161,#5751,.T.); -#15008=EDGE_CURVE('',#12162,#12163,#5752,.T.); -#15009=EDGE_CURVE('',#12164,#12162,#5753,.T.); -#15010=EDGE_CURVE('',#12165,#12164,#5754,.T.); -#15011=EDGE_CURVE('',#12166,#12165,#5755,.T.); -#15012=EDGE_CURVE('',#12167,#12166,#5756,.T.); -#15013=EDGE_CURVE('',#12168,#12167,#5757,.T.); -#15014=EDGE_CURVE('',#12169,#12168,#5758,.T.); -#15015=EDGE_CURVE('',#12170,#12169,#5759,.T.); -#15016=EDGE_CURVE('',#12171,#12170,#5760,.T.); -#15017=EDGE_CURVE('',#12172,#12171,#5761,.T.); -#15018=EDGE_CURVE('',#12173,#12172,#5762,.T.); -#15019=EDGE_CURVE('',#12163,#12173,#5763,.T.); -#15020=EDGE_CURVE('',#12174,#12175,#5764,.T.); -#15021=EDGE_CURVE('',#12176,#12174,#5765,.T.); -#15022=EDGE_CURVE('',#12177,#12176,#5766,.T.); -#15023=EDGE_CURVE('',#12178,#12177,#5767,.T.); -#15024=EDGE_CURVE('',#12179,#12178,#5768,.T.); -#15025=EDGE_CURVE('',#12180,#12179,#5769,.T.); -#15026=EDGE_CURVE('',#12181,#12180,#5770,.T.); -#15027=EDGE_CURVE('',#12182,#12181,#5771,.T.); -#15028=EDGE_CURVE('',#12183,#12182,#5772,.T.); -#15029=EDGE_CURVE('',#12184,#12183,#5773,.T.); -#15030=EDGE_CURVE('',#12185,#12184,#5774,.T.); -#15031=EDGE_CURVE('',#12175,#12185,#5775,.T.); -#15032=EDGE_CURVE('',#12186,#12187,#5776,.T.); -#15033=EDGE_CURVE('',#12188,#12186,#5777,.T.); -#15034=EDGE_CURVE('',#12189,#12188,#5778,.T.); -#15035=EDGE_CURVE('',#12190,#12189,#5779,.T.); -#15036=EDGE_CURVE('',#12191,#12190,#5780,.T.); -#15037=EDGE_CURVE('',#12192,#12191,#5781,.T.); -#15038=EDGE_CURVE('',#12193,#12192,#5782,.T.); -#15039=EDGE_CURVE('',#12194,#12193,#5783,.T.); -#15040=EDGE_CURVE('',#12195,#12194,#5784,.T.); -#15041=EDGE_CURVE('',#12196,#12195,#5785,.T.); -#15042=EDGE_CURVE('',#12197,#12196,#5786,.T.); -#15043=EDGE_CURVE('',#12198,#12197,#5787,.T.); -#15044=EDGE_CURVE('',#12199,#12198,#5788,.T.); -#15045=EDGE_CURVE('',#12200,#12199,#5789,.T.); -#15046=EDGE_CURVE('',#12201,#12200,#5790,.T.); -#15047=EDGE_CURVE('',#12187,#12201,#5791,.T.); -#15048=EDGE_CURVE('',#12202,#12203,#5792,.T.); -#15049=EDGE_CURVE('',#12204,#12202,#5793,.T.); -#15050=EDGE_CURVE('',#12205,#12204,#5794,.T.); -#15051=EDGE_CURVE('',#12206,#12205,#5795,.T.); -#15052=EDGE_CURVE('',#12207,#12206,#5796,.T.); -#15053=EDGE_CURVE('',#12208,#12207,#5797,.T.); -#15054=EDGE_CURVE('',#12209,#12208,#5798,.T.); -#15055=EDGE_CURVE('',#12210,#12209,#5799,.T.); -#15056=EDGE_CURVE('',#12211,#12210,#5800,.T.); -#15057=EDGE_CURVE('',#12212,#12211,#5801,.T.); -#15058=EDGE_CURVE('',#12213,#12212,#5802,.T.); -#15059=EDGE_CURVE('',#12214,#12213,#5803,.T.); -#15060=EDGE_CURVE('',#12215,#12214,#5804,.T.); -#15061=EDGE_CURVE('',#12216,#12215,#5805,.T.); -#15062=EDGE_CURVE('',#12217,#12216,#5806,.T.); -#15063=EDGE_CURVE('',#12203,#12217,#5807,.T.); -#15064=EDGE_CURVE('',#12218,#12219,#5808,.T.); -#15065=EDGE_CURVE('',#12220,#12218,#5809,.T.); -#15066=EDGE_CURVE('',#12221,#12220,#5810,.T.); -#15067=EDGE_CURVE('',#12222,#12221,#5811,.T.); -#15068=EDGE_CURVE('',#12223,#12222,#5812,.T.); -#15069=EDGE_CURVE('',#12224,#12223,#5813,.T.); -#15070=EDGE_CURVE('',#12225,#12224,#5814,.T.); -#15071=EDGE_CURVE('',#12226,#12225,#5815,.T.); -#15072=EDGE_CURVE('',#12227,#12226,#5816,.T.); -#15073=EDGE_CURVE('',#12228,#12227,#5817,.T.); -#15074=EDGE_CURVE('',#12229,#12228,#5818,.T.); -#15075=EDGE_CURVE('',#12230,#12229,#5819,.T.); -#15076=EDGE_CURVE('',#12231,#12230,#5820,.T.); -#15077=EDGE_CURVE('',#12232,#12231,#5821,.T.); -#15078=EDGE_CURVE('',#12233,#12232,#5822,.T.); -#15079=EDGE_CURVE('',#12219,#12233,#5823,.T.); -#15080=EDGE_CURVE('',#12234,#12235,#5824,.T.); -#15081=EDGE_CURVE('',#12236,#12234,#5825,.T.); -#15082=EDGE_CURVE('',#12237,#12236,#5826,.T.); -#15083=EDGE_CURVE('',#12238,#12237,#5827,.T.); -#15084=EDGE_CURVE('',#12239,#12238,#5828,.T.); -#15085=EDGE_CURVE('',#12240,#12239,#5829,.T.); -#15086=EDGE_CURVE('',#12241,#12240,#5830,.T.); -#15087=EDGE_CURVE('',#12242,#12241,#5831,.T.); -#15088=EDGE_CURVE('',#12243,#12242,#5832,.T.); -#15089=EDGE_CURVE('',#12244,#12243,#5833,.T.); -#15090=EDGE_CURVE('',#12245,#12244,#5834,.T.); -#15091=EDGE_CURVE('',#12246,#12245,#5835,.T.); -#15092=EDGE_CURVE('',#12247,#12246,#5836,.T.); -#15093=EDGE_CURVE('',#12248,#12247,#5837,.T.); -#15094=EDGE_CURVE('',#12249,#12248,#5838,.T.); -#15095=EDGE_CURVE('',#12235,#12249,#5839,.T.); -#15096=EDGE_CURVE('',#12250,#12251,#5840,.T.); -#15097=EDGE_CURVE('',#12252,#12250,#5841,.T.); -#15098=EDGE_CURVE('',#12253,#12252,#5842,.T.); -#15099=EDGE_CURVE('',#12254,#12253,#5843,.T.); -#15100=EDGE_CURVE('',#12255,#12254,#5844,.T.); -#15101=EDGE_CURVE('',#12256,#12255,#5845,.T.); -#15102=EDGE_CURVE('',#12257,#12256,#5846,.T.); -#15103=EDGE_CURVE('',#12258,#12257,#5847,.T.); -#15104=EDGE_CURVE('',#12259,#12258,#5848,.T.); -#15105=EDGE_CURVE('',#12260,#12259,#5849,.T.); -#15106=EDGE_CURVE('',#12261,#12260,#5850,.T.); -#15107=EDGE_CURVE('',#12251,#12261,#5851,.T.); -#15108=EDGE_CURVE('',#12262,#12263,#5852,.T.); -#15109=EDGE_CURVE('',#12264,#12262,#5853,.T.); -#15110=EDGE_CURVE('',#12265,#12264,#5854,.T.); -#15111=EDGE_CURVE('',#12266,#12265,#5855,.T.); -#15112=EDGE_CURVE('',#12267,#12266,#5856,.T.); -#15113=EDGE_CURVE('',#12268,#12267,#5857,.T.); -#15114=EDGE_CURVE('',#12269,#12268,#5858,.T.); -#15115=EDGE_CURVE('',#12270,#12269,#5859,.T.); -#15116=EDGE_CURVE('',#12271,#12270,#5860,.T.); -#15117=EDGE_CURVE('',#12272,#12271,#5861,.T.); -#15118=EDGE_CURVE('',#12273,#12272,#5862,.T.); -#15119=EDGE_CURVE('',#12274,#12273,#5863,.T.); -#15120=EDGE_CURVE('',#12275,#12274,#5864,.T.); -#15121=EDGE_CURVE('',#12276,#12275,#5865,.T.); -#15122=EDGE_CURVE('',#12277,#12276,#5866,.T.); -#15123=EDGE_CURVE('',#12278,#12277,#5867,.T.); -#15124=EDGE_CURVE('',#12279,#12278,#5868,.T.); -#15125=EDGE_CURVE('',#12280,#12279,#5869,.T.); -#15126=EDGE_CURVE('',#12281,#12280,#5870,.T.); -#15127=EDGE_CURVE('',#12282,#12281,#5871,.T.); -#15128=EDGE_CURVE('',#12283,#12282,#5872,.T.); -#15129=EDGE_CURVE('',#12284,#12283,#5873,.T.); -#15130=EDGE_CURVE('',#12285,#12284,#5874,.T.); -#15131=EDGE_CURVE('',#12263,#12285,#5875,.T.); -#15132=EDGE_CURVE('',#12286,#12287,#5876,.T.); -#15133=EDGE_CURVE('',#12288,#12286,#5877,.T.); -#15134=EDGE_CURVE('',#12289,#12288,#5878,.T.); -#15135=EDGE_CURVE('',#12287,#12289,#5879,.T.); -#15136=EDGE_CURVE('',#12290,#12291,#5880,.T.); -#15137=EDGE_CURVE('',#12292,#12290,#5881,.T.); -#15138=EDGE_CURVE('',#12293,#12292,#5882,.T.); -#15139=EDGE_CURVE('',#12291,#12293,#5883,.T.); -#15140=EDGE_CURVE('',#12294,#12295,#5884,.T.); -#15141=EDGE_CURVE('',#12296,#12294,#5885,.T.); -#15142=EDGE_CURVE('',#12297,#12296,#5886,.T.); -#15143=EDGE_CURVE('',#12298,#12297,#5887,.T.); -#15144=EDGE_CURVE('',#12299,#12298,#5888,.T.); -#15145=EDGE_CURVE('',#12300,#12299,#5889,.T.); -#15146=EDGE_CURVE('',#12301,#12300,#5890,.T.); -#15147=EDGE_CURVE('',#12302,#12301,#5891,.T.); -#15148=EDGE_CURVE('',#12303,#12302,#5892,.T.); -#15149=EDGE_CURVE('',#12304,#12303,#5893,.T.); -#15150=EDGE_CURVE('',#12305,#12304,#5894,.T.); -#15151=EDGE_CURVE('',#12306,#12305,#5895,.T.); -#15152=EDGE_CURVE('',#12307,#12306,#5896,.T.); -#15153=EDGE_CURVE('',#12308,#12307,#5897,.T.); -#15154=EDGE_CURVE('',#12309,#12308,#5898,.T.); -#15155=EDGE_CURVE('',#12310,#12309,#5899,.T.); -#15156=EDGE_CURVE('',#12311,#12310,#5900,.T.); -#15157=EDGE_CURVE('',#12312,#12311,#5901,.T.); -#15158=EDGE_CURVE('',#12313,#12312,#5902,.T.); -#15159=EDGE_CURVE('',#12314,#12313,#5903,.T.); -#15160=EDGE_CURVE('',#12315,#12314,#5904,.T.); -#15161=EDGE_CURVE('',#12316,#12315,#5905,.T.); -#15162=EDGE_CURVE('',#12317,#12316,#5906,.T.); -#15163=EDGE_CURVE('',#12295,#12317,#5907,.T.); -#15164=EDGE_CURVE('',#12318,#12318,#10673,.T.); -#15165=EDGE_CURVE('',#12319,#12319,#10674,.T.); -#15166=EDGE_CURVE('',#12320,#12320,#10675,.T.); -#15167=EDGE_CURVE('',#12321,#12321,#10676,.T.); -#15168=EDGE_CURVE('',#12322,#12322,#10677,.T.); -#15169=EDGE_CURVE('',#12323,#12323,#10678,.T.); -#15170=EDGE_CURVE('',#12324,#12324,#10679,.T.); -#15171=EDGE_CURVE('',#12325,#12325,#10680,.T.); -#15172=EDGE_CURVE('',#12326,#12326,#10681,.T.); -#15173=EDGE_CURVE('',#12327,#12327,#10682,.T.); -#15174=EDGE_CURVE('',#12328,#12328,#10683,.T.); -#15175=EDGE_CURVE('',#12329,#12329,#10684,.T.); -#15176=EDGE_CURVE('',#12330,#12330,#10685,.T.); -#15177=EDGE_CURVE('',#12331,#12331,#10686,.T.); -#15178=EDGE_CURVE('',#12332,#12332,#10687,.T.); -#15179=EDGE_CURVE('',#12333,#12333,#10688,.T.); -#15180=EDGE_CURVE('',#12334,#12334,#10689,.T.); -#15181=EDGE_CURVE('',#12335,#12335,#10690,.T.); -#15182=EDGE_CURVE('',#12336,#12336,#10691,.T.); -#15183=EDGE_CURVE('',#12337,#12337,#10692,.T.); -#15184=EDGE_CURVE('',#12338,#12338,#10693,.T.); -#15185=EDGE_CURVE('',#12339,#12339,#10694,.T.); -#15186=EDGE_CURVE('',#12340,#12340,#10695,.T.); -#15187=EDGE_CURVE('',#12341,#12341,#10696,.T.); -#15188=EDGE_CURVE('',#11793,#11739,#5908,.T.); -#15189=EDGE_CURVE('',#11792,#11740,#5909,.T.); -#15190=EDGE_CURVE('',#11783,#11800,#5910,.T.); -#15191=EDGE_CURVE('',#11746,#11801,#5911,.T.); -#15192=EDGE_CURVE('',#12318,#11747,#5912,.T.); -#15193=EDGE_CURVE('',#12319,#11748,#5913,.T.); -#15194=EDGE_CURVE('',#12320,#11749,#5914,.T.); -#15195=EDGE_CURVE('',#12321,#11750,#5915,.T.); -#15196=EDGE_CURVE('',#12322,#11751,#5916,.T.); -#15197=EDGE_CURVE('',#12323,#11752,#5917,.T.); -#15198=EDGE_CURVE('',#12324,#11753,#5918,.T.); -#15199=EDGE_CURVE('',#12325,#11754,#5919,.T.); -#15200=EDGE_CURVE('',#12326,#11755,#5920,.T.); -#15201=EDGE_CURVE('',#12327,#11756,#5921,.T.); -#15202=EDGE_CURVE('',#12328,#11757,#5922,.T.); -#15203=EDGE_CURVE('',#12329,#11758,#5923,.T.); -#15204=EDGE_CURVE('',#12330,#11759,#5924,.T.); -#15205=EDGE_CURVE('',#12331,#11760,#5925,.T.); -#15206=EDGE_CURVE('',#12332,#11761,#5926,.T.); -#15207=EDGE_CURVE('',#12333,#11762,#5927,.T.); -#15208=EDGE_CURVE('',#12334,#11763,#5928,.T.); -#15209=EDGE_CURVE('',#12335,#11764,#5929,.T.); -#15210=EDGE_CURVE('',#12336,#11765,#5930,.T.); -#15211=EDGE_CURVE('',#12337,#11766,#5931,.T.); -#15212=EDGE_CURVE('',#12338,#11767,#5932,.T.); -#15213=EDGE_CURVE('',#12339,#11768,#5933,.T.); -#15214=EDGE_CURVE('',#12340,#11769,#5934,.T.); -#15215=EDGE_CURVE('',#12341,#11770,#5935,.T.); -#15216=EDGE_CURVE('',#11772,#12342,#5936,.T.); -#15217=EDGE_CURVE('',#12343,#12342,#5937,.T.); -#15218=EDGE_CURVE('',#11771,#12343,#5938,.T.); -#15219=EDGE_CURVE('',#12344,#12343,#5939,.T.); -#15220=EDGE_CURVE('',#11773,#12344,#5940,.T.); -#15221=EDGE_CURVE('',#12345,#12344,#5941,.T.); -#15222=EDGE_CURVE('',#11774,#12345,#5942,.T.); -#15223=EDGE_CURVE('',#12346,#12347,#5943,.T.); -#15224=EDGE_CURVE('',#11775,#12346,#5944,.T.); -#15225=EDGE_CURVE('',#12345,#12342,#5945,.T.); -#15226=EDGE_CURVE('',#11782,#12348,#5946,.T.); -#15227=EDGE_CURVE('',#12349,#12348,#5947,.T.); -#15228=EDGE_CURVE('',#12350,#12349,#5948,.T.); -#15229=EDGE_CURVE('',#12350,#12351,#5949,.T.); -#15230=EDGE_CURVE('',#12347,#12351,#5950,.T.); -#15231=EDGE_CURVE('',#12352,#12353,#5951,.T.); -#15232=EDGE_CURVE('',#12352,#12346,#5952,.T.); -#15233=EDGE_CURVE('',#12354,#12347,#5953,.T.); -#15234=EDGE_CURVE('',#12355,#12354,#5954,.T.); -#15235=EDGE_CURVE('',#12356,#12355,#5955,.T.); -#15236=EDGE_CURVE('',#12357,#12356,#5956,.T.); -#15237=EDGE_CURVE('',#12353,#12357,#5957,.T.); -#15238=EDGE_CURVE('',#12353,#12358,#5958,.T.); -#15239=EDGE_CURVE('',#12359,#12358,#5959,.T.); -#15240=EDGE_CURVE('',#12359,#12360,#5960,.T.); -#15241=EDGE_CURVE('',#12361,#12360,#5961,.T.); -#15242=EDGE_CURVE('',#11781,#12361,#5962,.T.); -#15243=EDGE_CURVE('',#11780,#12362,#5963,.T.); -#15244=EDGE_CURVE('',#12363,#12362,#5964,.T.); -#15245=EDGE_CURVE('',#11777,#12363,#5965,.T.); -#15246=EDGE_CURVE('',#11776,#12352,#5966,.T.); -#15247=EDGE_CURVE('',#12359,#12350,#5967,.T.); -#15248=EDGE_CURVE('',#12360,#12349,#5968,.T.); -#15249=EDGE_CURVE('',#12358,#12364,#5969,.T.); -#15250=EDGE_CURVE('',#12364,#12365,#5970,.T.); -#15251=EDGE_CURVE('',#12365,#12366,#5971,.T.); -#15252=EDGE_CURVE('',#12366,#12367,#5972,.T.); -#15253=EDGE_CURVE('',#12367,#12351,#5973,.T.); -#15254=EDGE_CURVE('',#12357,#12364,#5974,.T.); -#15255=EDGE_CURVE('',#12354,#12367,#5975,.T.); -#15256=EDGE_CURVE('',#12356,#12365,#5976,.T.); -#15257=EDGE_CURVE('',#12355,#12366,#5977,.T.); -#15258=EDGE_CURVE('',#12348,#12361,#5978,.T.); -#15259=EDGE_CURVE('',#12368,#12363,#5979,.T.); -#15260=EDGE_CURVE('',#11778,#12368,#5980,.T.); -#15261=EDGE_CURVE('',#12369,#12368,#5981,.T.); -#15262=EDGE_CURVE('',#11779,#12369,#5982,.T.); -#15263=EDGE_CURVE('',#12362,#12369,#5983,.T.); -#15264=EDGE_CURVE('',#11796,#11744,#5984,.T.); -#15265=EDGE_CURVE('',#11795,#11737,#5985,.T.); -#15266=EDGE_CURVE('',#11794,#11738,#5986,.T.); -#15267=EDGE_CURVE('',#11797,#11743,#5987,.T.); -#15268=EDGE_CURVE('',#11798,#11742,#5988,.T.); -#15269=EDGE_CURVE('',#11799,#11741,#5989,.T.); -#15270=EDGE_CURVE('',#12370,#12371,#5990,.T.); -#15271=EDGE_CURVE('',#11784,#12370,#5991,.T.); -#15272=EDGE_CURVE('',#11785,#12371,#5992,.T.); -#15273=EDGE_CURVE('',#12371,#12372,#5993,.T.); -#15274=EDGE_CURVE('',#12372,#12373,#5994,.T.); -#15275=EDGE_CURVE('',#12373,#12370,#5995,.T.); -#15276=EDGE_CURVE('',#12374,#12375,#10697,.T.); -#15277=EDGE_CURVE('',#12375,#12376,#5996,.T.); -#15278=EDGE_CURVE('',#12376,#12377,#10698,.T.); -#15279=EDGE_CURVE('',#12377,#12374,#5997,.T.); -#15280=EDGE_CURVE('',#12378,#12379,#5998,.T.); -#15281=EDGE_CURVE('',#12379,#12380,#5999,.T.); -#15282=EDGE_CURVE('',#12380,#12381,#6000,.T.); -#15283=EDGE_CURVE('',#12381,#12378,#6001,.T.); -#15284=EDGE_CURVE('',#12382,#12383,#10699,.T.); -#15285=EDGE_CURVE('',#12383,#12384,#6002,.T.); -#15286=EDGE_CURVE('',#12384,#12385,#10700,.T.); -#15287=EDGE_CURVE('',#12385,#12382,#6003,.T.); -#15288=EDGE_CURVE('',#12386,#12387,#10701,.T.); -#15289=EDGE_CURVE('',#12386,#12375,#6004,.T.); -#15290=EDGE_CURVE('',#12387,#12374,#6005,.T.); -#15291=EDGE_CURVE('',#12388,#12389,#10702,.T.); -#15292=EDGE_CURVE('',#12388,#12386,#10703,.T.); -#15293=EDGE_CURVE('',#12389,#12387,#10704,.T.); -#15294=EDGE_CURVE('',#12390,#12391,#6006,.T.); -#15295=EDGE_CURVE('',#12391,#12392,#10705,.T.); -#15296=EDGE_CURVE('',#12392,#12393,#6007,.T.); -#15297=EDGE_CURVE('',#12393,#12390,#10706,.T.); -#15298=EDGE_CURVE('',#12394,#12388,#6008,.T.); -#15299=EDGE_CURVE('',#12389,#12395,#6009,.T.); -#15300=EDGE_CURVE('',#12395,#12394,#10707,.T.); -#15301=EDGE_CURVE('',#12390,#12396,#10708,.T.); -#15302=EDGE_CURVE('',#12396,#12397,#6010,.T.); -#15303=EDGE_CURVE('',#12391,#12397,#10709,.T.); -#15304=EDGE_CURVE('',#12397,#12398,#10710,.T.); -#15305=EDGE_CURVE('',#12392,#12398,#10711,.T.); -#15306=EDGE_CURVE('',#12398,#12399,#6011,.T.); -#15307=EDGE_CURVE('',#12393,#12399,#10712,.T.); -#15308=EDGE_CURVE('',#12399,#12396,#10713,.T.); -#15309=EDGE_CURVE('',#12399,#12385,#6012,.T.); -#15310=EDGE_CURVE('',#12396,#12384,#6013,.T.); -#15311=EDGE_CURVE('',#12397,#12383,#6014,.T.); -#15312=EDGE_CURVE('',#12398,#12382,#6015,.T.); -#15313=EDGE_CURVE('',#12394,#12400,#10714,.T.); -#15314=EDGE_CURVE('',#12400,#12386,#6016,.T.); -#15315=EDGE_CURVE('',#12400,#12376,#6017,.T.); -#15316=EDGE_CURVE('',#12401,#12400,#10715,.T.); -#15317=EDGE_CURVE('',#12401,#12377,#6018,.T.); -#15318=EDGE_CURVE('',#12395,#12401,#10716,.T.); -#15319=EDGE_CURVE('',#12387,#12401,#6019,.T.); -#15320=EDGE_CURVE('',#11786,#12373,#6020,.T.); -#15321=EDGE_CURVE('',#11787,#12372,#6021,.T.); -#15322=EDGE_CURVE('',#11788,#12378,#6022,.T.); -#15323=EDGE_CURVE('',#11789,#12379,#6023,.T.); -#15324=EDGE_CURVE('',#11791,#12380,#6024,.T.); -#15325=EDGE_CURVE('',#11790,#12381,#6025,.T.); -#15326=EDGE_CURVE('',#11803,#12402,#6026,.T.); -#15327=EDGE_CURVE('',#12403,#12402,#6027,.T.); -#15328=EDGE_CURVE('',#11802,#12403,#6028,.T.); -#15329=EDGE_CURVE('',#12404,#12403,#6029,.T.); -#15330=EDGE_CURVE('',#11804,#12404,#6030,.T.); -#15331=EDGE_CURVE('',#12405,#12406,#6031,.T.); -#15332=EDGE_CURVE('',#11809,#12405,#6032,.T.); -#15333=EDGE_CURVE('',#11808,#12407,#6033,.T.); -#15334=EDGE_CURVE('',#12408,#12407,#6034,.T.); -#15335=EDGE_CURVE('',#11805,#12408,#6035,.T.); -#15336=EDGE_CURVE('',#12409,#12404,#6036,.T.); -#15337=EDGE_CURVE('',#11821,#12409,#6037,.T.); -#15338=EDGE_CURVE('',#11820,#12410,#6038,.T.); -#15339=EDGE_CURVE('',#12411,#12410,#6039,.T.); -#15340=EDGE_CURVE('',#11817,#12411,#6040,.T.); -#15341=EDGE_CURVE('',#11816,#12412,#6041,.T.); -#15342=EDGE_CURVE('',#12413,#12412,#6042,.T.); -#15343=EDGE_CURVE('',#11813,#12413,#6043,.T.); -#15344=EDGE_CURVE('',#11812,#12406,#6044,.T.); -#15345=EDGE_CURVE('',#12406,#12414,#6045,.T.); -#15346=EDGE_CURVE('',#12414,#12415,#6046,.T.); -#15347=EDGE_CURVE('',#12415,#12405,#6047,.T.); -#15348=EDGE_CURVE('',#12412,#12416,#6048,.T.); -#15349=EDGE_CURVE('',#12416,#12417,#6049,.T.); -#15350=EDGE_CURVE('',#12417,#12413,#6050,.T.); -#15351=EDGE_CURVE('',#12410,#12418,#6051,.T.); -#15352=EDGE_CURVE('',#12418,#12419,#6052,.T.); -#15353=EDGE_CURVE('',#12419,#12411,#6053,.T.); -#15354=EDGE_CURVE('',#12402,#12409,#6054,.T.); -#15355=EDGE_CURVE('',#11810,#12415,#6055,.T.); -#15356=EDGE_CURVE('',#11811,#12414,#6056,.T.); -#15357=EDGE_CURVE('',#11814,#12417,#6057,.T.); -#15358=EDGE_CURVE('',#11815,#12416,#6058,.T.); -#15359=EDGE_CURVE('',#11818,#12419,#6059,.T.); -#15360=EDGE_CURVE('',#11819,#12418,#6060,.T.); -#15361=EDGE_CURVE('',#12420,#12408,#6061,.T.); -#15362=EDGE_CURVE('',#11806,#12420,#6062,.T.); -#15363=EDGE_CURVE('',#12421,#12420,#6063,.T.); -#15364=EDGE_CURVE('',#11807,#12421,#6064,.T.); -#15365=EDGE_CURVE('',#12422,#12423,#6065,.T.); -#15366=EDGE_CURVE('',#12424,#12422,#6066,.T.); -#15367=EDGE_CURVE('',#12425,#12424,#6067,.T.); -#15368=EDGE_CURVE('',#12426,#12425,#6068,.T.); -#15369=EDGE_CURVE('',#12427,#12426,#6069,.T.); -#15370=EDGE_CURVE('',#12428,#12427,#6070,.T.); -#15371=EDGE_CURVE('',#12429,#12428,#6071,.T.); -#15372=EDGE_CURVE('',#12430,#12429,#6072,.T.); -#15373=EDGE_CURVE('',#12431,#12430,#6073,.T.); -#15374=EDGE_CURVE('',#12432,#12431,#6074,.T.); -#15375=EDGE_CURVE('',#12433,#12432,#6075,.T.); -#15376=EDGE_CURVE('',#12423,#12433,#6076,.T.); -#15377=EDGE_CURVE('',#12407,#12421,#6077,.T.); -#15378=EDGE_CURVE('',#12434,#12423,#6078,.T.); -#15379=EDGE_CURVE('',#12435,#12434,#6079,.T.); -#15380=EDGE_CURVE('',#12435,#12422,#6080,.T.); -#15381=EDGE_CURVE('',#12436,#12427,#6081,.T.); -#15382=EDGE_CURVE('',#12437,#12436,#6082,.T.); -#15383=EDGE_CURVE('',#12437,#12428,#6083,.T.); -#15384=EDGE_CURVE('',#12438,#12435,#6084,.T.); -#15385=EDGE_CURVE('',#12438,#12424,#6085,.T.); -#15386=EDGE_CURVE('',#12439,#12437,#6086,.T.); -#15387=EDGE_CURVE('',#12439,#12429,#6087,.T.); -#15388=EDGE_CURVE('',#12440,#12433,#6088,.T.); -#15389=EDGE_CURVE('',#12434,#12440,#6089,.T.); -#15390=EDGE_CURVE('',#12441,#12439,#6090,.T.); -#15391=EDGE_CURVE('',#12441,#12430,#6091,.T.); -#15392=EDGE_CURVE('',#12436,#12442,#6092,.T.); -#15393=EDGE_CURVE('',#12442,#12443,#6093,.T.); -#15394=EDGE_CURVE('',#12443,#12438,#6094,.T.); -#15395=EDGE_CURVE('',#12440,#12444,#6095,.T.); -#15396=EDGE_CURVE('',#12444,#12445,#6096,.T.); -#15397=EDGE_CURVE('',#12445,#12441,#6097,.T.); -#15398=EDGE_CURVE('',#12431,#12445,#6098,.T.); -#15399=EDGE_CURVE('',#12426,#12442,#6099,.T.); -#15400=EDGE_CURVE('',#12432,#12444,#6100,.T.); -#15401=EDGE_CURVE('',#12425,#12443,#6101,.T.); -#15402=EDGE_CURVE('',#11823,#12446,#6102,.T.); -#15403=EDGE_CURVE('',#12447,#12446,#6103,.T.); -#15404=EDGE_CURVE('',#11822,#12447,#6104,.T.); -#15405=EDGE_CURVE('',#12448,#12447,#6105,.T.); -#15406=EDGE_CURVE('',#11824,#12448,#6106,.T.); -#15407=EDGE_CURVE('',#12449,#12448,#6107,.T.); -#15408=EDGE_CURVE('',#11825,#12449,#6108,.T.); -#15409=EDGE_CURVE('',#12450,#12451,#6109,.T.); -#15410=EDGE_CURVE('',#11830,#12450,#6110,.T.); -#15411=EDGE_CURVE('',#11829,#12452,#6111,.T.); -#15412=EDGE_CURVE('',#12452,#12453,#6112,.T.); -#15413=EDGE_CURVE('',#11826,#12453,#6113,.T.); -#15414=EDGE_CURVE('',#12449,#12446,#6114,.T.); -#15415=EDGE_CURVE('',#11839,#12454,#6115,.T.); -#15416=EDGE_CURVE('',#12455,#12454,#6116,.T.); -#15417=EDGE_CURVE('',#12456,#12455,#6117,.T.); -#15418=EDGE_CURVE('',#12457,#12456,#6118,.T.); -#15419=EDGE_CURVE('',#12457,#12451,#6119,.T.); -#15420=EDGE_CURVE('',#12458,#12459,#6120,.T.); -#15421=EDGE_CURVE('',#12459,#12460,#6121,.T.); -#15422=EDGE_CURVE('',#12460,#12450,#6122,.T.); -#15423=EDGE_CURVE('',#12451,#12458,#6123,.T.); -#15424=EDGE_CURVE('',#12454,#12461,#6124,.T.); -#15425=EDGE_CURVE('',#12461,#12462,#6125,.T.); -#15426=EDGE_CURVE('',#12462,#12463,#6126,.T.); -#15427=EDGE_CURVE('',#12463,#12455,#6127,.T.); -#15428=EDGE_CURVE('',#11833,#12458,#6128,.T.); -#15429=EDGE_CURVE('',#11832,#12459,#6129,.T.); -#15430=EDGE_CURVE('',#11831,#12460,#6130,.T.); -#15431=EDGE_CURVE('',#12464,#12465,#6131,.T.); -#15432=EDGE_CURVE('',#11834,#12464,#6132,.T.); -#15433=EDGE_CURVE('',#12466,#12457,#6133,.T.); -#15434=EDGE_CURVE('',#12466,#12465,#6134,.T.); -#15435=EDGE_CURVE('',#12467,#12468,#6135,.T.); -#15436=EDGE_CURVE('',#12468,#12469,#6136,.T.); -#15437=EDGE_CURVE('',#12470,#12469,#6137,.T.); -#15438=EDGE_CURVE('',#12470,#12467,#6138,.T.); -#15439=EDGE_CURVE('',#12471,#12472,#6139,.T.); -#15440=EDGE_CURVE('',#12471,#12464,#6140,.T.); -#15441=EDGE_CURVE('',#12465,#12472,#6141,.T.); -#15442=EDGE_CURVE('',#12467,#12473,#6142,.T.); -#15443=EDGE_CURVE('',#12473,#12474,#6143,.T.); -#15444=EDGE_CURVE('',#12475,#12474,#6144,.T.); -#15445=EDGE_CURVE('',#12468,#12475,#6145,.T.); -#15446=EDGE_CURVE('',#11835,#12470,#6146,.T.); -#15447=EDGE_CURVE('',#12471,#12476,#6147,.T.); -#15448=EDGE_CURVE('',#12473,#12476,#6148,.T.); -#15449=EDGE_CURVE('',#12476,#12477,#6149,.T.); -#15450=EDGE_CURVE('',#12474,#12477,#6150,.T.); -#15451=EDGE_CURVE('',#12477,#12478,#6151,.T.); -#15452=EDGE_CURVE('',#12478,#12475,#6152,.T.); -#15453=EDGE_CURVE('',#12472,#12468,#6153,.T.); -#15454=EDGE_CURVE('',#12472,#12478,#6154,.T.); -#15455=EDGE_CURVE('',#12466,#12479,#6155,.T.); -#15456=EDGE_CURVE('',#12479,#12469,#6156,.T.); -#15457=EDGE_CURVE('',#12456,#12479,#6157,.T.); -#15458=EDGE_CURVE('',#11836,#12463,#6158,.T.); -#15459=EDGE_CURVE('',#11837,#12462,#6159,.T.); -#15460=EDGE_CURVE('',#11838,#12461,#6160,.T.); -#15461=EDGE_CURVE('',#12452,#12480,#6161,.T.); -#15462=EDGE_CURVE('',#12480,#12481,#6162,.T.); -#15463=EDGE_CURVE('',#12481,#12453,#6163,.T.); -#15464=EDGE_CURVE('',#11827,#12481,#6164,.T.); -#15465=EDGE_CURVE('',#11828,#12480,#6165,.T.); -#15466=EDGE_CURVE('',#11840,#12482,#6166,.T.); -#15467=EDGE_CURVE('',#12483,#12482,#10717,.T.); -#15468=EDGE_CURVE('',#11841,#12483,#6167,.T.); -#15469=EDGE_CURVE('',#12484,#12485,#6168,.T.); -#15470=EDGE_CURVE('',#11842,#12485,#6169,.T.); -#15471=EDGE_CURVE('',#12483,#12486,#6170,.T.); -#15472=EDGE_CURVE('',#12486,#12487,#6171,.T.); -#15473=EDGE_CURVE('',#12488,#12487,#6172,.T.); -#15474=EDGE_CURVE('',#12484,#12488,#6173,.T.); -#15475=EDGE_CURVE('',#12489,#12490,#6174,.T.); -#15476=EDGE_CURVE('',#12491,#12489,#6175,.T.); -#15477=EDGE_CURVE('',#12492,#12491,#6176,.T.); -#15478=EDGE_CURVE('',#12490,#12492,#6177,.T.); -#15479=EDGE_CURVE('',#12490,#12493,#6178,.T.); -#15480=EDGE_CURVE('',#12494,#12493,#6179,.T.); -#15481=EDGE_CURVE('',#12489,#12494,#6180,.T.); -#15482=EDGE_CURVE('',#12495,#12494,#6181,.T.); -#15483=EDGE_CURVE('',#12491,#12495,#6182,.T.); -#15484=EDGE_CURVE('',#12496,#12495,#6183,.T.); -#15485=EDGE_CURVE('',#12492,#12496,#6184,.T.); -#15486=EDGE_CURVE('',#12493,#12496,#6185,.T.); -#15487=EDGE_CURVE('',#12497,#12484,#6186,.T.); -#15488=EDGE_CURVE('',#12497,#12485,#10718,.T.); -#15489=EDGE_CURVE('',#12486,#12482,#6187,.T.); -#15490=EDGE_CURVE('',#12498,#12499,#6188,.T.); -#15491=EDGE_CURVE('',#12498,#12499,#10719,.T.); -#15492=EDGE_CURVE('',#12500,#12501,#6189,.T.); -#15493=EDGE_CURVE('',#12500,#12501,#10720,.T.); -#15494=EDGE_CURVE('',#12502,#12488,#6190,.T.); -#15495=EDGE_CURVE('',#11844,#12502,#6191,.T.); -#15496=EDGE_CURVE('',#11843,#12497,#6192,.T.); -#15497=EDGE_CURVE('',#11847,#12499,#6193,.T.); -#15498=EDGE_CURVE('',#11846,#12500,#6194,.T.); -#15499=EDGE_CURVE('',#11845,#12501,#6195,.T.); -#15500=EDGE_CURVE('',#12503,#12502,#6196,.T.); -#15501=EDGE_CURVE('',#11849,#12503,#6197,.T.); -#15502=EDGE_CURVE('',#11848,#12498,#6198,.T.); -#15503=EDGE_CURVE('',#12487,#12503,#6199,.T.); -#15504=EDGE_CURVE('',#11851,#12504,#6200,.T.); -#15505=EDGE_CURVE('',#12505,#12504,#6201,.T.); -#15506=EDGE_CURVE('',#11850,#12505,#6202,.T.); -#15507=EDGE_CURVE('',#12506,#12505,#6203,.T.); -#15508=EDGE_CURVE('',#11852,#12506,#6204,.T.); -#15509=EDGE_CURVE('',#12507,#12508,#6205,.T.); -#15510=EDGE_CURVE('',#12508,#12509,#6206,.T.); -#15511=EDGE_CURVE('',#12510,#12509,#6207,.T.); -#15512=EDGE_CURVE('',#12507,#12510,#6208,.T.); -#15513=EDGE_CURVE('',#12511,#12512,#6209,.T.); -#15514=EDGE_CURVE('',#12512,#12513,#6210,.T.); -#15515=EDGE_CURVE('',#12514,#12513,#6211,.T.); -#15516=EDGE_CURVE('',#12511,#12514,#6212,.T.); -#15517=EDGE_CURVE('',#12515,#12516,#6213,.T.); -#15518=EDGE_CURVE('',#12516,#12517,#6214,.T.); -#15519=EDGE_CURVE('',#12518,#12517,#6215,.T.); -#15520=EDGE_CURVE('',#12515,#12518,#6216,.T.); -#15521=EDGE_CURVE('',#12519,#12520,#6217,.T.); -#15522=EDGE_CURVE('',#12520,#12521,#6218,.T.); -#15523=EDGE_CURVE('',#12522,#12521,#6219,.T.); -#15524=EDGE_CURVE('',#12519,#12522,#6220,.T.); -#15525=EDGE_CURVE('',#12523,#12506,#6221,.T.); -#15526=EDGE_CURVE('',#11853,#12523,#6222,.T.); -#15527=EDGE_CURVE('',#12504,#12523,#6223,.T.); -#15528=EDGE_CURVE('',#12524,#12525,#6224,.T.); -#15529=EDGE_CURVE('',#12524,#12526,#6225,.T.); -#15530=EDGE_CURVE('',#12526,#12527,#6226,.T.); -#15531=EDGE_CURVE('',#12525,#12527,#6227,.T.); -#15532=EDGE_CURVE('',#12528,#12529,#6228,.T.); -#15533=EDGE_CURVE('',#12528,#12530,#6229,.T.); -#15534=EDGE_CURVE('',#12530,#12531,#6230,.T.); -#15535=EDGE_CURVE('',#12529,#12531,#6231,.T.); -#15536=EDGE_CURVE('',#12532,#12533,#6232,.T.); -#15537=EDGE_CURVE('',#12532,#12534,#6233,.T.); -#15538=EDGE_CURVE('',#12534,#12535,#6234,.T.); -#15539=EDGE_CURVE('',#12533,#12535,#6235,.T.); -#15540=EDGE_CURVE('',#12536,#12537,#6236,.T.); -#15541=EDGE_CURVE('',#12536,#12538,#6237,.T.); -#15542=EDGE_CURVE('',#12538,#12539,#6238,.T.); -#15543=EDGE_CURVE('',#12537,#12539,#6239,.T.); -#15544=EDGE_CURVE('',#12540,#12525,#6240,.T.); -#15545=EDGE_CURVE('',#12540,#12541,#6241,.T.); -#15546=EDGE_CURVE('',#12524,#12541,#6242,.T.); -#15547=EDGE_CURVE('',#12542,#12529,#6243,.T.); -#15548=EDGE_CURVE('',#12542,#12543,#6244,.T.); -#15549=EDGE_CURVE('',#12528,#12543,#6245,.T.); -#15550=EDGE_CURVE('',#12544,#12533,#6246,.T.); -#15551=EDGE_CURVE('',#12544,#12545,#6247,.T.); -#15552=EDGE_CURVE('',#12532,#12545,#6248,.T.); -#15553=EDGE_CURVE('',#12546,#12537,#6249,.T.); -#15554=EDGE_CURVE('',#12546,#12547,#6250,.T.); -#15555=EDGE_CURVE('',#12536,#12547,#6251,.T.); -#15556=EDGE_CURVE('',#12548,#12507,#6252,.T.); -#15557=EDGE_CURVE('',#12548,#12549,#6253,.T.); -#15558=EDGE_CURVE('',#12508,#12549,#6254,.T.); -#15559=EDGE_CURVE('',#12550,#12511,#6255,.T.); -#15560=EDGE_CURVE('',#12550,#12551,#6256,.T.); -#15561=EDGE_CURVE('',#12512,#12551,#6257,.T.); -#15562=EDGE_CURVE('',#12552,#12515,#6258,.T.); -#15563=EDGE_CURVE('',#12552,#12553,#6259,.T.); -#15564=EDGE_CURVE('',#12516,#12553,#6260,.T.); -#15565=EDGE_CURVE('',#12554,#12519,#6261,.T.); -#15566=EDGE_CURVE('',#12554,#12555,#6262,.T.); -#15567=EDGE_CURVE('',#12520,#12555,#6263,.T.); -#15568=EDGE_CURVE('',#12556,#12557,#6264,.T.); -#15569=EDGE_CURVE('',#12526,#12557,#6265,.T.); -#15570=EDGE_CURVE('',#11857,#12541,#6266,.T.); -#15571=EDGE_CURVE('',#11856,#12558,#6267,.T.); -#15572=EDGE_CURVE('',#12556,#12558,#6268,.T.); -#15573=EDGE_CURVE('',#12559,#12560,#6269,.T.); -#15574=EDGE_CURVE('',#12561,#12559,#6270,.T.); -#15575=EDGE_CURVE('',#11871,#12561,#6271,.T.); -#15576=EDGE_CURVE('',#11870,#12548,#6272,.T.); -#15577=EDGE_CURVE('',#12560,#12510,#6273,.T.); -#15578=EDGE_CURVE('',#12556,#12562,#6274,.T.); -#15579=EDGE_CURVE('',#12563,#12562,#6275,.T.); -#15580=EDGE_CURVE('',#12557,#12563,#6276,.T.); -#15581=EDGE_CURVE('',#12563,#12527,#6277,.T.); -#15582=EDGE_CURVE('',#12530,#12564,#6278,.T.); -#15583=EDGE_CURVE('',#12564,#12565,#6279,.T.); -#15584=EDGE_CURVE('',#12565,#12531,#6280,.T.); -#15585=EDGE_CURVE('',#12534,#12566,#6281,.T.); -#15586=EDGE_CURVE('',#12566,#12567,#6282,.T.); -#15587=EDGE_CURVE('',#12567,#12535,#6283,.T.); -#15588=EDGE_CURVE('',#12538,#12568,#6284,.T.); -#15589=EDGE_CURVE('',#12568,#12569,#6285,.T.); -#15590=EDGE_CURVE('',#12569,#12539,#6286,.T.); -#15591=EDGE_CURVE('',#12509,#12570,#6287,.T.); -#15592=EDGE_CURVE('',#12570,#12560,#6288,.T.); -#15593=EDGE_CURVE('',#12513,#12571,#6289,.T.); -#15594=EDGE_CURVE('',#12571,#12572,#6290,.T.); -#15595=EDGE_CURVE('',#12572,#12514,#6291,.T.); -#15596=EDGE_CURVE('',#12517,#12573,#6292,.T.); -#15597=EDGE_CURVE('',#12573,#12574,#6293,.T.); -#15598=EDGE_CURVE('',#12574,#12518,#6294,.T.); -#15599=EDGE_CURVE('',#12521,#12575,#6295,.T.); -#15600=EDGE_CURVE('',#12575,#12576,#6296,.T.); -#15601=EDGE_CURVE('',#12576,#12522,#6297,.T.); -#15602=EDGE_CURVE('',#12577,#12562,#6298,.T.); -#15603=EDGE_CURVE('',#11855,#12577,#6299,.T.); -#15604=EDGE_CURVE('',#11854,#12540,#6300,.T.); -#15605=EDGE_CURVE('',#11873,#12549,#6301,.T.); -#15606=EDGE_CURVE('',#11872,#12578,#6302,.T.); -#15607=EDGE_CURVE('',#12579,#12578,#6303,.T.); -#15608=EDGE_CURVE('',#12579,#12570,#6304,.T.); -#15609=EDGE_CURVE('',#12558,#12577,#6305,.T.); -#15610=EDGE_CURVE('',#12580,#12581,#6306,.T.); -#15611=EDGE_CURVE('',#12580,#12582,#6307,.T.); -#15612=EDGE_CURVE('',#12582,#12583,#6308,.T.); -#15613=EDGE_CURVE('',#12583,#12581,#6309,.T.); -#15614=EDGE_CURVE('',#12584,#12585,#6310,.T.); -#15615=EDGE_CURVE('',#12584,#12586,#6311,.T.); -#15616=EDGE_CURVE('',#12586,#12587,#6312,.T.); -#15617=EDGE_CURVE('',#12587,#12585,#6313,.T.); -#15618=EDGE_CURVE('',#12588,#12589,#6314,.T.); -#15619=EDGE_CURVE('',#12589,#12590,#6315,.T.); -#15620=EDGE_CURVE('',#12590,#12591,#6316,.T.); -#15621=EDGE_CURVE('',#12591,#12588,#6317,.T.); -#15622=EDGE_CURVE('',#12559,#12579,#6318,.T.); -#15623=EDGE_CURVE('',#12578,#12561,#6319,.T.); -#15624=EDGE_CURVE('',#12592,#12593,#6320,.T.); -#15625=EDGE_CURVE('',#12592,#12594,#6321,.T.); -#15626=EDGE_CURVE('',#12594,#12595,#6322,.T.); -#15627=EDGE_CURVE('',#12595,#12593,#6323,.T.); -#15628=EDGE_CURVE('',#12596,#12597,#6324,.T.); -#15629=EDGE_CURVE('',#12596,#12598,#6325,.T.); -#15630=EDGE_CURVE('',#12598,#12599,#6326,.T.); -#15631=EDGE_CURVE('',#12599,#12597,#6327,.T.); -#15632=EDGE_CURVE('',#12600,#12601,#6328,.T.); -#15633=EDGE_CURVE('',#12600,#12602,#6329,.T.); -#15634=EDGE_CURVE('',#12602,#12603,#6330,.T.); -#15635=EDGE_CURVE('',#12603,#12601,#6331,.T.); -#15636=EDGE_CURVE('',#12580,#12564,#6332,.T.); -#15637=EDGE_CURVE('',#12581,#12565,#6333,.T.); -#15638=EDGE_CURVE('',#11861,#12543,#6334,.T.); -#15639=EDGE_CURVE('',#11860,#12582,#6335,.T.); -#15640=EDGE_CURVE('',#12593,#12572,#6336,.T.); -#15641=EDGE_CURVE('',#11875,#12595,#6337,.T.); -#15642=EDGE_CURVE('',#11874,#12550,#6338,.T.); -#15643=EDGE_CURVE('',#11859,#12583,#6339,.T.); -#15644=EDGE_CURVE('',#11858,#12542,#6340,.T.); -#15645=EDGE_CURVE('',#11877,#12551,#6341,.T.); -#15646=EDGE_CURVE('',#11876,#12594,#6342,.T.); -#15647=EDGE_CURVE('',#12571,#12592,#6343,.T.); -#15648=EDGE_CURVE('',#12584,#12566,#6344,.T.); -#15649=EDGE_CURVE('',#12567,#12585,#6345,.T.); -#15650=EDGE_CURVE('',#11865,#12545,#6346,.T.); -#15651=EDGE_CURVE('',#11864,#12586,#6347,.T.); -#15652=EDGE_CURVE('',#12597,#12574,#6348,.T.); -#15653=EDGE_CURVE('',#11879,#12599,#6349,.T.); -#15654=EDGE_CURVE('',#11878,#12552,#6350,.T.); -#15655=EDGE_CURVE('',#11863,#12587,#6351,.T.); -#15656=EDGE_CURVE('',#11862,#12544,#6352,.T.); -#15657=EDGE_CURVE('',#11881,#12553,#6353,.T.); -#15658=EDGE_CURVE('',#11880,#12598,#6354,.T.); -#15659=EDGE_CURVE('',#12596,#12573,#6355,.T.); -#15660=EDGE_CURVE('',#12589,#12568,#6356,.T.); -#15661=EDGE_CURVE('',#12588,#12569,#6357,.T.); -#15662=EDGE_CURVE('',#11869,#12547,#6358,.T.); -#15663=EDGE_CURVE('',#11868,#12590,#6359,.T.); -#15664=EDGE_CURVE('',#12601,#12576,#6360,.T.); -#15665=EDGE_CURVE('',#11883,#12603,#6361,.T.); -#15666=EDGE_CURVE('',#11882,#12554,#6362,.T.); -#15667=EDGE_CURVE('',#11867,#12591,#6363,.T.); -#15668=EDGE_CURVE('',#11866,#12546,#6364,.T.); -#15669=EDGE_CURVE('',#11885,#12555,#6365,.T.); -#15670=EDGE_CURVE('',#11884,#12602,#6366,.T.); -#15671=EDGE_CURVE('',#12575,#12600,#6367,.T.); -#15672=EDGE_CURVE('',#11887,#12604,#6368,.T.); -#15673=EDGE_CURVE('',#12605,#12604,#6369,.T.); -#15674=EDGE_CURVE('',#11886,#12605,#6370,.T.); -#15675=EDGE_CURVE('',#12606,#12605,#6371,.T.); -#15676=EDGE_CURVE('',#11888,#12606,#6372,.T.); -#15677=EDGE_CURVE('',#12607,#12606,#6373,.T.); -#15678=EDGE_CURVE('',#11889,#12607,#6374,.T.); -#15679=EDGE_CURVE('',#12607,#12604,#6375,.T.); -#15680=EDGE_CURVE('',#11905,#12608,#6376,.T.); -#15681=EDGE_CURVE('',#12609,#12608,#6377,.T.); -#15682=EDGE_CURVE('',#11890,#12609,#6378,.T.); -#15683=EDGE_CURVE('',#12610,#12611,#6379,.T.); -#15684=EDGE_CURVE('',#12610,#12612,#6380,.T.); -#15685=EDGE_CURVE('',#12612,#12613,#6381,.T.); -#15686=EDGE_CURVE('',#12613,#12611,#6382,.T.); -#15687=EDGE_CURVE('',#12614,#12615,#6383,.T.); -#15688=EDGE_CURVE('',#12614,#12616,#6384,.T.); -#15689=EDGE_CURVE('',#12616,#12617,#6385,.T.); -#15690=EDGE_CURVE('',#12617,#12615,#6386,.T.); -#15691=EDGE_CURVE('',#12618,#12619,#6387,.T.); -#15692=EDGE_CURVE('',#12618,#12620,#6388,.T.); -#15693=EDGE_CURVE('',#12620,#12621,#6389,.T.); -#15694=EDGE_CURVE('',#12621,#12619,#6390,.T.); -#15695=EDGE_CURVE('',#11896,#12611,#6391,.T.); -#15696=EDGE_CURVE('',#11895,#12622,#6392,.T.); -#15697=EDGE_CURVE('',#12623,#12622,#6393,.T.); -#15698=EDGE_CURVE('',#11900,#12623,#6394,.T.); -#15699=EDGE_CURVE('',#11899,#12610,#6395,.T.); -#15700=EDGE_CURVE('',#11898,#12612,#6396,.T.); -#15701=EDGE_CURVE('',#11897,#12613,#6397,.T.); -#15702=EDGE_CURVE('',#11901,#12619,#6398,.T.); -#15703=EDGE_CURVE('',#12608,#12623,#6399,.T.); -#15704=EDGE_CURVE('',#11904,#12618,#6400,.T.); -#15705=EDGE_CURVE('',#11903,#12620,#6401,.T.); -#15706=EDGE_CURVE('',#11902,#12621,#6402,.T.); -#15707=EDGE_CURVE('',#12622,#12609,#6403,.T.); -#15708=EDGE_CURVE('',#11891,#12615,#6404,.T.); -#15709=EDGE_CURVE('',#11894,#12614,#6405,.T.); -#15710=EDGE_CURVE('',#11893,#12616,#6406,.T.); -#15711=EDGE_CURVE('',#11892,#12617,#6407,.T.); -#15712=EDGE_CURVE('',#11907,#12624,#6408,.T.); -#15713=EDGE_CURVE('',#12625,#12624,#6409,.T.); -#15714=EDGE_CURVE('',#11906,#12625,#6410,.T.); -#15715=EDGE_CURVE('',#11911,#12626,#6411,.T.); -#15716=EDGE_CURVE('',#12627,#12626,#6412,.T.); -#15717=EDGE_CURVE('',#11912,#12627,#6413,.T.); -#15718=EDGE_CURVE('',#12628,#12625,#6414,.T.); -#15719=EDGE_CURVE('',#11908,#12628,#6415,.T.); -#15720=EDGE_CURVE('',#12629,#12627,#6416,.T.); -#15721=EDGE_CURVE('',#11913,#12629,#6417,.T.); -#15722=EDGE_CURVE('',#11917,#12630,#6418,.T.); -#15723=EDGE_CURVE('',#12624,#12630,#6419,.T.); -#15724=EDGE_CURVE('',#12631,#12629,#6420,.T.); -#15725=EDGE_CURVE('',#11914,#12631,#6421,.T.); -#15726=EDGE_CURVE('',#12630,#12628,#6422,.T.); -#15727=EDGE_CURVE('',#12631,#12626,#6423,.T.); -#15728=EDGE_CURVE('',#11916,#12632,#6424,.T.); -#15729=EDGE_CURVE('',#12633,#12632,#6425,.T.); -#15730=EDGE_CURVE('',#11909,#12633,#6426,.T.); -#15731=EDGE_CURVE('',#12634,#12633,#6427,.T.); -#15732=EDGE_CURVE('',#11910,#12634,#6428,.T.); -#15733=EDGE_CURVE('',#12635,#12634,#6429,.T.); -#15734=EDGE_CURVE('',#11915,#12635,#6430,.T.); -#15735=EDGE_CURVE('',#12632,#12635,#6431,.T.); -#15736=EDGE_CURVE('',#11919,#12636,#6432,.T.); -#15737=EDGE_CURVE('',#12637,#12636,#6433,.T.); -#15738=EDGE_CURVE('',#11918,#12637,#6434,.T.); -#15739=EDGE_CURVE('',#12638,#12637,#6435,.T.); -#15740=EDGE_CURVE('',#11920,#12638,#6436,.T.); -#15741=EDGE_CURVE('',#12639,#12638,#6437,.T.); -#15742=EDGE_CURVE('',#11921,#12639,#6438,.T.); -#15743=EDGE_CURVE('',#12636,#12639,#6439,.T.); -#15744=EDGE_CURVE('',#11923,#12640,#6440,.T.); -#15745=EDGE_CURVE('',#12641,#12640,#6441,.T.); -#15746=EDGE_CURVE('',#11922,#12641,#6442,.T.); -#15747=EDGE_CURVE('',#12642,#12641,#6443,.T.); -#15748=EDGE_CURVE('',#11936,#12642,#6444,.T.); -#15749=EDGE_CURVE('',#11935,#12643,#6445,.T.); -#15750=EDGE_CURVE('',#12644,#12643,#6446,.T.); -#15751=EDGE_CURVE('',#11924,#12644,#6447,.T.); -#15752=EDGE_CURVE('',#12640,#12645,#6448,.T.); -#15753=EDGE_CURVE('',#12645,#12642,#6449,.T.); -#15754=EDGE_CURVE('',#12646,#12647,#6450,.T.); -#15755=EDGE_CURVE('',#12646,#12648,#6451,.T.); -#15756=EDGE_CURVE('',#12648,#12649,#6452,.T.); -#15757=EDGE_CURVE('',#12649,#12647,#6453,.T.); -#15758=EDGE_CURVE('',#12650,#12651,#6454,.T.); -#15759=EDGE_CURVE('',#12650,#12652,#6455,.T.); -#15760=EDGE_CURVE('',#12652,#12653,#6456,.T.); -#15761=EDGE_CURVE('',#12653,#12651,#6457,.T.); -#15762=EDGE_CURVE('',#11937,#12645,#6458,.T.); -#15763=EDGE_CURVE('',#11931,#12647,#6459,.T.); -#15764=EDGE_CURVE('',#11930,#12654,#6460,.T.); -#15765=EDGE_CURVE('',#12643,#12654,#6461,.T.); -#15766=EDGE_CURVE('',#11934,#12646,#6462,.T.); -#15767=EDGE_CURVE('',#11933,#12648,#6463,.T.); -#15768=EDGE_CURVE('',#11932,#12649,#6464,.T.); -#15769=EDGE_CURVE('',#12655,#12656,#6465,.T.); -#15770=EDGE_CURVE('',#12657,#12656,#10721,.T.); -#15771=EDGE_CURVE('',#12656,#12657,#10722,.T.); -#15772=EDGE_CURVE('',#12656,#12644,#6466,.T.); -#15773=EDGE_CURVE('',#12654,#12655,#6467,.T.); -#15774=EDGE_CURVE('',#11925,#12655,#6468,.T.); -#15775=EDGE_CURVE('',#11926,#12651,#6469,.T.); -#15776=EDGE_CURVE('',#11929,#12650,#6470,.T.); -#15777=EDGE_CURVE('',#11928,#12652,#6471,.T.); -#15778=EDGE_CURVE('',#11927,#12653,#6472,.T.); -#15779=EDGE_CURVE('',#12658,#12658,#10723,.T.); -#15780=EDGE_CURVE('',#12658,#12657,#6473,.T.); -#15781=EDGE_CURVE('',#12659,#12659,#10724,.T.); -#15782=EDGE_CURVE('',#12660,#12660,#10725,.T.); -#15783=EDGE_CURVE('',#12661,#12661,#10726,.T.); -#15784=EDGE_CURVE('',#12661,#12659,#6474,.T.); -#15785=EDGE_CURVE('',#12662,#12662,#10727,.T.); -#15786=EDGE_CURVE('',#12660,#12662,#6475,.T.); -#15787=EDGE_CURVE('',#11939,#12663,#6476,.T.); -#15788=EDGE_CURVE('',#12664,#12663,#6477,.T.); -#15789=EDGE_CURVE('',#11938,#12664,#6478,.T.); -#15790=EDGE_CURVE('',#12665,#12664,#6479,.T.); -#15791=EDGE_CURVE('',#11940,#12665,#6480,.T.); -#15792=EDGE_CURVE('',#12666,#12665,#6481,.T.); -#15793=EDGE_CURVE('',#11941,#12666,#6482,.T.); -#15794=EDGE_CURVE('',#12663,#12666,#6483,.T.); -#15795=EDGE_CURVE('',#12667,#12668,#6484,.T.); -#15796=EDGE_CURVE('',#12668,#12669,#6485,.T.); -#15797=EDGE_CURVE('',#12669,#12670,#6486,.T.); -#15798=EDGE_CURVE('',#12670,#12667,#6487,.T.); -#15799=EDGE_CURVE('',#11943,#12668,#6488,.T.); -#15800=EDGE_CURVE('',#11942,#12667,#6489,.T.); -#15801=EDGE_CURVE('',#11944,#12670,#6490,.T.); -#15802=EDGE_CURVE('',#11945,#12669,#6491,.T.); -#15803=EDGE_CURVE('',#11947,#12671,#6492,.T.); -#15804=EDGE_CURVE('',#12672,#12671,#6493,.T.); -#15805=EDGE_CURVE('',#11946,#12672,#6494,.T.); -#15806=EDGE_CURVE('',#12673,#12672,#6495,.T.); -#15807=EDGE_CURVE('',#11948,#12673,#6496,.T.); -#15808=EDGE_CURVE('',#12674,#12673,#6497,.T.); -#15809=EDGE_CURVE('',#11949,#12674,#6498,.T.); -#15810=EDGE_CURVE('',#12671,#12674,#6499,.T.); -#15811=EDGE_CURVE('',#12675,#12676,#6500,.T.); -#15812=EDGE_CURVE('',#12676,#12677,#6501,.T.); -#15813=EDGE_CURVE('',#12677,#12678,#6502,.T.); -#15814=EDGE_CURVE('',#12678,#12675,#6503,.T.); -#15815=EDGE_CURVE('',#12679,#12680,#6504,.T.); -#15816=EDGE_CURVE('',#12680,#12681,#6505,.T.); -#15817=EDGE_CURVE('',#12681,#12682,#6506,.T.); -#15818=EDGE_CURVE('',#12682,#12679,#6507,.T.); -#15819=EDGE_CURVE('',#12683,#12684,#6508,.T.); -#15820=EDGE_CURVE('',#12684,#12685,#6509,.T.); -#15821=EDGE_CURVE('',#12685,#12686,#6510,.T.); -#15822=EDGE_CURVE('',#12686,#12683,#6511,.T.); -#15823=EDGE_CURVE('',#12687,#12688,#6512,.T.); -#15824=EDGE_CURVE('',#12688,#12689,#6513,.T.); -#15825=EDGE_CURVE('',#12689,#12690,#6514,.T.); -#15826=EDGE_CURVE('',#12690,#12687,#6515,.T.); -#15827=EDGE_CURVE('',#12691,#12692,#6516,.T.); -#15828=EDGE_CURVE('',#12692,#12693,#6517,.T.); -#15829=EDGE_CURVE('',#12693,#12694,#6518,.T.); -#15830=EDGE_CURVE('',#12694,#12691,#6519,.T.); -#15831=EDGE_CURVE('',#12695,#12696,#6520,.T.); -#15832=EDGE_CURVE('',#12696,#12697,#6521,.T.); -#15833=EDGE_CURVE('',#12697,#12698,#6522,.T.); -#15834=EDGE_CURVE('',#12698,#12695,#6523,.T.); -#15835=EDGE_CURVE('',#12699,#12700,#6524,.T.); -#15836=EDGE_CURVE('',#12700,#12701,#6525,.T.); -#15837=EDGE_CURVE('',#12701,#12702,#6526,.T.); -#15838=EDGE_CURVE('',#12702,#12699,#6527,.T.); -#15839=EDGE_CURVE('',#12703,#12704,#6528,.T.); -#15840=EDGE_CURVE('',#12704,#12705,#6529,.T.); -#15841=EDGE_CURVE('',#12705,#12706,#6530,.T.); -#15842=EDGE_CURVE('',#12706,#12703,#6531,.T.); -#15843=EDGE_CURVE('',#12707,#12708,#6532,.T.); -#15844=EDGE_CURVE('',#12708,#12709,#6533,.T.); -#15845=EDGE_CURVE('',#12709,#12710,#6534,.T.); -#15846=EDGE_CURVE('',#12710,#12707,#6535,.T.); -#15847=EDGE_CURVE('',#12711,#12712,#6536,.T.); -#15848=EDGE_CURVE('',#12712,#12713,#6537,.T.); -#15849=EDGE_CURVE('',#12713,#12714,#6538,.T.); -#15850=EDGE_CURVE('',#12714,#12711,#6539,.T.); -#15851=EDGE_CURVE('',#12715,#12716,#6540,.T.); -#15852=EDGE_CURVE('',#12716,#12717,#6541,.T.); -#15853=EDGE_CURVE('',#12717,#12718,#6542,.T.); -#15854=EDGE_CURVE('',#12718,#12715,#6543,.T.); -#15855=EDGE_CURVE('',#12719,#12720,#6544,.T.); -#15856=EDGE_CURVE('',#12720,#12721,#6545,.T.); -#15857=EDGE_CURVE('',#12721,#12722,#6546,.T.); -#15858=EDGE_CURVE('',#12722,#12719,#6547,.T.); -#15859=EDGE_CURVE('',#12723,#12724,#6548,.T.); -#15860=EDGE_CURVE('',#12724,#12725,#6549,.T.); -#15861=EDGE_CURVE('',#12725,#12726,#6550,.T.); -#15862=EDGE_CURVE('',#12726,#12723,#6551,.T.); -#15863=EDGE_CURVE('',#12727,#12728,#6552,.T.); -#15864=EDGE_CURVE('',#12728,#12729,#6553,.T.); -#15865=EDGE_CURVE('',#12729,#12730,#6554,.T.); -#15866=EDGE_CURVE('',#12730,#12727,#6555,.T.); -#15867=EDGE_CURVE('',#12731,#12732,#6556,.T.); -#15868=EDGE_CURVE('',#12732,#12733,#6557,.T.); -#15869=EDGE_CURVE('',#12733,#12734,#6558,.T.); -#15870=EDGE_CURVE('',#12734,#12731,#6559,.T.); -#15871=EDGE_CURVE('',#12735,#12736,#6560,.T.); -#15872=EDGE_CURVE('',#12736,#12737,#6561,.T.); -#15873=EDGE_CURVE('',#12737,#12738,#6562,.T.); -#15874=EDGE_CURVE('',#12738,#12735,#6563,.T.); -#15875=EDGE_CURVE('',#12739,#12740,#6564,.T.); -#15876=EDGE_CURVE('',#12740,#12741,#6565,.T.); -#15877=EDGE_CURVE('',#12741,#12742,#6566,.T.); -#15878=EDGE_CURVE('',#12742,#12739,#6567,.T.); -#15879=EDGE_CURVE('',#12743,#12744,#6568,.T.); -#15880=EDGE_CURVE('',#12744,#12745,#6569,.T.); -#15881=EDGE_CURVE('',#12745,#12746,#6570,.T.); -#15882=EDGE_CURVE('',#12746,#12743,#6571,.T.); -#15883=EDGE_CURVE('',#12747,#12748,#6572,.T.); -#15884=EDGE_CURVE('',#12748,#12749,#6573,.T.); -#15885=EDGE_CURVE('',#12749,#12750,#6574,.T.); -#15886=EDGE_CURVE('',#12750,#12747,#6575,.T.); -#15887=EDGE_CURVE('',#11951,#12676,#6576,.T.); -#15888=EDGE_CURVE('',#11950,#12675,#6577,.T.); -#15889=EDGE_CURVE('',#11952,#12678,#6578,.T.); -#15890=EDGE_CURVE('',#11953,#12677,#6579,.T.); -#15891=EDGE_CURVE('',#11955,#12680,#6580,.T.); -#15892=EDGE_CURVE('',#11954,#12679,#6581,.T.); -#15893=EDGE_CURVE('',#11956,#12682,#6582,.T.); -#15894=EDGE_CURVE('',#11957,#12681,#6583,.T.); -#15895=EDGE_CURVE('',#11959,#12684,#6584,.T.); -#15896=EDGE_CURVE('',#11958,#12683,#6585,.T.); -#15897=EDGE_CURVE('',#11960,#12686,#6586,.T.); -#15898=EDGE_CURVE('',#11961,#12685,#6587,.T.); -#15899=EDGE_CURVE('',#11963,#12688,#6588,.T.); -#15900=EDGE_CURVE('',#11962,#12687,#6589,.T.); -#15901=EDGE_CURVE('',#11964,#12690,#6590,.T.); -#15902=EDGE_CURVE('',#11965,#12689,#6591,.T.); -#15903=EDGE_CURVE('',#11967,#12692,#6592,.T.); -#15904=EDGE_CURVE('',#11966,#12691,#6593,.T.); -#15905=EDGE_CURVE('',#11968,#12694,#6594,.T.); -#15906=EDGE_CURVE('',#11969,#12693,#6595,.T.); -#15907=EDGE_CURVE('',#11971,#12696,#6596,.T.); -#15908=EDGE_CURVE('',#11970,#12695,#6597,.T.); -#15909=EDGE_CURVE('',#11972,#12698,#6598,.T.); -#15910=EDGE_CURVE('',#11973,#12697,#6599,.T.); -#15911=EDGE_CURVE('',#11975,#12700,#6600,.T.); -#15912=EDGE_CURVE('',#11974,#12699,#6601,.T.); -#15913=EDGE_CURVE('',#11976,#12702,#6602,.T.); -#15914=EDGE_CURVE('',#11977,#12701,#6603,.T.); -#15915=EDGE_CURVE('',#11979,#12704,#6604,.T.); -#15916=EDGE_CURVE('',#11978,#12703,#6605,.T.); -#15917=EDGE_CURVE('',#11980,#12706,#6606,.T.); -#15918=EDGE_CURVE('',#11981,#12705,#6607,.T.); -#15919=EDGE_CURVE('',#11983,#12708,#6608,.T.); -#15920=EDGE_CURVE('',#11982,#12707,#6609,.T.); -#15921=EDGE_CURVE('',#11984,#12710,#6610,.T.); -#15922=EDGE_CURVE('',#11985,#12709,#6611,.T.); -#15923=EDGE_CURVE('',#11987,#12712,#6612,.T.); -#15924=EDGE_CURVE('',#11986,#12711,#6613,.T.); -#15925=EDGE_CURVE('',#11988,#12714,#6614,.T.); -#15926=EDGE_CURVE('',#11989,#12713,#6615,.T.); -#15927=EDGE_CURVE('',#11991,#12716,#6616,.T.); -#15928=EDGE_CURVE('',#11990,#12715,#6617,.T.); -#15929=EDGE_CURVE('',#11992,#12718,#6618,.T.); -#15930=EDGE_CURVE('',#11993,#12717,#6619,.T.); -#15931=EDGE_CURVE('',#11995,#12720,#6620,.T.); -#15932=EDGE_CURVE('',#11994,#12719,#6621,.T.); -#15933=EDGE_CURVE('',#11996,#12722,#6622,.T.); -#15934=EDGE_CURVE('',#11997,#12721,#6623,.T.); -#15935=EDGE_CURVE('',#11999,#12724,#6624,.T.); -#15936=EDGE_CURVE('',#11998,#12723,#6625,.T.); -#15937=EDGE_CURVE('',#12000,#12726,#6626,.T.); -#15938=EDGE_CURVE('',#12001,#12725,#6627,.T.); -#15939=EDGE_CURVE('',#12003,#12728,#6628,.T.); -#15940=EDGE_CURVE('',#12002,#12727,#6629,.T.); -#15941=EDGE_CURVE('',#12004,#12730,#6630,.T.); -#15942=EDGE_CURVE('',#12005,#12729,#6631,.T.); -#15943=EDGE_CURVE('',#12007,#12732,#6632,.T.); -#15944=EDGE_CURVE('',#12006,#12731,#6633,.T.); -#15945=EDGE_CURVE('',#12008,#12734,#6634,.T.); -#15946=EDGE_CURVE('',#12009,#12733,#6635,.T.); -#15947=EDGE_CURVE('',#12011,#12736,#6636,.T.); -#15948=EDGE_CURVE('',#12010,#12735,#6637,.T.); -#15949=EDGE_CURVE('',#12012,#12738,#6638,.T.); -#15950=EDGE_CURVE('',#12013,#12737,#6639,.T.); -#15951=EDGE_CURVE('',#12015,#12740,#6640,.T.); -#15952=EDGE_CURVE('',#12014,#12739,#6641,.T.); -#15953=EDGE_CURVE('',#12016,#12742,#6642,.T.); -#15954=EDGE_CURVE('',#12017,#12741,#6643,.T.); -#15955=EDGE_CURVE('',#12019,#12744,#6644,.T.); -#15956=EDGE_CURVE('',#12018,#12743,#6645,.T.); -#15957=EDGE_CURVE('',#12020,#12746,#6646,.T.); -#15958=EDGE_CURVE('',#12021,#12745,#6647,.T.); -#15959=EDGE_CURVE('',#12023,#12748,#6648,.T.); -#15960=EDGE_CURVE('',#12022,#12747,#6649,.T.); -#15961=EDGE_CURVE('',#12024,#12750,#6650,.T.); -#15962=EDGE_CURVE('',#12025,#12749,#6651,.T.); -#15963=EDGE_CURVE('',#12027,#12751,#6652,.T.); -#15964=EDGE_CURVE('',#12752,#12751,#6653,.T.); -#15965=EDGE_CURVE('',#12026,#12752,#6654,.T.); -#15966=EDGE_CURVE('',#12753,#12752,#6655,.T.); -#15967=EDGE_CURVE('',#12028,#12753,#6656,.T.); -#15968=EDGE_CURVE('',#12754,#12753,#6657,.T.); -#15969=EDGE_CURVE('',#12029,#12754,#6658,.T.); -#15970=EDGE_CURVE('',#12751,#12754,#6659,.T.); -#15971=EDGE_CURVE('',#12755,#12756,#6660,.T.); -#15972=EDGE_CURVE('',#12756,#12757,#6661,.T.); -#15973=EDGE_CURVE('',#12757,#12758,#6662,.T.); -#15974=EDGE_CURVE('',#12758,#12755,#6663,.T.); -#15975=EDGE_CURVE('',#12759,#12760,#6664,.T.); -#15976=EDGE_CURVE('',#12760,#12761,#6665,.T.); -#15977=EDGE_CURVE('',#12761,#12762,#6666,.T.); -#15978=EDGE_CURVE('',#12762,#12759,#6667,.T.); -#15979=EDGE_CURVE('',#12763,#12764,#6668,.T.); -#15980=EDGE_CURVE('',#12764,#12765,#6669,.T.); -#15981=EDGE_CURVE('',#12765,#12766,#6670,.T.); -#15982=EDGE_CURVE('',#12766,#12763,#6671,.T.); -#15983=EDGE_CURVE('',#12767,#12768,#6672,.T.); -#15984=EDGE_CURVE('',#12768,#12769,#6673,.T.); -#15985=EDGE_CURVE('',#12769,#12770,#6674,.T.); -#15986=EDGE_CURVE('',#12770,#12767,#6675,.T.); -#15987=EDGE_CURVE('',#12771,#12772,#6676,.T.); -#15988=EDGE_CURVE('',#12772,#12773,#6677,.T.); -#15989=EDGE_CURVE('',#12773,#12774,#6678,.T.); -#15990=EDGE_CURVE('',#12774,#12771,#6679,.T.); -#15991=EDGE_CURVE('',#12775,#12776,#6680,.T.); -#15992=EDGE_CURVE('',#12776,#12777,#6681,.T.); -#15993=EDGE_CURVE('',#12777,#12778,#6682,.T.); -#15994=EDGE_CURVE('',#12778,#12775,#6683,.T.); -#15995=EDGE_CURVE('',#12779,#12780,#6684,.T.); -#15996=EDGE_CURVE('',#12780,#12781,#6685,.T.); -#15997=EDGE_CURVE('',#12781,#12782,#6686,.T.); -#15998=EDGE_CURVE('',#12782,#12779,#6687,.T.); -#15999=EDGE_CURVE('',#12783,#12784,#6688,.T.); -#16000=EDGE_CURVE('',#12784,#12785,#6689,.T.); -#16001=EDGE_CURVE('',#12785,#12786,#6690,.T.); -#16002=EDGE_CURVE('',#12786,#12783,#6691,.T.); -#16003=EDGE_CURVE('',#12787,#12788,#6692,.T.); -#16004=EDGE_CURVE('',#12788,#12789,#6693,.T.); -#16005=EDGE_CURVE('',#12789,#12790,#6694,.T.); -#16006=EDGE_CURVE('',#12790,#12787,#6695,.T.); -#16007=EDGE_CURVE('',#12791,#12792,#6696,.T.); -#16008=EDGE_CURVE('',#12792,#12793,#6697,.T.); -#16009=EDGE_CURVE('',#12793,#12794,#6698,.T.); -#16010=EDGE_CURVE('',#12794,#12791,#6699,.T.); -#16011=EDGE_CURVE('',#12795,#12796,#6700,.T.); -#16012=EDGE_CURVE('',#12796,#12797,#6701,.T.); -#16013=EDGE_CURVE('',#12797,#12798,#6702,.T.); -#16014=EDGE_CURVE('',#12798,#12795,#6703,.T.); -#16015=EDGE_CURVE('',#12799,#12800,#6704,.T.); -#16016=EDGE_CURVE('',#12800,#12801,#6705,.T.); -#16017=EDGE_CURVE('',#12801,#12802,#6706,.T.); -#16018=EDGE_CURVE('',#12802,#12799,#6707,.T.); -#16019=EDGE_CURVE('',#12803,#12804,#6708,.T.); -#16020=EDGE_CURVE('',#12804,#12805,#6709,.T.); -#16021=EDGE_CURVE('',#12805,#12806,#6710,.T.); -#16022=EDGE_CURVE('',#12806,#12803,#6711,.T.); -#16023=EDGE_CURVE('',#12807,#12808,#6712,.T.); -#16024=EDGE_CURVE('',#12808,#12809,#6713,.T.); -#16025=EDGE_CURVE('',#12809,#12810,#6714,.T.); -#16026=EDGE_CURVE('',#12810,#12807,#6715,.T.); -#16027=EDGE_CURVE('',#12811,#12812,#6716,.T.); -#16028=EDGE_CURVE('',#12812,#12813,#6717,.T.); -#16029=EDGE_CURVE('',#12813,#12814,#6718,.T.); -#16030=EDGE_CURVE('',#12814,#12811,#6719,.T.); -#16031=EDGE_CURVE('',#12815,#12816,#6720,.T.); -#16032=EDGE_CURVE('',#12816,#12817,#6721,.T.); -#16033=EDGE_CURVE('',#12817,#12818,#6722,.T.); -#16034=EDGE_CURVE('',#12818,#12815,#6723,.T.); -#16035=EDGE_CURVE('',#12819,#12820,#6724,.T.); -#16036=EDGE_CURVE('',#12820,#12821,#6725,.T.); -#16037=EDGE_CURVE('',#12821,#12822,#6726,.T.); -#16038=EDGE_CURVE('',#12822,#12819,#6727,.T.); -#16039=EDGE_CURVE('',#12823,#12824,#6728,.T.); -#16040=EDGE_CURVE('',#12824,#12825,#6729,.T.); -#16041=EDGE_CURVE('',#12825,#12826,#6730,.T.); -#16042=EDGE_CURVE('',#12826,#12823,#6731,.T.); -#16043=EDGE_CURVE('',#12031,#12756,#6732,.T.); -#16044=EDGE_CURVE('',#12030,#12755,#6733,.T.); -#16045=EDGE_CURVE('',#12032,#12758,#6734,.T.); -#16046=EDGE_CURVE('',#12033,#12757,#6735,.T.); -#16047=EDGE_CURVE('',#12035,#12760,#6736,.T.); -#16048=EDGE_CURVE('',#12034,#12759,#6737,.T.); -#16049=EDGE_CURVE('',#12036,#12762,#6738,.T.); -#16050=EDGE_CURVE('',#12037,#12761,#6739,.T.); -#16051=EDGE_CURVE('',#12039,#12764,#6740,.T.); -#16052=EDGE_CURVE('',#12038,#12763,#6741,.T.); -#16053=EDGE_CURVE('',#12040,#12766,#6742,.T.); -#16054=EDGE_CURVE('',#12041,#12765,#6743,.T.); -#16055=EDGE_CURVE('',#12043,#12768,#6744,.T.); -#16056=EDGE_CURVE('',#12042,#12767,#6745,.T.); -#16057=EDGE_CURVE('',#12044,#12770,#6746,.T.); -#16058=EDGE_CURVE('',#12045,#12769,#6747,.T.); -#16059=EDGE_CURVE('',#12047,#12772,#6748,.T.); -#16060=EDGE_CURVE('',#12046,#12771,#6749,.T.); -#16061=EDGE_CURVE('',#12048,#12774,#6750,.T.); -#16062=EDGE_CURVE('',#12049,#12773,#6751,.T.); -#16063=EDGE_CURVE('',#12051,#12776,#6752,.T.); -#16064=EDGE_CURVE('',#12050,#12775,#6753,.T.); -#16065=EDGE_CURVE('',#12052,#12778,#6754,.T.); -#16066=EDGE_CURVE('',#12053,#12777,#6755,.T.); -#16067=EDGE_CURVE('',#12055,#12780,#6756,.T.); -#16068=EDGE_CURVE('',#12054,#12779,#6757,.T.); -#16069=EDGE_CURVE('',#12056,#12782,#6758,.T.); -#16070=EDGE_CURVE('',#12057,#12781,#6759,.T.); -#16071=EDGE_CURVE('',#12059,#12784,#6760,.T.); -#16072=EDGE_CURVE('',#12058,#12783,#6761,.T.); -#16073=EDGE_CURVE('',#12060,#12786,#6762,.T.); -#16074=EDGE_CURVE('',#12061,#12785,#6763,.T.); -#16075=EDGE_CURVE('',#12063,#12788,#6764,.T.); -#16076=EDGE_CURVE('',#12062,#12787,#6765,.T.); -#16077=EDGE_CURVE('',#12064,#12790,#6766,.T.); -#16078=EDGE_CURVE('',#12065,#12789,#6767,.T.); -#16079=EDGE_CURVE('',#12067,#12792,#6768,.T.); -#16080=EDGE_CURVE('',#12066,#12791,#6769,.T.); -#16081=EDGE_CURVE('',#12068,#12794,#6770,.T.); -#16082=EDGE_CURVE('',#12069,#12793,#6771,.T.); -#16083=EDGE_CURVE('',#12071,#12796,#6772,.T.); -#16084=EDGE_CURVE('',#12070,#12795,#6773,.T.); -#16085=EDGE_CURVE('',#12072,#12798,#6774,.T.); -#16086=EDGE_CURVE('',#12073,#12797,#6775,.T.); -#16087=EDGE_CURVE('',#12075,#12800,#6776,.T.); -#16088=EDGE_CURVE('',#12074,#12799,#6777,.T.); -#16089=EDGE_CURVE('',#12076,#12802,#6778,.T.); -#16090=EDGE_CURVE('',#12077,#12801,#6779,.T.); -#16091=EDGE_CURVE('',#12079,#12804,#6780,.T.); -#16092=EDGE_CURVE('',#12078,#12803,#6781,.T.); -#16093=EDGE_CURVE('',#12080,#12806,#6782,.T.); -#16094=EDGE_CURVE('',#12081,#12805,#6783,.T.); -#16095=EDGE_CURVE('',#12083,#12808,#6784,.T.); -#16096=EDGE_CURVE('',#12082,#12807,#6785,.T.); -#16097=EDGE_CURVE('',#12084,#12810,#6786,.T.); -#16098=EDGE_CURVE('',#12085,#12809,#6787,.T.); -#16099=EDGE_CURVE('',#12087,#12812,#6788,.T.); -#16100=EDGE_CURVE('',#12086,#12811,#6789,.T.); -#16101=EDGE_CURVE('',#12088,#12814,#6790,.T.); -#16102=EDGE_CURVE('',#12089,#12813,#6791,.T.); -#16103=EDGE_CURVE('',#12091,#12816,#6792,.T.); -#16104=EDGE_CURVE('',#12090,#12815,#6793,.T.); -#16105=EDGE_CURVE('',#12092,#12818,#6794,.T.); -#16106=EDGE_CURVE('',#12093,#12817,#6795,.T.); -#16107=EDGE_CURVE('',#12095,#12820,#6796,.T.); -#16108=EDGE_CURVE('',#12094,#12819,#6797,.T.); -#16109=EDGE_CURVE('',#12096,#12822,#6798,.T.); -#16110=EDGE_CURVE('',#12097,#12821,#6799,.T.); -#16111=EDGE_CURVE('',#12099,#12824,#6800,.T.); -#16112=EDGE_CURVE('',#12098,#12823,#6801,.T.); -#16113=EDGE_CURVE('',#12100,#12826,#6802,.T.); -#16114=EDGE_CURVE('',#12101,#12825,#6803,.T.); -#16115=EDGE_CURVE('',#12103,#12827,#6804,.T.); -#16116=EDGE_CURVE('',#12828,#12827,#6805,.T.); -#16117=EDGE_CURVE('',#12102,#12828,#6806,.T.); -#16118=EDGE_CURVE('',#12829,#12828,#6807,.T.); -#16119=EDGE_CURVE('',#12104,#12829,#6808,.T.); -#16120=EDGE_CURVE('',#12830,#12829,#6809,.T.); -#16121=EDGE_CURVE('',#12105,#12830,#6810,.T.); -#16122=EDGE_CURVE('',#12827,#12830,#6811,.T.); -#16123=EDGE_CURVE('',#12831,#12832,#6812,.T.); -#16124=EDGE_CURVE('',#12832,#12833,#6813,.T.); -#16125=EDGE_CURVE('',#12833,#12834,#6814,.T.); -#16126=EDGE_CURVE('',#12834,#12831,#6815,.T.); -#16127=EDGE_CURVE('',#12835,#12836,#6816,.T.); -#16128=EDGE_CURVE('',#12836,#12837,#6817,.T.); -#16129=EDGE_CURVE('',#12837,#12838,#6818,.T.); -#16130=EDGE_CURVE('',#12838,#12835,#6819,.T.); -#16131=EDGE_CURVE('',#12839,#12840,#6820,.T.); -#16132=EDGE_CURVE('',#12840,#12841,#6821,.T.); -#16133=EDGE_CURVE('',#12841,#12842,#6822,.T.); -#16134=EDGE_CURVE('',#12842,#12839,#6823,.T.); -#16135=EDGE_CURVE('',#12843,#12844,#6824,.T.); -#16136=EDGE_CURVE('',#12844,#12845,#6825,.T.); -#16137=EDGE_CURVE('',#12845,#12846,#6826,.T.); -#16138=EDGE_CURVE('',#12846,#12843,#6827,.T.); -#16139=EDGE_CURVE('',#12847,#12848,#6828,.T.); -#16140=EDGE_CURVE('',#12848,#12849,#6829,.T.); -#16141=EDGE_CURVE('',#12849,#12850,#6830,.T.); -#16142=EDGE_CURVE('',#12850,#12847,#6831,.T.); -#16143=EDGE_CURVE('',#12851,#12852,#6832,.T.); -#16144=EDGE_CURVE('',#12852,#12853,#6833,.T.); -#16145=EDGE_CURVE('',#12853,#12854,#6834,.T.); -#16146=EDGE_CURVE('',#12854,#12851,#6835,.T.); -#16147=EDGE_CURVE('',#12855,#12856,#6836,.T.); -#16148=EDGE_CURVE('',#12856,#12857,#6837,.T.); -#16149=EDGE_CURVE('',#12857,#12858,#6838,.T.); -#16150=EDGE_CURVE('',#12858,#12855,#6839,.T.); -#16151=EDGE_CURVE('',#12107,#12832,#6840,.T.); -#16152=EDGE_CURVE('',#12106,#12831,#6841,.T.); -#16153=EDGE_CURVE('',#12108,#12834,#6842,.T.); -#16154=EDGE_CURVE('',#12109,#12833,#6843,.T.); -#16155=EDGE_CURVE('',#12111,#12836,#6844,.T.); -#16156=EDGE_CURVE('',#12110,#12835,#6845,.T.); -#16157=EDGE_CURVE('',#12112,#12838,#6846,.T.); -#16158=EDGE_CURVE('',#12113,#12837,#6847,.T.); -#16159=EDGE_CURVE('',#12115,#12840,#6848,.T.); -#16160=EDGE_CURVE('',#12114,#12839,#6849,.T.); -#16161=EDGE_CURVE('',#12116,#12842,#6850,.T.); -#16162=EDGE_CURVE('',#12117,#12841,#6851,.T.); -#16163=EDGE_CURVE('',#12119,#12844,#6852,.T.); -#16164=EDGE_CURVE('',#12118,#12843,#6853,.T.); -#16165=EDGE_CURVE('',#12120,#12846,#6854,.T.); -#16166=EDGE_CURVE('',#12121,#12845,#6855,.T.); -#16167=EDGE_CURVE('',#12123,#12848,#6856,.T.); -#16168=EDGE_CURVE('',#12122,#12847,#6857,.T.); -#16169=EDGE_CURVE('',#12124,#12850,#6858,.T.); -#16170=EDGE_CURVE('',#12125,#12849,#6859,.T.); -#16171=EDGE_CURVE('',#12127,#12852,#6860,.T.); -#16172=EDGE_CURVE('',#12126,#12851,#6861,.T.); -#16173=EDGE_CURVE('',#12128,#12854,#6862,.T.); -#16174=EDGE_CURVE('',#12129,#12853,#6863,.T.); -#16175=EDGE_CURVE('',#12131,#12856,#6864,.T.); -#16176=EDGE_CURVE('',#12130,#12855,#6865,.T.); -#16177=EDGE_CURVE('',#12132,#12858,#6866,.T.); -#16178=EDGE_CURVE('',#12133,#12857,#6867,.T.); -#16179=EDGE_CURVE('',#12135,#12859,#6868,.T.); -#16180=EDGE_CURVE('',#12860,#12859,#6869,.T.); -#16181=EDGE_CURVE('',#12134,#12860,#6870,.T.); -#16182=EDGE_CURVE('',#12861,#12860,#6871,.T.); -#16183=EDGE_CURVE('',#12136,#12861,#6872,.T.); -#16184=EDGE_CURVE('',#12862,#12861,#6873,.T.); -#16185=EDGE_CURVE('',#12137,#12862,#6874,.T.); -#16186=EDGE_CURVE('',#12859,#12862,#6875,.T.); -#16187=EDGE_CURVE('',#12863,#12864,#6876,.T.); -#16188=EDGE_CURVE('',#12864,#12865,#6877,.T.); -#16189=EDGE_CURVE('',#12865,#12866,#6878,.T.); -#16190=EDGE_CURVE('',#12866,#12863,#6879,.T.); -#16191=EDGE_CURVE('',#12867,#12868,#6880,.T.); -#16192=EDGE_CURVE('',#12868,#12869,#6881,.T.); -#16193=EDGE_CURVE('',#12869,#12870,#6882,.T.); -#16194=EDGE_CURVE('',#12870,#12867,#6883,.T.); -#16195=EDGE_CURVE('',#12871,#12872,#6884,.T.); -#16196=EDGE_CURVE('',#12872,#12873,#6885,.T.); -#16197=EDGE_CURVE('',#12873,#12874,#6886,.T.); -#16198=EDGE_CURVE('',#12874,#12871,#6887,.T.); -#16199=EDGE_CURVE('',#12875,#12876,#6888,.T.); -#16200=EDGE_CURVE('',#12876,#12877,#6889,.T.); -#16201=EDGE_CURVE('',#12877,#12878,#6890,.T.); -#16202=EDGE_CURVE('',#12878,#12875,#6891,.T.); -#16203=EDGE_CURVE('',#12139,#12864,#6892,.T.); -#16204=EDGE_CURVE('',#12138,#12863,#6893,.T.); -#16205=EDGE_CURVE('',#12140,#12866,#6894,.T.); -#16206=EDGE_CURVE('',#12141,#12865,#6895,.T.); -#16207=EDGE_CURVE('',#12143,#12868,#6896,.T.); -#16208=EDGE_CURVE('',#12142,#12867,#6897,.T.); -#16209=EDGE_CURVE('',#12144,#12870,#6898,.T.); -#16210=EDGE_CURVE('',#12145,#12869,#6899,.T.); -#16211=EDGE_CURVE('',#12147,#12872,#6900,.T.); -#16212=EDGE_CURVE('',#12146,#12871,#6901,.T.); -#16213=EDGE_CURVE('',#12148,#12874,#6902,.T.); -#16214=EDGE_CURVE('',#12149,#12873,#6903,.T.); -#16215=EDGE_CURVE('',#12151,#12876,#6904,.T.); -#16216=EDGE_CURVE('',#12150,#12875,#6905,.T.); -#16217=EDGE_CURVE('',#12152,#12878,#6906,.T.); -#16218=EDGE_CURVE('',#12153,#12877,#6907,.T.); -#16219=EDGE_CURVE('',#12155,#12879,#6908,.T.); -#16220=EDGE_CURVE('',#12880,#12879,#6909,.T.); -#16221=EDGE_CURVE('',#12154,#12880,#6910,.T.); -#16222=EDGE_CURVE('',#12881,#12880,#6911,.T.); -#16223=EDGE_CURVE('',#12156,#12881,#6912,.T.); -#16224=EDGE_CURVE('',#12882,#12881,#6913,.T.); -#16225=EDGE_CURVE('',#12157,#12882,#6914,.T.); -#16226=EDGE_CURVE('',#12879,#12882,#6915,.T.); -#16227=EDGE_CURVE('',#12883,#12884,#6916,.T.); -#16228=EDGE_CURVE('',#12884,#12885,#6917,.T.); -#16229=EDGE_CURVE('',#12885,#12886,#6918,.T.); -#16230=EDGE_CURVE('',#12886,#12883,#6919,.T.); -#16231=EDGE_CURVE('',#12159,#12884,#6920,.T.); -#16232=EDGE_CURVE('',#12158,#12883,#6921,.T.); -#16233=EDGE_CURVE('',#12160,#12886,#6922,.T.); -#16234=EDGE_CURVE('',#12161,#12885,#6923,.T.); -#16235=EDGE_CURVE('',#12163,#12887,#6924,.T.); -#16236=EDGE_CURVE('',#12888,#12887,#6925,.T.); -#16237=EDGE_CURVE('',#12162,#12888,#6926,.T.); -#16238=EDGE_CURVE('',#12167,#12889,#6927,.T.); -#16239=EDGE_CURVE('',#12890,#12889,#6928,.T.); -#16240=EDGE_CURVE('',#12168,#12890,#6929,.T.); -#16241=EDGE_CURVE('',#12891,#12888,#6930,.T.); -#16242=EDGE_CURVE('',#12164,#12891,#6931,.T.); -#16243=EDGE_CURVE('',#12892,#12890,#6932,.T.); -#16244=EDGE_CURVE('',#12169,#12892,#6933,.T.); -#16245=EDGE_CURVE('',#12173,#12893,#6934,.T.); -#16246=EDGE_CURVE('',#12887,#12893,#6935,.T.); -#16247=EDGE_CURVE('',#12894,#12892,#6936,.T.); -#16248=EDGE_CURVE('',#12170,#12894,#6937,.T.); -#16249=EDGE_CURVE('',#12893,#12891,#6938,.T.); -#16250=EDGE_CURVE('',#12894,#12889,#6939,.T.); -#16251=EDGE_CURVE('',#12895,#12896,#6940,.T.); -#16252=EDGE_CURVE('',#12895,#12897,#6941,.T.); -#16253=EDGE_CURVE('',#12897,#12898,#6942,.T.); -#16254=EDGE_CURVE('',#12898,#12896,#6943,.T.); -#16255=EDGE_CURVE('',#12899,#12900,#6944,.T.); -#16256=EDGE_CURVE('',#12900,#12901,#6945,.T.); -#16257=EDGE_CURVE('',#12901,#12902,#6946,.T.); -#16258=EDGE_CURVE('',#12902,#12899,#6947,.T.); -#16259=EDGE_CURVE('',#12172,#12903,#6948,.T.); -#16260=EDGE_CURVE('',#12904,#12903,#6949,.T.); -#16261=EDGE_CURVE('',#12165,#12904,#6950,.T.); -#16262=EDGE_CURVE('',#12905,#12904,#6951,.T.); -#16263=EDGE_CURVE('',#12166,#12905,#6952,.T.); -#16264=EDGE_CURVE('',#12906,#12905,#6953,.T.); -#16265=EDGE_CURVE('',#12171,#12906,#6954,.T.); -#16266=EDGE_CURVE('',#12903,#12906,#6955,.T.); -#16267=EDGE_CURVE('',#12907,#12908,#6956,.T.); -#16268=EDGE_CURVE('',#12908,#12909,#6957,.T.); -#16269=EDGE_CURVE('',#12909,#12910,#6958,.T.); -#16270=EDGE_CURVE('',#12910,#12907,#6959,.T.); -#16271=EDGE_CURVE('',#12180,#12896,#6960,.T.); -#16272=EDGE_CURVE('',#12179,#12908,#6961,.T.); -#16273=EDGE_CURVE('',#12184,#12907,#6962,.T.); -#16274=EDGE_CURVE('',#12183,#12895,#6963,.T.); -#16275=EDGE_CURVE('',#12175,#12899,#6964,.T.); -#16276=EDGE_CURVE('',#12174,#12902,#6965,.T.); -#16277=EDGE_CURVE('',#12182,#12897,#6966,.T.); -#16278=EDGE_CURVE('',#12176,#12901,#6967,.T.); -#16279=EDGE_CURVE('',#12181,#12898,#6968,.T.); -#16280=EDGE_CURVE('',#12177,#12900,#6969,.T.); -#16281=EDGE_CURVE('',#12185,#12910,#6970,.T.); -#16282=EDGE_CURVE('',#12178,#12909,#6971,.T.); -#16283=EDGE_CURVE('',#12187,#12911,#6972,.T.); -#16284=EDGE_CURVE('',#12912,#12911,#6973,.T.); -#16285=EDGE_CURVE('',#12186,#12912,#6974,.T.); -#16286=EDGE_CURVE('',#12913,#12912,#6975,.T.); -#16287=EDGE_CURVE('',#12188,#12913,#6976,.T.); -#16288=EDGE_CURVE('',#12914,#12915,#6977,.T.); -#16289=EDGE_CURVE('',#12189,#12915,#6978,.T.); -#16290=EDGE_CURVE('',#12913,#12916,#6979,.T.); -#16291=EDGE_CURVE('',#12201,#12916,#6980,.T.); -#16292=EDGE_CURVE('',#12200,#12917,#6981,.T.); -#16293=EDGE_CURVE('',#12918,#12917,#6982,.T.); -#16294=EDGE_CURVE('',#12193,#12918,#6983,.T.); -#16295=EDGE_CURVE('',#12192,#12914,#6984,.T.); -#16296=EDGE_CURVE('',#12919,#12920,#6985,.T.); -#16297=EDGE_CURVE('',#12919,#12921,#6986,.T.); -#16298=EDGE_CURVE('',#12921,#12922,#6987,.T.); -#16299=EDGE_CURVE('',#12922,#12920,#6988,.T.); -#16300=EDGE_CURVE('',#12923,#12924,#6989,.T.); -#16301=EDGE_CURVE('',#12923,#12925,#6990,.T.); -#16302=EDGE_CURVE('',#12925,#12926,#6991,.T.); -#16303=EDGE_CURVE('',#12926,#12924,#6992,.T.); -#16304=EDGE_CURVE('',#12927,#12928,#6993,.T.); -#16305=EDGE_CURVE('',#12928,#12929,#6994,.T.); -#16306=EDGE_CURVE('',#12929,#12930,#6995,.T.); -#16307=EDGE_CURVE('',#12930,#12927,#6996,.T.); -#16308=EDGE_CURVE('',#12931,#12932,#6997,.T.); -#16309=EDGE_CURVE('',#12931,#12933,#6998,.T.); -#16310=EDGE_CURVE('',#12933,#12934,#6999,.T.); -#16311=EDGE_CURVE('',#12934,#12932,#7000,.T.); -#16312=EDGE_CURVE('',#12935,#12936,#7001,.T.); -#16313=EDGE_CURVE('',#12936,#12937,#7002,.T.); -#16314=EDGE_CURVE('',#12937,#12938,#7003,.T.); -#16315=EDGE_CURVE('',#12938,#12935,#7004,.T.); -#16316=EDGE_CURVE('',#12939,#12940,#7005,.T.); -#16317=EDGE_CURVE('',#12940,#12941,#7006,.T.); -#16318=EDGE_CURVE('',#12941,#12942,#7007,.T.); -#16319=EDGE_CURVE('',#12942,#12939,#7008,.T.); -#16320=EDGE_CURVE('',#12943,#12944,#7009,.T.); -#16321=EDGE_CURVE('',#12943,#12945,#7010,.T.); -#16322=EDGE_CURVE('',#12945,#12946,#7011,.T.); -#16323=EDGE_CURVE('',#12946,#12944,#7012,.T.); -#16324=EDGE_CURVE('',#12914,#12947,#7013,.T.); -#16325=EDGE_CURVE('',#12947,#12948,#7014,.T.); -#16326=EDGE_CURVE('',#12948,#12915,#7015,.T.); -#16327=EDGE_CURVE('',#12911,#12916,#7016,.T.); -#16328=EDGE_CURVE('',#12949,#12950,#7017,.T.); -#16329=EDGE_CURVE('',#12950,#12951,#7018,.T.); -#16330=EDGE_CURVE('',#12951,#12952,#7019,.T.); -#16331=EDGE_CURVE('',#12952,#12949,#7020,.T.); -#16332=EDGE_CURVE('',#12953,#12954,#7021,.T.); -#16333=EDGE_CURVE('',#12953,#12955,#7022,.T.); -#16334=EDGE_CURVE('',#12955,#12956,#7023,.T.); -#16335=EDGE_CURVE('',#12956,#12954,#7024,.T.); -#16336=EDGE_CURVE('',#12957,#12958,#7025,.T.); -#16337=EDGE_CURVE('',#12957,#12959,#7026,.T.); -#16338=EDGE_CURVE('',#12959,#12960,#7027,.T.); -#16339=EDGE_CURVE('',#12960,#12958,#7028,.T.); -#16340=EDGE_CURVE('',#12244,#12920,#7029,.T.); -#16341=EDGE_CURVE('',#12243,#12923,#7030,.T.); -#16342=EDGE_CURVE('',#12240,#12924,#7031,.T.); -#16343=EDGE_CURVE('',#12239,#12961,#7032,.T.); -#16344=EDGE_CURVE('',#12962,#12961,#7033,.T.); -#16345=EDGE_CURVE('',#12248,#12962,#7034,.T.); -#16346=EDGE_CURVE('',#12247,#12919,#7035,.T.); -#16347=EDGE_CURVE('',#12246,#12921,#7036,.T.); -#16348=EDGE_CURVE('',#12245,#12922,#7037,.T.); -#16349=EDGE_CURVE('',#12963,#12962,#7038,.T.); -#16350=EDGE_CURVE('',#12249,#12963,#7039,.T.); -#16351=EDGE_CURVE('',#12235,#12927,#7040,.T.); -#16352=EDGE_CURVE('',#12964,#12963,#7041,.T.); -#16353=EDGE_CURVE('',#12238,#12964,#7042,.T.); -#16354=EDGE_CURVE('',#12237,#12928,#7043,.T.); -#16355=EDGE_CURVE('',#12236,#12929,#7044,.T.); -#16356=EDGE_CURVE('',#12234,#12930,#7045,.T.); -#16357=EDGE_CURVE('',#12961,#12964,#7046,.T.); -#16358=EDGE_CURVE('',#12965,#12966,#7047,.T.); -#16359=EDGE_CURVE('',#12966,#12967,#7048,.T.); -#16360=EDGE_CURVE('',#12967,#12968,#7049,.T.); -#16361=EDGE_CURVE('',#12968,#12965,#7050,.T.); -#16362=EDGE_CURVE('',#12917,#12969,#7051,.T.); -#16363=EDGE_CURVE('',#12969,#12970,#7052,.T.); -#16364=EDGE_CURVE('',#12970,#12918,#7053,.T.); -#16365=EDGE_CURVE('',#12971,#12972,#7054,.T.); -#16366=EDGE_CURVE('',#12972,#12973,#7055,.T.); -#16367=EDGE_CURVE('',#12973,#12974,#7056,.T.); -#16368=EDGE_CURVE('',#12974,#12971,#7057,.T.); -#16369=EDGE_CURVE('',#12221,#12966,#7058,.T.); -#16370=EDGE_CURVE('',#12222,#12965,#7059,.T.); -#16371=EDGE_CURVE('',#12223,#12935,#7060,.T.); -#16372=EDGE_CURVE('',#12231,#12968,#7061,.T.); -#16373=EDGE_CURVE('',#12230,#12940,#7062,.T.); -#16374=EDGE_CURVE('',#12227,#12939,#7063,.T.); -#16375=EDGE_CURVE('',#12226,#12936,#7064,.T.); -#16376=EDGE_CURVE('',#12225,#12937,#7065,.T.); -#16377=EDGE_CURVE('',#12224,#12938,#7066,.T.); -#16378=EDGE_CURVE('',#12228,#12942,#7067,.T.); -#16379=EDGE_CURVE('',#12229,#12941,#7068,.T.); -#16380=EDGE_CURVE('',#12232,#12967,#7069,.T.); -#16381=EDGE_CURVE('',#12233,#12932,#7070,.T.); -#16382=EDGE_CURVE('',#12220,#12931,#7071,.T.); -#16383=EDGE_CURVE('',#12218,#12933,#7072,.T.); -#16384=EDGE_CURVE('',#12219,#12934,#7073,.T.); -#16385=EDGE_CURVE('',#12199,#12969,#7074,.T.); -#16386=EDGE_CURVE('',#12195,#12944,#7075,.T.); -#16387=EDGE_CURVE('',#12194,#12970,#7076,.T.); -#16388=EDGE_CURVE('',#12198,#12943,#7077,.T.); -#16389=EDGE_CURVE('',#12197,#12945,#7078,.T.); -#16390=EDGE_CURVE('',#12196,#12946,#7079,.T.); -#16391=EDGE_CURVE('',#12216,#12972,#7080,.T.); -#16392=EDGE_CURVE('',#12217,#12971,#7081,.T.); -#16393=EDGE_CURVE('',#12206,#12954,#7082,.T.); -#16394=EDGE_CURVE('',#12205,#12957,#7083,.T.); -#16395=EDGE_CURVE('',#12203,#12958,#7084,.T.); -#16396=EDGE_CURVE('',#12210,#12974,#7085,.T.); -#16397=EDGE_CURVE('',#12209,#12953,#7086,.T.); -#16398=EDGE_CURVE('',#12208,#12955,#7087,.T.); -#16399=EDGE_CURVE('',#12207,#12956,#7088,.T.); -#16400=EDGE_CURVE('',#12211,#12973,#7089,.T.); -#16401=EDGE_CURVE('',#12212,#12949,#7090,.T.); -#16402=EDGE_CURVE('',#12215,#12950,#7091,.T.); -#16403=EDGE_CURVE('',#12214,#12951,#7092,.T.); -#16404=EDGE_CURVE('',#12213,#12952,#7093,.T.); -#16405=EDGE_CURVE('',#12202,#12960,#7094,.T.); -#16406=EDGE_CURVE('',#12204,#12959,#7095,.T.); -#16407=EDGE_CURVE('',#12241,#12926,#7096,.T.); -#16408=EDGE_CURVE('',#12242,#12925,#7097,.T.); -#16409=EDGE_CURVE('',#12190,#12948,#7098,.T.); -#16410=EDGE_CURVE('',#12191,#12947,#7099,.T.); -#16411=EDGE_CURVE('',#12251,#12975,#7100,.T.); -#16412=EDGE_CURVE('',#12976,#12975,#7101,.T.); -#16413=EDGE_CURVE('',#12250,#12976,#7102,.T.); -#16414=EDGE_CURVE('',#12258,#12977,#7103,.T.); -#16415=EDGE_CURVE('',#12978,#12977,#7104,.T.); -#16416=EDGE_CURVE('',#12259,#12978,#7105,.T.); -#16417=EDGE_CURVE('',#12979,#12976,#7106,.T.); -#16418=EDGE_CURVE('',#12252,#12979,#7107,.T.); -#16419=EDGE_CURVE('',#12256,#12980,#7108,.T.); -#16420=EDGE_CURVE('',#12981,#12980,#7109,.T.); -#16421=EDGE_CURVE('',#12257,#12981,#7110,.T.); -#16422=EDGE_CURVE('',#12982,#12979,#7111,.T.); -#16423=EDGE_CURVE('',#12253,#12982,#7112,.T.); -#16424=EDGE_CURVE('',#12977,#12981,#7113,.T.); -#16425=EDGE_CURVE('',#12978,#12980,#7114,.T.); -#16426=EDGE_CURVE('',#12975,#12982,#7115,.T.); -#16427=EDGE_CURVE('',#12255,#12983,#7116,.T.); -#16428=EDGE_CURVE('',#12984,#12983,#7117,.T.); -#16429=EDGE_CURVE('',#12260,#12984,#7118,.T.); -#16430=EDGE_CURVE('',#12985,#12984,#7119,.T.); -#16431=EDGE_CURVE('',#12261,#12985,#7120,.T.); -#16432=EDGE_CURVE('',#12986,#12985,#7121,.T.); -#16433=EDGE_CURVE('',#12254,#12986,#7122,.T.); -#16434=EDGE_CURVE('',#12983,#12986,#7123,.T.); -#16435=EDGE_CURVE('',#12263,#12987,#7124,.T.); -#16436=EDGE_CURVE('',#12988,#12987,#7125,.T.); -#16437=EDGE_CURVE('',#12262,#12988,#7126,.T.); -#16438=EDGE_CURVE('',#12282,#12989,#7127,.T.); -#16439=EDGE_CURVE('',#12990,#12989,#7128,.T.); -#16440=EDGE_CURVE('',#12283,#12990,#7129,.T.); -#16441=EDGE_CURVE('',#12991,#12988,#7130,.T.); -#16442=EDGE_CURVE('',#12264,#12991,#7131,.T.); -#16443=EDGE_CURVE('',#12280,#12992,#7132,.T.); -#16444=EDGE_CURVE('',#12993,#12992,#7133,.T.); -#16445=EDGE_CURVE('',#12281,#12993,#7134,.T.); -#16446=EDGE_CURVE('',#12994,#12991,#7135,.T.); -#16447=EDGE_CURVE('',#12265,#12994,#7136,.T.); -#16448=EDGE_CURVE('',#12989,#12993,#7137,.T.); -#16449=EDGE_CURVE('',#12990,#12992,#7138,.T.); -#16450=EDGE_CURVE('',#12995,#12996,#7139,.T.); -#16451=EDGE_CURVE('',#12995,#12997,#7140,.T.); -#16452=EDGE_CURVE('',#12997,#12998,#7141,.T.); -#16453=EDGE_CURVE('',#12998,#12996,#7142,.T.); -#16454=EDGE_CURVE('',#12999,#13000,#7143,.T.); -#16455=EDGE_CURVE('',#12999,#13001,#7144,.T.); -#16456=EDGE_CURVE('',#13001,#13002,#7145,.T.); -#16457=EDGE_CURVE('',#13002,#13000,#7146,.T.); -#16458=EDGE_CURVE('',#12987,#12994,#7147,.T.); -#16459=EDGE_CURVE('',#13003,#13004,#7148,.T.); -#16460=EDGE_CURVE('',#13004,#13005,#7149,.T.); -#16461=EDGE_CURVE('',#13005,#13006,#7150,.T.); -#16462=EDGE_CURVE('',#13006,#13003,#7151,.T.); -#16463=EDGE_CURVE('',#12279,#12995,#7152,.T.); -#16464=EDGE_CURVE('',#12276,#12996,#7153,.T.); -#16465=EDGE_CURVE('',#12275,#12999,#7154,.T.); -#16466=EDGE_CURVE('',#12272,#13000,#7155,.T.); -#16467=EDGE_CURVE('',#12271,#13007,#7156,.T.); -#16468=EDGE_CURVE('',#13008,#13007,#7157,.T.); -#16469=EDGE_CURVE('',#12284,#13008,#7158,.T.); -#16470=EDGE_CURVE('',#13009,#13008,#7159,.T.); -#16471=EDGE_CURVE('',#12285,#13009,#7160,.T.); -#16472=EDGE_CURVE('',#13010,#13009,#7161,.T.); -#16473=EDGE_CURVE('',#12270,#13010,#7162,.T.); -#16474=EDGE_CURVE('',#12269,#13004,#7163,.T.); -#16475=EDGE_CURVE('',#12266,#13003,#7164,.T.); -#16476=EDGE_CURVE('',#12267,#13006,#7165,.T.); -#16477=EDGE_CURVE('',#12274,#13001,#7166,.T.); -#16478=EDGE_CURVE('',#12268,#13005,#7167,.T.); -#16479=EDGE_CURVE('',#12273,#13002,#7168,.T.); -#16480=EDGE_CURVE('',#13007,#13010,#7169,.T.); -#16481=EDGE_CURVE('',#12277,#12998,#7170,.T.); -#16482=EDGE_CURVE('',#12278,#12997,#7171,.T.); -#16483=EDGE_CURVE('',#12287,#13011,#7172,.T.); -#16484=EDGE_CURVE('',#13012,#13011,#7173,.T.); -#16485=EDGE_CURVE('',#12286,#13012,#7174,.T.); -#16486=EDGE_CURVE('',#13013,#13012,#7175,.T.); -#16487=EDGE_CURVE('',#12288,#13013,#7176,.T.); -#16488=EDGE_CURVE('',#13014,#13013,#7177,.T.); -#16489=EDGE_CURVE('',#12289,#13014,#7178,.T.); -#16490=EDGE_CURVE('',#13011,#13014,#7179,.T.); -#16491=EDGE_CURVE('',#12291,#13015,#7180,.T.); -#16492=EDGE_CURVE('',#13016,#13015,#7181,.T.); -#16493=EDGE_CURVE('',#12290,#13016,#7182,.T.); -#16494=EDGE_CURVE('',#13017,#13016,#7183,.T.); -#16495=EDGE_CURVE('',#12292,#13017,#7184,.T.); -#16496=EDGE_CURVE('',#13018,#13017,#7185,.T.); -#16497=EDGE_CURVE('',#12293,#13018,#7186,.T.); -#16498=EDGE_CURVE('',#13015,#13018,#7187,.T.); -#16499=EDGE_CURVE('',#12295,#13019,#7188,.T.); -#16500=EDGE_CURVE('',#13020,#13019,#7189,.T.); -#16501=EDGE_CURVE('',#12294,#13020,#7190,.T.); -#16502=EDGE_CURVE('',#12299,#13021,#7191,.T.); -#16503=EDGE_CURVE('',#13022,#13021,#7192,.T.); -#16504=EDGE_CURVE('',#12300,#13022,#7193,.T.); -#16505=EDGE_CURVE('',#13023,#13020,#7194,.T.); -#16506=EDGE_CURVE('',#12296,#13023,#7195,.T.); -#16507=EDGE_CURVE('',#13024,#13022,#7196,.T.); -#16508=EDGE_CURVE('',#12301,#13024,#7197,.T.); -#16509=EDGE_CURVE('',#12317,#13025,#7198,.T.); -#16510=EDGE_CURVE('',#13019,#13025,#7199,.T.); -#16511=EDGE_CURVE('',#13026,#13024,#7200,.T.); -#16512=EDGE_CURVE('',#12302,#13026,#7201,.T.); -#16513=EDGE_CURVE('',#13027,#13028,#7202,.T.); -#16514=EDGE_CURVE('',#13028,#13029,#7203,.T.); -#16515=EDGE_CURVE('',#13029,#13030,#7204,.T.); -#16516=EDGE_CURVE('',#13030,#13027,#7205,.T.); -#16517=EDGE_CURVE('',#13025,#13023,#7206,.T.); -#16518=EDGE_CURVE('',#13031,#13032,#7207,.T.); -#16519=EDGE_CURVE('',#13031,#13033,#7208,.T.); -#16520=EDGE_CURVE('',#13033,#13034,#7209,.T.); -#16521=EDGE_CURVE('',#13034,#13032,#7210,.T.); -#16522=EDGE_CURVE('',#13035,#13036,#7211,.T.); -#16523=EDGE_CURVE('',#13035,#13037,#7212,.T.); -#16524=EDGE_CURVE('',#13037,#13038,#7213,.T.); -#16525=EDGE_CURVE('',#13038,#13036,#7214,.T.); -#16526=EDGE_CURVE('',#13026,#13021,#7215,.T.); -#16527=EDGE_CURVE('',#12313,#13027,#7216,.T.); -#16528=EDGE_CURVE('',#12312,#13039,#7217,.T.); -#16529=EDGE_CURVE('',#13040,#13039,#7218,.T.); -#16530=EDGE_CURVE('',#12297,#13040,#7219,.T.); -#16531=EDGE_CURVE('',#12316,#13028,#7220,.T.); -#16532=EDGE_CURVE('',#12307,#13032,#7221,.T.); -#16533=EDGE_CURVE('',#12308,#13034,#7222,.T.); -#16534=EDGE_CURVE('',#12315,#13029,#7223,.T.); -#16535=EDGE_CURVE('',#12309,#13033,#7224,.T.); -#16536=EDGE_CURVE('',#12314,#13030,#7225,.T.); -#16537=EDGE_CURVE('',#12310,#13031,#7226,.T.); -#16538=EDGE_CURVE('',#12306,#13035,#7227,.T.); -#16539=EDGE_CURVE('',#12303,#13036,#7228,.T.); -#16540=EDGE_CURVE('',#12298,#13041,#7229,.T.); -#16541=EDGE_CURVE('',#13042,#13041,#7230,.T.); -#16542=EDGE_CURVE('',#12311,#13042,#7231,.T.); -#16543=EDGE_CURVE('',#13039,#13042,#7232,.T.); -#16544=EDGE_CURVE('',#13041,#13040,#7233,.T.); -#16545=EDGE_CURVE('',#12304,#13038,#7234,.T.); -#16546=EDGE_CURVE('',#12305,#13037,#7235,.T.); -#16547=ORIENTED_EDGE('',*,*,#13043,.F.); -#16548=ORIENTED_EDGE('',*,*,#13044,.F.); -#16549=ORIENTED_EDGE('',*,*,#13045,.T.); -#16550=ORIENTED_EDGE('',*,*,#13046,.T.); -#16551=ORIENTED_EDGE('',*,*,#13047,.T.); -#16552=ORIENTED_EDGE('',*,*,#13048,.F.); -#16553=ORIENTED_EDGE('',*,*,#13049,.F.); -#16554=ORIENTED_EDGE('',*,*,#13050,.F.); -#16555=ORIENTED_EDGE('',*,*,#13051,.T.); -#16556=ORIENTED_EDGE('',*,*,#13052,.T.); -#16557=ORIENTED_EDGE('',*,*,#13053,.T.); -#16558=ORIENTED_EDGE('',*,*,#13054,.F.); -#16559=ORIENTED_EDGE('',*,*,#13055,.F.); -#16560=ORIENTED_EDGE('',*,*,#13056,.F.); -#16561=ORIENTED_EDGE('',*,*,#13057,.T.); -#16562=ORIENTED_EDGE('',*,*,#13058,.T.); -#16563=ORIENTED_EDGE('',*,*,#13059,.T.); -#16564=ORIENTED_EDGE('',*,*,#13060,.F.); -#16565=ORIENTED_EDGE('',*,*,#13061,.F.); -#16566=ORIENTED_EDGE('',*,*,#13062,.T.); -#16567=ORIENTED_EDGE('',*,*,#13063,.T.); -#16568=ORIENTED_EDGE('',*,*,#13064,.F.); -#16569=ORIENTED_EDGE('',*,*,#13065,.T.); -#16570=ORIENTED_EDGE('',*,*,#13066,.T.); -#16571=ORIENTED_EDGE('',*,*,#13067,.F.); -#16572=ORIENTED_EDGE('',*,*,#13068,.T.); -#16573=ORIENTED_EDGE('',*,*,#13069,.T.); -#16574=ORIENTED_EDGE('',*,*,#13070,.T.); -#16575=ORIENTED_EDGE('',*,*,#13071,.T.); -#16576=ORIENTED_EDGE('',*,*,#13072,.T.); -#16577=ORIENTED_EDGE('',*,*,#13073,.T.); -#16578=ORIENTED_EDGE('',*,*,#13074,.T.); -#16579=ORIENTED_EDGE('',*,*,#13075,.T.); -#16580=ORIENTED_EDGE('',*,*,#13076,.F.); -#16581=ORIENTED_EDGE('',*,*,#13077,.T.); -#16582=ORIENTED_EDGE('',*,*,#13078,.T.); -#16583=ORIENTED_EDGE('',*,*,#13079,.F.); -#16584=ORIENTED_EDGE('',*,*,#13080,.F.); -#16585=ORIENTED_EDGE('',*,*,#13081,.T.); -#16586=ORIENTED_EDGE('',*,*,#13082,.T.); -#16587=ORIENTED_EDGE('',*,*,#13083,.T.); -#16588=ORIENTED_EDGE('',*,*,#13084,.T.); -#16589=ORIENTED_EDGE('',*,*,#13085,.T.); -#16590=ORIENTED_EDGE('',*,*,#13086,.T.); -#16591=ORIENTED_EDGE('',*,*,#13087,.F.); -#16592=ORIENTED_EDGE('',*,*,#13088,.T.); -#16593=ORIENTED_EDGE('',*,*,#13089,.T.); -#16594=ORIENTED_EDGE('',*,*,#13090,.T.); -#16595=ORIENTED_EDGE('',*,*,#13091,.T.); -#16596=ORIENTED_EDGE('',*,*,#13092,.T.); -#16597=ORIENTED_EDGE('',*,*,#13093,.T.); -#16598=ORIENTED_EDGE('',*,*,#13094,.T.); -#16599=ORIENTED_EDGE('',*,*,#13095,.T.); -#16600=ORIENTED_EDGE('',*,*,#13096,.T.); -#16601=ORIENTED_EDGE('',*,*,#13097,.T.); -#16602=ORIENTED_EDGE('',*,*,#13098,.T.); -#16603=ORIENTED_EDGE('',*,*,#13099,.T.); -#16604=ORIENTED_EDGE('',*,*,#13100,.T.); -#16605=ORIENTED_EDGE('',*,*,#13101,.T.); -#16606=ORIENTED_EDGE('',*,*,#13102,.T.); -#16607=ORIENTED_EDGE('',*,*,#13103,.F.); -#16608=ORIENTED_EDGE('',*,*,#13104,.T.); -#16609=ORIENTED_EDGE('',*,*,#13105,.T.); -#16610=ORIENTED_EDGE('',*,*,#13106,.T.); -#16611=ORIENTED_EDGE('',*,*,#13107,.T.); -#16612=ORIENTED_EDGE('',*,*,#13108,.T.); -#16613=ORIENTED_EDGE('',*,*,#13109,.T.); -#16614=ORIENTED_EDGE('',*,*,#13110,.T.); -#16615=ORIENTED_EDGE('',*,*,#13111,.T.); -#16616=ORIENTED_EDGE('',*,*,#13112,.T.); -#16617=ORIENTED_EDGE('',*,*,#13113,.T.); -#16618=ORIENTED_EDGE('',*,*,#13114,.T.); -#16619=ORIENTED_EDGE('',*,*,#13115,.T.); -#16620=ORIENTED_EDGE('',*,*,#13116,.T.); -#16621=ORIENTED_EDGE('',*,*,#13117,.T.); -#16622=ORIENTED_EDGE('',*,*,#13118,.T.); -#16623=ORIENTED_EDGE('',*,*,#13119,.T.); -#16624=ORIENTED_EDGE('',*,*,#13120,.T.); -#16625=ORIENTED_EDGE('',*,*,#13121,.T.); -#16626=ORIENTED_EDGE('',*,*,#13122,.T.); -#16627=ORIENTED_EDGE('',*,*,#13123,.T.); -#16628=ORIENTED_EDGE('',*,*,#13124,.T.); -#16629=ORIENTED_EDGE('',*,*,#13125,.T.); -#16630=ORIENTED_EDGE('',*,*,#13126,.T.); -#16631=ORIENTED_EDGE('',*,*,#13127,.T.); -#16632=ORIENTED_EDGE('',*,*,#13128,.T.); -#16633=ORIENTED_EDGE('',*,*,#13129,.T.); -#16634=ORIENTED_EDGE('',*,*,#13130,.T.); -#16635=ORIENTED_EDGE('',*,*,#13131,.T.); -#16636=ORIENTED_EDGE('',*,*,#13132,.T.); -#16637=ORIENTED_EDGE('',*,*,#13133,.T.); -#16638=ORIENTED_EDGE('',*,*,#13134,.T.); -#16639=ORIENTED_EDGE('',*,*,#13135,.F.); -#16640=ORIENTED_EDGE('',*,*,#13136,.T.); -#16641=ORIENTED_EDGE('',*,*,#13137,.T.); -#16642=ORIENTED_EDGE('',*,*,#13138,.F.); -#16643=ORIENTED_EDGE('',*,*,#13139,.T.); -#16644=ORIENTED_EDGE('',*,*,#13140,.T.); -#16645=ORIENTED_EDGE('',*,*,#13141,.T.); -#16646=ORIENTED_EDGE('',*,*,#13142,.T.); -#16647=ORIENTED_EDGE('',*,*,#13067,.T.); -#16648=ORIENTED_EDGE('',*,*,#13143,.T.); -#16649=ORIENTED_EDGE('',*,*,#13144,.T.); -#16650=ORIENTED_EDGE('',*,*,#13145,.T.); -#16651=ORIENTED_EDGE('',*,*,#13146,.T.); -#16652=ORIENTED_EDGE('',*,*,#13147,.T.); -#16653=ORIENTED_EDGE('',*,*,#13148,.F.); -#16654=ORIENTED_EDGE('',*,*,#13149,.T.); -#16655=ORIENTED_EDGE('',*,*,#13076,.T.); -#16656=ORIENTED_EDGE('',*,*,#13150,.T.); -#16657=ORIENTED_EDGE('',*,*,#13151,.F.); -#16658=ORIENTED_EDGE('',*,*,#13152,.F.); -#16659=ORIENTED_EDGE('',*,*,#13153,.F.); -#16660=ORIENTED_EDGE('',*,*,#13154,.F.); -#16661=ORIENTED_EDGE('',*,*,#13155,.T.); -#16662=ORIENTED_EDGE('',*,*,#13156,.T.); -#16663=ORIENTED_EDGE('',*,*,#13157,.F.); -#16664=ORIENTED_EDGE('',*,*,#13158,.F.); -#16665=ORIENTED_EDGE('',*,*,#13159,.F.); -#16666=ORIENTED_EDGE('',*,*,#13160,.F.); -#16667=ORIENTED_EDGE('',*,*,#13161,.F.); -#16668=ORIENTED_EDGE('',*,*,#13162,.F.); -#16669=ORIENTED_EDGE('',*,*,#13163,.F.); -#16670=ORIENTED_EDGE('',*,*,#13164,.F.); -#16671=ORIENTED_EDGE('',*,*,#13165,.F.); -#16672=ORIENTED_EDGE('',*,*,#13166,.F.); -#16673=ORIENTED_EDGE('',*,*,#13167,.F.); -#16674=ORIENTED_EDGE('',*,*,#13168,.F.); -#16675=ORIENTED_EDGE('',*,*,#13169,.F.); -#16676=ORIENTED_EDGE('',*,*,#13170,.F.); -#16677=ORIENTED_EDGE('',*,*,#13171,.F.); -#16678=ORIENTED_EDGE('',*,*,#13172,.F.); -#16679=ORIENTED_EDGE('',*,*,#13173,.F.); -#16680=ORIENTED_EDGE('',*,*,#13174,.F.); -#16681=ORIENTED_EDGE('',*,*,#13175,.F.); -#16682=ORIENTED_EDGE('',*,*,#13176,.F.); -#16683=ORIENTED_EDGE('',*,*,#13177,.F.); -#16684=ORIENTED_EDGE('',*,*,#13178,.T.); -#16685=ORIENTED_EDGE('',*,*,#13179,.T.); -#16686=ORIENTED_EDGE('',*,*,#13180,.F.); -#16687=ORIENTED_EDGE('',*,*,#13181,.F.); -#16688=ORIENTED_EDGE('',*,*,#13182,.T.); -#16689=ORIENTED_EDGE('',*,*,#13183,.T.); -#16690=ORIENTED_EDGE('',*,*,#13184,.F.); -#16691=ORIENTED_EDGE('',*,*,#13185,.F.); -#16692=ORIENTED_EDGE('',*,*,#13186,.F.); -#16693=ORIENTED_EDGE('',*,*,#13187,.F.); -#16694=ORIENTED_EDGE('',*,*,#13188,.F.); -#16695=ORIENTED_EDGE('',*,*,#13189,.F.); -#16696=ORIENTED_EDGE('',*,*,#13190,.T.); -#16697=ORIENTED_EDGE('',*,*,#13191,.F.); -#16698=ORIENTED_EDGE('',*,*,#13192,.F.); -#16699=ORIENTED_EDGE('',*,*,#13193,.F.); -#16700=ORIENTED_EDGE('',*,*,#13194,.F.); -#16701=ORIENTED_EDGE('',*,*,#13195,.F.); -#16702=ORIENTED_EDGE('',*,*,#13063,.F.); -#16703=ORIENTED_EDGE('',*,*,#13196,.T.); -#16704=ORIENTED_EDGE('',*,*,#13197,.T.); -#16705=ORIENTED_EDGE('',*,*,#13198,.T.); -#16706=ORIENTED_EDGE('',*,*,#13199,.F.); -#16707=ORIENTED_EDGE('',*,*,#13200,.T.); -#16708=ORIENTED_EDGE('',*,*,#13201,.F.); -#16709=ORIENTED_EDGE('',*,*,#13202,.T.); -#16710=ORIENTED_EDGE('',*,*,#13203,.F.); -#16711=ORIENTED_EDGE('',*,*,#13204,.T.); -#16712=ORIENTED_EDGE('',*,*,#13205,.T.); -#16713=ORIENTED_EDGE('',*,*,#13206,.T.); -#16714=ORIENTED_EDGE('',*,*,#13207,.T.); -#16715=ORIENTED_EDGE('',*,*,#13208,.T.); -#16716=ORIENTED_EDGE('',*,*,#13209,.T.); -#16717=ORIENTED_EDGE('',*,*,#13210,.T.); -#16718=ORIENTED_EDGE('',*,*,#13211,.T.); -#16719=ORIENTED_EDGE('',*,*,#13212,.T.); -#16720=ORIENTED_EDGE('',*,*,#13213,.T.); -#16721=ORIENTED_EDGE('',*,*,#13214,.T.); -#16722=ORIENTED_EDGE('',*,*,#13215,.T.); -#16723=ORIENTED_EDGE('',*,*,#13216,.T.); -#16724=ORIENTED_EDGE('',*,*,#13217,.T.); -#16725=ORIENTED_EDGE('',*,*,#13218,.T.); -#16726=ORIENTED_EDGE('',*,*,#13219,.T.); -#16727=ORIENTED_EDGE('',*,*,#13220,.T.); -#16728=ORIENTED_EDGE('',*,*,#13221,.T.); -#16729=ORIENTED_EDGE('',*,*,#13222,.T.); -#16730=ORIENTED_EDGE('',*,*,#13223,.T.); -#16731=ORIENTED_EDGE('',*,*,#13224,.T.); -#16732=ORIENTED_EDGE('',*,*,#13225,.T.); -#16733=ORIENTED_EDGE('',*,*,#13226,.T.); -#16734=ORIENTED_EDGE('',*,*,#13227,.T.); -#16735=ORIENTED_EDGE('',*,*,#13228,.T.); -#16736=ORIENTED_EDGE('',*,*,#13229,.T.); -#16737=ORIENTED_EDGE('',*,*,#13230,.F.); -#16738=ORIENTED_EDGE('',*,*,#13231,.T.); -#16739=ORIENTED_EDGE('',*,*,#13232,.T.); -#16740=ORIENTED_EDGE('',*,*,#13233,.T.); -#16741=ORIENTED_EDGE('',*,*,#13174,.T.); -#16742=ORIENTED_EDGE('',*,*,#13234,.T.); -#16743=ORIENTED_EDGE('',*,*,#13208,.F.); -#16744=ORIENTED_EDGE('',*,*,#13235,.T.); -#16745=ORIENTED_EDGE('',*,*,#13236,.F.); -#16746=ORIENTED_EDGE('',*,*,#13237,.F.); -#16747=ORIENTED_EDGE('',*,*,#13238,.F.); -#16748=ORIENTED_EDGE('',*,*,#13239,.F.); -#16749=ORIENTED_EDGE('',*,*,#13240,.F.); -#16750=ORIENTED_EDGE('',*,*,#13241,.F.); -#16751=ORIENTED_EDGE('',*,*,#13242,.F.); -#16752=ORIENTED_EDGE('',*,*,#13243,.F.); -#16753=ORIENTED_EDGE('',*,*,#13244,.F.); -#16754=ORIENTED_EDGE('',*,*,#13245,.F.); -#16755=ORIENTED_EDGE('',*,*,#13246,.F.); -#16756=ORIENTED_EDGE('',*,*,#13247,.F.); -#16757=ORIENTED_EDGE('',*,*,#13248,.F.); -#16758=ORIENTED_EDGE('',*,*,#13249,.F.); -#16759=ORIENTED_EDGE('',*,*,#13250,.F.); -#16760=ORIENTED_EDGE('',*,*,#13251,.F.); -#16761=ORIENTED_EDGE('',*,*,#13252,.F.); -#16762=ORIENTED_EDGE('',*,*,#13253,.F.); -#16763=ORIENTED_EDGE('',*,*,#13254,.F.); -#16764=ORIENTED_EDGE('',*,*,#13255,.F.); -#16765=ORIENTED_EDGE('',*,*,#13256,.T.); -#16766=ORIENTED_EDGE('',*,*,#13257,.T.); -#16767=ORIENTED_EDGE('',*,*,#13258,.F.); -#16768=ORIENTED_EDGE('',*,*,#13259,.F.); -#16769=ORIENTED_EDGE('',*,*,#13260,.F.); -#16770=ORIENTED_EDGE('',*,*,#13261,.F.); -#16771=ORIENTED_EDGE('',*,*,#13262,.F.); -#16772=ORIENTED_EDGE('',*,*,#13263,.F.); -#16773=ORIENTED_EDGE('',*,*,#13264,.F.); -#16774=ORIENTED_EDGE('',*,*,#13265,.F.); -#16775=ORIENTED_EDGE('',*,*,#13266,.F.); -#16776=ORIENTED_EDGE('',*,*,#13267,.F.); -#16777=ORIENTED_EDGE('',*,*,#13268,.F.); -#16778=ORIENTED_EDGE('',*,*,#13269,.F.); -#16779=ORIENTED_EDGE('',*,*,#13270,.F.); -#16780=ORIENTED_EDGE('',*,*,#13271,.F.); -#16781=ORIENTED_EDGE('',*,*,#13272,.F.); -#16782=ORIENTED_EDGE('',*,*,#13273,.F.); -#16783=ORIENTED_EDGE('',*,*,#13274,.F.); -#16784=ORIENTED_EDGE('',*,*,#13275,.F.); -#16785=ORIENTED_EDGE('',*,*,#13276,.F.); -#16786=ORIENTED_EDGE('',*,*,#13277,.T.); -#16787=ORIENTED_EDGE('',*,*,#13258,.T.); -#16788=ORIENTED_EDGE('',*,*,#13278,.T.); -#16789=ORIENTED_EDGE('',*,*,#13279,.F.); -#16790=ORIENTED_EDGE('',*,*,#13280,.T.); -#16791=ORIENTED_EDGE('',*,*,#13254,.T.); -#16792=ORIENTED_EDGE('',*,*,#13281,.F.); -#16793=ORIENTED_EDGE('',*,*,#13282,.T.); -#16794=ORIENTED_EDGE('',*,*,#13283,.T.); -#16795=ORIENTED_EDGE('',*,*,#13284,.T.); -#16796=ORIENTED_EDGE('',*,*,#13276,.T.); -#16797=ORIENTED_EDGE('',*,*,#13285,.T.); -#16798=ORIENTED_EDGE('',*,*,#13286,.T.); -#16799=ORIENTED_EDGE('',*,*,#13287,.F.); -#16800=ORIENTED_EDGE('',*,*,#13288,.T.); -#16801=ORIENTED_EDGE('',*,*,#13289,.F.); -#16802=ORIENTED_EDGE('',*,*,#13290,.F.); -#16803=ORIENTED_EDGE('',*,*,#13291,.F.); -#16804=ORIENTED_EDGE('',*,*,#13292,.F.); -#16805=ORIENTED_EDGE('',*,*,#13293,.T.); -#16806=ORIENTED_EDGE('',*,*,#13294,.F.); -#16807=ORIENTED_EDGE('',*,*,#13295,.T.); -#16808=ORIENTED_EDGE('',*,*,#13296,.T.); -#16809=ORIENTED_EDGE('',*,*,#13279,.T.); -#16810=ORIENTED_EDGE('',*,*,#13297,.F.); -#16811=ORIENTED_EDGE('',*,*,#13298,.F.); -#16812=ORIENTED_EDGE('',*,*,#13299,.T.); -#16813=ORIENTED_EDGE('',*,*,#13300,.F.); -#16814=ORIENTED_EDGE('',*,*,#13301,.F.); -#16815=ORIENTED_EDGE('',*,*,#13302,.F.); -#16816=ORIENTED_EDGE('',*,*,#13303,.F.); -#16817=ORIENTED_EDGE('',*,*,#13304,.F.); -#16818=ORIENTED_EDGE('',*,*,#13305,.F.); -#16819=ORIENTED_EDGE('',*,*,#13306,.F.); -#16820=ORIENTED_EDGE('',*,*,#13307,.F.); -#16821=ORIENTED_EDGE('',*,*,#13308,.F.); -#16822=ORIENTED_EDGE('',*,*,#13309,.T.); -#16823=ORIENTED_EDGE('',*,*,#13310,.F.); -#16824=ORIENTED_EDGE('',*,*,#13311,.F.); -#16825=ORIENTED_EDGE('',*,*,#13312,.F.); -#16826=ORIENTED_EDGE('',*,*,#13080,.T.); -#16827=ORIENTED_EDGE('',*,*,#13313,.T.); -#16828=ORIENTED_EDGE('',*,*,#13314,.T.); -#16829=ORIENTED_EDGE('',*,*,#13315,.T.); -#16830=ORIENTED_EDGE('',*,*,#13288,.F.); -#16831=ORIENTED_EDGE('',*,*,#13316,.F.); -#16832=ORIENTED_EDGE('',*,*,#13317,.T.); -#16833=ORIENTED_EDGE('',*,*,#13318,.T.); -#16834=ORIENTED_EDGE('',*,*,#13319,.T.); -#16835=ORIENTED_EDGE('',*,*,#13320,.T.); -#16836=ORIENTED_EDGE('',*,*,#13321,.T.); -#16837=ORIENTED_EDGE('',*,*,#13322,.T.); -#16838=ORIENTED_EDGE('',*,*,#13323,.F.); -#16839=ORIENTED_EDGE('',*,*,#13324,.T.); -#16840=ORIENTED_EDGE('',*,*,#13325,.F.); -#16841=ORIENTED_EDGE('',*,*,#13326,.F.); -#16842=ORIENTED_EDGE('',*,*,#13327,.F.); -#16843=ORIENTED_EDGE('',*,*,#13328,.T.); -#16844=ORIENTED_EDGE('',*,*,#13329,.T.); -#16845=ORIENTED_EDGE('',*,*,#13330,.T.); -#16846=ORIENTED_EDGE('',*,*,#13331,.T.); -#16847=ORIENTED_EDGE('',*,*,#13332,.T.); -#16848=ORIENTED_EDGE('',*,*,#13333,.T.); -#16849=ORIENTED_EDGE('',*,*,#13334,.T.); -#16850=ORIENTED_EDGE('',*,*,#13335,.T.); -#16851=ORIENTED_EDGE('',*,*,#13336,.T.); -#16852=ORIENTED_EDGE('',*,*,#13337,.T.); -#16853=ORIENTED_EDGE('',*,*,#13338,.T.); -#16854=ORIENTED_EDGE('',*,*,#13339,.T.); -#16855=ORIENTED_EDGE('',*,*,#13340,.T.); -#16856=ORIENTED_EDGE('',*,*,#13341,.T.); -#16857=ORIENTED_EDGE('',*,*,#13342,.T.); -#16858=ORIENTED_EDGE('',*,*,#13343,.T.); -#16859=ORIENTED_EDGE('',*,*,#13344,.T.); -#16860=ORIENTED_EDGE('',*,*,#13345,.F.); -#16861=ORIENTED_EDGE('',*,*,#13346,.T.); -#16862=ORIENTED_EDGE('',*,*,#13347,.T.); -#16863=ORIENTED_EDGE('',*,*,#13348,.T.); -#16864=ORIENTED_EDGE('',*,*,#13349,.T.); -#16865=ORIENTED_EDGE('',*,*,#13350,.T.); -#16866=ORIENTED_EDGE('',*,*,#13351,.T.); -#16867=ORIENTED_EDGE('',*,*,#13352,.T.); -#16868=ORIENTED_EDGE('',*,*,#13353,.T.); -#16869=ORIENTED_EDGE('',*,*,#13354,.T.); -#16870=ORIENTED_EDGE('',*,*,#13355,.F.); -#16871=ORIENTED_EDGE('',*,*,#13356,.T.); -#16872=ORIENTED_EDGE('',*,*,#13357,.F.); -#16873=ORIENTED_EDGE('',*,*,#13324,.F.); -#16874=ORIENTED_EDGE('',*,*,#13358,.F.); -#16875=ORIENTED_EDGE('',*,*,#13359,.T.); -#16876=ORIENTED_EDGE('',*,*,#13360,.T.); -#16877=ORIENTED_EDGE('',*,*,#13328,.F.); -#16878=ORIENTED_EDGE('',*,*,#13361,.F.); -#16879=ORIENTED_EDGE('',*,*,#13362,.F.); -#16880=ORIENTED_EDGE('',*,*,#13363,.T.); -#16881=ORIENTED_EDGE('',*,*,#13364,.F.); -#16882=ORIENTED_EDGE('',*,*,#13365,.F.); -#16883=ORIENTED_EDGE('',*,*,#13356,.F.); -#16884=ORIENTED_EDGE('',*,*,#13366,.F.); -#16885=ORIENTED_EDGE('',*,*,#13367,.T.); -#16886=ORIENTED_EDGE('',*,*,#13314,.F.); -#16887=ORIENTED_EDGE('',*,*,#13368,.T.); -#16888=ORIENTED_EDGE('',*,*,#13369,.F.); -#16889=ORIENTED_EDGE('',*,*,#13370,.T.); -#16890=ORIENTED_EDGE('',*,*,#13371,.T.); -#16891=ORIENTED_EDGE('',*,*,#13372,.T.); -#16892=ORIENTED_EDGE('',*,*,#13373,.F.); -#16893=ORIENTED_EDGE('',*,*,#13374,.F.); -#16894=ORIENTED_EDGE('',*,*,#13375,.T.); -#16895=ORIENTED_EDGE('',*,*,#13376,.T.); -#16896=ORIENTED_EDGE('',*,*,#13359,.F.); -#16897=ORIENTED_EDGE('',*,*,#13377,.F.); -#16898=ORIENTED_EDGE('',*,*,#13378,.T.); -#16899=ORIENTED_EDGE('',*,*,#13379,.T.); -#16900=ORIENTED_EDGE('',*,*,#13380,.T.); -#16901=ORIENTED_EDGE('',*,*,#13381,.T.); -#16902=ORIENTED_EDGE('',*,*,#13382,.T.); -#16903=ORIENTED_EDGE('',*,*,#13383,.T.); -#16904=ORIENTED_EDGE('',*,*,#13384,.T.); -#16905=ORIENTED_EDGE('',*,*,#13385,.T.); -#16906=ORIENTED_EDGE('',*,*,#13386,.T.); -#16907=ORIENTED_EDGE('',*,*,#13387,.F.); -#16908=ORIENTED_EDGE('',*,*,#13388,.T.); -#16909=ORIENTED_EDGE('',*,*,#13046,.F.); -#16910=ORIENTED_EDGE('',*,*,#13389,.T.); -#16911=ORIENTED_EDGE('',*,*,#13390,.T.); -#16912=ORIENTED_EDGE('',*,*,#13391,.T.); -#16913=ORIENTED_EDGE('',*,*,#13392,.T.); -#16914=ORIENTED_EDGE('',*,*,#13153,.T.); -#16915=ORIENTED_EDGE('',*,*,#13393,.T.); -#16916=ORIENTED_EDGE('',*,*,#13394,.F.); -#16917=ORIENTED_EDGE('',*,*,#13059,.F.); -#16918=ORIENTED_EDGE('',*,*,#13395,.T.); -#16919=ORIENTED_EDGE('',*,*,#13396,.F.); -#16920=ORIENTED_EDGE('',*,*,#13397,.F.); -#16921=ORIENTED_EDGE('',*,*,#13398,.F.); -#16922=ORIENTED_EDGE('',*,*,#13047,.F.); -#16923=ORIENTED_EDGE('',*,*,#13399,.T.); -#16924=ORIENTED_EDGE('',*,*,#13052,.F.); -#16925=ORIENTED_EDGE('',*,*,#13400,.F.); -#16926=ORIENTED_EDGE('',*,*,#13401,.F.); -#16927=ORIENTED_EDGE('',*,*,#13402,.F.); -#16928=ORIENTED_EDGE('',*,*,#13403,.F.); -#16929=ORIENTED_EDGE('',*,*,#13401,.T.); -#16930=ORIENTED_EDGE('',*,*,#13404,.F.); -#16931=ORIENTED_EDGE('',*,*,#13179,.F.); -#16932=ORIENTED_EDGE('',*,*,#13405,.F.); -#16933=ORIENTED_EDGE('',*,*,#13406,.F.); -#16934=ORIENTED_EDGE('',*,*,#13044,.T.); -#16935=ORIENTED_EDGE('',*,*,#13407,.F.); -#16936=ORIENTED_EDGE('',*,*,#13155,.F.); -#16937=ORIENTED_EDGE('',*,*,#13408,.F.); -#16938=ORIENTED_EDGE('',*,*,#13409,.F.); -#16939=ORIENTED_EDGE('',*,*,#13055,.T.); -#16940=ORIENTED_EDGE('',*,*,#13410,.T.); -#16941=ORIENTED_EDGE('',*,*,#13411,.T.); -#16942=ORIENTED_EDGE('',*,*,#13412,.T.); -#16943=ORIENTED_EDGE('',*,*,#13413,.F.); -#16944=ORIENTED_EDGE('',*,*,#13043,.T.); -#16945=ORIENTED_EDGE('',*,*,#13414,.T.); -#16946=ORIENTED_EDGE('',*,*,#13415,.T.); -#16947=ORIENTED_EDGE('',*,*,#13416,.T.); -#16948=ORIENTED_EDGE('',*,*,#13417,.T.); -#16949=ORIENTED_EDGE('',*,*,#13050,.T.); -#16950=ORIENTED_EDGE('',*,*,#13418,.F.); -#16951=ORIENTED_EDGE('',*,*,#13416,.F.); -#16952=ORIENTED_EDGE('',*,*,#13419,.T.); -#16953=ORIENTED_EDGE('',*,*,#13203,.T.); -#16954=ORIENTED_EDGE('',*,*,#13420,.T.); -#16955=ORIENTED_EDGE('',*,*,#13421,.F.); -#16956=ORIENTED_EDGE('',*,*,#13422,.F.); -#16957=ORIENTED_EDGE('',*,*,#13316,.T.); -#16958=ORIENTED_EDGE('',*,*,#13294,.T.); -#16959=ORIENTED_EDGE('',*,*,#13287,.T.); -#16960=ORIENTED_EDGE('',*,*,#13310,.T.); -#16961=ORIENTED_EDGE('',*,*,#13298,.T.); -#16962=ORIENTED_EDGE('',*,*,#13423,.F.); -#16963=ORIENTED_EDGE('',*,*,#13424,.F.); -#16964=ORIENTED_EDGE('',*,*,#13425,.F.); -#16965=ORIENTED_EDGE('',*,*,#13426,.F.); -#16966=ORIENTED_EDGE('',*,*,#13427,.F.); -#16967=ORIENTED_EDGE('',*,*,#13428,.F.); -#16968=ORIENTED_EDGE('',*,*,#13429,.F.); -#16969=ORIENTED_EDGE('',*,*,#13430,.F.); -#16970=ORIENTED_EDGE('',*,*,#13311,.T.); -#16971=ORIENTED_EDGE('',*,*,#13422,.T.); -#16972=ORIENTED_EDGE('',*,*,#13431,.F.); -#16973=ORIENTED_EDGE('',*,*,#13432,.F.); -#16974=ORIENTED_EDGE('',*,*,#13151,.T.); -#16975=ORIENTED_EDGE('',*,*,#13433,.F.); -#16976=ORIENTED_EDGE('',*,*,#13434,.F.); -#16977=ORIENTED_EDGE('',*,*,#13435,.F.); -#16978=ORIENTED_EDGE('',*,*,#13436,.F.); -#16979=ORIENTED_EDGE('',*,*,#13437,.F.); -#16980=ORIENTED_EDGE('',*,*,#13438,.F.); -#16981=ORIENTED_EDGE('',*,*,#13439,.F.); -#16982=ORIENTED_EDGE('',*,*,#13440,.F.); -#16983=ORIENTED_EDGE('',*,*,#13148,.T.); -#16984=ORIENTED_EDGE('',*,*,#13441,.F.); -#16985=ORIENTED_EDGE('',*,*,#13442,.F.); -#16986=ORIENTED_EDGE('',*,*,#13443,.F.); -#16987=ORIENTED_EDGE('',*,*,#13444,.F.); -#16988=ORIENTED_EDGE('',*,*,#13445,.F.); -#16989=ORIENTED_EDGE('',*,*,#13446,.F.); -#16990=ORIENTED_EDGE('',*,*,#13447,.F.); -#16991=ORIENTED_EDGE('',*,*,#13448,.F.); -#16992=ORIENTED_EDGE('',*,*,#13449,.F.); -#16993=ORIENTED_EDGE('',*,*,#13450,.F.); -#16994=ORIENTED_EDGE('',*,*,#13451,.F.); -#16995=ORIENTED_EDGE('',*,*,#13452,.F.); -#16996=ORIENTED_EDGE('',*,*,#13453,.F.); -#16997=ORIENTED_EDGE('',*,*,#13454,.F.); -#16998=ORIENTED_EDGE('',*,*,#13455,.F.); -#16999=ORIENTED_EDGE('',*,*,#13456,.F.); -#17000=ORIENTED_EDGE('',*,*,#13137,.F.); -#17001=ORIENTED_EDGE('',*,*,#13457,.F.); -#17002=ORIENTED_EDGE('',*,*,#13458,.F.); -#17003=ORIENTED_EDGE('',*,*,#13459,.F.); -#17004=ORIENTED_EDGE('',*,*,#13460,.F.); -#17005=ORIENTED_EDGE('',*,*,#13461,.F.); -#17006=ORIENTED_EDGE('',*,*,#13462,.F.); -#17007=ORIENTED_EDGE('',*,*,#13463,.F.); -#17008=ORIENTED_EDGE('',*,*,#13464,.F.); -#17009=ORIENTED_EDGE('',*,*,#13465,.F.); -#17010=ORIENTED_EDGE('',*,*,#13466,.F.); -#17011=ORIENTED_EDGE('',*,*,#13467,.F.); -#17012=ORIENTED_EDGE('',*,*,#13468,.F.); -#17013=ORIENTED_EDGE('',*,*,#13469,.F.); -#17014=ORIENTED_EDGE('',*,*,#13470,.F.); -#17015=ORIENTED_EDGE('',*,*,#13471,.F.); -#17016=ORIENTED_EDGE('',*,*,#13472,.F.); -#17017=ORIENTED_EDGE('',*,*,#13473,.F.); -#17018=ORIENTED_EDGE('',*,*,#13474,.T.); -#17019=ORIENTED_EDGE('',*,*,#13475,.F.); -#17020=ORIENTED_EDGE('',*,*,#13476,.F.); -#17021=ORIENTED_EDGE('',*,*,#13477,.F.); -#17022=ORIENTED_EDGE('',*,*,#13478,.F.); -#17023=ORIENTED_EDGE('',*,*,#13479,.F.); -#17024=ORIENTED_EDGE('',*,*,#13480,.F.); -#17025=ORIENTED_EDGE('',*,*,#13481,.F.); -#17026=ORIENTED_EDGE('',*,*,#13482,.F.); -#17027=ORIENTED_EDGE('',*,*,#13483,.F.); -#17028=ORIENTED_EDGE('',*,*,#13484,.F.); -#17029=ORIENTED_EDGE('',*,*,#13485,.F.); -#17030=ORIENTED_EDGE('',*,*,#13486,.F.); -#17031=ORIENTED_EDGE('',*,*,#13487,.F.); -#17032=ORIENTED_EDGE('',*,*,#13488,.F.); -#17033=ORIENTED_EDGE('',*,*,#13489,.F.); -#17034=ORIENTED_EDGE('',*,*,#13490,.F.); -#17035=ORIENTED_EDGE('',*,*,#13491,.F.); -#17036=ORIENTED_EDGE('',*,*,#13492,.F.); -#17037=ORIENTED_EDGE('',*,*,#13395,.F.); -#17038=ORIENTED_EDGE('',*,*,#13493,.F.); -#17039=ORIENTED_EDGE('',*,*,#13399,.F.); -#17040=ORIENTED_EDGE('',*,*,#13393,.F.); -#17041=ORIENTED_EDGE('',*,*,#13152,.T.); -#17042=ORIENTED_EDGE('',*,*,#13432,.T.); -#17043=ORIENTED_EDGE('',*,*,#13058,.F.); -#17044=ORIENTED_EDGE('',*,*,#13494,.T.); -#17045=ORIENTED_EDGE('',*,*,#13495,.T.); -#17046=ORIENTED_EDGE('',*,*,#13496,.T.); -#17047=ORIENTED_EDGE('',*,*,#13497,.T.); -#17048=ORIENTED_EDGE('',*,*,#13498,.T.); -#17049=ORIENTED_EDGE('',*,*,#13499,.T.); -#17050=ORIENTED_EDGE('',*,*,#13500,.T.); -#17051=ORIENTED_EDGE('',*,*,#13501,.T.); -#17052=ORIENTED_EDGE('',*,*,#13502,.T.); -#17053=ORIENTED_EDGE('',*,*,#13053,.F.); -#17054=ORIENTED_EDGE('',*,*,#13493,.T.); -#17055=ORIENTED_EDGE('',*,*,#13503,.F.); -#17056=ORIENTED_EDGE('',*,*,#13397,.T.); -#17057=ORIENTED_EDGE('',*,*,#13504,.F.); -#17058=ORIENTED_EDGE('',*,*,#13183,.F.); -#17059=ORIENTED_EDGE('',*,*,#13505,.T.); -#17060=ORIENTED_EDGE('',*,*,#13184,.T.); -#17061=ORIENTED_EDGE('',*,*,#13504,.T.); -#17062=ORIENTED_EDGE('',*,*,#13396,.T.); -#17063=ORIENTED_EDGE('',*,*,#13492,.T.); -#17064=ORIENTED_EDGE('',*,*,#13431,.T.); -#17065=ORIENTED_EDGE('',*,*,#13421,.T.); -#17066=ORIENTED_EDGE('',*,*,#13297,.T.); -#17067=ORIENTED_EDGE('',*,*,#13281,.T.); -#17068=ORIENTED_EDGE('',*,*,#13253,.T.); -#17069=ORIENTED_EDGE('',*,*,#13506,.F.); -#17070=ORIENTED_EDGE('',*,*,#13329,.F.); -#17071=ORIENTED_EDGE('',*,*,#13360,.F.); -#17072=ORIENTED_EDGE('',*,*,#13376,.F.); -#17073=ORIENTED_EDGE('',*,*,#13507,.F.); -#17074=ORIENTED_EDGE('',*,*,#13078,.F.); -#17075=ORIENTED_EDGE('',*,*,#13508,.F.); -#17076=ORIENTED_EDGE('',*,*,#13412,.F.); -#17077=ORIENTED_EDGE('',*,*,#13509,.F.); -#17078=ORIENTED_EDGE('',*,*,#13198,.F.); -#17079=ORIENTED_EDGE('',*,*,#13507,.T.); -#17080=ORIENTED_EDGE('',*,*,#13375,.F.); -#17081=ORIENTED_EDGE('',*,*,#13388,.F.); -#17082=ORIENTED_EDGE('',*,*,#13363,.F.); -#17083=ORIENTED_EDGE('',*,*,#13368,.F.); -#17084=ORIENTED_EDGE('',*,*,#13313,.F.); -#17085=ORIENTED_EDGE('',*,*,#13079,.T.); -#17086=ORIENTED_EDGE('',*,*,#13508,.T.); -#17087=ORIENTED_EDGE('',*,*,#13077,.F.); -#17088=ORIENTED_EDGE('',*,*,#13156,.F.); -#17089=ORIENTED_EDGE('',*,*,#13407,.T.); -#17090=ORIENTED_EDGE('',*,*,#13418,.T.); -#17091=ORIENTED_EDGE('',*,*,#13510,.T.); -#17092=ORIENTED_EDGE('',*,*,#13413,.T.); -#17093=ORIENTED_EDGE('',*,*,#13049,.T.); -#17094=ORIENTED_EDGE('',*,*,#13511,.F.); -#17095=ORIENTED_EDGE('',*,*,#13512,.F.); -#17096=ORIENTED_EDGE('',*,*,#13513,.F.); -#17097=ORIENTED_EDGE('',*,*,#13514,.F.); -#17098=ORIENTED_EDGE('',*,*,#13515,.F.); -#17099=ORIENTED_EDGE('',*,*,#13516,.F.); -#17100=ORIENTED_EDGE('',*,*,#13517,.F.); -#17101=ORIENTED_EDGE('',*,*,#13518,.F.); -#17102=ORIENTED_EDGE('',*,*,#13519,.F.); -#17103=ORIENTED_EDGE('',*,*,#13056,.T.); -#17104=ORIENTED_EDGE('',*,*,#13510,.F.); -#17105=ORIENTED_EDGE('',*,*,#13411,.F.); -#17106=ORIENTED_EDGE('',*,*,#13520,.T.); -#17107=ORIENTED_EDGE('',*,*,#13199,.T.); -#17108=ORIENTED_EDGE('',*,*,#13509,.T.); -#17109=ORIENTED_EDGE('',*,*,#13201,.T.); -#17110=ORIENTED_EDGE('',*,*,#13521,.T.); -#17111=ORIENTED_EDGE('',*,*,#13181,.T.); -#17112=ORIENTED_EDGE('',*,*,#13522,.T.); -#17113=ORIENTED_EDGE('',*,*,#13404,.T.); -#17114=ORIENTED_EDGE('',*,*,#13400,.T.); -#17115=ORIENTED_EDGE('',*,*,#13051,.F.); -#17116=ORIENTED_EDGE('',*,*,#13417,.F.); -#17117=ORIENTED_EDGE('',*,*,#13420,.F.); -#17118=ORIENTED_EDGE('',*,*,#13202,.F.); -#17119=ORIENTED_EDGE('',*,*,#13522,.F.); -#17120=ORIENTED_EDGE('',*,*,#13180,.T.); -#17121=ORIENTED_EDGE('',*,*,#13503,.T.); -#17122=ORIENTED_EDGE('',*,*,#13182,.F.); -#17123=ORIENTED_EDGE('',*,*,#13521,.F.); -#17124=ORIENTED_EDGE('',*,*,#13200,.F.); -#17125=ORIENTED_EDGE('',*,*,#13520,.F.); -#17126=ORIENTED_EDGE('',*,*,#13410,.F.); -#17127=ORIENTED_EDGE('',*,*,#13060,.T.); -#17128=ORIENTED_EDGE('',*,*,#13394,.T.); -#17129=ORIENTED_EDGE('',*,*,#13403,.T.); -#17130=ORIENTED_EDGE('',*,*,#13178,.F.); -#17131=ORIENTED_EDGE('',*,*,#13523,.F.); -#17132=ORIENTED_EDGE('',*,*,#13204,.F.); -#17133=ORIENTED_EDGE('',*,*,#13419,.F.); -#17134=ORIENTED_EDGE('',*,*,#13524,.T.); -#17135=ORIENTED_EDGE('',*,*,#13525,.T.); -#17136=ORIENTED_EDGE('',*,*,#13402,.T.); -#17137=ORIENTED_EDGE('',*,*,#13524,.F.); -#17138=ORIENTED_EDGE('',*,*,#13415,.F.); -#17139=ORIENTED_EDGE('',*,*,#13048,.T.); -#17140=ORIENTED_EDGE('',*,*,#13398,.T.); -#17141=ORIENTED_EDGE('',*,*,#13525,.F.); -#17142=ORIENTED_EDGE('',*,*,#13414,.F.); -#17143=ORIENTED_EDGE('',*,*,#13390,.F.); -#17144=ORIENTED_EDGE('',*,*,#13526,.F.); -#17145=ORIENTED_EDGE('',*,*,#13405,.T.); -#17146=ORIENTED_EDGE('',*,*,#13527,.T.); -#17147=ORIENTED_EDGE('',*,*,#13389,.F.); -#17148=ORIENTED_EDGE('',*,*,#13045,.F.); -#17149=ORIENTED_EDGE('',*,*,#13406,.T.); -#17150=ORIENTED_EDGE('',*,*,#13526,.T.); -#17151=ORIENTED_EDGE('',*,*,#13392,.F.); -#17152=ORIENTED_EDGE('',*,*,#13528,.F.); -#17153=ORIENTED_EDGE('',*,*,#13408,.T.); -#17154=ORIENTED_EDGE('',*,*,#13154,.T.); -#17155=ORIENTED_EDGE('',*,*,#13391,.F.); -#17156=ORIENTED_EDGE('',*,*,#13527,.F.); -#17157=ORIENTED_EDGE('',*,*,#13409,.T.); -#17158=ORIENTED_EDGE('',*,*,#13528,.T.); -#17159=ORIENTED_EDGE('',*,*,#13499,.F.); -#17160=ORIENTED_EDGE('',*,*,#13529,.F.); -#17161=ORIENTED_EDGE('',*,*,#13514,.T.); -#17162=ORIENTED_EDGE('',*,*,#13530,.T.); -#17163=ORIENTED_EDGE('',*,*,#13498,.F.); -#17164=ORIENTED_EDGE('',*,*,#13531,.F.); -#17165=ORIENTED_EDGE('',*,*,#13515,.T.); -#17166=ORIENTED_EDGE('',*,*,#13529,.T.); -#17167=ORIENTED_EDGE('',*,*,#13497,.F.); -#17168=ORIENTED_EDGE('',*,*,#13532,.F.); -#17169=ORIENTED_EDGE('',*,*,#13516,.T.); -#17170=ORIENTED_EDGE('',*,*,#13531,.T.); -#17171=ORIENTED_EDGE('',*,*,#13496,.F.); -#17172=ORIENTED_EDGE('',*,*,#13533,.F.); -#17173=ORIENTED_EDGE('',*,*,#13517,.T.); -#17174=ORIENTED_EDGE('',*,*,#13532,.T.); -#17175=ORIENTED_EDGE('',*,*,#13495,.F.); -#17176=ORIENTED_EDGE('',*,*,#13534,.F.); -#17177=ORIENTED_EDGE('',*,*,#13518,.T.); -#17178=ORIENTED_EDGE('',*,*,#13533,.T.); -#17179=ORIENTED_EDGE('',*,*,#13494,.F.); -#17180=ORIENTED_EDGE('',*,*,#13057,.F.); -#17181=ORIENTED_EDGE('',*,*,#13519,.T.); -#17182=ORIENTED_EDGE('',*,*,#13534,.T.); -#17183=ORIENTED_EDGE('',*,*,#13502,.F.); -#17184=ORIENTED_EDGE('',*,*,#13535,.F.); -#17185=ORIENTED_EDGE('',*,*,#13511,.T.); -#17186=ORIENTED_EDGE('',*,*,#13054,.T.); -#17187=ORIENTED_EDGE('',*,*,#13501,.F.); -#17188=ORIENTED_EDGE('',*,*,#13536,.F.); -#17189=ORIENTED_EDGE('',*,*,#13512,.T.); -#17190=ORIENTED_EDGE('',*,*,#13535,.T.); -#17191=ORIENTED_EDGE('',*,*,#13500,.F.); -#17192=ORIENTED_EDGE('',*,*,#13530,.F.); -#17193=ORIENTED_EDGE('',*,*,#13513,.T.); -#17194=ORIENTED_EDGE('',*,*,#13536,.T.); -#17195=ORIENTED_EDGE('',*,*,#13326,.T.); -#17196=ORIENTED_EDGE('',*,*,#13537,.F.); -#17197=ORIENTED_EDGE('',*,*,#13256,.F.); -#17198=ORIENTED_EDGE('',*,*,#13538,.F.); -#17199=ORIENTED_EDGE('',*,*,#13296,.F.); -#17200=ORIENTED_EDGE('',*,*,#13539,.F.); -#17201=ORIENTED_EDGE('',*,*,#13373,.T.); -#17202=ORIENTED_EDGE('',*,*,#13358,.T.); -#17203=ORIENTED_EDGE('',*,*,#13327,.T.); -#17204=ORIENTED_EDGE('',*,*,#13538,.T.); -#17205=ORIENTED_EDGE('',*,*,#13255,.T.); -#17206=ORIENTED_EDGE('',*,*,#13280,.F.); -#17207=ORIENTED_EDGE('',*,*,#13257,.F.); -#17208=ORIENTED_EDGE('',*,*,#13537,.T.); -#17209=ORIENTED_EDGE('',*,*,#13325,.T.); -#17210=ORIENTED_EDGE('',*,*,#13357,.T.); -#17211=ORIENTED_EDGE('',*,*,#13365,.T.); -#17212=ORIENTED_EDGE('',*,*,#13540,.T.); -#17213=ORIENTED_EDGE('',*,*,#13285,.F.); -#17214=ORIENTED_EDGE('',*,*,#13278,.F.); -#17215=ORIENTED_EDGE('',*,*,#13364,.T.); -#17216=ORIENTED_EDGE('',*,*,#13387,.T.); -#17217=ORIENTED_EDGE('',*,*,#13541,.T.); -#17218=ORIENTED_EDGE('',*,*,#13299,.F.); -#17219=ORIENTED_EDGE('',*,*,#13286,.F.); -#17220=ORIENTED_EDGE('',*,*,#13540,.F.); -#17221=ORIENTED_EDGE('',*,*,#13377,.T.); -#17222=ORIENTED_EDGE('',*,*,#13374,.T.); -#17223=ORIENTED_EDGE('',*,*,#13539,.T.); -#17224=ORIENTED_EDGE('',*,*,#13295,.F.); -#17225=ORIENTED_EDGE('',*,*,#13309,.F.); -#17226=ORIENTED_EDGE('',*,*,#13542,.F.); -#17227=ORIENTED_EDGE('',*,*,#13259,.T.); -#17228=ORIENTED_EDGE('',*,*,#13277,.F.); -#17229=ORIENTED_EDGE('',*,*,#13543,.F.); -#17230=ORIENTED_EDGE('',*,*,#13355,.T.); -#17231=ORIENTED_EDGE('',*,*,#13323,.T.); -#17232=ORIENTED_EDGE('',*,*,#13544,.T.); -#17233=ORIENTED_EDGE('',*,*,#13361,.T.); -#17234=ORIENTED_EDGE('',*,*,#13545,.T.); -#17235=ORIENTED_EDGE('',*,*,#13283,.F.); -#17236=ORIENTED_EDGE('',*,*,#13546,.F.); -#17237=ORIENTED_EDGE('',*,*,#13366,.T.); -#17238=ORIENTED_EDGE('',*,*,#13543,.T.); -#17239=ORIENTED_EDGE('',*,*,#13284,.F.); -#17240=ORIENTED_EDGE('',*,*,#13545,.F.); -#17241=ORIENTED_EDGE('',*,*,#13369,.T.); -#17242=ORIENTED_EDGE('',*,*,#13362,.T.); -#17243=ORIENTED_EDGE('',*,*,#13546,.T.); -#17244=ORIENTED_EDGE('',*,*,#13282,.F.); -#17245=ORIENTED_EDGE('',*,*,#13293,.F.); -#17246=ORIENTED_EDGE('',*,*,#13547,.F.); -#17247=ORIENTED_EDGE('',*,*,#13291,.T.); -#17248=ORIENTED_EDGE('',*,*,#13548,.F.); -#17249=ORIENTED_EDGE('',*,*,#13371,.F.); -#17250=ORIENTED_EDGE('',*,*,#13549,.T.); -#17251=ORIENTED_EDGE('',*,*,#13290,.T.); -#17252=ORIENTED_EDGE('',*,*,#13550,.F.); -#17253=ORIENTED_EDGE('',*,*,#13372,.F.); -#17254=ORIENTED_EDGE('',*,*,#13548,.T.); -#17255=ORIENTED_EDGE('',*,*,#13289,.T.); -#17256=ORIENTED_EDGE('',*,*,#13315,.F.); -#17257=ORIENTED_EDGE('',*,*,#13367,.F.); -#17258=ORIENTED_EDGE('',*,*,#13550,.T.); -#17259=ORIENTED_EDGE('',*,*,#13292,.T.); -#17260=ORIENTED_EDGE('',*,*,#13549,.F.); -#17261=ORIENTED_EDGE('',*,*,#13370,.F.); -#17262=ORIENTED_EDGE('',*,*,#13547,.T.); -#17263=ORIENTED_EDGE('',*,*,#13303,.T.); -#17264=ORIENTED_EDGE('',*,*,#13551,.F.); -#17265=ORIENTED_EDGE('',*,*,#13383,.F.); -#17266=ORIENTED_EDGE('',*,*,#13552,.T.); -#17267=ORIENTED_EDGE('',*,*,#13302,.T.); -#17268=ORIENTED_EDGE('',*,*,#13553,.F.); -#17269=ORIENTED_EDGE('',*,*,#13384,.F.); -#17270=ORIENTED_EDGE('',*,*,#13551,.T.); -#17271=ORIENTED_EDGE('',*,*,#13301,.T.); -#17272=ORIENTED_EDGE('',*,*,#13554,.F.); -#17273=ORIENTED_EDGE('',*,*,#13385,.F.); -#17274=ORIENTED_EDGE('',*,*,#13553,.T.); -#17275=ORIENTED_EDGE('',*,*,#13300,.T.); -#17276=ORIENTED_EDGE('',*,*,#13541,.F.); -#17277=ORIENTED_EDGE('',*,*,#13386,.F.); -#17278=ORIENTED_EDGE('',*,*,#13554,.T.); -#17279=ORIENTED_EDGE('',*,*,#13308,.T.); -#17280=ORIENTED_EDGE('',*,*,#13555,.F.); -#17281=ORIENTED_EDGE('',*,*,#13378,.F.); -#17282=ORIENTED_EDGE('',*,*,#13542,.T.); -#17283=ORIENTED_EDGE('',*,*,#13307,.T.); -#17284=ORIENTED_EDGE('',*,*,#13556,.F.); -#17285=ORIENTED_EDGE('',*,*,#13379,.F.); -#17286=ORIENTED_EDGE('',*,*,#13555,.T.); -#17287=ORIENTED_EDGE('',*,*,#13306,.T.); -#17288=ORIENTED_EDGE('',*,*,#13557,.F.); -#17289=ORIENTED_EDGE('',*,*,#13380,.F.); -#17290=ORIENTED_EDGE('',*,*,#13556,.T.); -#17291=ORIENTED_EDGE('',*,*,#13305,.T.); -#17292=ORIENTED_EDGE('',*,*,#13558,.F.); -#17293=ORIENTED_EDGE('',*,*,#13381,.F.); -#17294=ORIENTED_EDGE('',*,*,#13557,.T.); -#17295=ORIENTED_EDGE('',*,*,#13304,.T.); -#17296=ORIENTED_EDGE('',*,*,#13552,.F.); -#17297=ORIENTED_EDGE('',*,*,#13382,.F.); -#17298=ORIENTED_EDGE('',*,*,#13558,.T.); -#17299=ORIENTED_EDGE('',*,*,#13260,.T.); -#17300=ORIENTED_EDGE('',*,*,#13544,.F.); -#17301=ORIENTED_EDGE('',*,*,#13322,.F.); -#17302=ORIENTED_EDGE('',*,*,#13559,.T.); -#17303=ORIENTED_EDGE('',*,*,#13261,.T.); -#17304=ORIENTED_EDGE('',*,*,#13559,.F.); -#17305=ORIENTED_EDGE('',*,*,#13321,.F.); -#17306=ORIENTED_EDGE('',*,*,#13560,.T.); -#17307=ORIENTED_EDGE('',*,*,#13262,.T.); -#17308=ORIENTED_EDGE('',*,*,#13560,.F.); -#17309=ORIENTED_EDGE('',*,*,#13320,.F.); -#17310=ORIENTED_EDGE('',*,*,#13561,.T.); -#17311=ORIENTED_EDGE('',*,*,#13319,.F.); -#17312=ORIENTED_EDGE('',*,*,#13562,.T.); -#17313=ORIENTED_EDGE('',*,*,#13263,.T.); -#17314=ORIENTED_EDGE('',*,*,#13561,.F.); -#17315=ORIENTED_EDGE('',*,*,#13175,.T.); -#17316=ORIENTED_EDGE('',*,*,#13235,.F.); -#17317=ORIENTED_EDGE('',*,*,#13207,.F.); -#17318=ORIENTED_EDGE('',*,*,#13563,.T.); -#17319=ORIENTED_EDGE('',*,*,#13176,.T.); -#17320=ORIENTED_EDGE('',*,*,#13563,.F.); -#17321=ORIENTED_EDGE('',*,*,#13206,.F.); -#17322=ORIENTED_EDGE('',*,*,#13564,.T.); -#17323=ORIENTED_EDGE('',*,*,#13177,.T.); -#17324=ORIENTED_EDGE('',*,*,#13564,.F.); -#17325=ORIENTED_EDGE('',*,*,#13205,.F.); -#17326=ORIENTED_EDGE('',*,*,#13523,.T.); -#17327=ORIENTED_EDGE('',*,*,#13318,.F.); -#17328=ORIENTED_EDGE('',*,*,#13565,.T.); -#17329=ORIENTED_EDGE('',*,*,#13236,.T.); -#17330=ORIENTED_EDGE('',*,*,#13562,.F.); -#17331=ORIENTED_EDGE('',*,*,#13252,.T.); -#17332=ORIENTED_EDGE('',*,*,#13566,.F.); -#17333=ORIENTED_EDGE('',*,*,#13330,.F.); -#17334=ORIENTED_EDGE('',*,*,#13506,.T.); -#17335=ORIENTED_EDGE('',*,*,#13251,.T.); -#17336=ORIENTED_EDGE('',*,*,#13567,.F.); -#17337=ORIENTED_EDGE('',*,*,#13331,.F.); -#17338=ORIENTED_EDGE('',*,*,#13566,.T.); -#17339=ORIENTED_EDGE('',*,*,#13250,.T.); -#17340=ORIENTED_EDGE('',*,*,#13568,.F.); -#17341=ORIENTED_EDGE('',*,*,#13332,.F.); -#17342=ORIENTED_EDGE('',*,*,#13567,.T.); -#17343=ORIENTED_EDGE('',*,*,#13249,.T.); -#17344=ORIENTED_EDGE('',*,*,#13569,.F.); -#17345=ORIENTED_EDGE('',*,*,#13333,.F.); -#17346=ORIENTED_EDGE('',*,*,#13568,.T.); -#17347=ORIENTED_EDGE('',*,*,#13248,.T.); -#17348=ORIENTED_EDGE('',*,*,#13570,.F.); -#17349=ORIENTED_EDGE('',*,*,#13334,.F.); -#17350=ORIENTED_EDGE('',*,*,#13569,.T.); -#17351=ORIENTED_EDGE('',*,*,#13247,.T.); -#17352=ORIENTED_EDGE('',*,*,#13571,.F.); -#17353=ORIENTED_EDGE('',*,*,#13335,.F.); -#17354=ORIENTED_EDGE('',*,*,#13570,.T.); -#17355=ORIENTED_EDGE('',*,*,#13246,.T.); -#17356=ORIENTED_EDGE('',*,*,#13572,.F.); -#17357=ORIENTED_EDGE('',*,*,#13336,.F.); -#17358=ORIENTED_EDGE('',*,*,#13571,.T.); -#17359=ORIENTED_EDGE('',*,*,#13245,.T.); -#17360=ORIENTED_EDGE('',*,*,#13573,.F.); -#17361=ORIENTED_EDGE('',*,*,#13337,.F.); -#17362=ORIENTED_EDGE('',*,*,#13572,.T.); -#17363=ORIENTED_EDGE('',*,*,#13244,.T.); -#17364=ORIENTED_EDGE('',*,*,#13574,.F.); -#17365=ORIENTED_EDGE('',*,*,#13338,.F.); -#17366=ORIENTED_EDGE('',*,*,#13573,.T.); -#17367=ORIENTED_EDGE('',*,*,#13243,.T.); -#17368=ORIENTED_EDGE('',*,*,#13575,.F.); -#17369=ORIENTED_EDGE('',*,*,#13339,.F.); -#17370=ORIENTED_EDGE('',*,*,#13574,.T.); -#17371=ORIENTED_EDGE('',*,*,#13242,.T.); -#17372=ORIENTED_EDGE('',*,*,#13576,.F.); -#17373=ORIENTED_EDGE('',*,*,#13340,.F.); -#17374=ORIENTED_EDGE('',*,*,#13575,.T.); -#17375=ORIENTED_EDGE('',*,*,#13241,.T.); -#17376=ORIENTED_EDGE('',*,*,#13577,.F.); -#17377=ORIENTED_EDGE('',*,*,#13341,.F.); -#17378=ORIENTED_EDGE('',*,*,#13576,.T.); -#17379=ORIENTED_EDGE('',*,*,#13240,.T.); -#17380=ORIENTED_EDGE('',*,*,#13578,.F.); -#17381=ORIENTED_EDGE('',*,*,#13342,.F.); -#17382=ORIENTED_EDGE('',*,*,#13577,.T.); -#17383=ORIENTED_EDGE('',*,*,#13239,.T.); -#17384=ORIENTED_EDGE('',*,*,#13579,.F.); -#17385=ORIENTED_EDGE('',*,*,#13343,.F.); -#17386=ORIENTED_EDGE('',*,*,#13578,.T.); -#17387=ORIENTED_EDGE('',*,*,#13238,.T.); -#17388=ORIENTED_EDGE('',*,*,#13580,.F.); -#17389=ORIENTED_EDGE('',*,*,#13344,.F.); -#17390=ORIENTED_EDGE('',*,*,#13579,.T.); -#17391=ORIENTED_EDGE('',*,*,#13237,.T.); -#17392=ORIENTED_EDGE('',*,*,#13565,.F.); -#17393=ORIENTED_EDGE('',*,*,#13317,.F.); -#17394=ORIENTED_EDGE('',*,*,#13580,.T.); -#17395=ORIENTED_EDGE('',*,*,#13173,.T.); -#17396=ORIENTED_EDGE('',*,*,#13581,.F.); -#17397=ORIENTED_EDGE('',*,*,#13209,.F.); -#17398=ORIENTED_EDGE('',*,*,#13234,.F.); -#17399=ORIENTED_EDGE('',*,*,#13157,.T.); -#17400=ORIENTED_EDGE('',*,*,#13505,.F.); -#17401=ORIENTED_EDGE('',*,*,#13197,.F.); -#17402=ORIENTED_EDGE('',*,*,#13582,.T.); -#17403=ORIENTED_EDGE('',*,*,#13158,.T.); -#17404=ORIENTED_EDGE('',*,*,#13582,.F.); -#17405=ORIENTED_EDGE('',*,*,#13196,.F.); -#17406=ORIENTED_EDGE('',*,*,#13583,.T.); -#17407=ORIENTED_EDGE('',*,*,#13159,.T.); -#17408=ORIENTED_EDGE('',*,*,#13583,.F.); -#17409=ORIENTED_EDGE('',*,*,#13223,.F.); -#17410=ORIENTED_EDGE('',*,*,#13584,.T.); -#17411=ORIENTED_EDGE('',*,*,#13160,.T.); -#17412=ORIENTED_EDGE('',*,*,#13584,.F.); -#17413=ORIENTED_EDGE('',*,*,#13222,.F.); -#17414=ORIENTED_EDGE('',*,*,#13585,.T.); -#17415=ORIENTED_EDGE('',*,*,#13161,.T.); -#17416=ORIENTED_EDGE('',*,*,#13585,.F.); -#17417=ORIENTED_EDGE('',*,*,#13221,.F.); -#17418=ORIENTED_EDGE('',*,*,#13586,.T.); -#17419=ORIENTED_EDGE('',*,*,#13162,.T.); -#17420=ORIENTED_EDGE('',*,*,#13586,.F.); -#17421=ORIENTED_EDGE('',*,*,#13220,.F.); -#17422=ORIENTED_EDGE('',*,*,#13587,.T.); -#17423=ORIENTED_EDGE('',*,*,#13163,.T.); -#17424=ORIENTED_EDGE('',*,*,#13587,.F.); -#17425=ORIENTED_EDGE('',*,*,#13219,.F.); -#17426=ORIENTED_EDGE('',*,*,#13588,.T.); -#17427=ORIENTED_EDGE('',*,*,#13164,.T.); -#17428=ORIENTED_EDGE('',*,*,#13588,.F.); -#17429=ORIENTED_EDGE('',*,*,#13218,.F.); -#17430=ORIENTED_EDGE('',*,*,#13589,.T.); -#17431=ORIENTED_EDGE('',*,*,#13165,.T.); -#17432=ORIENTED_EDGE('',*,*,#13589,.F.); -#17433=ORIENTED_EDGE('',*,*,#13217,.F.); -#17434=ORIENTED_EDGE('',*,*,#13590,.T.); -#17435=ORIENTED_EDGE('',*,*,#13166,.T.); -#17436=ORIENTED_EDGE('',*,*,#13590,.F.); -#17437=ORIENTED_EDGE('',*,*,#13216,.F.); -#17438=ORIENTED_EDGE('',*,*,#13591,.T.); -#17439=ORIENTED_EDGE('',*,*,#13167,.T.); -#17440=ORIENTED_EDGE('',*,*,#13591,.F.); -#17441=ORIENTED_EDGE('',*,*,#13215,.F.); -#17442=ORIENTED_EDGE('',*,*,#13592,.T.); -#17443=ORIENTED_EDGE('',*,*,#13168,.T.); -#17444=ORIENTED_EDGE('',*,*,#13592,.F.); -#17445=ORIENTED_EDGE('',*,*,#13214,.F.); -#17446=ORIENTED_EDGE('',*,*,#13593,.T.); -#17447=ORIENTED_EDGE('',*,*,#13169,.T.); -#17448=ORIENTED_EDGE('',*,*,#13593,.F.); -#17449=ORIENTED_EDGE('',*,*,#13213,.F.); -#17450=ORIENTED_EDGE('',*,*,#13594,.T.); -#17451=ORIENTED_EDGE('',*,*,#13170,.T.); -#17452=ORIENTED_EDGE('',*,*,#13594,.F.); -#17453=ORIENTED_EDGE('',*,*,#13212,.F.); -#17454=ORIENTED_EDGE('',*,*,#13595,.T.); -#17455=ORIENTED_EDGE('',*,*,#13171,.T.); -#17456=ORIENTED_EDGE('',*,*,#13595,.F.); -#17457=ORIENTED_EDGE('',*,*,#13211,.F.); -#17458=ORIENTED_EDGE('',*,*,#13596,.T.); -#17459=ORIENTED_EDGE('',*,*,#13172,.T.); -#17460=ORIENTED_EDGE('',*,*,#13596,.F.); -#17461=ORIENTED_EDGE('',*,*,#13210,.F.); -#17462=ORIENTED_EDGE('',*,*,#13581,.T.); -#17463=ORIENTED_EDGE('',*,*,#13597,.F.); -#17464=ORIENTED_EDGE('',*,*,#13598,.T.); -#17465=ORIENTED_EDGE('',*,*,#13599,.T.); -#17466=ORIENTED_EDGE('',*,*,#13275,.T.); -#17467=ORIENTED_EDGE('',*,*,#13600,.T.); -#17468=ORIENTED_EDGE('',*,*,#13264,.T.); -#17469=ORIENTED_EDGE('',*,*,#13599,.F.); -#17470=ORIENTED_EDGE('',*,*,#13601,.T.); -#17471=ORIENTED_EDGE('',*,*,#13602,.T.); -#17472=ORIENTED_EDGE('',*,*,#13603,.T.); -#17473=ORIENTED_EDGE('',*,*,#13604,.T.); -#17474=ORIENTED_EDGE('',*,*,#13605,.T.); -#17475=ORIENTED_EDGE('',*,*,#13268,.T.); -#17476=ORIENTED_EDGE('',*,*,#13606,.F.); -#17477=ORIENTED_EDGE('',*,*,#13351,.F.); -#17478=ORIENTED_EDGE('',*,*,#13607,.T.); -#17479=ORIENTED_EDGE('',*,*,#13608,.T.); -#17480=ORIENTED_EDGE('',*,*,#13609,.T.); -#17481=ORIENTED_EDGE('',*,*,#13610,.T.); -#17482=ORIENTED_EDGE('',*,*,#13611,.T.); -#17483=ORIENTED_EDGE('',*,*,#13265,.T.); -#17484=ORIENTED_EDGE('',*,*,#13600,.F.); -#17485=ORIENTED_EDGE('',*,*,#13354,.F.); -#17486=ORIENTED_EDGE('',*,*,#13612,.T.); -#17487=ORIENTED_EDGE('',*,*,#13266,.T.); -#17488=ORIENTED_EDGE('',*,*,#13612,.F.); -#17489=ORIENTED_EDGE('',*,*,#13353,.F.); -#17490=ORIENTED_EDGE('',*,*,#13613,.T.); -#17491=ORIENTED_EDGE('',*,*,#13267,.T.); -#17492=ORIENTED_EDGE('',*,*,#13613,.F.); -#17493=ORIENTED_EDGE('',*,*,#13352,.F.); -#17494=ORIENTED_EDGE('',*,*,#13606,.T.); -#17495=ORIENTED_EDGE('',*,*,#13614,.F.); -#17496=ORIENTED_EDGE('',*,*,#13615,.F.); -#17497=ORIENTED_EDGE('',*,*,#13616,.F.); -#17498=ORIENTED_EDGE('',*,*,#13617,.F.); -#17499=ORIENTED_EDGE('',*,*,#13618,.F.); -#17500=ORIENTED_EDGE('',*,*,#13619,.F.); -#17501=ORIENTED_EDGE('',*,*,#13349,.F.); -#17502=ORIENTED_EDGE('',*,*,#13620,.T.); -#17503=ORIENTED_EDGE('',*,*,#13270,.T.); -#17504=ORIENTED_EDGE('',*,*,#13621,.F.); -#17505=ORIENTED_EDGE('',*,*,#13622,.F.); -#17506=ORIENTED_EDGE('',*,*,#13623,.F.); -#17507=ORIENTED_EDGE('',*,*,#13624,.F.); -#17508=ORIENTED_EDGE('',*,*,#13625,.F.); -#17509=ORIENTED_EDGE('',*,*,#13597,.T.); -#17510=ORIENTED_EDGE('',*,*,#13274,.T.); -#17511=ORIENTED_EDGE('',*,*,#13271,.T.); -#17512=ORIENTED_EDGE('',*,*,#13620,.F.); -#17513=ORIENTED_EDGE('',*,*,#13348,.F.); -#17514=ORIENTED_EDGE('',*,*,#13626,.T.); -#17515=ORIENTED_EDGE('',*,*,#13272,.T.); -#17516=ORIENTED_EDGE('',*,*,#13626,.F.); -#17517=ORIENTED_EDGE('',*,*,#13347,.F.); -#17518=ORIENTED_EDGE('',*,*,#13627,.T.); -#17519=ORIENTED_EDGE('',*,*,#13273,.T.); -#17520=ORIENTED_EDGE('',*,*,#13627,.F.); -#17521=ORIENTED_EDGE('',*,*,#13346,.F.); -#17522=ORIENTED_EDGE('',*,*,#13614,.T.); -#17523=ORIENTED_EDGE('',*,*,#13188,.T.); -#17524=ORIENTED_EDGE('',*,*,#13628,.F.); -#17525=ORIENTED_EDGE('',*,*,#13232,.F.); -#17526=ORIENTED_EDGE('',*,*,#13629,.T.); -#17527=ORIENTED_EDGE('',*,*,#13189,.T.); -#17528=ORIENTED_EDGE('',*,*,#13629,.F.); -#17529=ORIENTED_EDGE('',*,*,#13231,.F.); -#17530=ORIENTED_EDGE('',*,*,#13630,.T.); -#17531=ORIENTED_EDGE('',*,*,#13631,.T.); -#17532=ORIENTED_EDGE('',*,*,#13632,.T.); -#17533=ORIENTED_EDGE('',*,*,#13633,.T.); -#17534=ORIENTED_EDGE('',*,*,#13634,.T.); -#17535=ORIENTED_EDGE('',*,*,#13635,.T.); -#17536=ORIENTED_EDGE('',*,*,#13185,.T.); -#17537=ORIENTED_EDGE('',*,*,#13062,.F.); -#17538=ORIENTED_EDGE('',*,*,#13636,.T.); -#17539=ORIENTED_EDGE('',*,*,#13637,.T.); -#17540=ORIENTED_EDGE('',*,*,#13638,.T.); -#17541=ORIENTED_EDGE('',*,*,#13639,.T.); -#17542=ORIENTED_EDGE('',*,*,#13640,.T.); -#17543=ORIENTED_EDGE('',*,*,#13641,.F.); -#17544=ORIENTED_EDGE('',*,*,#13642,.F.); -#17545=ORIENTED_EDGE('',*,*,#13643,.F.); -#17546=ORIENTED_EDGE('',*,*,#13644,.F.); -#17547=ORIENTED_EDGE('',*,*,#13645,.F.); -#17548=ORIENTED_EDGE('',*,*,#13646,.F.); -#17549=ORIENTED_EDGE('',*,*,#13229,.F.); -#17550=ORIENTED_EDGE('',*,*,#13647,.T.); -#17551=ORIENTED_EDGE('',*,*,#13191,.T.); -#17552=ORIENTED_EDGE('',*,*,#13648,.F.); -#17553=ORIENTED_EDGE('',*,*,#13649,.F.); -#17554=ORIENTED_EDGE('',*,*,#13650,.F.); -#17555=ORIENTED_EDGE('',*,*,#13651,.F.); -#17556=ORIENTED_EDGE('',*,*,#13652,.F.); -#17557=ORIENTED_EDGE('',*,*,#13064,.T.); -#17558=ORIENTED_EDGE('',*,*,#13195,.T.); -#17559=ORIENTED_EDGE('',*,*,#13192,.T.); -#17560=ORIENTED_EDGE('',*,*,#13647,.F.); -#17561=ORIENTED_EDGE('',*,*,#13228,.F.); -#17562=ORIENTED_EDGE('',*,*,#13653,.T.); -#17563=ORIENTED_EDGE('',*,*,#13193,.T.); -#17564=ORIENTED_EDGE('',*,*,#13653,.F.); -#17565=ORIENTED_EDGE('',*,*,#13227,.F.); -#17566=ORIENTED_EDGE('',*,*,#13654,.T.); -#17567=ORIENTED_EDGE('',*,*,#13194,.T.); -#17568=ORIENTED_EDGE('',*,*,#13654,.F.); -#17569=ORIENTED_EDGE('',*,*,#13226,.F.); -#17570=ORIENTED_EDGE('',*,*,#13641,.T.); -#17571=ORIENTED_EDGE('',*,*,#13186,.T.); -#17572=ORIENTED_EDGE('',*,*,#13635,.F.); -#17573=ORIENTED_EDGE('',*,*,#13224,.F.); -#17574=ORIENTED_EDGE('',*,*,#13655,.T.); -#17575=ORIENTED_EDGE('',*,*,#13187,.T.); -#17576=ORIENTED_EDGE('',*,*,#13655,.F.); -#17577=ORIENTED_EDGE('',*,*,#13233,.F.); -#17578=ORIENTED_EDGE('',*,*,#13628,.T.); -#17579=ORIENTED_EDGE('',*,*,#13656,.F.); -#17580=ORIENTED_EDGE('',*,*,#13657,.T.); -#17581=ORIENTED_EDGE('',*,*,#13658,.T.); -#17582=ORIENTED_EDGE('',*,*,#13468,.T.); -#17583=ORIENTED_EDGE('',*,*,#13658,.F.); -#17584=ORIENTED_EDGE('',*,*,#13659,.F.); -#17585=ORIENTED_EDGE('',*,*,#13660,.F.); -#17586=ORIENTED_EDGE('',*,*,#13661,.F.); -#17587=ORIENTED_EDGE('',*,*,#13662,.F.); -#17588=ORIENTED_EDGE('',*,*,#13663,.F.); -#17589=ORIENTED_EDGE('',*,*,#13473,.T.); -#17590=ORIENTED_EDGE('',*,*,#13664,.F.); -#17591=ORIENTED_EDGE('',*,*,#13104,.F.); -#17592=ORIENTED_EDGE('',*,*,#13665,.F.); -#17593=ORIENTED_EDGE('',*,*,#13666,.F.); -#17594=ORIENTED_EDGE('',*,*,#13667,.F.); -#17595=ORIENTED_EDGE('',*,*,#13668,.F.); -#17596=ORIENTED_EDGE('',*,*,#13669,.F.); -#17597=ORIENTED_EDGE('',*,*,#13670,.T.); -#17598=ORIENTED_EDGE('',*,*,#13469,.T.); -#17599=ORIENTED_EDGE('',*,*,#13470,.T.); -#17600=ORIENTED_EDGE('',*,*,#13670,.F.); -#17601=ORIENTED_EDGE('',*,*,#13097,.F.); -#17602=ORIENTED_EDGE('',*,*,#13671,.T.); -#17603=ORIENTED_EDGE('',*,*,#13471,.T.); -#17604=ORIENTED_EDGE('',*,*,#13671,.F.); -#17605=ORIENTED_EDGE('',*,*,#13106,.F.); -#17606=ORIENTED_EDGE('',*,*,#13672,.T.); -#17607=ORIENTED_EDGE('',*,*,#13472,.T.); -#17608=ORIENTED_EDGE('',*,*,#13672,.F.); -#17609=ORIENTED_EDGE('',*,*,#13105,.F.); -#17610=ORIENTED_EDGE('',*,*,#13664,.T.); -#17611=ORIENTED_EDGE('',*,*,#13673,.F.); -#17612=ORIENTED_EDGE('',*,*,#13674,.T.); -#17613=ORIENTED_EDGE('',*,*,#13675,.T.); -#17614=ORIENTED_EDGE('',*,*,#13676,.T.); -#17615=ORIENTED_EDGE('',*,*,#13677,.T.); -#17616=ORIENTED_EDGE('',*,*,#13678,.T.); -#17617=ORIENTED_EDGE('',*,*,#13102,.F.); -#17618=ORIENTED_EDGE('',*,*,#13679,.T.); -#17619=ORIENTED_EDGE('',*,*,#13475,.T.); -#17620=ORIENTED_EDGE('',*,*,#13680,.T.); -#17621=ORIENTED_EDGE('',*,*,#13681,.T.); -#17622=ORIENTED_EDGE('',*,*,#13682,.T.); -#17623=ORIENTED_EDGE('',*,*,#13683,.T.); -#17624=ORIENTED_EDGE('',*,*,#13684,.T.); -#17625=ORIENTED_EDGE('',*,*,#13656,.T.); -#17626=ORIENTED_EDGE('',*,*,#13467,.T.); -#17627=ORIENTED_EDGE('',*,*,#13476,.T.); -#17628=ORIENTED_EDGE('',*,*,#13679,.F.); -#17629=ORIENTED_EDGE('',*,*,#13101,.F.); -#17630=ORIENTED_EDGE('',*,*,#13685,.T.); -#17631=ORIENTED_EDGE('',*,*,#13477,.T.); -#17632=ORIENTED_EDGE('',*,*,#13685,.F.); -#17633=ORIENTED_EDGE('',*,*,#13100,.F.); -#17634=ORIENTED_EDGE('',*,*,#13686,.T.); -#17635=ORIENTED_EDGE('',*,*,#13466,.T.); -#17636=ORIENTED_EDGE('',*,*,#13686,.F.); -#17637=ORIENTED_EDGE('',*,*,#13099,.F.); -#17638=ORIENTED_EDGE('',*,*,#13673,.T.); -#17639=ORIENTED_EDGE('',*,*,#13455,.T.); -#17640=ORIENTED_EDGE('',*,*,#13687,.F.); -#17641=ORIENTED_EDGE('',*,*,#13088,.F.); -#17642=ORIENTED_EDGE('',*,*,#13688,.T.); -#17643=ORIENTED_EDGE('',*,*,#13688,.F.); -#17644=ORIENTED_EDGE('',*,*,#13689,.T.); -#17645=ORIENTED_EDGE('',*,*,#13690,.T.); -#17646=ORIENTED_EDGE('',*,*,#13691,.T.); -#17647=ORIENTED_EDGE('',*,*,#13692,.T.); -#17648=ORIENTED_EDGE('',*,*,#13693,.T.); -#17649=ORIENTED_EDGE('',*,*,#13091,.F.); -#17650=ORIENTED_EDGE('',*,*,#13694,.T.); -#17651=ORIENTED_EDGE('',*,*,#13463,.T.); -#17652=ORIENTED_EDGE('',*,*,#13695,.T.); -#17653=ORIENTED_EDGE('',*,*,#13696,.T.); -#17654=ORIENTED_EDGE('',*,*,#13697,.T.); -#17655=ORIENTED_EDGE('',*,*,#13698,.T.); -#17656=ORIENTED_EDGE('',*,*,#13699,.T.); -#17657=ORIENTED_EDGE('',*,*,#13138,.T.); -#17658=ORIENTED_EDGE('',*,*,#13456,.T.); -#17659=ORIENTED_EDGE('',*,*,#13700,.T.); -#17660=ORIENTED_EDGE('',*,*,#13457,.T.); -#17661=ORIENTED_EDGE('',*,*,#13136,.F.); -#17662=ORIENTED_EDGE('',*,*,#13701,.F.); -#17663=ORIENTED_EDGE('',*,*,#13702,.F.); -#17664=ORIENTED_EDGE('',*,*,#13703,.F.); -#17665=ORIENTED_EDGE('',*,*,#13704,.F.); -#17666=ORIENTED_EDGE('',*,*,#13705,.F.); -#17667=ORIENTED_EDGE('',*,*,#13461,.T.); -#17668=ORIENTED_EDGE('',*,*,#13706,.F.); -#17669=ORIENTED_EDGE('',*,*,#13093,.F.); -#17670=ORIENTED_EDGE('',*,*,#13707,.F.); -#17671=ORIENTED_EDGE('',*,*,#13708,.F.); -#17672=ORIENTED_EDGE('',*,*,#13709,.F.); -#17673=ORIENTED_EDGE('',*,*,#13710,.F.); -#17674=ORIENTED_EDGE('',*,*,#13711,.F.); -#17675=ORIENTED_EDGE('',*,*,#13458,.T.); -#17676=ORIENTED_EDGE('',*,*,#13700,.F.); -#17677=ORIENTED_EDGE('',*,*,#13096,.F.); -#17678=ORIENTED_EDGE('',*,*,#13712,.T.); -#17679=ORIENTED_EDGE('',*,*,#13459,.T.); -#17680=ORIENTED_EDGE('',*,*,#13712,.F.); -#17681=ORIENTED_EDGE('',*,*,#13095,.F.); -#17682=ORIENTED_EDGE('',*,*,#13713,.T.); -#17683=ORIENTED_EDGE('',*,*,#13460,.T.); -#17684=ORIENTED_EDGE('',*,*,#13713,.F.); -#17685=ORIENTED_EDGE('',*,*,#13094,.F.); -#17686=ORIENTED_EDGE('',*,*,#13706,.T.); -#17687=ORIENTED_EDGE('',*,*,#13464,.T.); -#17688=ORIENTED_EDGE('',*,*,#13694,.F.); -#17689=ORIENTED_EDGE('',*,*,#13090,.F.); -#17690=ORIENTED_EDGE('',*,*,#13714,.T.); -#17691=ORIENTED_EDGE('',*,*,#13465,.T.); -#17692=ORIENTED_EDGE('',*,*,#13714,.F.); -#17693=ORIENTED_EDGE('',*,*,#13089,.F.); -#17694=ORIENTED_EDGE('',*,*,#13687,.T.); -#17695=ORIENTED_EDGE('',*,*,#13433,.T.); -#17696=ORIENTED_EDGE('',*,*,#13150,.F.); -#17697=ORIENTED_EDGE('',*,*,#13075,.F.); -#17698=ORIENTED_EDGE('',*,*,#13715,.T.); -#17699=ORIENTED_EDGE('',*,*,#13434,.T.); -#17700=ORIENTED_EDGE('',*,*,#13715,.F.); -#17701=ORIENTED_EDGE('',*,*,#13074,.F.); -#17702=ORIENTED_EDGE('',*,*,#13716,.T.); -#17703=ORIENTED_EDGE('',*,*,#13435,.T.); -#17704=ORIENTED_EDGE('',*,*,#13716,.F.); -#17705=ORIENTED_EDGE('',*,*,#13073,.F.); -#17706=ORIENTED_EDGE('',*,*,#13717,.T.); -#17707=ORIENTED_EDGE('',*,*,#13436,.T.); -#17708=ORIENTED_EDGE('',*,*,#13717,.F.); -#17709=ORIENTED_EDGE('',*,*,#13072,.F.); -#17710=ORIENTED_EDGE('',*,*,#13718,.T.); -#17711=ORIENTED_EDGE('',*,*,#13437,.T.); -#17712=ORIENTED_EDGE('',*,*,#13718,.F.); -#17713=ORIENTED_EDGE('',*,*,#13071,.F.); -#17714=ORIENTED_EDGE('',*,*,#13719,.T.); -#17715=ORIENTED_EDGE('',*,*,#13438,.T.); -#17716=ORIENTED_EDGE('',*,*,#13719,.F.); -#17717=ORIENTED_EDGE('',*,*,#13070,.F.); -#17718=ORIENTED_EDGE('',*,*,#13720,.T.); -#17719=ORIENTED_EDGE('',*,*,#13721,.F.); -#17720=ORIENTED_EDGE('',*,*,#13722,.T.); -#17721=ORIENTED_EDGE('',*,*,#13723,.F.); -#17722=ORIENTED_EDGE('',*,*,#13724,.F.); -#17723=ORIENTED_EDGE('',*,*,#13439,.T.); -#17724=ORIENTED_EDGE('',*,*,#13720,.F.); -#17725=ORIENTED_EDGE('',*,*,#13069,.F.); -#17726=ORIENTED_EDGE('',*,*,#13725,.F.); -#17727=ORIENTED_EDGE('',*,*,#13441,.T.); -#17728=ORIENTED_EDGE('',*,*,#13726,.F.); -#17729=ORIENTED_EDGE('',*,*,#13122,.F.); -#17730=ORIENTED_EDGE('',*,*,#13727,.T.); -#17731=ORIENTED_EDGE('',*,*,#13442,.T.); -#17732=ORIENTED_EDGE('',*,*,#13727,.F.); -#17733=ORIENTED_EDGE('',*,*,#13121,.F.); -#17734=ORIENTED_EDGE('',*,*,#13728,.T.); -#17735=ORIENTED_EDGE('',*,*,#13443,.T.); -#17736=ORIENTED_EDGE('',*,*,#13728,.F.); -#17737=ORIENTED_EDGE('',*,*,#13134,.F.); -#17738=ORIENTED_EDGE('',*,*,#13729,.T.); -#17739=ORIENTED_EDGE('',*,*,#13444,.T.); -#17740=ORIENTED_EDGE('',*,*,#13729,.F.); -#17741=ORIENTED_EDGE('',*,*,#13133,.F.); -#17742=ORIENTED_EDGE('',*,*,#13730,.T.); -#17743=ORIENTED_EDGE('',*,*,#13445,.T.); -#17744=ORIENTED_EDGE('',*,*,#13730,.F.); -#17745=ORIENTED_EDGE('',*,*,#13132,.F.); -#17746=ORIENTED_EDGE('',*,*,#13731,.T.); -#17747=ORIENTED_EDGE('',*,*,#13732,.F.); -#17748=ORIENTED_EDGE('',*,*,#13733,.T.); -#17749=ORIENTED_EDGE('',*,*,#13734,.F.); -#17750=ORIENTED_EDGE('',*,*,#13735,.F.); -#17751=ORIENTED_EDGE('',*,*,#13446,.T.); -#17752=ORIENTED_EDGE('',*,*,#13731,.F.); -#17753=ORIENTED_EDGE('',*,*,#13131,.F.); -#17754=ORIENTED_EDGE('',*,*,#13736,.F.); -#17755=ORIENTED_EDGE('',*,*,#13737,.T.); -#17756=ORIENTED_EDGE('',*,*,#13738,.F.); -#17757=ORIENTED_EDGE('',*,*,#13739,.T.); -#17758=ORIENTED_EDGE('',*,*,#13740,.T.); -#17759=ORIENTED_EDGE('',*,*,#13129,.F.); -#17760=ORIENTED_EDGE('',*,*,#13741,.T.); -#17761=ORIENTED_EDGE('',*,*,#13448,.T.); -#17762=ORIENTED_EDGE('',*,*,#13742,.T.); -#17763=ORIENTED_EDGE('',*,*,#13449,.T.); -#17764=ORIENTED_EDGE('',*,*,#13741,.F.); -#17765=ORIENTED_EDGE('',*,*,#13128,.F.); -#17766=ORIENTED_EDGE('',*,*,#13743,.T.); -#17767=ORIENTED_EDGE('',*,*,#13450,.T.); -#17768=ORIENTED_EDGE('',*,*,#13743,.F.); -#17769=ORIENTED_EDGE('',*,*,#13127,.F.); -#17770=ORIENTED_EDGE('',*,*,#13744,.T.); -#17771=ORIENTED_EDGE('',*,*,#13451,.T.); -#17772=ORIENTED_EDGE('',*,*,#13744,.F.); -#17773=ORIENTED_EDGE('',*,*,#13126,.F.); -#17774=ORIENTED_EDGE('',*,*,#13745,.T.); -#17775=ORIENTED_EDGE('',*,*,#13452,.T.); -#17776=ORIENTED_EDGE('',*,*,#13745,.F.); -#17777=ORIENTED_EDGE('',*,*,#13125,.F.); -#17778=ORIENTED_EDGE('',*,*,#13746,.T.); -#17779=ORIENTED_EDGE('',*,*,#13453,.T.); -#17780=ORIENTED_EDGE('',*,*,#13746,.F.); -#17781=ORIENTED_EDGE('',*,*,#13124,.F.); -#17782=ORIENTED_EDGE('',*,*,#13747,.T.); -#17783=ORIENTED_EDGE('',*,*,#13454,.T.); -#17784=ORIENTED_EDGE('',*,*,#13747,.F.); -#17785=ORIENTED_EDGE('',*,*,#13123,.F.); -#17786=ORIENTED_EDGE('',*,*,#13726,.T.); -#17787=ORIENTED_EDGE('',*,*,#13748,.F.); -#17788=ORIENTED_EDGE('',*,*,#13749,.T.); -#17789=ORIENTED_EDGE('',*,*,#13750,.F.); -#17790=ORIENTED_EDGE('',*,*,#13751,.F.); -#17791=ORIENTED_EDGE('',*,*,#13065,.F.); -#17792=ORIENTED_EDGE('',*,*,#13752,.T.); -#17793=ORIENTED_EDGE('',*,*,#13424,.T.); -#17794=ORIENTED_EDGE('',*,*,#13753,.F.); -#17795=ORIENTED_EDGE('',*,*,#13425,.T.); -#17796=ORIENTED_EDGE('',*,*,#13752,.F.); -#17797=ORIENTED_EDGE('',*,*,#13086,.F.); -#17798=ORIENTED_EDGE('',*,*,#13754,.T.); -#17799=ORIENTED_EDGE('',*,*,#13426,.T.); -#17800=ORIENTED_EDGE('',*,*,#13754,.F.); -#17801=ORIENTED_EDGE('',*,*,#13085,.F.); -#17802=ORIENTED_EDGE('',*,*,#13755,.T.); -#17803=ORIENTED_EDGE('',*,*,#13427,.T.); -#17804=ORIENTED_EDGE('',*,*,#13755,.F.); -#17805=ORIENTED_EDGE('',*,*,#13084,.F.); -#17806=ORIENTED_EDGE('',*,*,#13756,.T.); -#17807=ORIENTED_EDGE('',*,*,#13428,.T.); -#17808=ORIENTED_EDGE('',*,*,#13756,.F.); -#17809=ORIENTED_EDGE('',*,*,#13083,.F.); -#17810=ORIENTED_EDGE('',*,*,#13757,.T.); -#17811=ORIENTED_EDGE('',*,*,#13429,.T.); -#17812=ORIENTED_EDGE('',*,*,#13757,.F.); -#17813=ORIENTED_EDGE('',*,*,#13082,.F.); -#17814=ORIENTED_EDGE('',*,*,#13758,.T.); -#17815=ORIENTED_EDGE('',*,*,#13430,.T.); -#17816=ORIENTED_EDGE('',*,*,#13758,.F.); -#17817=ORIENTED_EDGE('',*,*,#13081,.F.); -#17818=ORIENTED_EDGE('',*,*,#13312,.T.); -#17819=ORIENTED_EDGE('',*,*,#13478,.T.); -#17820=ORIENTED_EDGE('',*,*,#13759,.F.); -#17821=ORIENTED_EDGE('',*,*,#13108,.F.); -#17822=ORIENTED_EDGE('',*,*,#13760,.T.); -#17823=ORIENTED_EDGE('',*,*,#13479,.T.); -#17824=ORIENTED_EDGE('',*,*,#13760,.F.); -#17825=ORIENTED_EDGE('',*,*,#13107,.F.); -#17826=ORIENTED_EDGE('',*,*,#13761,.T.); -#17827=ORIENTED_EDGE('',*,*,#13480,.T.); -#17828=ORIENTED_EDGE('',*,*,#13761,.F.); -#17829=ORIENTED_EDGE('',*,*,#13120,.F.); -#17830=ORIENTED_EDGE('',*,*,#13762,.T.); -#17831=ORIENTED_EDGE('',*,*,#13481,.T.); -#17832=ORIENTED_EDGE('',*,*,#13762,.F.); -#17833=ORIENTED_EDGE('',*,*,#13119,.F.); -#17834=ORIENTED_EDGE('',*,*,#13763,.T.); -#17835=ORIENTED_EDGE('',*,*,#13482,.T.); -#17836=ORIENTED_EDGE('',*,*,#13763,.F.); -#17837=ORIENTED_EDGE('',*,*,#13118,.F.); -#17838=ORIENTED_EDGE('',*,*,#13764,.T.); -#17839=ORIENTED_EDGE('',*,*,#13483,.T.); -#17840=ORIENTED_EDGE('',*,*,#13764,.F.); -#17841=ORIENTED_EDGE('',*,*,#13117,.F.); -#17842=ORIENTED_EDGE('',*,*,#13765,.T.); -#17843=ORIENTED_EDGE('',*,*,#13484,.T.); -#17844=ORIENTED_EDGE('',*,*,#13765,.F.); -#17845=ORIENTED_EDGE('',*,*,#13116,.F.); -#17846=ORIENTED_EDGE('',*,*,#13766,.T.); -#17847=ORIENTED_EDGE('',*,*,#13767,.F.); -#17848=ORIENTED_EDGE('',*,*,#13768,.T.); -#17849=ORIENTED_EDGE('',*,*,#13769,.F.); -#17850=ORIENTED_EDGE('',*,*,#13770,.F.); -#17851=ORIENTED_EDGE('',*,*,#13485,.T.); -#17852=ORIENTED_EDGE('',*,*,#13766,.F.); -#17853=ORIENTED_EDGE('',*,*,#13115,.F.); -#17854=ORIENTED_EDGE('',*,*,#13771,.F.); -#17855=ORIENTED_EDGE('',*,*,#13772,.T.); -#17856=ORIENTED_EDGE('',*,*,#13773,.F.); -#17857=ORIENTED_EDGE('',*,*,#13774,.T.); -#17858=ORIENTED_EDGE('',*,*,#13775,.T.); -#17859=ORIENTED_EDGE('',*,*,#13113,.F.); -#17860=ORIENTED_EDGE('',*,*,#13776,.T.); -#17861=ORIENTED_EDGE('',*,*,#13487,.T.); -#17862=ORIENTED_EDGE('',*,*,#13777,.T.); -#17863=ORIENTED_EDGE('',*,*,#13488,.T.); -#17864=ORIENTED_EDGE('',*,*,#13776,.F.); -#17865=ORIENTED_EDGE('',*,*,#13112,.F.); -#17866=ORIENTED_EDGE('',*,*,#13778,.T.); -#17867=ORIENTED_EDGE('',*,*,#13489,.T.); -#17868=ORIENTED_EDGE('',*,*,#13778,.F.); -#17869=ORIENTED_EDGE('',*,*,#13111,.F.); -#17870=ORIENTED_EDGE('',*,*,#13779,.T.); -#17871=ORIENTED_EDGE('',*,*,#13490,.T.); -#17872=ORIENTED_EDGE('',*,*,#13779,.F.); -#17873=ORIENTED_EDGE('',*,*,#13110,.F.); -#17874=ORIENTED_EDGE('',*,*,#13780,.T.); -#17875=ORIENTED_EDGE('',*,*,#13491,.T.); -#17876=ORIENTED_EDGE('',*,*,#13780,.F.); -#17877=ORIENTED_EDGE('',*,*,#13109,.F.); -#17878=ORIENTED_EDGE('',*,*,#13759,.T.); -#17879=ORIENTED_EDGE('',*,*,#13781,.F.); -#17880=ORIENTED_EDGE('',*,*,#13782,.T.); -#17881=ORIENTED_EDGE('',*,*,#13783,.T.); -#17882=ORIENTED_EDGE('',*,*,#13784,.T.); -#17883=ORIENTED_EDGE('',*,*,#13750,.T.); -#17884=ORIENTED_EDGE('',*,*,#13785,.T.); -#17885=ORIENTED_EDGE('',*,*,#13781,.T.); -#17886=ORIENTED_EDGE('',*,*,#13786,.T.); -#17887=ORIENTED_EDGE('',*,*,#13144,.F.); -#17888=ORIENTED_EDGE('',*,*,#13787,.F.); -#17889=ORIENTED_EDGE('',*,*,#13143,.F.); -#17890=ORIENTED_EDGE('',*,*,#13066,.F.); -#17891=ORIENTED_EDGE('',*,*,#13751,.T.); -#17892=ORIENTED_EDGE('',*,*,#13787,.T.); -#17893=ORIENTED_EDGE('',*,*,#13147,.F.); -#17894=ORIENTED_EDGE('',*,*,#13788,.F.); -#17895=ORIENTED_EDGE('',*,*,#13753,.T.); -#17896=ORIENTED_EDGE('',*,*,#13423,.T.); -#17897=ORIENTED_EDGE('',*,*,#13783,.F.); -#17898=ORIENTED_EDGE('',*,*,#13789,.T.); -#17899=ORIENTED_EDGE('',*,*,#13748,.T.); -#17900=ORIENTED_EDGE('',*,*,#13788,.T.); -#17901=ORIENTED_EDGE('',*,*,#13146,.F.); -#17902=ORIENTED_EDGE('',*,*,#13790,.T.); -#17903=ORIENTED_EDGE('',*,*,#13149,.F.); -#17904=ORIENTED_EDGE('',*,*,#13440,.T.); -#17905=ORIENTED_EDGE('',*,*,#13724,.T.); -#17906=ORIENTED_EDGE('',*,*,#13791,.T.); -#17907=ORIENTED_EDGE('',*,*,#13142,.F.); -#17908=ORIENTED_EDGE('',*,*,#13792,.F.); -#17909=ORIENTED_EDGE('',*,*,#13725,.T.); -#17910=ORIENTED_EDGE('',*,*,#13068,.F.); -#17911=ORIENTED_EDGE('',*,*,#13793,.F.); -#17912=ORIENTED_EDGE('',*,*,#13794,.T.); -#17913=ORIENTED_EDGE('',*,*,#13721,.T.); -#17914=ORIENTED_EDGE('',*,*,#13792,.T.); -#17915=ORIENTED_EDGE('',*,*,#13141,.F.); -#17916=ORIENTED_EDGE('',*,*,#13795,.T.); -#17917=ORIENTED_EDGE('',*,*,#13796,.F.); -#17918=ORIENTED_EDGE('',*,*,#13797,.T.); -#17919=ORIENTED_EDGE('',*,*,#13793,.T.); -#17920=ORIENTED_EDGE('',*,*,#13798,.T.); -#17921=ORIENTED_EDGE('',*,*,#13723,.T.); -#17922=ORIENTED_EDGE('',*,*,#13799,.T.); -#17923=ORIENTED_EDGE('',*,*,#13796,.T.); -#17924=ORIENTED_EDGE('',*,*,#13800,.T.); -#17925=ORIENTED_EDGE('',*,*,#13139,.F.); -#17926=ORIENTED_EDGE('',*,*,#13791,.F.); -#17927=ORIENTED_EDGE('',*,*,#13801,.F.); -#17928=ORIENTED_EDGE('',*,*,#13802,.T.); -#17929=ORIENTED_EDGE('',*,*,#13803,.T.); -#17930=ORIENTED_EDGE('',*,*,#13804,.T.); -#17931=ORIENTED_EDGE('',*,*,#13805,.F.); -#17932=ORIENTED_EDGE('',*,*,#13772,.F.); -#17933=ORIENTED_EDGE('',*,*,#13806,.F.); -#17934=ORIENTED_EDGE('',*,*,#13769,.T.); -#17935=ORIENTED_EDGE('',*,*,#13777,.F.); -#17936=ORIENTED_EDGE('',*,*,#13486,.T.); -#17937=ORIENTED_EDGE('',*,*,#13770,.T.); -#17938=ORIENTED_EDGE('',*,*,#13806,.T.); -#17939=ORIENTED_EDGE('',*,*,#13775,.F.); -#17940=ORIENTED_EDGE('',*,*,#13807,.F.); -#17941=ORIENTED_EDGE('',*,*,#13771,.T.); -#17942=ORIENTED_EDGE('',*,*,#13114,.F.); -#17943=ORIENTED_EDGE('',*,*,#13808,.F.); -#17944=ORIENTED_EDGE('',*,*,#13809,.T.); -#17945=ORIENTED_EDGE('',*,*,#13810,.F.); -#17946=ORIENTED_EDGE('',*,*,#13767,.T.); -#17947=ORIENTED_EDGE('',*,*,#13807,.T.); -#17948=ORIENTED_EDGE('',*,*,#13774,.F.); -#17949=ORIENTED_EDGE('',*,*,#13811,.F.); -#17950=ORIENTED_EDGE('',*,*,#13812,.T.); -#17951=ORIENTED_EDGE('',*,*,#13803,.F.); -#17952=ORIENTED_EDGE('',*,*,#13813,.T.); -#17953=ORIENTED_EDGE('',*,*,#13808,.T.); -#17954=ORIENTED_EDGE('',*,*,#13814,.T.); -#17955=ORIENTED_EDGE('',*,*,#13815,.T.); -#17956=ORIENTED_EDGE('',*,*,#13816,.T.); -#17957=ORIENTED_EDGE('',*,*,#13817,.F.); -#17958=ORIENTED_EDGE('',*,*,#13818,.T.); -#17959=ORIENTED_EDGE('',*,*,#13819,.T.); -#17960=ORIENTED_EDGE('',*,*,#13737,.F.); -#17961=ORIENTED_EDGE('',*,*,#13820,.T.); -#17962=ORIENTED_EDGE('',*,*,#13734,.T.); -#17963=ORIENTED_EDGE('',*,*,#13817,.T.); -#17964=ORIENTED_EDGE('',*,*,#13821,.T.); -#17965=ORIENTED_EDGE('',*,*,#13822,.F.); -#17966=ORIENTED_EDGE('',*,*,#13823,.T.); -#17967=ORIENTED_EDGE('',*,*,#13822,.T.); -#17968=ORIENTED_EDGE('',*,*,#13824,.T.); -#17969=ORIENTED_EDGE('',*,*,#13825,.T.); -#17970=ORIENTED_EDGE('',*,*,#13732,.T.); -#17971=ORIENTED_EDGE('',*,*,#13826,.F.); -#17972=ORIENTED_EDGE('',*,*,#13739,.F.); -#17973=ORIENTED_EDGE('',*,*,#13827,.T.); -#17974=ORIENTED_EDGE('',*,*,#13828,.T.); -#17975=ORIENTED_EDGE('',*,*,#13736,.T.); -#17976=ORIENTED_EDGE('',*,*,#13130,.F.); -#17977=ORIENTED_EDGE('',*,*,#13740,.F.); -#17978=ORIENTED_EDGE('',*,*,#13826,.T.); -#17979=ORIENTED_EDGE('',*,*,#13735,.T.); -#17980=ORIENTED_EDGE('',*,*,#13820,.F.); -#17981=ORIENTED_EDGE('',*,*,#13742,.F.); -#17982=ORIENTED_EDGE('',*,*,#13447,.T.); -#17983=ORIENTED_EDGE('',*,*,#13825,.F.); -#17984=ORIENTED_EDGE('',*,*,#13829,.T.); -#17985=ORIENTED_EDGE('',*,*,#13815,.F.); -#17986=ORIENTED_EDGE('',*,*,#13733,.F.); -#17987=ORIENTED_EDGE('',*,*,#13819,.F.); -#17988=ORIENTED_EDGE('',*,*,#13830,.T.); -#17989=ORIENTED_EDGE('',*,*,#13827,.F.); -#17990=ORIENTED_EDGE('',*,*,#13738,.T.); -#17991=ORIENTED_EDGE('',*,*,#13810,.T.); -#17992=ORIENTED_EDGE('',*,*,#13831,.T.); -#17993=ORIENTED_EDGE('',*,*,#13801,.T.); -#17994=ORIENTED_EDGE('',*,*,#13768,.F.); -#17995=ORIENTED_EDGE('',*,*,#13805,.T.); -#17996=ORIENTED_EDGE('',*,*,#13832,.T.); -#17997=ORIENTED_EDGE('',*,*,#13811,.T.); -#17998=ORIENTED_EDGE('',*,*,#13773,.T.); -#17999=ORIENTED_EDGE('',*,*,#13799,.F.); -#18000=ORIENTED_EDGE('',*,*,#13722,.F.); -#18001=ORIENTED_EDGE('',*,*,#13794,.F.); -#18002=ORIENTED_EDGE('',*,*,#13797,.F.); -#18003=ORIENTED_EDGE('',*,*,#13800,.F.); -#18004=ORIENTED_EDGE('',*,*,#13798,.F.); -#18005=ORIENTED_EDGE('',*,*,#13795,.F.); -#18006=ORIENTED_EDGE('',*,*,#13140,.F.); -#18007=ORIENTED_EDGE('',*,*,#13785,.F.); -#18008=ORIENTED_EDGE('',*,*,#13749,.F.); -#18009=ORIENTED_EDGE('',*,*,#13789,.F.); -#18010=ORIENTED_EDGE('',*,*,#13782,.F.); -#18011=ORIENTED_EDGE('',*,*,#13786,.F.); -#18012=ORIENTED_EDGE('',*,*,#13784,.F.); -#18013=ORIENTED_EDGE('',*,*,#13790,.F.); -#18014=ORIENTED_EDGE('',*,*,#13145,.F.); -#18015=ORIENTED_EDGE('',*,*,#13816,.F.); -#18016=ORIENTED_EDGE('',*,*,#13829,.F.); -#18017=ORIENTED_EDGE('',*,*,#13824,.F.); -#18018=ORIENTED_EDGE('',*,*,#13821,.F.); -#18019=ORIENTED_EDGE('',*,*,#13828,.F.); -#18020=ORIENTED_EDGE('',*,*,#13830,.F.); -#18021=ORIENTED_EDGE('',*,*,#13818,.F.); -#18022=ORIENTED_EDGE('',*,*,#13823,.F.); -#18023=ORIENTED_EDGE('',*,*,#13809,.F.); -#18024=ORIENTED_EDGE('',*,*,#13813,.F.); -#18025=ORIENTED_EDGE('',*,*,#13802,.F.); -#18026=ORIENTED_EDGE('',*,*,#13831,.F.); -#18027=ORIENTED_EDGE('',*,*,#13804,.F.); -#18028=ORIENTED_EDGE('',*,*,#13814,.F.); -#18029=ORIENTED_EDGE('',*,*,#13812,.F.); -#18030=ORIENTED_EDGE('',*,*,#13832,.F.); -#18031=ORIENTED_EDGE('',*,*,#13657,.F.); -#18032=ORIENTED_EDGE('',*,*,#13684,.F.); -#18033=ORIENTED_EDGE('',*,*,#13833,.F.); -#18034=ORIENTED_EDGE('',*,*,#13659,.T.); -#18035=ORIENTED_EDGE('',*,*,#13680,.F.); -#18036=ORIENTED_EDGE('',*,*,#13474,.F.); -#18037=ORIENTED_EDGE('',*,*,#13663,.T.); -#18038=ORIENTED_EDGE('',*,*,#13834,.T.); -#18039=ORIENTED_EDGE('',*,*,#13678,.F.); -#18040=ORIENTED_EDGE('',*,*,#13835,.F.); -#18041=ORIENTED_EDGE('',*,*,#13665,.T.); -#18042=ORIENTED_EDGE('',*,*,#13103,.T.); -#18043=ORIENTED_EDGE('',*,*,#13677,.F.); -#18044=ORIENTED_EDGE('',*,*,#13836,.F.); -#18045=ORIENTED_EDGE('',*,*,#13666,.T.); -#18046=ORIENTED_EDGE('',*,*,#13835,.T.); -#18047=ORIENTED_EDGE('',*,*,#13676,.F.); -#18048=ORIENTED_EDGE('',*,*,#13837,.F.); -#18049=ORIENTED_EDGE('',*,*,#13667,.T.); -#18050=ORIENTED_EDGE('',*,*,#13836,.T.); -#18051=ORIENTED_EDGE('',*,*,#13675,.F.); -#18052=ORIENTED_EDGE('',*,*,#13838,.F.); -#18053=ORIENTED_EDGE('',*,*,#13668,.T.); -#18054=ORIENTED_EDGE('',*,*,#13837,.T.); -#18055=ORIENTED_EDGE('',*,*,#13674,.F.); -#18056=ORIENTED_EDGE('',*,*,#13098,.F.); -#18057=ORIENTED_EDGE('',*,*,#13669,.T.); -#18058=ORIENTED_EDGE('',*,*,#13838,.T.); -#18059=ORIENTED_EDGE('',*,*,#13683,.F.); -#18060=ORIENTED_EDGE('',*,*,#13839,.F.); -#18061=ORIENTED_EDGE('',*,*,#13660,.T.); -#18062=ORIENTED_EDGE('',*,*,#13833,.T.); -#18063=ORIENTED_EDGE('',*,*,#13682,.F.); -#18064=ORIENTED_EDGE('',*,*,#13840,.F.); -#18065=ORIENTED_EDGE('',*,*,#13661,.T.); -#18066=ORIENTED_EDGE('',*,*,#13839,.T.); -#18067=ORIENTED_EDGE('',*,*,#13681,.F.); -#18068=ORIENTED_EDGE('',*,*,#13834,.F.); -#18069=ORIENTED_EDGE('',*,*,#13662,.T.); -#18070=ORIENTED_EDGE('',*,*,#13840,.T.); -#18071=ORIENTED_EDGE('',*,*,#13598,.F.); -#18072=ORIENTED_EDGE('',*,*,#13625,.T.); -#18073=ORIENTED_EDGE('',*,*,#13841,.T.); -#18074=ORIENTED_EDGE('',*,*,#13601,.F.); -#18075=ORIENTED_EDGE('',*,*,#13611,.F.); -#18076=ORIENTED_EDGE('',*,*,#13842,.F.); -#18077=ORIENTED_EDGE('',*,*,#13615,.T.); -#18078=ORIENTED_EDGE('',*,*,#13345,.T.); -#18079=ORIENTED_EDGE('',*,*,#13610,.F.); -#18080=ORIENTED_EDGE('',*,*,#13843,.F.); -#18081=ORIENTED_EDGE('',*,*,#13616,.T.); -#18082=ORIENTED_EDGE('',*,*,#13842,.T.); -#18083=ORIENTED_EDGE('',*,*,#13609,.F.); -#18084=ORIENTED_EDGE('',*,*,#13844,.F.); -#18085=ORIENTED_EDGE('',*,*,#13617,.T.); -#18086=ORIENTED_EDGE('',*,*,#13843,.T.); -#18087=ORIENTED_EDGE('',*,*,#13608,.F.); -#18088=ORIENTED_EDGE('',*,*,#13845,.F.); -#18089=ORIENTED_EDGE('',*,*,#13618,.T.); -#18090=ORIENTED_EDGE('',*,*,#13844,.T.); -#18091=ORIENTED_EDGE('',*,*,#13607,.F.); -#18092=ORIENTED_EDGE('',*,*,#13350,.F.); -#18093=ORIENTED_EDGE('',*,*,#13619,.T.); -#18094=ORIENTED_EDGE('',*,*,#13845,.T.); -#18095=ORIENTED_EDGE('',*,*,#13605,.F.); -#18096=ORIENTED_EDGE('',*,*,#13846,.F.); -#18097=ORIENTED_EDGE('',*,*,#13621,.T.); -#18098=ORIENTED_EDGE('',*,*,#13269,.T.); -#18099=ORIENTED_EDGE('',*,*,#13604,.F.); -#18100=ORIENTED_EDGE('',*,*,#13847,.F.); -#18101=ORIENTED_EDGE('',*,*,#13622,.T.); -#18102=ORIENTED_EDGE('',*,*,#13846,.T.); -#18103=ORIENTED_EDGE('',*,*,#13603,.F.); -#18104=ORIENTED_EDGE('',*,*,#13848,.F.); -#18105=ORIENTED_EDGE('',*,*,#13623,.T.); -#18106=ORIENTED_EDGE('',*,*,#13847,.T.); -#18107=ORIENTED_EDGE('',*,*,#13602,.F.); -#18108=ORIENTED_EDGE('',*,*,#13841,.F.); -#18109=ORIENTED_EDGE('',*,*,#13624,.T.); -#18110=ORIENTED_EDGE('',*,*,#13848,.T.); -#18111=ORIENTED_EDGE('',*,*,#13701,.T.); -#18112=ORIENTED_EDGE('',*,*,#13135,.T.); -#18113=ORIENTED_EDGE('',*,*,#13699,.F.); -#18114=ORIENTED_EDGE('',*,*,#13849,.T.); -#18115=ORIENTED_EDGE('',*,*,#13705,.T.); -#18116=ORIENTED_EDGE('',*,*,#13850,.F.); -#18117=ORIENTED_EDGE('',*,*,#13695,.F.); -#18118=ORIENTED_EDGE('',*,*,#13462,.T.); -#18119=ORIENTED_EDGE('',*,*,#13704,.T.); -#18120=ORIENTED_EDGE('',*,*,#13851,.F.); -#18121=ORIENTED_EDGE('',*,*,#13696,.F.); -#18122=ORIENTED_EDGE('',*,*,#13850,.T.); -#18123=ORIENTED_EDGE('',*,*,#13703,.T.); -#18124=ORIENTED_EDGE('',*,*,#13852,.F.); -#18125=ORIENTED_EDGE('',*,*,#13697,.F.); -#18126=ORIENTED_EDGE('',*,*,#13851,.T.); -#18127=ORIENTED_EDGE('',*,*,#13702,.T.); -#18128=ORIENTED_EDGE('',*,*,#13849,.F.); -#18129=ORIENTED_EDGE('',*,*,#13698,.F.); -#18130=ORIENTED_EDGE('',*,*,#13852,.T.); -#18131=ORIENTED_EDGE('',*,*,#13711,.T.); -#18132=ORIENTED_EDGE('',*,*,#13853,.F.); -#18133=ORIENTED_EDGE('',*,*,#13689,.F.); -#18134=ORIENTED_EDGE('',*,*,#13087,.T.); -#18135=ORIENTED_EDGE('',*,*,#13710,.T.); -#18136=ORIENTED_EDGE('',*,*,#13854,.F.); -#18137=ORIENTED_EDGE('',*,*,#13690,.F.); -#18138=ORIENTED_EDGE('',*,*,#13853,.T.); -#18139=ORIENTED_EDGE('',*,*,#13709,.T.); -#18140=ORIENTED_EDGE('',*,*,#13855,.F.); -#18141=ORIENTED_EDGE('',*,*,#13691,.F.); -#18142=ORIENTED_EDGE('',*,*,#13854,.T.); -#18143=ORIENTED_EDGE('',*,*,#13708,.T.); -#18144=ORIENTED_EDGE('',*,*,#13856,.F.); -#18145=ORIENTED_EDGE('',*,*,#13692,.F.); -#18146=ORIENTED_EDGE('',*,*,#13855,.T.); -#18147=ORIENTED_EDGE('',*,*,#13707,.T.); -#18148=ORIENTED_EDGE('',*,*,#13092,.F.); -#18149=ORIENTED_EDGE('',*,*,#13693,.F.); -#18150=ORIENTED_EDGE('',*,*,#13856,.T.); -#18151=ORIENTED_EDGE('',*,*,#13636,.F.); -#18152=ORIENTED_EDGE('',*,*,#13061,.T.); -#18153=ORIENTED_EDGE('',*,*,#13652,.T.); -#18154=ORIENTED_EDGE('',*,*,#13857,.F.); -#18155=ORIENTED_EDGE('',*,*,#13651,.T.); -#18156=ORIENTED_EDGE('',*,*,#13858,.F.); -#18157=ORIENTED_EDGE('',*,*,#13637,.F.); -#18158=ORIENTED_EDGE('',*,*,#13857,.T.); -#18159=ORIENTED_EDGE('',*,*,#13650,.T.); -#18160=ORIENTED_EDGE('',*,*,#13859,.F.); -#18161=ORIENTED_EDGE('',*,*,#13638,.F.); -#18162=ORIENTED_EDGE('',*,*,#13858,.T.); -#18163=ORIENTED_EDGE('',*,*,#13649,.T.); -#18164=ORIENTED_EDGE('',*,*,#13860,.F.); -#18165=ORIENTED_EDGE('',*,*,#13639,.F.); -#18166=ORIENTED_EDGE('',*,*,#13859,.T.); -#18167=ORIENTED_EDGE('',*,*,#13648,.T.); -#18168=ORIENTED_EDGE('',*,*,#13190,.F.); -#18169=ORIENTED_EDGE('',*,*,#13640,.F.); -#18170=ORIENTED_EDGE('',*,*,#13860,.T.); -#18171=ORIENTED_EDGE('',*,*,#13646,.T.); -#18172=ORIENTED_EDGE('',*,*,#13861,.F.); -#18173=ORIENTED_EDGE('',*,*,#13630,.F.); -#18174=ORIENTED_EDGE('',*,*,#13230,.T.); -#18175=ORIENTED_EDGE('',*,*,#13645,.T.); -#18176=ORIENTED_EDGE('',*,*,#13862,.F.); -#18177=ORIENTED_EDGE('',*,*,#13631,.F.); -#18178=ORIENTED_EDGE('',*,*,#13861,.T.); -#18179=ORIENTED_EDGE('',*,*,#13644,.T.); -#18180=ORIENTED_EDGE('',*,*,#13863,.F.); -#18181=ORIENTED_EDGE('',*,*,#13632,.F.); -#18182=ORIENTED_EDGE('',*,*,#13862,.T.); -#18183=ORIENTED_EDGE('',*,*,#13643,.T.); -#18184=ORIENTED_EDGE('',*,*,#13864,.F.); -#18185=ORIENTED_EDGE('',*,*,#13633,.F.); -#18186=ORIENTED_EDGE('',*,*,#13863,.T.); -#18187=ORIENTED_EDGE('',*,*,#13642,.T.); -#18188=ORIENTED_EDGE('',*,*,#13225,.F.); -#18189=ORIENTED_EDGE('',*,*,#13634,.F.); -#18190=ORIENTED_EDGE('',*,*,#13864,.T.); -#18191=ORIENTED_EDGE('',*,*,#13865,.F.); -#18192=ORIENTED_EDGE('',*,*,#13866,.F.); -#18193=ORIENTED_EDGE('',*,*,#13867,.T.); -#18194=ORIENTED_EDGE('',*,*,#13868,.T.); -#18195=ORIENTED_EDGE('',*,*,#13869,.F.); -#18196=ORIENTED_EDGE('',*,*,#13870,.F.); -#18197=ORIENTED_EDGE('',*,*,#13871,.T.); -#18198=ORIENTED_EDGE('',*,*,#13866,.T.); -#18199=ORIENTED_EDGE('',*,*,#13872,.F.); -#18200=ORIENTED_EDGE('',*,*,#13873,.F.); -#18201=ORIENTED_EDGE('',*,*,#13874,.T.); -#18202=ORIENTED_EDGE('',*,*,#13870,.T.); -#18203=ORIENTED_EDGE('',*,*,#13875,.F.); -#18204=ORIENTED_EDGE('',*,*,#13876,.F.); -#18205=ORIENTED_EDGE('',*,*,#13877,.T.); -#18206=ORIENTED_EDGE('',*,*,#13873,.T.); -#18207=ORIENTED_EDGE('',*,*,#13878,.F.); -#18208=ORIENTED_EDGE('',*,*,#13879,.F.); -#18209=ORIENTED_EDGE('',*,*,#13880,.T.); -#18210=ORIENTED_EDGE('',*,*,#13876,.T.); -#18211=ORIENTED_EDGE('',*,*,#13881,.F.); -#18212=ORIENTED_EDGE('',*,*,#13882,.F.); -#18213=ORIENTED_EDGE('',*,*,#13883,.T.); -#18214=ORIENTED_EDGE('',*,*,#13879,.T.); -#18215=ORIENTED_EDGE('',*,*,#13884,.F.); -#18216=ORIENTED_EDGE('',*,*,#13868,.F.); -#18217=ORIENTED_EDGE('',*,*,#13885,.T.); -#18218=ORIENTED_EDGE('',*,*,#13882,.T.); -#18219=ORIENTED_EDGE('',*,*,#13867,.F.); -#18220=ORIENTED_EDGE('',*,*,#13871,.F.); -#18221=ORIENTED_EDGE('',*,*,#13874,.F.); -#18222=ORIENTED_EDGE('',*,*,#13877,.F.); -#18223=ORIENTED_EDGE('',*,*,#13880,.F.); -#18224=ORIENTED_EDGE('',*,*,#13883,.F.); -#18225=ORIENTED_EDGE('',*,*,#13885,.F.); -#18226=ORIENTED_EDGE('',*,*,#13865,.T.); -#18227=ORIENTED_EDGE('',*,*,#13884,.T.); -#18228=ORIENTED_EDGE('',*,*,#13881,.T.); -#18229=ORIENTED_EDGE('',*,*,#13878,.T.); -#18230=ORIENTED_EDGE('',*,*,#13875,.T.); -#18231=ORIENTED_EDGE('',*,*,#13872,.T.); -#18232=ORIENTED_EDGE('',*,*,#13869,.T.); -#18233=ORIENTED_EDGE('',*,*,#13886,.F.); -#18234=ORIENTED_EDGE('',*,*,#13887,.F.); -#18235=ORIENTED_EDGE('',*,*,#13888,.T.); -#18236=ORIENTED_EDGE('',*,*,#13889,.T.); -#18237=ORIENTED_EDGE('',*,*,#13890,.F.); -#18238=ORIENTED_EDGE('',*,*,#13891,.F.); -#18239=ORIENTED_EDGE('',*,*,#13892,.T.); -#18240=ORIENTED_EDGE('',*,*,#13887,.T.); -#18241=ORIENTED_EDGE('',*,*,#13893,.F.); -#18242=ORIENTED_EDGE('',*,*,#13894,.F.); -#18243=ORIENTED_EDGE('',*,*,#13895,.T.); -#18244=ORIENTED_EDGE('',*,*,#13891,.T.); -#18245=ORIENTED_EDGE('',*,*,#13896,.F.); -#18246=ORIENTED_EDGE('',*,*,#13897,.F.); -#18247=ORIENTED_EDGE('',*,*,#13898,.T.); -#18248=ORIENTED_EDGE('',*,*,#13894,.T.); -#18249=ORIENTED_EDGE('',*,*,#13899,.F.); -#18250=ORIENTED_EDGE('',*,*,#13900,.F.); -#18251=ORIENTED_EDGE('',*,*,#13901,.T.); -#18252=ORIENTED_EDGE('',*,*,#13897,.T.); -#18253=ORIENTED_EDGE('',*,*,#13902,.F.); -#18254=ORIENTED_EDGE('',*,*,#13903,.F.); -#18255=ORIENTED_EDGE('',*,*,#13904,.T.); -#18256=ORIENTED_EDGE('',*,*,#13900,.T.); -#18257=ORIENTED_EDGE('',*,*,#13905,.F.); -#18258=ORIENTED_EDGE('',*,*,#13889,.F.); -#18259=ORIENTED_EDGE('',*,*,#13906,.T.); -#18260=ORIENTED_EDGE('',*,*,#13903,.T.); -#18261=ORIENTED_EDGE('',*,*,#13888,.F.); -#18262=ORIENTED_EDGE('',*,*,#13892,.F.); -#18263=ORIENTED_EDGE('',*,*,#13895,.F.); -#18264=ORIENTED_EDGE('',*,*,#13898,.F.); -#18265=ORIENTED_EDGE('',*,*,#13901,.F.); -#18266=ORIENTED_EDGE('',*,*,#13904,.F.); -#18267=ORIENTED_EDGE('',*,*,#13906,.F.); -#18268=ORIENTED_EDGE('',*,*,#13886,.T.); -#18269=ORIENTED_EDGE('',*,*,#13905,.T.); -#18270=ORIENTED_EDGE('',*,*,#13902,.T.); -#18271=ORIENTED_EDGE('',*,*,#13899,.T.); -#18272=ORIENTED_EDGE('',*,*,#13896,.T.); -#18273=ORIENTED_EDGE('',*,*,#13893,.T.); -#18274=ORIENTED_EDGE('',*,*,#13890,.T.); -#18275=ORIENTED_EDGE('',*,*,#13907,.F.); -#18276=ORIENTED_EDGE('',*,*,#13908,.F.); -#18277=ORIENTED_EDGE('',*,*,#13909,.T.); -#18278=ORIENTED_EDGE('',*,*,#13910,.T.); -#18279=ORIENTED_EDGE('',*,*,#13911,.F.); -#18280=ORIENTED_EDGE('',*,*,#13912,.F.); -#18281=ORIENTED_EDGE('',*,*,#13913,.T.); -#18282=ORIENTED_EDGE('',*,*,#13908,.T.); -#18283=ORIENTED_EDGE('',*,*,#13914,.F.); -#18284=ORIENTED_EDGE('',*,*,#13915,.F.); -#18285=ORIENTED_EDGE('',*,*,#13916,.T.); -#18286=ORIENTED_EDGE('',*,*,#13912,.T.); -#18287=ORIENTED_EDGE('',*,*,#13917,.F.); -#18288=ORIENTED_EDGE('',*,*,#13918,.F.); -#18289=ORIENTED_EDGE('',*,*,#13919,.T.); -#18290=ORIENTED_EDGE('',*,*,#13915,.T.); -#18291=ORIENTED_EDGE('',*,*,#13920,.F.); -#18292=ORIENTED_EDGE('',*,*,#13921,.F.); -#18293=ORIENTED_EDGE('',*,*,#13922,.T.); -#18294=ORIENTED_EDGE('',*,*,#13918,.T.); -#18295=ORIENTED_EDGE('',*,*,#13923,.F.); -#18296=ORIENTED_EDGE('',*,*,#13924,.F.); -#18297=ORIENTED_EDGE('',*,*,#13925,.T.); -#18298=ORIENTED_EDGE('',*,*,#13921,.T.); -#18299=ORIENTED_EDGE('',*,*,#13926,.F.); -#18300=ORIENTED_EDGE('',*,*,#13910,.F.); -#18301=ORIENTED_EDGE('',*,*,#13927,.T.); -#18302=ORIENTED_EDGE('',*,*,#13924,.T.); -#18303=ORIENTED_EDGE('',*,*,#13909,.F.); -#18304=ORIENTED_EDGE('',*,*,#13913,.F.); -#18305=ORIENTED_EDGE('',*,*,#13916,.F.); -#18306=ORIENTED_EDGE('',*,*,#13919,.F.); -#18307=ORIENTED_EDGE('',*,*,#13922,.F.); -#18308=ORIENTED_EDGE('',*,*,#13925,.F.); -#18309=ORIENTED_EDGE('',*,*,#13927,.F.); -#18310=ORIENTED_EDGE('',*,*,#13907,.T.); -#18311=ORIENTED_EDGE('',*,*,#13926,.T.); -#18312=ORIENTED_EDGE('',*,*,#13923,.T.); -#18313=ORIENTED_EDGE('',*,*,#13920,.T.); -#18314=ORIENTED_EDGE('',*,*,#13917,.T.); -#18315=ORIENTED_EDGE('',*,*,#13914,.T.); -#18316=ORIENTED_EDGE('',*,*,#13911,.T.); -#18317=ORIENTED_EDGE('',*,*,#13928,.T.); -#18318=ORIENTED_EDGE('',*,*,#13929,.F.); -#18319=ORIENTED_EDGE('',*,*,#13930,.F.); -#18320=ORIENTED_EDGE('',*,*,#13931,.T.); -#18321=ORIENTED_EDGE('',*,*,#13932,.T.); -#18322=ORIENTED_EDGE('',*,*,#13931,.F.); -#18323=ORIENTED_EDGE('',*,*,#13933,.F.); -#18324=ORIENTED_EDGE('',*,*,#13934,.T.); -#18325=ORIENTED_EDGE('',*,*,#13935,.T.); -#18326=ORIENTED_EDGE('',*,*,#13934,.F.); -#18327=ORIENTED_EDGE('',*,*,#13936,.F.); -#18328=ORIENTED_EDGE('',*,*,#13937,.T.); -#18329=ORIENTED_EDGE('',*,*,#13938,.T.); -#18330=ORIENTED_EDGE('',*,*,#13937,.F.); -#18331=ORIENTED_EDGE('',*,*,#13939,.F.); -#18332=ORIENTED_EDGE('',*,*,#13940,.T.); -#18333=ORIENTED_EDGE('',*,*,#13941,.T.); -#18334=ORIENTED_EDGE('',*,*,#13940,.F.); -#18335=ORIENTED_EDGE('',*,*,#13942,.F.); -#18336=ORIENTED_EDGE('',*,*,#13943,.T.); -#18337=ORIENTED_EDGE('',*,*,#13944,.T.); -#18338=ORIENTED_EDGE('',*,*,#13943,.F.); -#18339=ORIENTED_EDGE('',*,*,#13945,.F.); -#18340=ORIENTED_EDGE('',*,*,#13946,.T.); -#18341=ORIENTED_EDGE('',*,*,#13947,.T.); -#18342=ORIENTED_EDGE('',*,*,#13946,.F.); -#18343=ORIENTED_EDGE('',*,*,#13948,.F.); -#18344=ORIENTED_EDGE('',*,*,#13929,.T.); -#18345=ORIENTED_EDGE('',*,*,#13930,.T.); -#18346=ORIENTED_EDGE('',*,*,#13948,.T.); -#18347=ORIENTED_EDGE('',*,*,#13945,.T.); -#18348=ORIENTED_EDGE('',*,*,#13942,.T.); -#18349=ORIENTED_EDGE('',*,*,#13939,.T.); -#18350=ORIENTED_EDGE('',*,*,#13936,.T.); -#18351=ORIENTED_EDGE('',*,*,#13933,.T.); -#18352=ORIENTED_EDGE('',*,*,#13928,.F.); -#18353=ORIENTED_EDGE('',*,*,#13932,.F.); -#18354=ORIENTED_EDGE('',*,*,#13935,.F.); -#18355=ORIENTED_EDGE('',*,*,#13938,.F.); -#18356=ORIENTED_EDGE('',*,*,#13941,.F.); -#18357=ORIENTED_EDGE('',*,*,#13944,.F.); -#18358=ORIENTED_EDGE('',*,*,#13947,.F.); -#18359=ORIENTED_EDGE('',*,*,#13949,.T.); -#18360=ORIENTED_EDGE('',*,*,#13950,.F.); -#18361=ORIENTED_EDGE('',*,*,#13951,.F.); -#18362=ORIENTED_EDGE('',*,*,#13952,.T.); -#18363=ORIENTED_EDGE('',*,*,#13953,.T.); -#18364=ORIENTED_EDGE('',*,*,#13952,.F.); -#18365=ORIENTED_EDGE('',*,*,#13954,.F.); -#18366=ORIENTED_EDGE('',*,*,#13955,.T.); -#18367=ORIENTED_EDGE('',*,*,#13956,.T.); -#18368=ORIENTED_EDGE('',*,*,#13955,.F.); -#18369=ORIENTED_EDGE('',*,*,#13957,.F.); -#18370=ORIENTED_EDGE('',*,*,#13958,.T.); -#18371=ORIENTED_EDGE('',*,*,#13959,.T.); -#18372=ORIENTED_EDGE('',*,*,#13958,.F.); -#18373=ORIENTED_EDGE('',*,*,#13960,.F.); -#18374=ORIENTED_EDGE('',*,*,#13961,.T.); -#18375=ORIENTED_EDGE('',*,*,#13962,.T.); -#18376=ORIENTED_EDGE('',*,*,#13961,.F.); -#18377=ORIENTED_EDGE('',*,*,#13963,.F.); -#18378=ORIENTED_EDGE('',*,*,#13964,.T.); -#18379=ORIENTED_EDGE('',*,*,#13965,.T.); -#18380=ORIENTED_EDGE('',*,*,#13964,.F.); -#18381=ORIENTED_EDGE('',*,*,#13966,.F.); -#18382=ORIENTED_EDGE('',*,*,#13967,.T.); -#18383=ORIENTED_EDGE('',*,*,#13968,.T.); -#18384=ORIENTED_EDGE('',*,*,#13967,.F.); -#18385=ORIENTED_EDGE('',*,*,#13969,.F.); -#18386=ORIENTED_EDGE('',*,*,#13950,.T.); -#18387=ORIENTED_EDGE('',*,*,#13951,.T.); -#18388=ORIENTED_EDGE('',*,*,#13969,.T.); -#18389=ORIENTED_EDGE('',*,*,#13966,.T.); -#18390=ORIENTED_EDGE('',*,*,#13963,.T.); -#18391=ORIENTED_EDGE('',*,*,#13960,.T.); -#18392=ORIENTED_EDGE('',*,*,#13957,.T.); -#18393=ORIENTED_EDGE('',*,*,#13954,.T.); -#18394=ORIENTED_EDGE('',*,*,#13949,.F.); -#18395=ORIENTED_EDGE('',*,*,#13953,.F.); -#18396=ORIENTED_EDGE('',*,*,#13956,.F.); -#18397=ORIENTED_EDGE('',*,*,#13959,.F.); -#18398=ORIENTED_EDGE('',*,*,#13962,.F.); -#18399=ORIENTED_EDGE('',*,*,#13965,.F.); -#18400=ORIENTED_EDGE('',*,*,#13968,.F.); -#18401=ORIENTED_EDGE('',*,*,#13970,.T.); -#18402=ORIENTED_EDGE('',*,*,#13971,.F.); -#18403=ORIENTED_EDGE('',*,*,#13972,.F.); -#18404=ORIENTED_EDGE('',*,*,#13973,.T.); -#18405=ORIENTED_EDGE('',*,*,#13974,.T.); -#18406=ORIENTED_EDGE('',*,*,#13973,.F.); -#18407=ORIENTED_EDGE('',*,*,#13975,.F.); -#18408=ORIENTED_EDGE('',*,*,#13976,.T.); -#18409=ORIENTED_EDGE('',*,*,#13977,.T.); -#18410=ORIENTED_EDGE('',*,*,#13976,.F.); -#18411=ORIENTED_EDGE('',*,*,#13978,.F.); -#18412=ORIENTED_EDGE('',*,*,#13979,.T.); -#18413=ORIENTED_EDGE('',*,*,#13980,.T.); -#18414=ORIENTED_EDGE('',*,*,#13979,.F.); -#18415=ORIENTED_EDGE('',*,*,#13981,.F.); -#18416=ORIENTED_EDGE('',*,*,#13982,.T.); -#18417=ORIENTED_EDGE('',*,*,#13983,.T.); -#18418=ORIENTED_EDGE('',*,*,#13982,.F.); -#18419=ORIENTED_EDGE('',*,*,#13984,.F.); -#18420=ORIENTED_EDGE('',*,*,#13985,.T.); -#18421=ORIENTED_EDGE('',*,*,#13986,.T.); -#18422=ORIENTED_EDGE('',*,*,#13985,.F.); -#18423=ORIENTED_EDGE('',*,*,#13987,.F.); -#18424=ORIENTED_EDGE('',*,*,#13988,.T.); -#18425=ORIENTED_EDGE('',*,*,#13989,.T.); -#18426=ORIENTED_EDGE('',*,*,#13988,.F.); -#18427=ORIENTED_EDGE('',*,*,#13990,.F.); -#18428=ORIENTED_EDGE('',*,*,#13971,.T.); -#18429=ORIENTED_EDGE('',*,*,#13972,.T.); -#18430=ORIENTED_EDGE('',*,*,#13990,.T.); -#18431=ORIENTED_EDGE('',*,*,#13987,.T.); -#18432=ORIENTED_EDGE('',*,*,#13984,.T.); -#18433=ORIENTED_EDGE('',*,*,#13981,.T.); -#18434=ORIENTED_EDGE('',*,*,#13978,.T.); -#18435=ORIENTED_EDGE('',*,*,#13975,.T.); -#18436=ORIENTED_EDGE('',*,*,#13970,.F.); -#18437=ORIENTED_EDGE('',*,*,#13974,.F.); -#18438=ORIENTED_EDGE('',*,*,#13977,.F.); -#18439=ORIENTED_EDGE('',*,*,#13980,.F.); -#18440=ORIENTED_EDGE('',*,*,#13983,.F.); -#18441=ORIENTED_EDGE('',*,*,#13986,.F.); -#18442=ORIENTED_EDGE('',*,*,#13989,.F.); -#18443=ORIENTED_EDGE('',*,*,#13991,.T.); -#18444=ORIENTED_EDGE('',*,*,#13992,.F.); -#18445=ORIENTED_EDGE('',*,*,#13993,.F.); -#18446=ORIENTED_EDGE('',*,*,#13994,.T.); -#18447=ORIENTED_EDGE('',*,*,#13995,.T.); -#18448=ORIENTED_EDGE('',*,*,#13996,.F.); -#18449=ORIENTED_EDGE('',*,*,#13997,.F.); -#18450=ORIENTED_EDGE('',*,*,#13992,.T.); -#18451=ORIENTED_EDGE('',*,*,#13998,.T.); -#18452=ORIENTED_EDGE('',*,*,#13999,.F.); -#18453=ORIENTED_EDGE('',*,*,#14000,.F.); -#18454=ORIENTED_EDGE('',*,*,#13996,.T.); -#18455=ORIENTED_EDGE('',*,*,#14001,.T.); -#18456=ORIENTED_EDGE('',*,*,#14002,.F.); -#18457=ORIENTED_EDGE('',*,*,#14003,.F.); -#18458=ORIENTED_EDGE('',*,*,#13999,.T.); -#18459=ORIENTED_EDGE('',*,*,#14004,.T.); -#18460=ORIENTED_EDGE('',*,*,#14005,.F.); -#18461=ORIENTED_EDGE('',*,*,#14006,.F.); -#18462=ORIENTED_EDGE('',*,*,#14002,.T.); -#18463=ORIENTED_EDGE('',*,*,#14007,.T.); -#18464=ORIENTED_EDGE('',*,*,#14008,.F.); -#18465=ORIENTED_EDGE('',*,*,#14009,.F.); -#18466=ORIENTED_EDGE('',*,*,#14005,.T.); -#18467=ORIENTED_EDGE('',*,*,#14010,.T.); -#18468=ORIENTED_EDGE('',*,*,#14011,.F.); -#18469=ORIENTED_EDGE('',*,*,#14012,.F.); -#18470=ORIENTED_EDGE('',*,*,#14008,.T.); -#18471=ORIENTED_EDGE('',*,*,#14013,.T.); -#18472=ORIENTED_EDGE('',*,*,#14014,.F.); -#18473=ORIENTED_EDGE('',*,*,#14015,.F.); -#18474=ORIENTED_EDGE('',*,*,#14011,.T.); -#18475=ORIENTED_EDGE('',*,*,#14016,.T.); -#18476=ORIENTED_EDGE('',*,*,#14017,.F.); -#18477=ORIENTED_EDGE('',*,*,#14018,.F.); -#18478=ORIENTED_EDGE('',*,*,#14014,.T.); -#18479=ORIENTED_EDGE('',*,*,#14019,.T.); -#18480=ORIENTED_EDGE('',*,*,#14020,.F.); -#18481=ORIENTED_EDGE('',*,*,#14021,.F.); -#18482=ORIENTED_EDGE('',*,*,#14017,.T.); -#18483=ORIENTED_EDGE('',*,*,#14022,.T.); -#18484=ORIENTED_EDGE('',*,*,#14023,.F.); -#18485=ORIENTED_EDGE('',*,*,#14024,.F.); -#18486=ORIENTED_EDGE('',*,*,#14020,.T.); -#18487=ORIENTED_EDGE('',*,*,#14025,.T.); -#18488=ORIENTED_EDGE('',*,*,#14026,.F.); -#18489=ORIENTED_EDGE('',*,*,#14027,.F.); -#18490=ORIENTED_EDGE('',*,*,#14023,.T.); -#18491=ORIENTED_EDGE('',*,*,#14028,.T.); -#18492=ORIENTED_EDGE('',*,*,#13994,.F.); -#18493=ORIENTED_EDGE('',*,*,#14029,.F.); -#18494=ORIENTED_EDGE('',*,*,#14026,.T.); -#18495=ORIENTED_EDGE('',*,*,#13993,.T.); -#18496=ORIENTED_EDGE('',*,*,#13997,.T.); -#18497=ORIENTED_EDGE('',*,*,#14000,.T.); -#18498=ORIENTED_EDGE('',*,*,#14003,.T.); -#18499=ORIENTED_EDGE('',*,*,#14006,.T.); -#18500=ORIENTED_EDGE('',*,*,#14009,.T.); -#18501=ORIENTED_EDGE('',*,*,#14012,.T.); -#18502=ORIENTED_EDGE('',*,*,#14015,.T.); -#18503=ORIENTED_EDGE('',*,*,#14018,.T.); -#18504=ORIENTED_EDGE('',*,*,#14021,.T.); -#18505=ORIENTED_EDGE('',*,*,#14024,.T.); -#18506=ORIENTED_EDGE('',*,*,#14027,.T.); -#18507=ORIENTED_EDGE('',*,*,#14029,.T.); -#18508=ORIENTED_EDGE('',*,*,#13991,.F.); -#18509=ORIENTED_EDGE('',*,*,#14028,.F.); -#18510=ORIENTED_EDGE('',*,*,#14025,.F.); -#18511=ORIENTED_EDGE('',*,*,#14022,.F.); -#18512=ORIENTED_EDGE('',*,*,#14019,.F.); -#18513=ORIENTED_EDGE('',*,*,#14016,.F.); -#18514=ORIENTED_EDGE('',*,*,#14013,.F.); -#18515=ORIENTED_EDGE('',*,*,#14010,.F.); -#18516=ORIENTED_EDGE('',*,*,#14007,.F.); -#18517=ORIENTED_EDGE('',*,*,#14004,.F.); -#18518=ORIENTED_EDGE('',*,*,#14001,.F.); -#18519=ORIENTED_EDGE('',*,*,#13998,.F.); -#18520=ORIENTED_EDGE('',*,*,#13995,.F.); -#18521=ORIENTED_EDGE('',*,*,#14030,.T.); -#18522=ORIENTED_EDGE('',*,*,#14031,.F.); -#18523=ORIENTED_EDGE('',*,*,#14032,.F.); -#18524=ORIENTED_EDGE('',*,*,#14033,.T.); -#18525=ORIENTED_EDGE('',*,*,#14034,.T.); -#18526=ORIENTED_EDGE('',*,*,#14035,.F.); -#18527=ORIENTED_EDGE('',*,*,#14036,.F.); -#18528=ORIENTED_EDGE('',*,*,#14031,.T.); -#18529=ORIENTED_EDGE('',*,*,#14037,.T.); -#18530=ORIENTED_EDGE('',*,*,#14038,.F.); -#18531=ORIENTED_EDGE('',*,*,#14039,.F.); -#18532=ORIENTED_EDGE('',*,*,#14035,.T.); -#18533=ORIENTED_EDGE('',*,*,#14040,.T.); -#18534=ORIENTED_EDGE('',*,*,#14041,.F.); -#18535=ORIENTED_EDGE('',*,*,#14042,.F.); -#18536=ORIENTED_EDGE('',*,*,#14038,.T.); -#18537=ORIENTED_EDGE('',*,*,#14043,.T.); -#18538=ORIENTED_EDGE('',*,*,#14044,.F.); -#18539=ORIENTED_EDGE('',*,*,#14045,.F.); -#18540=ORIENTED_EDGE('',*,*,#14041,.T.); -#18541=ORIENTED_EDGE('',*,*,#14046,.T.); -#18542=ORIENTED_EDGE('',*,*,#14047,.F.); -#18543=ORIENTED_EDGE('',*,*,#14048,.F.); -#18544=ORIENTED_EDGE('',*,*,#14044,.T.); -#18545=ORIENTED_EDGE('',*,*,#14049,.T.); -#18546=ORIENTED_EDGE('',*,*,#14050,.F.); -#18547=ORIENTED_EDGE('',*,*,#14051,.F.); -#18548=ORIENTED_EDGE('',*,*,#14047,.T.); -#18549=ORIENTED_EDGE('',*,*,#14052,.T.); -#18550=ORIENTED_EDGE('',*,*,#14053,.F.); -#18551=ORIENTED_EDGE('',*,*,#14054,.F.); -#18552=ORIENTED_EDGE('',*,*,#14050,.T.); -#18553=ORIENTED_EDGE('',*,*,#14055,.T.); -#18554=ORIENTED_EDGE('',*,*,#14056,.F.); -#18555=ORIENTED_EDGE('',*,*,#14057,.F.); -#18556=ORIENTED_EDGE('',*,*,#14053,.T.); -#18557=ORIENTED_EDGE('',*,*,#14058,.T.); -#18558=ORIENTED_EDGE('',*,*,#14059,.F.); -#18559=ORIENTED_EDGE('',*,*,#14060,.F.); -#18560=ORIENTED_EDGE('',*,*,#14056,.T.); -#18561=ORIENTED_EDGE('',*,*,#14061,.T.); -#18562=ORIENTED_EDGE('',*,*,#14062,.F.); -#18563=ORIENTED_EDGE('',*,*,#14063,.F.); -#18564=ORIENTED_EDGE('',*,*,#14059,.T.); -#18565=ORIENTED_EDGE('',*,*,#14064,.T.); -#18566=ORIENTED_EDGE('',*,*,#14065,.F.); -#18567=ORIENTED_EDGE('',*,*,#14066,.F.); -#18568=ORIENTED_EDGE('',*,*,#14062,.T.); -#18569=ORIENTED_EDGE('',*,*,#14067,.T.); -#18570=ORIENTED_EDGE('',*,*,#14033,.F.); -#18571=ORIENTED_EDGE('',*,*,#14068,.F.); -#18572=ORIENTED_EDGE('',*,*,#14065,.T.); -#18573=ORIENTED_EDGE('',*,*,#14032,.T.); -#18574=ORIENTED_EDGE('',*,*,#14036,.T.); -#18575=ORIENTED_EDGE('',*,*,#14039,.T.); -#18576=ORIENTED_EDGE('',*,*,#14042,.T.); -#18577=ORIENTED_EDGE('',*,*,#14045,.T.); -#18578=ORIENTED_EDGE('',*,*,#14048,.T.); -#18579=ORIENTED_EDGE('',*,*,#14051,.T.); -#18580=ORIENTED_EDGE('',*,*,#14054,.T.); -#18581=ORIENTED_EDGE('',*,*,#14057,.T.); -#18582=ORIENTED_EDGE('',*,*,#14060,.T.); -#18583=ORIENTED_EDGE('',*,*,#14063,.T.); -#18584=ORIENTED_EDGE('',*,*,#14066,.T.); -#18585=ORIENTED_EDGE('',*,*,#14068,.T.); -#18586=ORIENTED_EDGE('',*,*,#14030,.F.); -#18587=ORIENTED_EDGE('',*,*,#14067,.F.); -#18588=ORIENTED_EDGE('',*,*,#14064,.F.); -#18589=ORIENTED_EDGE('',*,*,#14061,.F.); -#18590=ORIENTED_EDGE('',*,*,#14058,.F.); -#18591=ORIENTED_EDGE('',*,*,#14055,.F.); -#18592=ORIENTED_EDGE('',*,*,#14052,.F.); -#18593=ORIENTED_EDGE('',*,*,#14049,.F.); -#18594=ORIENTED_EDGE('',*,*,#14046,.F.); -#18595=ORIENTED_EDGE('',*,*,#14043,.F.); -#18596=ORIENTED_EDGE('',*,*,#14040,.F.); -#18597=ORIENTED_EDGE('',*,*,#14037,.F.); -#18598=ORIENTED_EDGE('',*,*,#14034,.F.); -#18599=ORIENTED_EDGE('',*,*,#14069,.F.); -#18600=ORIENTED_EDGE('',*,*,#14070,.T.); -#18601=ORIENTED_EDGE('',*,*,#14071,.F.); -#18602=ORIENTED_EDGE('',*,*,#14072,.T.); -#18603=ORIENTED_EDGE('',*,*,#14073,.T.); -#18604=ORIENTED_EDGE('',*,*,#14074,.T.); -#18605=ORIENTED_EDGE('',*,*,#14075,.T.); -#18606=ORIENTED_EDGE('',*,*,#14076,.T.); -#18607=ORIENTED_EDGE('',*,*,#14077,.F.); -#18608=ORIENTED_EDGE('',*,*,#14078,.T.); -#18609=ORIENTED_EDGE('',*,*,#14079,.T.); -#18610=ORIENTED_EDGE('',*,*,#14080,.T.); -#18611=ORIENTED_EDGE('',*,*,#14081,.F.); -#18612=ORIENTED_EDGE('',*,*,#14082,.F.); -#18613=ORIENTED_EDGE('',*,*,#14083,.T.); -#18614=ORIENTED_EDGE('',*,*,#14084,.T.); -#18615=ORIENTED_EDGE('',*,*,#14085,.F.); -#18616=ORIENTED_EDGE('',*,*,#14086,.F.); -#18617=ORIENTED_EDGE('',*,*,#14087,.F.); -#18618=ORIENTED_EDGE('',*,*,#14070,.F.); -#18619=ORIENTED_EDGE('',*,*,#14088,.F.); -#18620=ORIENTED_EDGE('',*,*,#14089,.T.); -#18621=ORIENTED_EDGE('',*,*,#14090,.T.); -#18622=ORIENTED_EDGE('',*,*,#14091,.T.); -#18623=ORIENTED_EDGE('',*,*,#14092,.T.); -#18624=ORIENTED_EDGE('',*,*,#14093,.F.); -#18625=ORIENTED_EDGE('',*,*,#14094,.F.); -#18626=ORIENTED_EDGE('',*,*,#14095,.T.); -#18627=ORIENTED_EDGE('',*,*,#14096,.F.); -#18628=ORIENTED_EDGE('',*,*,#14095,.F.); -#18629=ORIENTED_EDGE('',*,*,#14097,.F.); -#18630=ORIENTED_EDGE('',*,*,#14098,.T.); -#18631=ORIENTED_EDGE('',*,*,#14099,.F.); -#18632=ORIENTED_EDGE('',*,*,#14098,.F.); -#18633=ORIENTED_EDGE('',*,*,#14100,.F.); -#18634=ORIENTED_EDGE('',*,*,#14086,.T.); -#18635=ORIENTED_EDGE('',*,*,#14101,.F.); -#18636=ORIENTED_EDGE('',*,*,#14084,.F.); -#18637=ORIENTED_EDGE('',*,*,#14102,.F.); -#18638=ORIENTED_EDGE('',*,*,#14103,.T.); -#18639=ORIENTED_EDGE('',*,*,#14104,.F.); -#18640=ORIENTED_EDGE('',*,*,#14103,.F.); -#18641=ORIENTED_EDGE('',*,*,#14105,.F.); -#18642=ORIENTED_EDGE('',*,*,#14106,.T.); -#18643=ORIENTED_EDGE('',*,*,#14107,.F.); -#18644=ORIENTED_EDGE('',*,*,#14106,.F.); -#18645=ORIENTED_EDGE('',*,*,#14108,.F.); -#18646=ORIENTED_EDGE('',*,*,#14109,.T.); -#18647=ORIENTED_EDGE('',*,*,#14110,.F.); -#18648=ORIENTED_EDGE('',*,*,#14109,.F.); -#18649=ORIENTED_EDGE('',*,*,#14111,.F.); -#18650=ORIENTED_EDGE('',*,*,#14112,.T.); -#18651=ORIENTED_EDGE('',*,*,#14113,.T.); -#18652=ORIENTED_EDGE('',*,*,#14114,.F.); -#18653=ORIENTED_EDGE('',*,*,#14115,.T.); -#18654=ORIENTED_EDGE('',*,*,#14116,.T.); -#18655=ORIENTED_EDGE('',*,*,#14117,.F.); -#18656=ORIENTED_EDGE('',*,*,#14118,.T.); -#18657=ORIENTED_EDGE('',*,*,#14119,.T.); -#18658=ORIENTED_EDGE('',*,*,#14120,.F.); -#18659=ORIENTED_EDGE('',*,*,#14121,.F.); -#18660=ORIENTED_EDGE('',*,*,#14122,.T.); -#18661=ORIENTED_EDGE('',*,*,#14123,.T.); -#18662=ORIENTED_EDGE('',*,*,#14124,.F.); -#18663=ORIENTED_EDGE('',*,*,#14125,.F.); -#18664=ORIENTED_EDGE('',*,*,#14126,.T.); -#18665=ORIENTED_EDGE('',*,*,#14127,.F.); -#18666=ORIENTED_EDGE('',*,*,#14128,.T.); -#18667=ORIENTED_EDGE('',*,*,#14129,.F.); -#18668=ORIENTED_EDGE('',*,*,#14130,.T.); -#18669=ORIENTED_EDGE('',*,*,#14131,.F.); -#18670=ORIENTED_EDGE('',*,*,#14132,.T.); -#18671=ORIENTED_EDGE('',*,*,#14133,.F.); -#18672=ORIENTED_EDGE('',*,*,#14134,.F.); -#18673=ORIENTED_EDGE('',*,*,#14135,.T.); -#18674=ORIENTED_EDGE('',*,*,#14136,.F.); -#18675=ORIENTED_EDGE('',*,*,#14137,.F.); -#18676=ORIENTED_EDGE('',*,*,#14138,.F.); -#18677=ORIENTED_EDGE('',*,*,#14139,.F.); -#18678=ORIENTED_EDGE('',*,*,#14140,.F.); -#18679=ORIENTED_EDGE('',*,*,#14141,.F.); -#18680=ORIENTED_EDGE('',*,*,#14142,.F.); -#18681=ORIENTED_EDGE('',*,*,#14135,.F.); -#18682=ORIENTED_EDGE('',*,*,#14143,.F.); -#18683=ORIENTED_EDGE('',*,*,#14144,.T.); -#18684=ORIENTED_EDGE('',*,*,#14145,.F.); -#18685=ORIENTED_EDGE('',*,*,#14133,.T.); -#18686=ORIENTED_EDGE('',*,*,#14146,.T.); -#18687=ORIENTED_EDGE('',*,*,#14147,.F.); -#18688=ORIENTED_EDGE('',*,*,#14148,.F.); -#18689=ORIENTED_EDGE('',*,*,#14149,.T.); -#18690=ORIENTED_EDGE('',*,*,#14150,.F.); -#18691=ORIENTED_EDGE('',*,*,#14151,.F.); -#18692=ORIENTED_EDGE('',*,*,#14152,.F.); -#18693=ORIENTED_EDGE('',*,*,#14153,.F.); -#18694=ORIENTED_EDGE('',*,*,#14154,.F.); -#18695=ORIENTED_EDGE('',*,*,#14155,.F.); -#18696=ORIENTED_EDGE('',*,*,#14156,.F.); -#18697=ORIENTED_EDGE('',*,*,#14149,.F.); -#18698=ORIENTED_EDGE('',*,*,#14157,.F.); -#18699=ORIENTED_EDGE('',*,*,#14158,.T.); -#18700=ORIENTED_EDGE('',*,*,#14159,.F.); -#18701=ORIENTED_EDGE('',*,*,#14147,.T.); -#18702=ORIENTED_EDGE('',*,*,#14160,.T.); -#18703=ORIENTED_EDGE('',*,*,#14161,.F.); -#18704=ORIENTED_EDGE('',*,*,#14162,.F.); -#18705=ORIENTED_EDGE('',*,*,#14163,.F.); -#18706=ORIENTED_EDGE('',*,*,#14164,.T.); -#18707=ORIENTED_EDGE('',*,*,#14165,.T.); -#18708=ORIENTED_EDGE('',*,*,#14166,.T.); -#18709=ORIENTED_EDGE('',*,*,#14120,.T.); -#18710=ORIENTED_EDGE('',*,*,#14167,.T.); -#18711=ORIENTED_EDGE('',*,*,#14168,.F.); -#18712=ORIENTED_EDGE('',*,*,#14169,.F.); -#18713=ORIENTED_EDGE('',*,*,#14170,.F.); -#18714=ORIENTED_EDGE('',*,*,#14171,.T.); -#18715=ORIENTED_EDGE('',*,*,#14172,.F.); -#18716=ORIENTED_EDGE('',*,*,#14173,.F.); -#18717=ORIENTED_EDGE('',*,*,#14174,.F.); -#18718=ORIENTED_EDGE('',*,*,#14175,.T.); -#18719=ORIENTED_EDGE('',*,*,#14124,.T.); -#18720=ORIENTED_EDGE('',*,*,#14176,.T.); -#18721=ORIENTED_EDGE('',*,*,#14177,.T.); -#18722=ORIENTED_EDGE('',*,*,#14178,.T.); -#18723=ORIENTED_EDGE('',*,*,#14179,.F.); -#18724=ORIENTED_EDGE('',*,*,#14180,.F.); -#18725=ORIENTED_EDGE('',*,*,#14181,.F.); -#18726=ORIENTED_EDGE('',*,*,#14182,.T.); -#18727=ORIENTED_EDGE('',*,*,#14183,.F.); -#18728=ORIENTED_EDGE('',*,*,#14184,.F.); -#18729=ORIENTED_EDGE('',*,*,#14185,.F.); -#18730=ORIENTED_EDGE('',*,*,#14186,.F.); -#18731=ORIENTED_EDGE('',*,*,#14187,.F.); -#18732=ORIENTED_EDGE('',*,*,#14188,.F.); -#18733=ORIENTED_EDGE('',*,*,#14189,.F.); -#18734=ORIENTED_EDGE('',*,*,#14190,.F.); -#18735=ORIENTED_EDGE('',*,*,#14191,.F.); -#18736=ORIENTED_EDGE('',*,*,#14192,.F.); -#18737=ORIENTED_EDGE('',*,*,#14193,.F.); -#18738=ORIENTED_EDGE('',*,*,#14194,.F.); -#18739=ORIENTED_EDGE('',*,*,#14195,.F.); -#18740=ORIENTED_EDGE('',*,*,#14165,.F.); -#18741=ORIENTED_EDGE('',*,*,#14196,.F.); -#18742=ORIENTED_EDGE('',*,*,#14197,.T.); -#18743=ORIENTED_EDGE('',*,*,#14198,.T.); -#18744=ORIENTED_EDGE('',*,*,#14199,.F.); -#18745=ORIENTED_EDGE('',*,*,#14200,.F.); -#18746=ORIENTED_EDGE('',*,*,#14201,.T.); -#18747=ORIENTED_EDGE('',*,*,#14202,.F.); -#18748=ORIENTED_EDGE('',*,*,#14203,.F.); -#18749=ORIENTED_EDGE('',*,*,#14204,.F.); -#18750=ORIENTED_EDGE('',*,*,#14205,.T.); -#18751=ORIENTED_EDGE('',*,*,#14206,.F.); -#18752=ORIENTED_EDGE('',*,*,#14207,.T.); -#18753=ORIENTED_EDGE('',*,*,#14208,.T.); -#18754=ORIENTED_EDGE('',*,*,#14196,.T.); -#18755=ORIENTED_EDGE('',*,*,#14164,.F.); -#18756=ORIENTED_EDGE('',*,*,#14209,.F.); -#18757=ORIENTED_EDGE('',*,*,#14210,.F.); -#18758=ORIENTED_EDGE('',*,*,#14211,.T.); -#18759=ORIENTED_EDGE('',*,*,#14182,.F.); -#18760=ORIENTED_EDGE('',*,*,#14212,.F.); -#18761=ORIENTED_EDGE('',*,*,#14213,.F.); -#18762=ORIENTED_EDGE('',*,*,#14214,.T.); -#18763=ORIENTED_EDGE('',*,*,#14178,.F.); -#18764=ORIENTED_EDGE('',*,*,#14215,.F.); -#18765=ORIENTED_EDGE('',*,*,#14193,.T.); -#18766=ORIENTED_EDGE('',*,*,#14216,.F.); -#18767=ORIENTED_EDGE('',*,*,#14217,.F.); -#18768=ORIENTED_EDGE('',*,*,#14177,.F.); -#18769=ORIENTED_EDGE('',*,*,#14218,.F.); -#18770=ORIENTED_EDGE('',*,*,#14194,.T.); -#18771=ORIENTED_EDGE('',*,*,#14215,.T.); -#18772=ORIENTED_EDGE('',*,*,#14176,.F.); -#18773=ORIENTED_EDGE('',*,*,#14123,.F.); -#18774=ORIENTED_EDGE('',*,*,#14219,.T.); -#18775=ORIENTED_EDGE('',*,*,#14220,.T.); -#18776=ORIENTED_EDGE('',*,*,#14195,.T.); -#18777=ORIENTED_EDGE('',*,*,#14218,.T.); -#18778=ORIENTED_EDGE('',*,*,#14221,.T.); -#18779=ORIENTED_EDGE('',*,*,#14171,.F.); -#18780=ORIENTED_EDGE('',*,*,#14222,.F.); -#18781=ORIENTED_EDGE('',*,*,#14223,.F.); -#18782=ORIENTED_EDGE('',*,*,#14224,.T.); -#18783=ORIENTED_EDGE('',*,*,#14167,.F.); -#18784=ORIENTED_EDGE('',*,*,#14119,.F.); -#18785=ORIENTED_EDGE('',*,*,#14225,.T.); -#18786=ORIENTED_EDGE('',*,*,#14226,.T.); -#18787=ORIENTED_EDGE('',*,*,#14227,.T.); -#18788=ORIENTED_EDGE('',*,*,#14228,.F.); -#18789=ORIENTED_EDGE('',*,*,#14229,.F.); -#18790=ORIENTED_EDGE('',*,*,#14230,.T.); -#18791=ORIENTED_EDGE('',*,*,#14191,.T.); -#18792=ORIENTED_EDGE('',*,*,#14220,.F.); -#18793=ORIENTED_EDGE('',*,*,#14231,.T.); -#18794=ORIENTED_EDGE('',*,*,#14121,.T.); -#18795=ORIENTED_EDGE('',*,*,#14175,.F.); -#18796=ORIENTED_EDGE('',*,*,#14232,.F.); -#18797=ORIENTED_EDGE('',*,*,#14233,.F.); -#18798=ORIENTED_EDGE('',*,*,#14166,.F.); -#18799=ORIENTED_EDGE('',*,*,#14198,.F.); -#18800=ORIENTED_EDGE('',*,*,#14234,.T.); -#18801=ORIENTED_EDGE('',*,*,#14226,.F.); -#18802=ORIENTED_EDGE('',*,*,#14235,.T.); -#18803=ORIENTED_EDGE('',*,*,#14117,.T.); -#18804=ORIENTED_EDGE('',*,*,#14208,.F.); -#18805=ORIENTED_EDGE('',*,*,#14236,.F.); -#18806=ORIENTED_EDGE('',*,*,#14227,.F.); -#18807=ORIENTED_EDGE('',*,*,#14234,.F.); -#18808=ORIENTED_EDGE('',*,*,#14197,.F.); -#18809=ORIENTED_EDGE('',*,*,#14192,.T.); -#18810=ORIENTED_EDGE('',*,*,#14230,.F.); -#18811=ORIENTED_EDGE('',*,*,#14237,.F.); -#18812=ORIENTED_EDGE('',*,*,#14216,.T.); -#18813=ORIENTED_EDGE('',*,*,#14236,.T.); -#18814=ORIENTED_EDGE('',*,*,#14207,.F.); -#18815=ORIENTED_EDGE('',*,*,#14238,.F.); -#18816=ORIENTED_EDGE('',*,*,#14228,.T.); -#18817=ORIENTED_EDGE('',*,*,#14239,.F.); -#18818=ORIENTED_EDGE('',*,*,#14217,.T.); -#18819=ORIENTED_EDGE('',*,*,#14237,.T.); -#18820=ORIENTED_EDGE('',*,*,#14229,.T.); -#18821=ORIENTED_EDGE('',*,*,#14238,.T.); -#18822=ORIENTED_EDGE('',*,*,#14206,.T.); -#18823=ORIENTED_EDGE('',*,*,#14240,.F.); -#18824=ORIENTED_EDGE('',*,*,#14241,.F.); -#18825=ORIENTED_EDGE('',*,*,#14242,.F.); -#18826=ORIENTED_EDGE('',*,*,#14202,.T.); -#18827=ORIENTED_EDGE('',*,*,#14243,.F.); -#18828=ORIENTED_EDGE('',*,*,#14244,.F.); -#18829=ORIENTED_EDGE('',*,*,#14179,.T.); -#18830=ORIENTED_EDGE('',*,*,#14214,.F.); -#18831=ORIENTED_EDGE('',*,*,#14245,.F.); -#18832=ORIENTED_EDGE('',*,*,#14246,.T.); -#18833=ORIENTED_EDGE('',*,*,#14180,.T.); -#18834=ORIENTED_EDGE('',*,*,#14246,.F.); -#18835=ORIENTED_EDGE('',*,*,#14247,.F.); -#18836=ORIENTED_EDGE('',*,*,#14248,.T.); -#18837=ORIENTED_EDGE('',*,*,#14181,.T.); -#18838=ORIENTED_EDGE('',*,*,#14248,.F.); -#18839=ORIENTED_EDGE('',*,*,#14249,.F.); -#18840=ORIENTED_EDGE('',*,*,#14212,.T.); -#18841=ORIENTED_EDGE('',*,*,#14245,.T.); -#18842=ORIENTED_EDGE('',*,*,#14213,.T.); -#18843=ORIENTED_EDGE('',*,*,#14249,.T.); -#18844=ORIENTED_EDGE('',*,*,#14247,.T.); -#18845=ORIENTED_EDGE('',*,*,#14168,.T.); -#18846=ORIENTED_EDGE('',*,*,#14224,.F.); -#18847=ORIENTED_EDGE('',*,*,#14250,.F.); -#18848=ORIENTED_EDGE('',*,*,#14251,.T.); -#18849=ORIENTED_EDGE('',*,*,#14169,.T.); -#18850=ORIENTED_EDGE('',*,*,#14251,.F.); -#18851=ORIENTED_EDGE('',*,*,#14252,.F.); -#18852=ORIENTED_EDGE('',*,*,#14253,.T.); -#18853=ORIENTED_EDGE('',*,*,#14170,.T.); -#18854=ORIENTED_EDGE('',*,*,#14253,.F.); -#18855=ORIENTED_EDGE('',*,*,#14254,.F.); -#18856=ORIENTED_EDGE('',*,*,#14222,.T.); -#18857=ORIENTED_EDGE('',*,*,#14250,.T.); -#18858=ORIENTED_EDGE('',*,*,#14223,.T.); -#18859=ORIENTED_EDGE('',*,*,#14254,.T.); -#18860=ORIENTED_EDGE('',*,*,#14252,.T.); -#18861=ORIENTED_EDGE('',*,*,#14172,.T.); -#18862=ORIENTED_EDGE('',*,*,#14221,.F.); -#18863=ORIENTED_EDGE('',*,*,#14255,.F.); -#18864=ORIENTED_EDGE('',*,*,#14256,.T.); -#18865=ORIENTED_EDGE('',*,*,#14173,.T.); -#18866=ORIENTED_EDGE('',*,*,#14256,.F.); -#18867=ORIENTED_EDGE('',*,*,#14257,.F.); -#18868=ORIENTED_EDGE('',*,*,#14258,.T.); -#18869=ORIENTED_EDGE('',*,*,#14174,.T.); -#18870=ORIENTED_EDGE('',*,*,#14258,.F.); -#18871=ORIENTED_EDGE('',*,*,#14259,.F.); -#18872=ORIENTED_EDGE('',*,*,#14232,.T.); -#18873=ORIENTED_EDGE('',*,*,#14233,.T.); -#18874=ORIENTED_EDGE('',*,*,#14259,.T.); -#18875=ORIENTED_EDGE('',*,*,#14257,.T.); -#18876=ORIENTED_EDGE('',*,*,#14255,.T.); -#18877=ORIENTED_EDGE('',*,*,#14161,.T.); -#18878=ORIENTED_EDGE('',*,*,#14211,.F.); -#18879=ORIENTED_EDGE('',*,*,#14260,.F.); -#18880=ORIENTED_EDGE('',*,*,#14261,.T.); -#18881=ORIENTED_EDGE('',*,*,#14162,.T.); -#18882=ORIENTED_EDGE('',*,*,#14261,.F.); -#18883=ORIENTED_EDGE('',*,*,#14262,.F.); -#18884=ORIENTED_EDGE('',*,*,#14263,.T.); -#18885=ORIENTED_EDGE('',*,*,#14163,.T.); -#18886=ORIENTED_EDGE('',*,*,#14263,.F.); -#18887=ORIENTED_EDGE('',*,*,#14264,.F.); -#18888=ORIENTED_EDGE('',*,*,#14209,.T.); -#18889=ORIENTED_EDGE('',*,*,#14210,.T.); -#18890=ORIENTED_EDGE('',*,*,#14264,.T.); -#18891=ORIENTED_EDGE('',*,*,#14262,.T.); -#18892=ORIENTED_EDGE('',*,*,#14260,.T.); -#18893=ORIENTED_EDGE('',*,*,#14240,.T.); -#18894=ORIENTED_EDGE('',*,*,#14205,.F.); -#18895=ORIENTED_EDGE('',*,*,#14265,.F.); -#18896=ORIENTED_EDGE('',*,*,#14266,.T.); -#18897=ORIENTED_EDGE('',*,*,#14241,.T.); -#18898=ORIENTED_EDGE('',*,*,#14266,.F.); -#18899=ORIENTED_EDGE('',*,*,#14267,.F.); -#18900=ORIENTED_EDGE('',*,*,#14268,.T.); -#18901=ORIENTED_EDGE('',*,*,#14242,.T.); -#18902=ORIENTED_EDGE('',*,*,#14268,.F.); -#18903=ORIENTED_EDGE('',*,*,#14269,.F.); -#18904=ORIENTED_EDGE('',*,*,#14203,.T.); -#18905=ORIENTED_EDGE('',*,*,#14204,.T.); -#18906=ORIENTED_EDGE('',*,*,#14269,.T.); -#18907=ORIENTED_EDGE('',*,*,#14267,.T.); -#18908=ORIENTED_EDGE('',*,*,#14265,.T.); -#18909=ORIENTED_EDGE('',*,*,#14244,.T.); -#18910=ORIENTED_EDGE('',*,*,#14270,.F.); -#18911=ORIENTED_EDGE('',*,*,#14271,.F.); -#18912=ORIENTED_EDGE('',*,*,#14272,.T.); -#18913=ORIENTED_EDGE('',*,*,#14239,.T.); -#18914=ORIENTED_EDGE('',*,*,#14272,.F.); -#18915=ORIENTED_EDGE('',*,*,#14273,.F.); -#18916=ORIENTED_EDGE('',*,*,#14199,.T.); -#18917=ORIENTED_EDGE('',*,*,#14243,.T.); -#18918=ORIENTED_EDGE('',*,*,#14201,.F.); -#18919=ORIENTED_EDGE('',*,*,#14274,.F.); -#18920=ORIENTED_EDGE('',*,*,#14270,.T.); -#18921=ORIENTED_EDGE('',*,*,#14274,.T.); -#18922=ORIENTED_EDGE('',*,*,#14200,.T.); -#18923=ORIENTED_EDGE('',*,*,#14273,.T.); -#18924=ORIENTED_EDGE('',*,*,#14271,.T.); -#18925=ORIENTED_EDGE('',*,*,#14275,.F.); -#18926=ORIENTED_EDGE('',*,*,#14159,.T.); -#18927=ORIENTED_EDGE('',*,*,#14276,.T.); -#18928=ORIENTED_EDGE('',*,*,#14184,.T.); -#18929=ORIENTED_EDGE('',*,*,#14277,.F.); -#18930=ORIENTED_EDGE('',*,*,#14148,.T.); -#18931=ORIENTED_EDGE('',*,*,#14275,.T.); -#18932=ORIENTED_EDGE('',*,*,#14183,.T.); -#18933=ORIENTED_EDGE('',*,*,#14278,.F.); -#18934=ORIENTED_EDGE('',*,*,#14157,.T.); -#18935=ORIENTED_EDGE('',*,*,#14277,.T.); -#18936=ORIENTED_EDGE('',*,*,#14190,.T.); -#18937=ORIENTED_EDGE('',*,*,#14279,.F.); -#18938=ORIENTED_EDGE('',*,*,#14280,.T.); -#18939=ORIENTED_EDGE('',*,*,#14281,.T.); -#18940=ORIENTED_EDGE('',*,*,#14278,.T.); -#18941=ORIENTED_EDGE('',*,*,#14189,.T.); -#18942=ORIENTED_EDGE('',*,*,#14282,.F.); -#18943=ORIENTED_EDGE('',*,*,#14283,.F.); -#18944=ORIENTED_EDGE('',*,*,#14145,.T.); -#18945=ORIENTED_EDGE('',*,*,#14282,.T.); -#18946=ORIENTED_EDGE('',*,*,#14188,.T.); -#18947=ORIENTED_EDGE('',*,*,#14284,.F.); -#18948=ORIENTED_EDGE('',*,*,#14134,.T.); -#18949=ORIENTED_EDGE('',*,*,#14283,.T.); -#18950=ORIENTED_EDGE('',*,*,#14187,.T.); -#18951=ORIENTED_EDGE('',*,*,#14285,.F.); -#18952=ORIENTED_EDGE('',*,*,#14143,.T.); -#18953=ORIENTED_EDGE('',*,*,#14284,.T.); -#18954=ORIENTED_EDGE('',*,*,#14186,.T.); -#18955=ORIENTED_EDGE('',*,*,#14286,.F.); -#18956=ORIENTED_EDGE('',*,*,#14112,.F.); -#18957=ORIENTED_EDGE('',*,*,#14287,.T.); -#18958=ORIENTED_EDGE('',*,*,#14285,.T.); -#18959=ORIENTED_EDGE('',*,*,#14185,.T.); -#18960=ORIENTED_EDGE('',*,*,#14276,.F.); -#18961=ORIENTED_EDGE('',*,*,#14138,.T.); -#18962=ORIENTED_EDGE('',*,*,#14288,.F.); -#18963=ORIENTED_EDGE('',*,*,#14289,.F.); -#18964=ORIENTED_EDGE('',*,*,#14290,.F.); -#18965=ORIENTED_EDGE('',*,*,#14136,.T.); -#18966=ORIENTED_EDGE('',*,*,#14144,.F.); -#18967=ORIENTED_EDGE('',*,*,#14287,.F.); -#18968=ORIENTED_EDGE('',*,*,#14111,.T.); -#18969=ORIENTED_EDGE('',*,*,#14108,.T.); -#18970=ORIENTED_EDGE('',*,*,#14105,.T.); -#18971=ORIENTED_EDGE('',*,*,#14102,.T.); -#18972=ORIENTED_EDGE('',*,*,#14083,.F.); -#18973=ORIENTED_EDGE('',*,*,#14291,.T.); -#18974=ORIENTED_EDGE('',*,*,#14127,.T.); -#18975=ORIENTED_EDGE('',*,*,#14292,.T.); -#18976=ORIENTED_EDGE('',*,*,#14071,.T.); -#18977=ORIENTED_EDGE('',*,*,#14087,.T.); -#18978=ORIENTED_EDGE('',*,*,#14100,.T.); -#18979=ORIENTED_EDGE('',*,*,#14097,.T.); -#18980=ORIENTED_EDGE('',*,*,#14094,.T.); -#18981=ORIENTED_EDGE('',*,*,#14293,.T.); -#18982=ORIENTED_EDGE('',*,*,#14279,.T.); -#18983=ORIENTED_EDGE('',*,*,#14146,.F.); -#18984=ORIENTED_EDGE('',*,*,#14142,.T.); -#18985=ORIENTED_EDGE('',*,*,#14294,.F.); -#18986=ORIENTED_EDGE('',*,*,#14295,.F.); -#18987=ORIENTED_EDGE('',*,*,#14296,.F.); -#18988=ORIENTED_EDGE('',*,*,#14140,.T.); -#18989=ORIENTED_EDGE('',*,*,#14297,.F.); -#18990=ORIENTED_EDGE('',*,*,#14298,.F.); -#18991=ORIENTED_EDGE('',*,*,#14299,.F.); -#18992=ORIENTED_EDGE('',*,*,#14152,.T.); -#18993=ORIENTED_EDGE('',*,*,#14300,.F.); -#18994=ORIENTED_EDGE('',*,*,#14301,.F.); -#18995=ORIENTED_EDGE('',*,*,#14302,.F.); -#18996=ORIENTED_EDGE('',*,*,#14150,.T.); -#18997=ORIENTED_EDGE('',*,*,#14158,.F.); -#18998=ORIENTED_EDGE('',*,*,#14281,.F.); -#18999=ORIENTED_EDGE('',*,*,#14303,.F.); -#19000=ORIENTED_EDGE('',*,*,#14092,.F.); -#19001=ORIENTED_EDGE('',*,*,#14304,.F.); -#19002=ORIENTED_EDGE('',*,*,#14305,.F.); -#19003=ORIENTED_EDGE('',*,*,#14306,.F.); -#19004=ORIENTED_EDGE('',*,*,#14073,.F.); -#19005=ORIENTED_EDGE('',*,*,#14307,.F.); -#19006=ORIENTED_EDGE('',*,*,#14129,.T.); -#19007=ORIENTED_EDGE('',*,*,#14308,.F.); -#19008=ORIENTED_EDGE('',*,*,#14081,.T.); -#19009=ORIENTED_EDGE('',*,*,#14309,.F.); -#19010=ORIENTED_EDGE('',*,*,#14310,.F.); -#19011=ORIENTED_EDGE('',*,*,#14311,.F.); -#19012=ORIENTED_EDGE('',*,*,#14113,.F.); -#19013=ORIENTED_EDGE('',*,*,#14286,.T.); -#19014=ORIENTED_EDGE('',*,*,#14160,.F.); -#19015=ORIENTED_EDGE('',*,*,#14156,.T.); -#19016=ORIENTED_EDGE('',*,*,#14312,.F.); -#19017=ORIENTED_EDGE('',*,*,#14313,.F.); -#19018=ORIENTED_EDGE('',*,*,#14314,.F.); -#19019=ORIENTED_EDGE('',*,*,#14154,.T.); -#19020=ORIENTED_EDGE('',*,*,#14315,.F.); -#19021=ORIENTED_EDGE('',*,*,#14316,.F.); -#19022=ORIENTED_EDGE('',*,*,#14317,.F.); -#19023=ORIENTED_EDGE('',*,*,#14131,.T.); -#19024=ORIENTED_EDGE('',*,*,#14318,.T.); -#19025=ORIENTED_EDGE('',*,*,#14125,.T.); -#19026=ORIENTED_EDGE('',*,*,#14319,.T.); -#19027=ORIENTED_EDGE('',*,*,#14292,.F.); -#19028=ORIENTED_EDGE('',*,*,#14320,.T.); -#19029=ORIENTED_EDGE('',*,*,#14307,.T.); -#19030=ORIENTED_EDGE('',*,*,#14072,.F.); -#19031=ORIENTED_EDGE('',*,*,#14308,.T.); -#19032=ORIENTED_EDGE('',*,*,#14321,.T.); -#19033=ORIENTED_EDGE('',*,*,#14291,.F.); -#19034=ORIENTED_EDGE('',*,*,#14082,.T.); -#19035=ORIENTED_EDGE('',*,*,#14322,.F.); -#19036=ORIENTED_EDGE('',*,*,#14323,.T.); -#19037=ORIENTED_EDGE('',*,*,#14074,.F.); -#19038=ORIENTED_EDGE('',*,*,#14306,.T.); -#19039=ORIENTED_EDGE('',*,*,#14091,.F.); -#19040=ORIENTED_EDGE('',*,*,#14324,.T.); -#19041=ORIENTED_EDGE('',*,*,#14325,.T.); -#19042=ORIENTED_EDGE('',*,*,#14304,.T.); -#19043=ORIENTED_EDGE('',*,*,#14325,.F.); -#19044=ORIENTED_EDGE('',*,*,#14326,.T.); -#19045=ORIENTED_EDGE('',*,*,#14322,.T.); -#19046=ORIENTED_EDGE('',*,*,#14305,.T.); -#19047=ORIENTED_EDGE('',*,*,#14080,.F.); -#19048=ORIENTED_EDGE('',*,*,#14327,.T.); -#19049=ORIENTED_EDGE('',*,*,#14328,.T.); -#19050=ORIENTED_EDGE('',*,*,#14309,.T.); -#19051=ORIENTED_EDGE('',*,*,#14328,.F.); -#19052=ORIENTED_EDGE('',*,*,#14329,.T.); -#19053=ORIENTED_EDGE('',*,*,#14330,.T.); -#19054=ORIENTED_EDGE('',*,*,#14310,.T.); -#19055=ORIENTED_EDGE('',*,*,#14330,.F.); -#19056=ORIENTED_EDGE('',*,*,#14331,.T.); -#19057=ORIENTED_EDGE('',*,*,#14114,.T.); -#19058=ORIENTED_EDGE('',*,*,#14311,.T.); -#19059=ORIENTED_EDGE('',*,*,#14303,.T.); -#19060=ORIENTED_EDGE('',*,*,#14280,.F.); -#19061=ORIENTED_EDGE('',*,*,#14293,.F.); -#19062=ORIENTED_EDGE('',*,*,#14093,.T.); -#19063=ORIENTED_EDGE('',*,*,#14126,.F.); -#19064=ORIENTED_EDGE('',*,*,#14318,.F.); -#19065=ORIENTED_EDGE('',*,*,#14130,.F.); -#19066=ORIENTED_EDGE('',*,*,#14320,.F.); -#19067=ORIENTED_EDGE('',*,*,#14132,.F.); -#19068=ORIENTED_EDGE('',*,*,#14319,.F.); -#19069=ORIENTED_EDGE('',*,*,#14128,.F.); -#19070=ORIENTED_EDGE('',*,*,#14321,.F.); -#19071=ORIENTED_EDGE('',*,*,#14122,.F.); -#19072=ORIENTED_EDGE('',*,*,#14231,.F.); -#19073=ORIENTED_EDGE('',*,*,#14219,.F.); -#19074=ORIENTED_EDGE('',*,*,#14118,.F.); -#19075=ORIENTED_EDGE('',*,*,#14235,.F.); -#19076=ORIENTED_EDGE('',*,*,#14225,.F.); -#19077=ORIENTED_EDGE('',*,*,#14332,.F.); -#19078=ORIENTED_EDGE('',*,*,#14333,.T.); -#19079=ORIENTED_EDGE('',*,*,#14334,.T.); -#19080=ORIENTED_EDGE('',*,*,#14078,.F.); -#19081=ORIENTED_EDGE('',*,*,#14335,.T.); -#19082=ORIENTED_EDGE('',*,*,#14336,.F.); -#19083=ORIENTED_EDGE('',*,*,#14337,.F.); -#19084=ORIENTED_EDGE('',*,*,#14116,.F.); -#19085=ORIENTED_EDGE('',*,*,#14077,.T.); -#19086=ORIENTED_EDGE('',*,*,#14101,.T.); -#19087=ORIENTED_EDGE('',*,*,#14104,.T.); -#19088=ORIENTED_EDGE('',*,*,#14107,.T.); -#19089=ORIENTED_EDGE('',*,*,#14110,.T.); -#19090=ORIENTED_EDGE('',*,*,#14337,.T.); -#19091=ORIENTED_EDGE('',*,*,#14338,.T.); -#19092=ORIENTED_EDGE('',*,*,#14332,.T.); -#19093=ORIENTED_EDGE('',*,*,#14334,.F.); -#19094=ORIENTED_EDGE('',*,*,#14339,.F.); -#19095=ORIENTED_EDGE('',*,*,#14335,.F.); -#19096=ORIENTED_EDGE('',*,*,#14115,.F.); -#19097=ORIENTED_EDGE('',*,*,#14331,.F.); -#19098=ORIENTED_EDGE('',*,*,#14329,.F.); -#19099=ORIENTED_EDGE('',*,*,#14327,.F.); -#19100=ORIENTED_EDGE('',*,*,#14079,.F.); -#19101=ORIENTED_EDGE('',*,*,#14339,.T.); -#19102=ORIENTED_EDGE('',*,*,#14333,.F.); -#19103=ORIENTED_EDGE('',*,*,#14338,.F.); -#19104=ORIENTED_EDGE('',*,*,#14336,.T.); -#19105=ORIENTED_EDGE('',*,*,#14340,.T.); -#19106=ORIENTED_EDGE('',*,*,#14341,.F.); -#19107=ORIENTED_EDGE('',*,*,#14342,.F.); -#19108=ORIENTED_EDGE('',*,*,#14076,.F.); -#19109=ORIENTED_EDGE('',*,*,#14343,.F.); -#19110=ORIENTED_EDGE('',*,*,#14344,.T.); -#19111=ORIENTED_EDGE('',*,*,#14345,.T.); -#19112=ORIENTED_EDGE('',*,*,#14089,.F.); -#19113=ORIENTED_EDGE('',*,*,#14069,.T.); -#19114=ORIENTED_EDGE('',*,*,#14342,.T.); -#19115=ORIENTED_EDGE('',*,*,#14346,.T.); -#19116=ORIENTED_EDGE('',*,*,#14343,.T.); -#19117=ORIENTED_EDGE('',*,*,#14088,.T.); -#19118=ORIENTED_EDGE('',*,*,#14096,.T.); -#19119=ORIENTED_EDGE('',*,*,#14099,.T.); -#19120=ORIENTED_EDGE('',*,*,#14085,.T.); -#19121=ORIENTED_EDGE('',*,*,#14323,.F.); -#19122=ORIENTED_EDGE('',*,*,#14326,.F.); -#19123=ORIENTED_EDGE('',*,*,#14324,.F.); -#19124=ORIENTED_EDGE('',*,*,#14090,.F.); -#19125=ORIENTED_EDGE('',*,*,#14345,.F.); -#19126=ORIENTED_EDGE('',*,*,#14347,.F.); -#19127=ORIENTED_EDGE('',*,*,#14340,.F.); -#19128=ORIENTED_EDGE('',*,*,#14075,.F.); -#19129=ORIENTED_EDGE('',*,*,#14347,.T.); -#19130=ORIENTED_EDGE('',*,*,#14344,.F.); -#19131=ORIENTED_EDGE('',*,*,#14346,.F.); -#19132=ORIENTED_EDGE('',*,*,#14341,.T.); -#19133=ORIENTED_EDGE('',*,*,#14155,.T.); -#19134=ORIENTED_EDGE('',*,*,#14348,.F.); -#19135=ORIENTED_EDGE('',*,*,#14349,.F.); -#19136=ORIENTED_EDGE('',*,*,#14350,.T.); -#19137=ORIENTED_EDGE('',*,*,#14312,.T.); -#19138=ORIENTED_EDGE('',*,*,#14350,.F.); -#19139=ORIENTED_EDGE('',*,*,#14351,.F.); -#19140=ORIENTED_EDGE('',*,*,#14352,.T.); -#19141=ORIENTED_EDGE('',*,*,#14313,.T.); -#19142=ORIENTED_EDGE('',*,*,#14352,.F.); -#19143=ORIENTED_EDGE('',*,*,#14353,.F.); -#19144=ORIENTED_EDGE('',*,*,#14354,.T.); -#19145=ORIENTED_EDGE('',*,*,#14314,.T.); -#19146=ORIENTED_EDGE('',*,*,#14354,.F.); -#19147=ORIENTED_EDGE('',*,*,#14355,.F.); -#19148=ORIENTED_EDGE('',*,*,#14348,.T.); -#19149=ORIENTED_EDGE('',*,*,#14349,.T.); -#19150=ORIENTED_EDGE('',*,*,#14355,.T.); -#19151=ORIENTED_EDGE('',*,*,#14353,.T.); -#19152=ORIENTED_EDGE('',*,*,#14351,.T.); -#19153=ORIENTED_EDGE('',*,*,#14153,.T.); -#19154=ORIENTED_EDGE('',*,*,#14356,.F.); -#19155=ORIENTED_EDGE('',*,*,#14357,.F.); -#19156=ORIENTED_EDGE('',*,*,#14358,.T.); -#19157=ORIENTED_EDGE('',*,*,#14315,.T.); -#19158=ORIENTED_EDGE('',*,*,#14358,.F.); -#19159=ORIENTED_EDGE('',*,*,#14359,.F.); -#19160=ORIENTED_EDGE('',*,*,#14360,.T.); -#19161=ORIENTED_EDGE('',*,*,#14316,.T.); -#19162=ORIENTED_EDGE('',*,*,#14360,.F.); -#19163=ORIENTED_EDGE('',*,*,#14361,.F.); -#19164=ORIENTED_EDGE('',*,*,#14362,.T.); -#19165=ORIENTED_EDGE('',*,*,#14317,.T.); -#19166=ORIENTED_EDGE('',*,*,#14362,.F.); -#19167=ORIENTED_EDGE('',*,*,#14363,.F.); -#19168=ORIENTED_EDGE('',*,*,#14356,.T.); -#19169=ORIENTED_EDGE('',*,*,#14357,.T.); -#19170=ORIENTED_EDGE('',*,*,#14363,.T.); -#19171=ORIENTED_EDGE('',*,*,#14361,.T.); -#19172=ORIENTED_EDGE('',*,*,#14359,.T.); -#19173=ORIENTED_EDGE('',*,*,#14151,.T.); -#19174=ORIENTED_EDGE('',*,*,#14364,.F.); -#19175=ORIENTED_EDGE('',*,*,#14365,.F.); -#19176=ORIENTED_EDGE('',*,*,#14366,.T.); -#19177=ORIENTED_EDGE('',*,*,#14300,.T.); -#19178=ORIENTED_EDGE('',*,*,#14366,.F.); -#19179=ORIENTED_EDGE('',*,*,#14367,.F.); -#19180=ORIENTED_EDGE('',*,*,#14368,.T.); -#19181=ORIENTED_EDGE('',*,*,#14301,.T.); -#19182=ORIENTED_EDGE('',*,*,#14368,.F.); -#19183=ORIENTED_EDGE('',*,*,#14369,.F.); -#19184=ORIENTED_EDGE('',*,*,#14370,.T.); -#19185=ORIENTED_EDGE('',*,*,#14302,.T.); -#19186=ORIENTED_EDGE('',*,*,#14370,.F.); -#19187=ORIENTED_EDGE('',*,*,#14371,.F.); -#19188=ORIENTED_EDGE('',*,*,#14364,.T.); -#19189=ORIENTED_EDGE('',*,*,#14365,.T.); -#19190=ORIENTED_EDGE('',*,*,#14371,.T.); -#19191=ORIENTED_EDGE('',*,*,#14369,.T.); -#19192=ORIENTED_EDGE('',*,*,#14367,.T.); -#19193=ORIENTED_EDGE('',*,*,#14294,.T.); -#19194=ORIENTED_EDGE('',*,*,#14372,.F.); -#19195=ORIENTED_EDGE('',*,*,#14373,.F.); -#19196=ORIENTED_EDGE('',*,*,#14374,.T.); -#19197=ORIENTED_EDGE('',*,*,#14295,.T.); -#19198=ORIENTED_EDGE('',*,*,#14374,.F.); -#19199=ORIENTED_EDGE('',*,*,#14375,.F.); -#19200=ORIENTED_EDGE('',*,*,#14376,.T.); -#19201=ORIENTED_EDGE('',*,*,#14296,.T.); -#19202=ORIENTED_EDGE('',*,*,#14376,.F.); -#19203=ORIENTED_EDGE('',*,*,#14377,.F.); -#19204=ORIENTED_EDGE('',*,*,#14378,.T.); -#19205=ORIENTED_EDGE('',*,*,#14141,.T.); -#19206=ORIENTED_EDGE('',*,*,#14378,.F.); -#19207=ORIENTED_EDGE('',*,*,#14379,.F.); -#19208=ORIENTED_EDGE('',*,*,#14372,.T.); -#19209=ORIENTED_EDGE('',*,*,#14373,.T.); -#19210=ORIENTED_EDGE('',*,*,#14379,.T.); -#19211=ORIENTED_EDGE('',*,*,#14377,.T.); -#19212=ORIENTED_EDGE('',*,*,#14375,.T.); -#19213=ORIENTED_EDGE('',*,*,#14297,.T.); -#19214=ORIENTED_EDGE('',*,*,#14380,.F.); -#19215=ORIENTED_EDGE('',*,*,#14381,.F.); -#19216=ORIENTED_EDGE('',*,*,#14382,.T.); -#19217=ORIENTED_EDGE('',*,*,#14298,.T.); -#19218=ORIENTED_EDGE('',*,*,#14382,.F.); -#19219=ORIENTED_EDGE('',*,*,#14383,.F.); -#19220=ORIENTED_EDGE('',*,*,#14384,.T.); -#19221=ORIENTED_EDGE('',*,*,#14299,.T.); -#19222=ORIENTED_EDGE('',*,*,#14384,.F.); -#19223=ORIENTED_EDGE('',*,*,#14385,.F.); -#19224=ORIENTED_EDGE('',*,*,#14386,.T.); -#19225=ORIENTED_EDGE('',*,*,#14139,.T.); -#19226=ORIENTED_EDGE('',*,*,#14386,.F.); -#19227=ORIENTED_EDGE('',*,*,#14387,.F.); -#19228=ORIENTED_EDGE('',*,*,#14380,.T.); -#19229=ORIENTED_EDGE('',*,*,#14381,.T.); -#19230=ORIENTED_EDGE('',*,*,#14387,.T.); -#19231=ORIENTED_EDGE('',*,*,#14385,.T.); -#19232=ORIENTED_EDGE('',*,*,#14383,.T.); -#19233=ORIENTED_EDGE('',*,*,#14288,.T.); -#19234=ORIENTED_EDGE('',*,*,#14388,.F.); -#19235=ORIENTED_EDGE('',*,*,#14389,.F.); -#19236=ORIENTED_EDGE('',*,*,#14390,.T.); -#19237=ORIENTED_EDGE('',*,*,#14289,.T.); -#19238=ORIENTED_EDGE('',*,*,#14390,.F.); -#19239=ORIENTED_EDGE('',*,*,#14391,.F.); -#19240=ORIENTED_EDGE('',*,*,#14392,.T.); -#19241=ORIENTED_EDGE('',*,*,#14290,.T.); -#19242=ORIENTED_EDGE('',*,*,#14392,.F.); -#19243=ORIENTED_EDGE('',*,*,#14393,.F.); -#19244=ORIENTED_EDGE('',*,*,#14394,.T.); -#19245=ORIENTED_EDGE('',*,*,#14137,.T.); -#19246=ORIENTED_EDGE('',*,*,#14394,.F.); -#19247=ORIENTED_EDGE('',*,*,#14395,.F.); -#19248=ORIENTED_EDGE('',*,*,#14388,.T.); -#19249=ORIENTED_EDGE('',*,*,#14389,.T.); -#19250=ORIENTED_EDGE('',*,*,#14395,.T.); -#19251=ORIENTED_EDGE('',*,*,#14393,.T.); -#19252=ORIENTED_EDGE('',*,*,#14391,.T.); -#19253=ORIENTED_EDGE('',*,*,#14396,.T.); -#19254=ORIENTED_EDGE('',*,*,#14397,.F.); -#19255=ORIENTED_EDGE('',*,*,#14398,.F.); -#19256=ORIENTED_EDGE('',*,*,#14399,.T.); -#19257=ORIENTED_EDGE('',*,*,#14400,.T.); -#19258=ORIENTED_EDGE('',*,*,#14401,.F.); -#19259=ORIENTED_EDGE('',*,*,#14402,.F.); -#19260=ORIENTED_EDGE('',*,*,#14397,.T.); -#19261=ORIENTED_EDGE('',*,*,#14403,.T.); -#19262=ORIENTED_EDGE('',*,*,#14404,.F.); -#19263=ORIENTED_EDGE('',*,*,#14405,.F.); -#19264=ORIENTED_EDGE('',*,*,#14401,.T.); -#19265=ORIENTED_EDGE('',*,*,#14406,.T.); -#19266=ORIENTED_EDGE('',*,*,#14399,.F.); -#19267=ORIENTED_EDGE('',*,*,#14407,.F.); -#19268=ORIENTED_EDGE('',*,*,#14404,.T.); -#19269=ORIENTED_EDGE('',*,*,#14398,.T.); -#19270=ORIENTED_EDGE('',*,*,#14402,.T.); -#19271=ORIENTED_EDGE('',*,*,#14405,.T.); -#19272=ORIENTED_EDGE('',*,*,#14407,.T.); -#19273=ORIENTED_EDGE('',*,*,#14396,.F.); -#19274=ORIENTED_EDGE('',*,*,#14406,.F.); -#19275=ORIENTED_EDGE('',*,*,#14403,.F.); -#19276=ORIENTED_EDGE('',*,*,#14400,.F.); -#19277=ORIENTED_EDGE('',*,*,#14408,.T.); -#19278=ORIENTED_EDGE('',*,*,#14409,.F.); -#19279=ORIENTED_EDGE('',*,*,#14410,.F.); -#19280=ORIENTED_EDGE('',*,*,#14411,.T.); -#19281=ORIENTED_EDGE('',*,*,#14412,.T.); -#19282=ORIENTED_EDGE('',*,*,#14413,.F.); -#19283=ORIENTED_EDGE('',*,*,#14414,.F.); -#19284=ORIENTED_EDGE('',*,*,#14409,.T.); -#19285=ORIENTED_EDGE('',*,*,#14415,.T.); -#19286=ORIENTED_EDGE('',*,*,#14416,.F.); -#19287=ORIENTED_EDGE('',*,*,#14417,.F.); -#19288=ORIENTED_EDGE('',*,*,#14413,.T.); -#19289=ORIENTED_EDGE('',*,*,#14418,.T.); -#19290=ORIENTED_EDGE('',*,*,#14411,.F.); -#19291=ORIENTED_EDGE('',*,*,#14419,.F.); -#19292=ORIENTED_EDGE('',*,*,#14416,.T.); -#19293=ORIENTED_EDGE('',*,*,#14410,.T.); -#19294=ORIENTED_EDGE('',*,*,#14414,.T.); -#19295=ORIENTED_EDGE('',*,*,#14417,.T.); -#19296=ORIENTED_EDGE('',*,*,#14419,.T.); -#19297=ORIENTED_EDGE('',*,*,#14408,.F.); -#19298=ORIENTED_EDGE('',*,*,#14418,.F.); -#19299=ORIENTED_EDGE('',*,*,#14415,.F.); -#19300=ORIENTED_EDGE('',*,*,#14412,.F.); -#19301=ORIENTED_EDGE('',*,*,#14420,.T.); -#19302=ORIENTED_EDGE('',*,*,#14421,.F.); -#19303=ORIENTED_EDGE('',*,*,#14422,.F.); -#19304=ORIENTED_EDGE('',*,*,#14423,.T.); -#19305=ORIENTED_EDGE('',*,*,#14424,.T.); -#19306=ORIENTED_EDGE('',*,*,#14425,.F.); -#19307=ORIENTED_EDGE('',*,*,#14426,.F.); -#19308=ORIENTED_EDGE('',*,*,#14421,.T.); -#19309=ORIENTED_EDGE('',*,*,#14427,.T.); -#19310=ORIENTED_EDGE('',*,*,#14428,.F.); -#19311=ORIENTED_EDGE('',*,*,#14429,.F.); -#19312=ORIENTED_EDGE('',*,*,#14425,.T.); -#19313=ORIENTED_EDGE('',*,*,#14430,.T.); -#19314=ORIENTED_EDGE('',*,*,#14423,.F.); -#19315=ORIENTED_EDGE('',*,*,#14431,.F.); -#19316=ORIENTED_EDGE('',*,*,#14428,.T.); -#19317=ORIENTED_EDGE('',*,*,#14422,.T.); -#19318=ORIENTED_EDGE('',*,*,#14426,.T.); -#19319=ORIENTED_EDGE('',*,*,#14429,.T.); -#19320=ORIENTED_EDGE('',*,*,#14431,.T.); -#19321=ORIENTED_EDGE('',*,*,#14420,.F.); -#19322=ORIENTED_EDGE('',*,*,#14430,.F.); -#19323=ORIENTED_EDGE('',*,*,#14427,.F.); -#19324=ORIENTED_EDGE('',*,*,#14424,.F.); -#19325=ORIENTED_EDGE('',*,*,#14432,.T.); -#19326=ORIENTED_EDGE('',*,*,#14433,.F.); -#19327=ORIENTED_EDGE('',*,*,#14434,.F.); -#19328=ORIENTED_EDGE('',*,*,#14435,.T.); -#19329=ORIENTED_EDGE('',*,*,#14436,.T.); -#19330=ORIENTED_EDGE('',*,*,#14437,.F.); -#19331=ORIENTED_EDGE('',*,*,#14438,.F.); -#19332=ORIENTED_EDGE('',*,*,#14433,.T.); -#19333=ORIENTED_EDGE('',*,*,#14439,.T.); -#19334=ORIENTED_EDGE('',*,*,#14440,.F.); -#19335=ORIENTED_EDGE('',*,*,#14441,.F.); -#19336=ORIENTED_EDGE('',*,*,#14437,.T.); -#19337=ORIENTED_EDGE('',*,*,#14442,.T.); -#19338=ORIENTED_EDGE('',*,*,#14435,.F.); -#19339=ORIENTED_EDGE('',*,*,#14443,.F.); -#19340=ORIENTED_EDGE('',*,*,#14440,.T.); -#19341=ORIENTED_EDGE('',*,*,#14434,.T.); -#19342=ORIENTED_EDGE('',*,*,#14438,.T.); -#19343=ORIENTED_EDGE('',*,*,#14441,.T.); -#19344=ORIENTED_EDGE('',*,*,#14443,.T.); -#19345=ORIENTED_EDGE('',*,*,#14432,.F.); -#19346=ORIENTED_EDGE('',*,*,#14442,.F.); -#19347=ORIENTED_EDGE('',*,*,#14439,.F.); -#19348=ORIENTED_EDGE('',*,*,#14436,.F.); -#19349=ORIENTED_EDGE('',*,*,#14444,.T.); -#19350=ORIENTED_EDGE('',*,*,#14445,.F.); -#19351=ORIENTED_EDGE('',*,*,#14446,.F.); -#19352=ORIENTED_EDGE('',*,*,#14447,.T.); -#19353=ORIENTED_EDGE('',*,*,#14448,.T.); -#19354=ORIENTED_EDGE('',*,*,#14449,.F.); -#19355=ORIENTED_EDGE('',*,*,#14450,.F.); -#19356=ORIENTED_EDGE('',*,*,#14445,.T.); -#19357=ORIENTED_EDGE('',*,*,#14451,.T.); -#19358=ORIENTED_EDGE('',*,*,#14452,.F.); -#19359=ORIENTED_EDGE('',*,*,#14453,.F.); -#19360=ORIENTED_EDGE('',*,*,#14449,.T.); -#19361=ORIENTED_EDGE('',*,*,#14454,.T.); -#19362=ORIENTED_EDGE('',*,*,#14447,.F.); -#19363=ORIENTED_EDGE('',*,*,#14455,.F.); -#19364=ORIENTED_EDGE('',*,*,#14452,.T.); -#19365=ORIENTED_EDGE('',*,*,#14446,.T.); -#19366=ORIENTED_EDGE('',*,*,#14450,.T.); -#19367=ORIENTED_EDGE('',*,*,#14453,.T.); -#19368=ORIENTED_EDGE('',*,*,#14455,.T.); -#19369=ORIENTED_EDGE('',*,*,#14444,.F.); -#19370=ORIENTED_EDGE('',*,*,#14454,.F.); -#19371=ORIENTED_EDGE('',*,*,#14451,.F.); -#19372=ORIENTED_EDGE('',*,*,#14448,.F.); -#19373=ORIENTED_EDGE('',*,*,#14456,.T.); -#19374=ORIENTED_EDGE('',*,*,#14457,.F.); -#19375=ORIENTED_EDGE('',*,*,#14458,.F.); -#19376=ORIENTED_EDGE('',*,*,#14459,.T.); -#19377=ORIENTED_EDGE('',*,*,#14460,.T.); -#19378=ORIENTED_EDGE('',*,*,#14461,.F.); -#19379=ORIENTED_EDGE('',*,*,#14462,.F.); -#19380=ORIENTED_EDGE('',*,*,#14457,.T.); -#19381=ORIENTED_EDGE('',*,*,#14463,.T.); -#19382=ORIENTED_EDGE('',*,*,#14464,.F.); -#19383=ORIENTED_EDGE('',*,*,#14465,.F.); -#19384=ORIENTED_EDGE('',*,*,#14461,.T.); -#19385=ORIENTED_EDGE('',*,*,#14466,.T.); -#19386=ORIENTED_EDGE('',*,*,#14459,.F.); -#19387=ORIENTED_EDGE('',*,*,#14467,.F.); -#19388=ORIENTED_EDGE('',*,*,#14464,.T.); -#19389=ORIENTED_EDGE('',*,*,#14458,.T.); -#19390=ORIENTED_EDGE('',*,*,#14462,.T.); -#19391=ORIENTED_EDGE('',*,*,#14465,.T.); -#19392=ORIENTED_EDGE('',*,*,#14467,.T.); -#19393=ORIENTED_EDGE('',*,*,#14456,.F.); -#19394=ORIENTED_EDGE('',*,*,#14466,.F.); -#19395=ORIENTED_EDGE('',*,*,#14463,.F.); -#19396=ORIENTED_EDGE('',*,*,#14460,.F.); -#19397=ORIENTED_EDGE('',*,*,#14468,.F.); -#19398=ORIENTED_EDGE('',*,*,#14469,.T.); -#19399=ORIENTED_EDGE('',*,*,#14470,.T.); -#19400=ORIENTED_EDGE('',*,*,#14471,.F.); -#19401=ORIENTED_EDGE('',*,*,#14472,.F.); -#19402=ORIENTED_EDGE('',*,*,#14473,.T.); -#19403=ORIENTED_EDGE('',*,*,#14474,.T.); -#19404=ORIENTED_EDGE('',*,*,#14469,.F.); -#19405=ORIENTED_EDGE('',*,*,#14475,.F.); -#19406=ORIENTED_EDGE('',*,*,#14476,.T.); -#19407=ORIENTED_EDGE('',*,*,#14477,.T.); -#19408=ORIENTED_EDGE('',*,*,#14473,.F.); -#19409=ORIENTED_EDGE('',*,*,#14478,.F.); -#19410=ORIENTED_EDGE('',*,*,#14471,.T.); -#19411=ORIENTED_EDGE('',*,*,#14479,.T.); -#19412=ORIENTED_EDGE('',*,*,#14476,.F.); -#19413=ORIENTED_EDGE('',*,*,#14479,.F.); -#19414=ORIENTED_EDGE('',*,*,#14470,.F.); -#19415=ORIENTED_EDGE('',*,*,#14474,.F.); -#19416=ORIENTED_EDGE('',*,*,#14477,.F.); -#19417=ORIENTED_EDGE('',*,*,#14480,.F.); -#19418=ORIENTED_EDGE('',*,*,#14481,.T.); -#19419=ORIENTED_EDGE('',*,*,#14482,.F.); -#19420=ORIENTED_EDGE('',*,*,#14483,.F.); -#19421=ORIENTED_EDGE('',*,*,#14484,.F.); -#19422=ORIENTED_EDGE('',*,*,#14483,.T.); -#19423=ORIENTED_EDGE('',*,*,#14485,.F.); -#19424=ORIENTED_EDGE('',*,*,#14486,.F.); -#19425=ORIENTED_EDGE('',*,*,#14487,.F.); -#19426=ORIENTED_EDGE('',*,*,#14486,.T.); -#19427=ORIENTED_EDGE('',*,*,#14488,.F.); -#19428=ORIENTED_EDGE('',*,*,#14489,.F.); -#19429=ORIENTED_EDGE('',*,*,#14490,.F.); -#19430=ORIENTED_EDGE('',*,*,#14489,.T.); -#19431=ORIENTED_EDGE('',*,*,#14491,.F.); -#19432=ORIENTED_EDGE('',*,*,#14481,.F.); -#19433=ORIENTED_EDGE('',*,*,#14490,.T.); -#19434=ORIENTED_EDGE('',*,*,#14480,.T.); -#19435=ORIENTED_EDGE('',*,*,#14484,.T.); -#19436=ORIENTED_EDGE('',*,*,#14487,.T.); -#19437=ORIENTED_EDGE('',*,*,#14468,.T.); -#19438=ORIENTED_EDGE('',*,*,#14478,.T.); -#19439=ORIENTED_EDGE('',*,*,#14475,.T.); -#19440=ORIENTED_EDGE('',*,*,#14472,.T.); -#19441=ORIENTED_EDGE('',*,*,#14491,.T.); -#19442=ORIENTED_EDGE('',*,*,#14488,.T.); -#19443=ORIENTED_EDGE('',*,*,#14485,.T.); -#19444=ORIENTED_EDGE('',*,*,#14482,.T.); -#19445=ORIENTED_EDGE('',*,*,#14492,.F.); -#19446=ORIENTED_EDGE('',*,*,#14493,.F.); -#19447=ORIENTED_EDGE('',*,*,#14494,.F.); -#19448=ORIENTED_EDGE('',*,*,#14495,.T.); -#19449=ORIENTED_EDGE('',*,*,#14496,.F.); -#19450=ORIENTED_EDGE('',*,*,#14497,.F.); -#19451=ORIENTED_EDGE('',*,*,#14498,.F.); -#19452=ORIENTED_EDGE('',*,*,#14499,.T.); -#19453=ORIENTED_EDGE('',*,*,#14500,.F.); -#19454=ORIENTED_EDGE('',*,*,#14495,.F.); -#19455=ORIENTED_EDGE('',*,*,#14501,.F.); -#19456=ORIENTED_EDGE('',*,*,#14502,.T.); -#19457=ORIENTED_EDGE('',*,*,#14503,.F.); -#19458=ORIENTED_EDGE('',*,*,#14499,.F.); -#19459=ORIENTED_EDGE('',*,*,#14504,.F.); -#19460=ORIENTED_EDGE('',*,*,#14505,.T.); -#19461=ORIENTED_EDGE('',*,*,#14506,.F.); -#19462=ORIENTED_EDGE('',*,*,#14502,.F.); -#19463=ORIENTED_EDGE('',*,*,#14507,.F.); -#19464=ORIENTED_EDGE('',*,*,#14508,.T.); -#19465=ORIENTED_EDGE('',*,*,#14509,.F.); -#19466=ORIENTED_EDGE('',*,*,#14505,.F.); -#19467=ORIENTED_EDGE('',*,*,#14510,.T.); -#19468=ORIENTED_EDGE('',*,*,#14511,.F.); -#19469=ORIENTED_EDGE('',*,*,#14512,.F.); -#19470=ORIENTED_EDGE('',*,*,#14513,.F.); -#19471=ORIENTED_EDGE('',*,*,#14514,.F.); -#19472=ORIENTED_EDGE('',*,*,#14515,.T.); -#19473=ORIENTED_EDGE('',*,*,#14516,.F.); -#19474=ORIENTED_EDGE('',*,*,#14517,.F.); -#19475=ORIENTED_EDGE('',*,*,#14518,.F.); -#19476=ORIENTED_EDGE('',*,*,#14519,.T.); -#19477=ORIENTED_EDGE('',*,*,#14512,.T.); -#19478=ORIENTED_EDGE('',*,*,#14520,.T.); -#19479=ORIENTED_EDGE('',*,*,#14521,.F.); -#19480=ORIENTED_EDGE('',*,*,#14508,.F.); -#19481=ORIENTED_EDGE('',*,*,#14522,.F.); -#19482=ORIENTED_EDGE('',*,*,#14523,.T.); -#19483=ORIENTED_EDGE('',*,*,#14524,.F.); -#19484=ORIENTED_EDGE('',*,*,#14525,.F.); -#19485=ORIENTED_EDGE('',*,*,#14526,.F.); -#19486=ORIENTED_EDGE('',*,*,#14527,.F.); -#19487=ORIENTED_EDGE('',*,*,#14528,.F.); -#19488=ORIENTED_EDGE('',*,*,#14523,.F.); -#19489=ORIENTED_EDGE('',*,*,#14529,.F.); -#19490=ORIENTED_EDGE('',*,*,#14525,.T.); -#19491=ORIENTED_EDGE('',*,*,#14530,.F.); -#19492=ORIENTED_EDGE('',*,*,#14531,.F.); -#19493=ORIENTED_EDGE('',*,*,#14527,.T.); -#19494=ORIENTED_EDGE('',*,*,#14532,.T.); -#19495=ORIENTED_EDGE('',*,*,#14533,.T.); -#19496=ORIENTED_EDGE('',*,*,#14534,.F.); -#19497=ORIENTED_EDGE('',*,*,#14531,.T.); -#19498=ORIENTED_EDGE('',*,*,#14535,.F.); -#19499=ORIENTED_EDGE('',*,*,#14515,.F.); -#19500=ORIENTED_EDGE('',*,*,#14536,.T.); -#19501=ORIENTED_EDGE('',*,*,#14494,.T.); -#19502=ORIENTED_EDGE('',*,*,#14537,.T.); -#19503=ORIENTED_EDGE('',*,*,#14532,.F.); -#19504=ORIENTED_EDGE('',*,*,#14526,.T.); -#19505=ORIENTED_EDGE('',*,*,#14522,.T.); -#19506=ORIENTED_EDGE('',*,*,#14529,.T.); -#19507=ORIENTED_EDGE('',*,*,#14536,.F.); -#19508=ORIENTED_EDGE('',*,*,#14514,.T.); -#19509=ORIENTED_EDGE('',*,*,#14507,.T.); -#19510=ORIENTED_EDGE('',*,*,#14504,.T.); -#19511=ORIENTED_EDGE('',*,*,#14501,.T.); -#19512=ORIENTED_EDGE('',*,*,#14498,.T.); -#19513=ORIENTED_EDGE('',*,*,#14511,.T.); -#19514=ORIENTED_EDGE('',*,*,#14538,.F.); -#19515=ORIENTED_EDGE('',*,*,#14539,.T.); -#19516=ORIENTED_EDGE('',*,*,#14540,.T.); -#19517=ORIENTED_EDGE('',*,*,#14541,.F.); -#19518=ORIENTED_EDGE('',*,*,#14538,.T.); -#19519=ORIENTED_EDGE('',*,*,#14542,.T.); -#19520=ORIENTED_EDGE('',*,*,#14543,.F.); -#19521=ORIENTED_EDGE('',*,*,#14544,.F.); -#19522=ORIENTED_EDGE('',*,*,#14545,.T.); -#19523=ORIENTED_EDGE('',*,*,#14544,.T.); -#19524=ORIENTED_EDGE('',*,*,#14546,.F.); -#19525=ORIENTED_EDGE('',*,*,#14547,.F.); -#19526=ORIENTED_EDGE('',*,*,#14548,.F.); -#19527=ORIENTED_EDGE('',*,*,#14547,.T.); -#19528=ORIENTED_EDGE('',*,*,#14549,.F.); -#19529=ORIENTED_EDGE('',*,*,#14520,.F.); -#19530=ORIENTED_EDGE('',*,*,#14540,.F.); -#19531=ORIENTED_EDGE('',*,*,#14548,.T.); -#19532=ORIENTED_EDGE('',*,*,#14539,.F.); -#19533=ORIENTED_EDGE('',*,*,#14541,.T.); -#19534=ORIENTED_EDGE('',*,*,#14545,.F.); -#19535=ORIENTED_EDGE('',*,*,#14549,.T.); -#19536=ORIENTED_EDGE('',*,*,#14546,.T.); -#19537=ORIENTED_EDGE('',*,*,#14543,.T.); -#19538=ORIENTED_EDGE('',*,*,#14550,.T.); -#19539=ORIENTED_EDGE('',*,*,#14496,.T.); -#19540=ORIENTED_EDGE('',*,*,#14500,.T.); -#19541=ORIENTED_EDGE('',*,*,#14503,.T.); -#19542=ORIENTED_EDGE('',*,*,#14506,.T.); -#19543=ORIENTED_EDGE('',*,*,#14509,.T.); -#19544=ORIENTED_EDGE('',*,*,#14521,.T.); -#19545=ORIENTED_EDGE('',*,*,#14513,.T.); -#19546=ORIENTED_EDGE('',*,*,#14519,.F.); -#19547=ORIENTED_EDGE('',*,*,#14551,.T.); -#19548=ORIENTED_EDGE('',*,*,#14552,.T.); -#19549=ORIENTED_EDGE('',*,*,#14553,.F.); -#19550=ORIENTED_EDGE('',*,*,#14554,.T.); -#19551=ORIENTED_EDGE('',*,*,#14492,.T.); -#19552=ORIENTED_EDGE('',*,*,#14554,.F.); -#19553=ORIENTED_EDGE('',*,*,#14555,.F.); -#19554=ORIENTED_EDGE('',*,*,#14556,.T.); -#19555=ORIENTED_EDGE('',*,*,#14493,.T.); -#19556=ORIENTED_EDGE('',*,*,#14556,.F.); -#19557=ORIENTED_EDGE('',*,*,#14557,.F.); -#19558=ORIENTED_EDGE('',*,*,#14517,.T.); -#19559=ORIENTED_EDGE('',*,*,#14558,.F.); -#19560=ORIENTED_EDGE('',*,*,#14559,.F.); -#19561=ORIENTED_EDGE('',*,*,#14560,.F.); -#19562=ORIENTED_EDGE('',*,*,#14559,.T.); -#19563=ORIENTED_EDGE('',*,*,#14561,.F.); -#19564=ORIENTED_EDGE('',*,*,#14562,.F.); -#19565=ORIENTED_EDGE('',*,*,#14563,.F.); -#19566=ORIENTED_EDGE('',*,*,#14562,.T.); -#19567=ORIENTED_EDGE('',*,*,#14564,.F.); -#19568=ORIENTED_EDGE('',*,*,#14565,.F.); -#19569=ORIENTED_EDGE('',*,*,#14510,.F.); -#19570=ORIENTED_EDGE('',*,*,#14552,.F.); -#19571=ORIENTED_EDGE('',*,*,#14566,.T.); -#19572=ORIENTED_EDGE('',*,*,#14565,.T.); -#19573=ORIENTED_EDGE('',*,*,#14567,.F.); -#19574=ORIENTED_EDGE('',*,*,#14533,.F.); -#19575=ORIENTED_EDGE('',*,*,#14537,.F.); -#19576=ORIENTED_EDGE('',*,*,#14497,.T.); -#19577=ORIENTED_EDGE('',*,*,#14550,.F.); -#19578=ORIENTED_EDGE('',*,*,#14542,.F.); -#19579=ORIENTED_EDGE('',*,*,#14518,.T.); -#19580=ORIENTED_EDGE('',*,*,#14557,.T.); -#19581=ORIENTED_EDGE('',*,*,#14560,.T.); -#19582=ORIENTED_EDGE('',*,*,#14563,.T.); -#19583=ORIENTED_EDGE('',*,*,#14566,.F.); -#19584=ORIENTED_EDGE('',*,*,#14551,.F.); -#19585=ORIENTED_EDGE('',*,*,#14516,.T.); -#19586=ORIENTED_EDGE('',*,*,#14535,.T.); -#19587=ORIENTED_EDGE('',*,*,#14530,.T.); -#19588=ORIENTED_EDGE('',*,*,#14524,.T.); -#19589=ORIENTED_EDGE('',*,*,#14528,.T.); -#19590=ORIENTED_EDGE('',*,*,#14534,.T.); -#19591=ORIENTED_EDGE('',*,*,#14567,.T.); -#19592=ORIENTED_EDGE('',*,*,#14564,.T.); -#19593=ORIENTED_EDGE('',*,*,#14561,.T.); -#19594=ORIENTED_EDGE('',*,*,#14558,.T.); -#19595=ORIENTED_EDGE('',*,*,#14555,.T.); -#19596=ORIENTED_EDGE('',*,*,#14553,.T.); -#19597=ORIENTED_EDGE('',*,*,#14568,.F.); -#19598=ORIENTED_EDGE('',*,*,#14569,.F.); -#19599=ORIENTED_EDGE('',*,*,#14570,.T.); -#19600=ORIENTED_EDGE('',*,*,#14571,.T.); -#19601=ORIENTED_EDGE('',*,*,#14572,.T.); -#19602=ORIENTED_EDGE('',*,*,#14568,.T.); -#19603=ORIENTED_EDGE('',*,*,#14573,.T.); -#19604=ORIENTED_EDGE('',*,*,#14574,.T.); -#19605=ORIENTED_EDGE('',*,*,#14575,.F.); -#19606=ORIENTED_EDGE('',*,*,#14576,.F.); -#19607=ORIENTED_EDGE('',*,*,#14570,.F.); -#19608=ORIENTED_EDGE('',*,*,#14577,.T.); -#19609=ORIENTED_EDGE('',*,*,#14578,.F.); -#19610=ORIENTED_EDGE('',*,*,#14571,.F.); -#19611=ORIENTED_EDGE('',*,*,#14579,.F.); -#19612=ORIENTED_EDGE('',*,*,#14580,.T.); -#19613=ORIENTED_EDGE('',*,*,#14581,.F.); -#19614=ORIENTED_EDGE('',*,*,#14582,.T.); -#19615=ORIENTED_EDGE('',*,*,#14583,.F.); -#19616=ORIENTED_EDGE('',*,*,#14584,.T.); -#19617=ORIENTED_EDGE('',*,*,#14585,.F.); -#19618=ORIENTED_EDGE('',*,*,#14586,.T.); -#19619=ORIENTED_EDGE('',*,*,#14587,.F.); -#19620=ORIENTED_EDGE('',*,*,#14588,.F.); -#19621=ORIENTED_EDGE('',*,*,#14589,.F.); -#19622=ORIENTED_EDGE('',*,*,#14590,.T.); -#19623=ORIENTED_EDGE('',*,*,#14591,.F.); -#19624=ORIENTED_EDGE('',*,*,#14592,.F.); -#19625=ORIENTED_EDGE('',*,*,#14593,.F.); -#19626=ORIENTED_EDGE('',*,*,#14594,.F.); -#19627=ORIENTED_EDGE('',*,*,#14595,.F.); -#19628=ORIENTED_EDGE('',*,*,#14596,.F.); -#19629=ORIENTED_EDGE('',*,*,#14597,.F.); -#19630=ORIENTED_EDGE('',*,*,#14598,.F.); -#19631=ORIENTED_EDGE('',*,*,#14599,.F.); -#19632=ORIENTED_EDGE('',*,*,#14600,.F.); -#19633=ORIENTED_EDGE('',*,*,#14601,.F.); -#19634=ORIENTED_EDGE('',*,*,#14602,.F.); -#19635=ORIENTED_EDGE('',*,*,#14603,.F.); -#19636=ORIENTED_EDGE('',*,*,#14604,.F.); -#19637=ORIENTED_EDGE('',*,*,#14605,.F.); -#19638=ORIENTED_EDGE('',*,*,#14606,.F.); -#19639=ORIENTED_EDGE('',*,*,#14607,.F.); -#19640=ORIENTED_EDGE('',*,*,#14608,.F.); -#19641=ORIENTED_EDGE('',*,*,#14609,.F.); -#19642=ORIENTED_EDGE('',*,*,#14610,.F.); -#19643=ORIENTED_EDGE('',*,*,#14611,.F.); -#19644=ORIENTED_EDGE('',*,*,#14612,.F.); -#19645=ORIENTED_EDGE('',*,*,#14613,.F.); -#19646=ORIENTED_EDGE('',*,*,#14614,.F.); -#19647=ORIENTED_EDGE('',*,*,#14615,.F.); -#19648=ORIENTED_EDGE('',*,*,#14616,.F.); -#19649=ORIENTED_EDGE('',*,*,#14617,.F.); -#19650=ORIENTED_EDGE('',*,*,#14618,.F.); -#19651=ORIENTED_EDGE('',*,*,#14619,.F.); -#19652=ORIENTED_EDGE('',*,*,#14620,.F.); -#19653=ORIENTED_EDGE('',*,*,#14621,.F.); -#19654=ORIENTED_EDGE('',*,*,#14622,.F.); -#19655=ORIENTED_EDGE('',*,*,#14623,.F.); -#19656=ORIENTED_EDGE('',*,*,#14624,.F.); -#19657=ORIENTED_EDGE('',*,*,#14625,.F.); -#19658=ORIENTED_EDGE('',*,*,#14626,.F.); -#19659=ORIENTED_EDGE('',*,*,#14627,.T.); -#19660=ORIENTED_EDGE('',*,*,#14628,.F.); -#19661=ORIENTED_EDGE('',*,*,#14629,.F.); -#19662=ORIENTED_EDGE('',*,*,#14630,.F.); -#19663=ORIENTED_EDGE('',*,*,#14631,.F.); -#19664=ORIENTED_EDGE('',*,*,#14632,.F.); -#19665=ORIENTED_EDGE('',*,*,#14633,.F.); -#19666=ORIENTED_EDGE('',*,*,#14634,.F.); -#19667=ORIENTED_EDGE('',*,*,#14635,.F.); -#19668=ORIENTED_EDGE('',*,*,#14574,.F.); -#19669=ORIENTED_EDGE('',*,*,#14636,.F.); -#19670=ORIENTED_EDGE('',*,*,#14588,.T.); -#19671=ORIENTED_EDGE('',*,*,#14578,.T.); -#19672=ORIENTED_EDGE('',*,*,#14637,.F.); -#19673=ORIENTED_EDGE('',*,*,#14569,.T.); -#19674=ORIENTED_EDGE('',*,*,#14575,.T.); -#19675=ORIENTED_EDGE('',*,*,#14637,.T.); -#19676=ORIENTED_EDGE('',*,*,#14577,.F.); -#19677=ORIENTED_EDGE('',*,*,#14638,.T.); -#19678=ORIENTED_EDGE('',*,*,#14639,.T.); -#19679=ORIENTED_EDGE('',*,*,#14640,.T.); -#19680=ORIENTED_EDGE('',*,*,#14641,.T.); -#19681=ORIENTED_EDGE('',*,*,#14642,.T.); -#19682=ORIENTED_EDGE('',*,*,#14643,.T.); -#19683=ORIENTED_EDGE('',*,*,#14644,.T.); -#19684=ORIENTED_EDGE('',*,*,#14645,.T.); -#19685=ORIENTED_EDGE('',*,*,#14646,.F.); -#19686=ORIENTED_EDGE('',*,*,#14647,.F.); -#19687=ORIENTED_EDGE('',*,*,#14648,.F.); -#19688=ORIENTED_EDGE('',*,*,#14649,.F.); -#19689=ORIENTED_EDGE('',*,*,#14650,.F.); -#19690=ORIENTED_EDGE('',*,*,#14651,.F.); -#19691=ORIENTED_EDGE('',*,*,#14652,.F.); -#19692=ORIENTED_EDGE('',*,*,#14653,.F.); -#19693=ORIENTED_EDGE('',*,*,#14654,.F.); -#19694=ORIENTED_EDGE('',*,*,#14655,.F.); -#19695=ORIENTED_EDGE('',*,*,#14656,.F.); -#19696=ORIENTED_EDGE('',*,*,#14657,.F.); -#19697=ORIENTED_EDGE('',*,*,#14658,.F.); -#19698=ORIENTED_EDGE('',*,*,#14659,.F.); -#19699=ORIENTED_EDGE('',*,*,#14660,.F.); -#19700=ORIENTED_EDGE('',*,*,#14661,.F.); -#19701=ORIENTED_EDGE('',*,*,#14662,.F.); -#19702=ORIENTED_EDGE('',*,*,#14663,.F.); -#19703=ORIENTED_EDGE('',*,*,#14664,.F.); -#19704=ORIENTED_EDGE('',*,*,#14665,.F.); -#19705=ORIENTED_EDGE('',*,*,#14666,.F.); -#19706=ORIENTED_EDGE('',*,*,#14667,.F.); -#19707=ORIENTED_EDGE('',*,*,#14668,.F.); -#19708=ORIENTED_EDGE('',*,*,#14669,.F.); -#19709=ORIENTED_EDGE('',*,*,#14670,.F.); -#19710=ORIENTED_EDGE('',*,*,#14671,.F.); -#19711=ORIENTED_EDGE('',*,*,#14672,.F.); -#19712=ORIENTED_EDGE('',*,*,#14673,.F.); -#19713=ORIENTED_EDGE('',*,*,#14674,.F.); -#19714=ORIENTED_EDGE('',*,*,#14675,.F.); -#19715=ORIENTED_EDGE('',*,*,#14676,.F.); -#19716=ORIENTED_EDGE('',*,*,#14677,.F.); -#19717=ORIENTED_EDGE('',*,*,#14678,.F.); -#19718=ORIENTED_EDGE('',*,*,#14679,.F.); -#19719=ORIENTED_EDGE('',*,*,#14680,.F.); -#19720=ORIENTED_EDGE('',*,*,#14681,.F.); -#19721=ORIENTED_EDGE('',*,*,#14682,.F.); -#19722=ORIENTED_EDGE('',*,*,#14683,.F.); -#19723=ORIENTED_EDGE('',*,*,#14684,.F.); -#19724=ORIENTED_EDGE('',*,*,#14685,.F.); -#19725=ORIENTED_EDGE('',*,*,#14686,.T.); -#19726=ORIENTED_EDGE('',*,*,#14687,.F.); -#19727=ORIENTED_EDGE('',*,*,#14688,.T.); -#19728=ORIENTED_EDGE('',*,*,#14689,.F.); -#19729=ORIENTED_EDGE('',*,*,#14690,.F.); -#19730=ORIENTED_EDGE('',*,*,#14691,.T.); -#19731=ORIENTED_EDGE('',*,*,#14692,.F.); -#19732=ORIENTED_EDGE('',*,*,#14693,.T.); -#19733=ORIENTED_EDGE('',*,*,#14694,.F.); -#19734=ORIENTED_EDGE('',*,*,#14695,.F.); -#19735=ORIENTED_EDGE('',*,*,#14696,.F.); -#19736=ORIENTED_EDGE('',*,*,#14697,.F.); -#19737=ORIENTED_EDGE('',*,*,#14698,.F.); -#19738=ORIENTED_EDGE('',*,*,#14699,.F.); -#19739=ORIENTED_EDGE('',*,*,#14700,.T.); -#19740=ORIENTED_EDGE('',*,*,#14701,.F.); -#19741=ORIENTED_EDGE('',*,*,#14702,.T.); -#19742=ORIENTED_EDGE('',*,*,#14703,.F.); -#19743=ORIENTED_EDGE('',*,*,#14704,.T.); -#19744=ORIENTED_EDGE('',*,*,#14705,.F.); -#19745=ORIENTED_EDGE('',*,*,#14706,.T.); -#19746=ORIENTED_EDGE('',*,*,#14707,.F.); -#19747=ORIENTED_EDGE('',*,*,#14708,.T.); -#19748=ORIENTED_EDGE('',*,*,#14709,.F.); -#19749=ORIENTED_EDGE('',*,*,#14710,.T.); -#19750=ORIENTED_EDGE('',*,*,#14711,.F.); -#19751=ORIENTED_EDGE('',*,*,#14712,.T.); -#19752=ORIENTED_EDGE('',*,*,#14713,.F.); -#19753=ORIENTED_EDGE('',*,*,#14714,.T.); -#19754=ORIENTED_EDGE('',*,*,#14715,.F.); -#19755=ORIENTED_EDGE('',*,*,#14716,.T.); -#19756=ORIENTED_EDGE('',*,*,#14717,.F.); -#19757=ORIENTED_EDGE('',*,*,#14718,.T.); -#19758=ORIENTED_EDGE('',*,*,#14719,.F.); -#19759=ORIENTED_EDGE('',*,*,#14720,.T.); -#19760=ORIENTED_EDGE('',*,*,#14721,.F.); -#19761=ORIENTED_EDGE('',*,*,#14722,.T.); -#19762=ORIENTED_EDGE('',*,*,#14723,.F.); -#19763=ORIENTED_EDGE('',*,*,#14724,.T.); -#19764=ORIENTED_EDGE('',*,*,#14725,.F.); -#19765=ORIENTED_EDGE('',*,*,#14726,.T.); -#19766=ORIENTED_EDGE('',*,*,#14727,.F.); -#19767=ORIENTED_EDGE('',*,*,#14728,.T.); -#19768=ORIENTED_EDGE('',*,*,#14729,.F.); -#19769=ORIENTED_EDGE('',*,*,#14730,.T.); -#19770=ORIENTED_EDGE('',*,*,#14731,.F.); -#19771=ORIENTED_EDGE('',*,*,#14732,.F.); -#19772=ORIENTED_EDGE('',*,*,#14733,.F.); -#19773=ORIENTED_EDGE('',*,*,#14734,.F.); -#19774=ORIENTED_EDGE('',*,*,#14735,.F.); -#19775=ORIENTED_EDGE('',*,*,#14736,.F.); -#19776=ORIENTED_EDGE('',*,*,#14737,.F.); -#19777=ORIENTED_EDGE('',*,*,#14738,.F.); -#19778=ORIENTED_EDGE('',*,*,#14739,.F.); -#19779=ORIENTED_EDGE('',*,*,#14740,.F.); -#19780=ORIENTED_EDGE('',*,*,#14741,.F.); -#19781=ORIENTED_EDGE('',*,*,#14742,.F.); -#19782=ORIENTED_EDGE('',*,*,#14743,.F.); -#19783=ORIENTED_EDGE('',*,*,#14744,.F.); -#19784=ORIENTED_EDGE('',*,*,#14745,.F.); -#19785=ORIENTED_EDGE('',*,*,#14746,.F.); -#19786=ORIENTED_EDGE('',*,*,#14747,.F.); -#19787=ORIENTED_EDGE('',*,*,#14748,.F.); -#19788=ORIENTED_EDGE('',*,*,#14749,.F.); -#19789=ORIENTED_EDGE('',*,*,#14750,.F.); -#19790=ORIENTED_EDGE('',*,*,#14751,.F.); -#19791=ORIENTED_EDGE('',*,*,#14752,.F.); -#19792=ORIENTED_EDGE('',*,*,#14753,.F.); -#19793=ORIENTED_EDGE('',*,*,#14754,.F.); -#19794=ORIENTED_EDGE('',*,*,#14755,.F.); -#19795=ORIENTED_EDGE('',*,*,#14756,.F.); -#19796=ORIENTED_EDGE('',*,*,#14757,.F.); -#19797=ORIENTED_EDGE('',*,*,#14758,.F.); -#19798=ORIENTED_EDGE('',*,*,#14759,.F.); -#19799=ORIENTED_EDGE('',*,*,#14760,.F.); -#19800=ORIENTED_EDGE('',*,*,#14761,.F.); -#19801=ORIENTED_EDGE('',*,*,#14762,.F.); -#19802=ORIENTED_EDGE('',*,*,#14763,.F.); -#19803=ORIENTED_EDGE('',*,*,#14764,.F.); -#19804=ORIENTED_EDGE('',*,*,#14765,.F.); -#19805=ORIENTED_EDGE('',*,*,#14766,.F.); -#19806=ORIENTED_EDGE('',*,*,#14767,.F.); -#19807=ORIENTED_EDGE('',*,*,#14768,.F.); -#19808=ORIENTED_EDGE('',*,*,#14769,.F.); -#19809=ORIENTED_EDGE('',*,*,#14770,.F.); -#19810=ORIENTED_EDGE('',*,*,#14771,.F.); -#19811=ORIENTED_EDGE('',*,*,#14772,.F.); -#19812=ORIENTED_EDGE('',*,*,#14773,.F.); -#19813=ORIENTED_EDGE('',*,*,#14774,.F.); -#19814=ORIENTED_EDGE('',*,*,#14775,.F.); -#19815=ORIENTED_EDGE('',*,*,#14776,.F.); -#19816=ORIENTED_EDGE('',*,*,#14777,.F.); -#19817=ORIENTED_EDGE('',*,*,#14778,.F.); -#19818=ORIENTED_EDGE('',*,*,#14779,.F.); -#19819=ORIENTED_EDGE('',*,*,#14780,.F.); -#19820=ORIENTED_EDGE('',*,*,#14781,.F.); -#19821=ORIENTED_EDGE('',*,*,#14782,.F.); -#19822=ORIENTED_EDGE('',*,*,#14783,.F.); -#19823=ORIENTED_EDGE('',*,*,#14784,.F.); -#19824=ORIENTED_EDGE('',*,*,#14785,.F.); -#19825=ORIENTED_EDGE('',*,*,#14786,.F.); -#19826=ORIENTED_EDGE('',*,*,#14787,.F.); -#19827=ORIENTED_EDGE('',*,*,#14788,.F.); -#19828=ORIENTED_EDGE('',*,*,#14789,.F.); -#19829=ORIENTED_EDGE('',*,*,#14790,.F.); -#19830=ORIENTED_EDGE('',*,*,#14791,.F.); -#19831=ORIENTED_EDGE('',*,*,#14792,.F.); -#19832=ORIENTED_EDGE('',*,*,#14793,.F.); -#19833=ORIENTED_EDGE('',*,*,#14794,.F.); -#19834=ORIENTED_EDGE('',*,*,#14795,.F.); -#19835=ORIENTED_EDGE('',*,*,#14796,.F.); -#19836=ORIENTED_EDGE('',*,*,#14797,.F.); -#19837=ORIENTED_EDGE('',*,*,#14798,.F.); -#19838=ORIENTED_EDGE('',*,*,#14799,.F.); -#19839=ORIENTED_EDGE('',*,*,#14800,.F.); -#19840=ORIENTED_EDGE('',*,*,#14801,.F.); -#19841=ORIENTED_EDGE('',*,*,#14802,.F.); -#19842=ORIENTED_EDGE('',*,*,#14803,.F.); -#19843=ORIENTED_EDGE('',*,*,#14804,.F.); -#19844=ORIENTED_EDGE('',*,*,#14805,.F.); -#19845=ORIENTED_EDGE('',*,*,#14806,.F.); -#19846=ORIENTED_EDGE('',*,*,#14807,.F.); -#19847=ORIENTED_EDGE('',*,*,#14808,.F.); -#19848=ORIENTED_EDGE('',*,*,#14809,.F.); -#19849=ORIENTED_EDGE('',*,*,#14810,.F.); -#19850=ORIENTED_EDGE('',*,*,#14811,.F.); -#19851=ORIENTED_EDGE('',*,*,#14812,.F.); -#19852=ORIENTED_EDGE('',*,*,#14813,.F.); -#19853=ORIENTED_EDGE('',*,*,#14814,.F.); -#19854=ORIENTED_EDGE('',*,*,#14815,.F.); -#19855=ORIENTED_EDGE('',*,*,#14816,.F.); -#19856=ORIENTED_EDGE('',*,*,#14817,.F.); -#19857=ORIENTED_EDGE('',*,*,#14818,.F.); -#19858=ORIENTED_EDGE('',*,*,#14819,.F.); -#19859=ORIENTED_EDGE('',*,*,#14820,.F.); -#19860=ORIENTED_EDGE('',*,*,#14821,.F.); -#19861=ORIENTED_EDGE('',*,*,#14822,.F.); -#19862=ORIENTED_EDGE('',*,*,#14823,.F.); -#19863=ORIENTED_EDGE('',*,*,#14824,.F.); -#19864=ORIENTED_EDGE('',*,*,#14825,.F.); -#19865=ORIENTED_EDGE('',*,*,#14826,.F.); -#19866=ORIENTED_EDGE('',*,*,#14827,.F.); -#19867=ORIENTED_EDGE('',*,*,#14828,.F.); -#19868=ORIENTED_EDGE('',*,*,#14829,.F.); -#19869=ORIENTED_EDGE('',*,*,#14830,.F.); -#19870=ORIENTED_EDGE('',*,*,#14831,.F.); -#19871=ORIENTED_EDGE('',*,*,#14832,.F.); -#19872=ORIENTED_EDGE('',*,*,#14833,.F.); -#19873=ORIENTED_EDGE('',*,*,#14834,.F.); -#19874=ORIENTED_EDGE('',*,*,#14835,.F.); -#19875=ORIENTED_EDGE('',*,*,#14836,.F.); -#19876=ORIENTED_EDGE('',*,*,#14837,.F.); -#19877=ORIENTED_EDGE('',*,*,#14838,.F.); -#19878=ORIENTED_EDGE('',*,*,#14839,.F.); -#19879=ORIENTED_EDGE('',*,*,#14840,.F.); -#19880=ORIENTED_EDGE('',*,*,#14841,.F.); -#19881=ORIENTED_EDGE('',*,*,#14842,.F.); -#19882=ORIENTED_EDGE('',*,*,#14843,.F.); -#19883=ORIENTED_EDGE('',*,*,#14844,.F.); -#19884=ORIENTED_EDGE('',*,*,#14845,.F.); -#19885=ORIENTED_EDGE('',*,*,#14846,.F.); -#19886=ORIENTED_EDGE('',*,*,#14847,.F.); -#19887=ORIENTED_EDGE('',*,*,#14848,.F.); -#19888=ORIENTED_EDGE('',*,*,#14849,.F.); -#19889=ORIENTED_EDGE('',*,*,#14850,.F.); -#19890=ORIENTED_EDGE('',*,*,#14851,.F.); -#19891=ORIENTED_EDGE('',*,*,#14852,.F.); -#19892=ORIENTED_EDGE('',*,*,#14853,.F.); -#19893=ORIENTED_EDGE('',*,*,#14854,.F.); -#19894=ORIENTED_EDGE('',*,*,#14855,.F.); -#19895=ORIENTED_EDGE('',*,*,#14856,.F.); -#19896=ORIENTED_EDGE('',*,*,#14857,.F.); -#19897=ORIENTED_EDGE('',*,*,#14858,.F.); -#19898=ORIENTED_EDGE('',*,*,#14859,.F.); -#19899=ORIENTED_EDGE('',*,*,#14860,.F.); -#19900=ORIENTED_EDGE('',*,*,#14861,.F.); -#19901=ORIENTED_EDGE('',*,*,#14862,.F.); -#19902=ORIENTED_EDGE('',*,*,#14863,.F.); -#19903=ORIENTED_EDGE('',*,*,#14864,.F.); -#19904=ORIENTED_EDGE('',*,*,#14865,.F.); -#19905=ORIENTED_EDGE('',*,*,#14866,.F.); -#19906=ORIENTED_EDGE('',*,*,#14867,.F.); -#19907=ORIENTED_EDGE('',*,*,#14868,.F.); -#19908=ORIENTED_EDGE('',*,*,#14869,.F.); -#19909=ORIENTED_EDGE('',*,*,#14870,.F.); -#19910=ORIENTED_EDGE('',*,*,#14871,.F.); -#19911=ORIENTED_EDGE('',*,*,#14872,.F.); -#19912=ORIENTED_EDGE('',*,*,#14873,.F.); -#19913=ORIENTED_EDGE('',*,*,#14874,.F.); -#19914=ORIENTED_EDGE('',*,*,#14875,.F.); -#19915=ORIENTED_EDGE('',*,*,#14876,.F.); -#19916=ORIENTED_EDGE('',*,*,#14877,.F.); -#19917=ORIENTED_EDGE('',*,*,#14878,.F.); -#19918=ORIENTED_EDGE('',*,*,#14879,.F.); -#19919=ORIENTED_EDGE('',*,*,#14880,.F.); -#19920=ORIENTED_EDGE('',*,*,#14881,.F.); -#19921=ORIENTED_EDGE('',*,*,#14882,.F.); -#19922=ORIENTED_EDGE('',*,*,#14883,.F.); -#19923=ORIENTED_EDGE('',*,*,#14884,.F.); -#19924=ORIENTED_EDGE('',*,*,#14885,.F.); -#19925=ORIENTED_EDGE('',*,*,#14886,.F.); -#19926=ORIENTED_EDGE('',*,*,#14887,.F.); -#19927=ORIENTED_EDGE('',*,*,#14888,.F.); -#19928=ORIENTED_EDGE('',*,*,#14889,.F.); -#19929=ORIENTED_EDGE('',*,*,#14890,.F.); -#19930=ORIENTED_EDGE('',*,*,#14891,.F.); -#19931=ORIENTED_EDGE('',*,*,#14892,.F.); -#19932=ORIENTED_EDGE('',*,*,#14893,.F.); -#19933=ORIENTED_EDGE('',*,*,#14894,.F.); -#19934=ORIENTED_EDGE('',*,*,#14895,.F.); -#19935=ORIENTED_EDGE('',*,*,#14896,.F.); -#19936=ORIENTED_EDGE('',*,*,#14897,.F.); -#19937=ORIENTED_EDGE('',*,*,#14898,.F.); -#19938=ORIENTED_EDGE('',*,*,#14899,.F.); -#19939=ORIENTED_EDGE('',*,*,#14900,.F.); -#19940=ORIENTED_EDGE('',*,*,#14901,.F.); -#19941=ORIENTED_EDGE('',*,*,#14902,.F.); -#19942=ORIENTED_EDGE('',*,*,#14903,.F.); -#19943=ORIENTED_EDGE('',*,*,#14904,.F.); -#19944=ORIENTED_EDGE('',*,*,#14905,.F.); -#19945=ORIENTED_EDGE('',*,*,#14906,.F.); -#19946=ORIENTED_EDGE('',*,*,#14907,.F.); -#19947=ORIENTED_EDGE('',*,*,#14908,.F.); -#19948=ORIENTED_EDGE('',*,*,#14909,.F.); -#19949=ORIENTED_EDGE('',*,*,#14910,.F.); -#19950=ORIENTED_EDGE('',*,*,#14911,.F.); -#19951=ORIENTED_EDGE('',*,*,#14912,.F.); -#19952=ORIENTED_EDGE('',*,*,#14913,.F.); -#19953=ORIENTED_EDGE('',*,*,#14914,.F.); -#19954=ORIENTED_EDGE('',*,*,#14915,.F.); -#19955=ORIENTED_EDGE('',*,*,#14916,.F.); -#19956=ORIENTED_EDGE('',*,*,#14917,.F.); -#19957=ORIENTED_EDGE('',*,*,#14918,.F.); -#19958=ORIENTED_EDGE('',*,*,#14919,.F.); -#19959=ORIENTED_EDGE('',*,*,#14920,.F.); -#19960=ORIENTED_EDGE('',*,*,#14921,.F.); -#19961=ORIENTED_EDGE('',*,*,#14922,.F.); -#19962=ORIENTED_EDGE('',*,*,#14923,.F.); -#19963=ORIENTED_EDGE('',*,*,#14924,.F.); -#19964=ORIENTED_EDGE('',*,*,#14925,.F.); -#19965=ORIENTED_EDGE('',*,*,#14926,.F.); -#19966=ORIENTED_EDGE('',*,*,#14927,.F.); -#19967=ORIENTED_EDGE('',*,*,#14928,.F.); -#19968=ORIENTED_EDGE('',*,*,#14929,.F.); -#19969=ORIENTED_EDGE('',*,*,#14930,.F.); -#19970=ORIENTED_EDGE('',*,*,#14931,.F.); -#19971=ORIENTED_EDGE('',*,*,#14932,.F.); -#19972=ORIENTED_EDGE('',*,*,#14933,.F.); -#19973=ORIENTED_EDGE('',*,*,#14934,.F.); -#19974=ORIENTED_EDGE('',*,*,#14935,.F.); -#19975=ORIENTED_EDGE('',*,*,#14936,.F.); -#19976=ORIENTED_EDGE('',*,*,#14937,.F.); -#19977=ORIENTED_EDGE('',*,*,#14938,.F.); -#19978=ORIENTED_EDGE('',*,*,#14939,.F.); -#19979=ORIENTED_EDGE('',*,*,#14940,.F.); -#19980=ORIENTED_EDGE('',*,*,#14941,.F.); -#19981=ORIENTED_EDGE('',*,*,#14942,.F.); -#19982=ORIENTED_EDGE('',*,*,#14943,.F.); -#19983=ORIENTED_EDGE('',*,*,#14944,.F.); -#19984=ORIENTED_EDGE('',*,*,#14945,.F.); -#19985=ORIENTED_EDGE('',*,*,#14946,.F.); -#19986=ORIENTED_EDGE('',*,*,#14947,.F.); -#19987=ORIENTED_EDGE('',*,*,#14948,.F.); -#19988=ORIENTED_EDGE('',*,*,#14949,.F.); -#19989=ORIENTED_EDGE('',*,*,#14950,.F.); -#19990=ORIENTED_EDGE('',*,*,#14951,.F.); -#19991=ORIENTED_EDGE('',*,*,#14952,.F.); -#19992=ORIENTED_EDGE('',*,*,#14953,.F.); -#19993=ORIENTED_EDGE('',*,*,#14954,.F.); -#19994=ORIENTED_EDGE('',*,*,#14955,.F.); -#19995=ORIENTED_EDGE('',*,*,#14956,.F.); -#19996=ORIENTED_EDGE('',*,*,#14957,.F.); -#19997=ORIENTED_EDGE('',*,*,#14958,.F.); -#19998=ORIENTED_EDGE('',*,*,#14959,.F.); -#19999=ORIENTED_EDGE('',*,*,#14960,.F.); -#20000=ORIENTED_EDGE('',*,*,#14961,.F.); -#20001=ORIENTED_EDGE('',*,*,#14962,.F.); -#20002=ORIENTED_EDGE('',*,*,#14963,.F.); -#20003=ORIENTED_EDGE('',*,*,#14964,.F.); -#20004=ORIENTED_EDGE('',*,*,#14965,.F.); -#20005=ORIENTED_EDGE('',*,*,#14966,.F.); -#20006=ORIENTED_EDGE('',*,*,#14967,.F.); -#20007=ORIENTED_EDGE('',*,*,#14968,.F.); -#20008=ORIENTED_EDGE('',*,*,#14969,.F.); -#20009=ORIENTED_EDGE('',*,*,#14970,.F.); -#20010=ORIENTED_EDGE('',*,*,#14971,.F.); -#20011=ORIENTED_EDGE('',*,*,#14972,.F.); -#20012=ORIENTED_EDGE('',*,*,#14973,.F.); -#20013=ORIENTED_EDGE('',*,*,#14974,.F.); -#20014=ORIENTED_EDGE('',*,*,#14975,.F.); -#20015=ORIENTED_EDGE('',*,*,#14976,.F.); -#20016=ORIENTED_EDGE('',*,*,#14977,.F.); -#20017=ORIENTED_EDGE('',*,*,#14978,.F.); -#20018=ORIENTED_EDGE('',*,*,#14979,.F.); -#20019=ORIENTED_EDGE('',*,*,#14980,.F.); -#20020=ORIENTED_EDGE('',*,*,#14981,.F.); -#20021=ORIENTED_EDGE('',*,*,#14982,.F.); -#20022=ORIENTED_EDGE('',*,*,#14983,.F.); -#20023=ORIENTED_EDGE('',*,*,#14984,.F.); -#20024=ORIENTED_EDGE('',*,*,#14985,.F.); -#20025=ORIENTED_EDGE('',*,*,#14986,.F.); -#20026=ORIENTED_EDGE('',*,*,#14987,.F.); -#20027=ORIENTED_EDGE('',*,*,#14988,.F.); -#20028=ORIENTED_EDGE('',*,*,#14989,.F.); -#20029=ORIENTED_EDGE('',*,*,#14990,.F.); -#20030=ORIENTED_EDGE('',*,*,#14991,.F.); -#20031=ORIENTED_EDGE('',*,*,#14992,.F.); -#20032=ORIENTED_EDGE('',*,*,#14993,.F.); -#20033=ORIENTED_EDGE('',*,*,#14994,.F.); -#20034=ORIENTED_EDGE('',*,*,#14995,.F.); -#20035=ORIENTED_EDGE('',*,*,#14996,.F.); -#20036=ORIENTED_EDGE('',*,*,#14997,.F.); -#20037=ORIENTED_EDGE('',*,*,#14998,.F.); -#20038=ORIENTED_EDGE('',*,*,#14999,.F.); -#20039=ORIENTED_EDGE('',*,*,#15000,.F.); -#20040=ORIENTED_EDGE('',*,*,#15001,.F.); -#20041=ORIENTED_EDGE('',*,*,#15002,.F.); -#20042=ORIENTED_EDGE('',*,*,#15003,.F.); -#20043=ORIENTED_EDGE('',*,*,#15004,.F.); -#20044=ORIENTED_EDGE('',*,*,#15005,.F.); -#20045=ORIENTED_EDGE('',*,*,#15006,.F.); -#20046=ORIENTED_EDGE('',*,*,#15007,.F.); -#20047=ORIENTED_EDGE('',*,*,#15008,.F.); -#20048=ORIENTED_EDGE('',*,*,#15009,.F.); -#20049=ORIENTED_EDGE('',*,*,#15010,.F.); -#20050=ORIENTED_EDGE('',*,*,#15011,.F.); -#20051=ORIENTED_EDGE('',*,*,#15012,.F.); -#20052=ORIENTED_EDGE('',*,*,#15013,.F.); -#20053=ORIENTED_EDGE('',*,*,#15014,.F.); -#20054=ORIENTED_EDGE('',*,*,#15015,.F.); -#20055=ORIENTED_EDGE('',*,*,#15016,.F.); -#20056=ORIENTED_EDGE('',*,*,#15017,.F.); -#20057=ORIENTED_EDGE('',*,*,#15018,.F.); -#20058=ORIENTED_EDGE('',*,*,#15019,.F.); -#20059=ORIENTED_EDGE('',*,*,#15020,.F.); -#20060=ORIENTED_EDGE('',*,*,#15021,.F.); -#20061=ORIENTED_EDGE('',*,*,#15022,.F.); -#20062=ORIENTED_EDGE('',*,*,#15023,.F.); -#20063=ORIENTED_EDGE('',*,*,#15024,.F.); -#20064=ORIENTED_EDGE('',*,*,#15025,.F.); -#20065=ORIENTED_EDGE('',*,*,#15026,.F.); -#20066=ORIENTED_EDGE('',*,*,#15027,.F.); -#20067=ORIENTED_EDGE('',*,*,#15028,.F.); -#20068=ORIENTED_EDGE('',*,*,#15029,.F.); -#20069=ORIENTED_EDGE('',*,*,#15030,.F.); -#20070=ORIENTED_EDGE('',*,*,#15031,.F.); -#20071=ORIENTED_EDGE('',*,*,#15032,.F.); -#20072=ORIENTED_EDGE('',*,*,#15033,.F.); -#20073=ORIENTED_EDGE('',*,*,#15034,.F.); -#20074=ORIENTED_EDGE('',*,*,#15035,.F.); -#20075=ORIENTED_EDGE('',*,*,#15036,.F.); -#20076=ORIENTED_EDGE('',*,*,#15037,.F.); -#20077=ORIENTED_EDGE('',*,*,#15038,.F.); -#20078=ORIENTED_EDGE('',*,*,#15039,.F.); -#20079=ORIENTED_EDGE('',*,*,#15040,.F.); -#20080=ORIENTED_EDGE('',*,*,#15041,.F.); -#20081=ORIENTED_EDGE('',*,*,#15042,.F.); -#20082=ORIENTED_EDGE('',*,*,#15043,.F.); -#20083=ORIENTED_EDGE('',*,*,#15044,.F.); -#20084=ORIENTED_EDGE('',*,*,#15045,.F.); -#20085=ORIENTED_EDGE('',*,*,#15046,.F.); -#20086=ORIENTED_EDGE('',*,*,#15047,.F.); -#20087=ORIENTED_EDGE('',*,*,#15048,.F.); -#20088=ORIENTED_EDGE('',*,*,#15049,.F.); -#20089=ORIENTED_EDGE('',*,*,#15050,.F.); -#20090=ORIENTED_EDGE('',*,*,#15051,.F.); -#20091=ORIENTED_EDGE('',*,*,#15052,.F.); -#20092=ORIENTED_EDGE('',*,*,#15053,.F.); -#20093=ORIENTED_EDGE('',*,*,#15054,.F.); -#20094=ORIENTED_EDGE('',*,*,#15055,.F.); -#20095=ORIENTED_EDGE('',*,*,#15056,.F.); -#20096=ORIENTED_EDGE('',*,*,#15057,.F.); -#20097=ORIENTED_EDGE('',*,*,#15058,.F.); -#20098=ORIENTED_EDGE('',*,*,#15059,.F.); -#20099=ORIENTED_EDGE('',*,*,#15060,.F.); -#20100=ORIENTED_EDGE('',*,*,#15061,.F.); -#20101=ORIENTED_EDGE('',*,*,#15062,.F.); -#20102=ORIENTED_EDGE('',*,*,#15063,.F.); -#20103=ORIENTED_EDGE('',*,*,#15064,.F.); -#20104=ORIENTED_EDGE('',*,*,#15065,.F.); -#20105=ORIENTED_EDGE('',*,*,#15066,.F.); -#20106=ORIENTED_EDGE('',*,*,#15067,.F.); -#20107=ORIENTED_EDGE('',*,*,#15068,.F.); -#20108=ORIENTED_EDGE('',*,*,#15069,.F.); -#20109=ORIENTED_EDGE('',*,*,#15070,.F.); -#20110=ORIENTED_EDGE('',*,*,#15071,.F.); -#20111=ORIENTED_EDGE('',*,*,#15072,.F.); -#20112=ORIENTED_EDGE('',*,*,#15073,.F.); -#20113=ORIENTED_EDGE('',*,*,#15074,.F.); -#20114=ORIENTED_EDGE('',*,*,#15075,.F.); -#20115=ORIENTED_EDGE('',*,*,#15076,.F.); -#20116=ORIENTED_EDGE('',*,*,#15077,.F.); -#20117=ORIENTED_EDGE('',*,*,#15078,.F.); -#20118=ORIENTED_EDGE('',*,*,#15079,.F.); -#20119=ORIENTED_EDGE('',*,*,#15080,.F.); -#20120=ORIENTED_EDGE('',*,*,#15081,.F.); -#20121=ORIENTED_EDGE('',*,*,#15082,.F.); -#20122=ORIENTED_EDGE('',*,*,#15083,.F.); -#20123=ORIENTED_EDGE('',*,*,#15084,.F.); -#20124=ORIENTED_EDGE('',*,*,#15085,.F.); -#20125=ORIENTED_EDGE('',*,*,#15086,.F.); -#20126=ORIENTED_EDGE('',*,*,#15087,.F.); -#20127=ORIENTED_EDGE('',*,*,#15088,.F.); -#20128=ORIENTED_EDGE('',*,*,#15089,.F.); -#20129=ORIENTED_EDGE('',*,*,#15090,.F.); -#20130=ORIENTED_EDGE('',*,*,#15091,.F.); -#20131=ORIENTED_EDGE('',*,*,#15092,.F.); -#20132=ORIENTED_EDGE('',*,*,#15093,.F.); -#20133=ORIENTED_EDGE('',*,*,#15094,.F.); -#20134=ORIENTED_EDGE('',*,*,#15095,.F.); -#20135=ORIENTED_EDGE('',*,*,#15096,.F.); -#20136=ORIENTED_EDGE('',*,*,#15097,.F.); -#20137=ORIENTED_EDGE('',*,*,#15098,.F.); -#20138=ORIENTED_EDGE('',*,*,#15099,.F.); -#20139=ORIENTED_EDGE('',*,*,#15100,.F.); -#20140=ORIENTED_EDGE('',*,*,#15101,.F.); -#20141=ORIENTED_EDGE('',*,*,#15102,.F.); -#20142=ORIENTED_EDGE('',*,*,#15103,.F.); -#20143=ORIENTED_EDGE('',*,*,#15104,.F.); -#20144=ORIENTED_EDGE('',*,*,#15105,.F.); -#20145=ORIENTED_EDGE('',*,*,#15106,.F.); -#20146=ORIENTED_EDGE('',*,*,#15107,.F.); -#20147=ORIENTED_EDGE('',*,*,#15108,.F.); -#20148=ORIENTED_EDGE('',*,*,#15109,.F.); -#20149=ORIENTED_EDGE('',*,*,#15110,.F.); -#20150=ORIENTED_EDGE('',*,*,#15111,.F.); -#20151=ORIENTED_EDGE('',*,*,#15112,.F.); -#20152=ORIENTED_EDGE('',*,*,#15113,.F.); -#20153=ORIENTED_EDGE('',*,*,#15114,.F.); -#20154=ORIENTED_EDGE('',*,*,#15115,.F.); -#20155=ORIENTED_EDGE('',*,*,#15116,.F.); -#20156=ORIENTED_EDGE('',*,*,#15117,.F.); -#20157=ORIENTED_EDGE('',*,*,#15118,.F.); -#20158=ORIENTED_EDGE('',*,*,#15119,.F.); -#20159=ORIENTED_EDGE('',*,*,#15120,.F.); -#20160=ORIENTED_EDGE('',*,*,#15121,.F.); -#20161=ORIENTED_EDGE('',*,*,#15122,.F.); -#20162=ORIENTED_EDGE('',*,*,#15123,.F.); -#20163=ORIENTED_EDGE('',*,*,#15124,.F.); -#20164=ORIENTED_EDGE('',*,*,#15125,.F.); -#20165=ORIENTED_EDGE('',*,*,#15126,.F.); -#20166=ORIENTED_EDGE('',*,*,#15127,.F.); -#20167=ORIENTED_EDGE('',*,*,#15128,.F.); -#20168=ORIENTED_EDGE('',*,*,#15129,.F.); -#20169=ORIENTED_EDGE('',*,*,#15130,.F.); -#20170=ORIENTED_EDGE('',*,*,#15131,.F.); -#20171=ORIENTED_EDGE('',*,*,#15132,.F.); -#20172=ORIENTED_EDGE('',*,*,#15133,.F.); -#20173=ORIENTED_EDGE('',*,*,#15134,.F.); -#20174=ORIENTED_EDGE('',*,*,#15135,.F.); -#20175=ORIENTED_EDGE('',*,*,#15136,.F.); -#20176=ORIENTED_EDGE('',*,*,#15137,.F.); -#20177=ORIENTED_EDGE('',*,*,#15138,.F.); -#20178=ORIENTED_EDGE('',*,*,#15139,.F.); -#20179=ORIENTED_EDGE('',*,*,#15140,.F.); -#20180=ORIENTED_EDGE('',*,*,#15141,.F.); -#20181=ORIENTED_EDGE('',*,*,#15142,.F.); -#20182=ORIENTED_EDGE('',*,*,#15143,.F.); -#20183=ORIENTED_EDGE('',*,*,#15144,.F.); -#20184=ORIENTED_EDGE('',*,*,#15145,.F.); -#20185=ORIENTED_EDGE('',*,*,#15146,.F.); -#20186=ORIENTED_EDGE('',*,*,#15147,.F.); -#20187=ORIENTED_EDGE('',*,*,#15148,.F.); -#20188=ORIENTED_EDGE('',*,*,#15149,.F.); -#20189=ORIENTED_EDGE('',*,*,#15150,.F.); -#20190=ORIENTED_EDGE('',*,*,#15151,.F.); -#20191=ORIENTED_EDGE('',*,*,#15152,.F.); -#20192=ORIENTED_EDGE('',*,*,#15153,.F.); -#20193=ORIENTED_EDGE('',*,*,#15154,.F.); -#20194=ORIENTED_EDGE('',*,*,#15155,.F.); -#20195=ORIENTED_EDGE('',*,*,#15156,.F.); -#20196=ORIENTED_EDGE('',*,*,#15157,.F.); -#20197=ORIENTED_EDGE('',*,*,#15158,.F.); -#20198=ORIENTED_EDGE('',*,*,#15159,.F.); -#20199=ORIENTED_EDGE('',*,*,#15160,.F.); -#20200=ORIENTED_EDGE('',*,*,#15161,.F.); -#20201=ORIENTED_EDGE('',*,*,#15162,.F.); -#20202=ORIENTED_EDGE('',*,*,#15163,.F.); -#20203=ORIENTED_EDGE('',*,*,#15164,.T.); -#20204=ORIENTED_EDGE('',*,*,#15165,.T.); -#20205=ORIENTED_EDGE('',*,*,#15166,.T.); -#20206=ORIENTED_EDGE('',*,*,#15167,.T.); -#20207=ORIENTED_EDGE('',*,*,#15168,.T.); -#20208=ORIENTED_EDGE('',*,*,#15169,.T.); -#20209=ORIENTED_EDGE('',*,*,#15170,.T.); -#20210=ORIENTED_EDGE('',*,*,#15171,.T.); -#20211=ORIENTED_EDGE('',*,*,#15172,.T.); -#20212=ORIENTED_EDGE('',*,*,#15173,.T.); -#20213=ORIENTED_EDGE('',*,*,#15174,.T.); -#20214=ORIENTED_EDGE('',*,*,#15175,.T.); -#20215=ORIENTED_EDGE('',*,*,#15176,.T.); -#20216=ORIENTED_EDGE('',*,*,#15177,.T.); -#20217=ORIENTED_EDGE('',*,*,#15178,.T.); -#20218=ORIENTED_EDGE('',*,*,#15179,.T.); -#20219=ORIENTED_EDGE('',*,*,#15180,.T.); -#20220=ORIENTED_EDGE('',*,*,#15181,.T.); -#20221=ORIENTED_EDGE('',*,*,#15182,.T.); -#20222=ORIENTED_EDGE('',*,*,#15183,.T.); -#20223=ORIENTED_EDGE('',*,*,#15184,.T.); -#20224=ORIENTED_EDGE('',*,*,#15185,.T.); -#20225=ORIENTED_EDGE('',*,*,#15186,.T.); -#20226=ORIENTED_EDGE('',*,*,#15187,.T.); -#20227=ORIENTED_EDGE('',*,*,#14582,.F.); -#20228=ORIENTED_EDGE('',*,*,#15188,.F.); -#20229=ORIENTED_EDGE('',*,*,#14638,.F.); -#20230=ORIENTED_EDGE('',*,*,#15189,.T.); -#20231=ORIENTED_EDGE('',*,*,#14627,.F.); -#20232=ORIENTED_EDGE('',*,*,#15190,.T.); -#20233=ORIENTED_EDGE('',*,*,#14646,.T.); -#20234=ORIENTED_EDGE('',*,*,#15190,.F.); -#20235=ORIENTED_EDGE('',*,*,#14590,.F.); -#20236=ORIENTED_EDGE('',*,*,#15191,.T.); -#20237=ORIENTED_EDGE('',*,*,#14647,.T.); -#20238=ORIENTED_EDGE('',*,*,#15191,.F.); -#20239=ORIENTED_EDGE('',*,*,#15164,.F.); -#20240=ORIENTED_EDGE('',*,*,#15192,.T.); -#20241=ORIENTED_EDGE('',*,*,#14591,.T.); -#20242=ORIENTED_EDGE('',*,*,#15192,.F.); -#20243=ORIENTED_EDGE('',*,*,#15165,.F.); -#20244=ORIENTED_EDGE('',*,*,#15193,.T.); -#20245=ORIENTED_EDGE('',*,*,#14592,.T.); -#20246=ORIENTED_EDGE('',*,*,#15193,.F.); -#20247=ORIENTED_EDGE('',*,*,#15166,.F.); -#20248=ORIENTED_EDGE('',*,*,#15194,.T.); -#20249=ORIENTED_EDGE('',*,*,#14593,.T.); -#20250=ORIENTED_EDGE('',*,*,#15194,.F.); -#20251=ORIENTED_EDGE('',*,*,#15167,.F.); -#20252=ORIENTED_EDGE('',*,*,#15195,.T.); -#20253=ORIENTED_EDGE('',*,*,#14594,.T.); -#20254=ORIENTED_EDGE('',*,*,#15195,.F.); -#20255=ORIENTED_EDGE('',*,*,#15168,.F.); -#20256=ORIENTED_EDGE('',*,*,#15196,.T.); -#20257=ORIENTED_EDGE('',*,*,#14595,.T.); -#20258=ORIENTED_EDGE('',*,*,#15196,.F.); -#20259=ORIENTED_EDGE('',*,*,#15169,.F.); -#20260=ORIENTED_EDGE('',*,*,#15197,.T.); -#20261=ORIENTED_EDGE('',*,*,#14596,.T.); -#20262=ORIENTED_EDGE('',*,*,#15197,.F.); -#20263=ORIENTED_EDGE('',*,*,#15170,.F.); -#20264=ORIENTED_EDGE('',*,*,#15198,.T.); -#20265=ORIENTED_EDGE('',*,*,#14597,.T.); -#20266=ORIENTED_EDGE('',*,*,#15198,.F.); -#20267=ORIENTED_EDGE('',*,*,#15171,.F.); -#20268=ORIENTED_EDGE('',*,*,#15199,.T.); -#20269=ORIENTED_EDGE('',*,*,#14598,.T.); -#20270=ORIENTED_EDGE('',*,*,#15199,.F.); -#20271=ORIENTED_EDGE('',*,*,#15172,.F.); -#20272=ORIENTED_EDGE('',*,*,#15200,.T.); -#20273=ORIENTED_EDGE('',*,*,#14599,.T.); -#20274=ORIENTED_EDGE('',*,*,#15200,.F.); -#20275=ORIENTED_EDGE('',*,*,#15173,.F.); -#20276=ORIENTED_EDGE('',*,*,#15201,.T.); -#20277=ORIENTED_EDGE('',*,*,#14600,.T.); -#20278=ORIENTED_EDGE('',*,*,#15201,.F.); -#20279=ORIENTED_EDGE('',*,*,#15174,.F.); -#20280=ORIENTED_EDGE('',*,*,#15202,.T.); -#20281=ORIENTED_EDGE('',*,*,#14601,.T.); -#20282=ORIENTED_EDGE('',*,*,#15202,.F.); -#20283=ORIENTED_EDGE('',*,*,#15175,.F.); -#20284=ORIENTED_EDGE('',*,*,#15203,.T.); -#20285=ORIENTED_EDGE('',*,*,#14602,.T.); -#20286=ORIENTED_EDGE('',*,*,#15203,.F.); -#20287=ORIENTED_EDGE('',*,*,#15176,.F.); -#20288=ORIENTED_EDGE('',*,*,#15204,.T.); -#20289=ORIENTED_EDGE('',*,*,#14603,.T.); -#20290=ORIENTED_EDGE('',*,*,#15204,.F.); -#20291=ORIENTED_EDGE('',*,*,#15177,.F.); -#20292=ORIENTED_EDGE('',*,*,#15205,.T.); -#20293=ORIENTED_EDGE('',*,*,#14604,.T.); -#20294=ORIENTED_EDGE('',*,*,#15205,.F.); -#20295=ORIENTED_EDGE('',*,*,#15178,.F.); -#20296=ORIENTED_EDGE('',*,*,#15206,.T.); -#20297=ORIENTED_EDGE('',*,*,#14605,.T.); -#20298=ORIENTED_EDGE('',*,*,#15206,.F.); -#20299=ORIENTED_EDGE('',*,*,#15179,.F.); -#20300=ORIENTED_EDGE('',*,*,#15207,.T.); -#20301=ORIENTED_EDGE('',*,*,#14606,.T.); -#20302=ORIENTED_EDGE('',*,*,#15207,.F.); -#20303=ORIENTED_EDGE('',*,*,#15180,.F.); -#20304=ORIENTED_EDGE('',*,*,#15208,.T.); -#20305=ORIENTED_EDGE('',*,*,#14607,.T.); -#20306=ORIENTED_EDGE('',*,*,#15208,.F.); -#20307=ORIENTED_EDGE('',*,*,#15181,.F.); -#20308=ORIENTED_EDGE('',*,*,#15209,.T.); -#20309=ORIENTED_EDGE('',*,*,#14608,.T.); -#20310=ORIENTED_EDGE('',*,*,#15209,.F.); -#20311=ORIENTED_EDGE('',*,*,#15182,.F.); -#20312=ORIENTED_EDGE('',*,*,#15210,.T.); -#20313=ORIENTED_EDGE('',*,*,#14609,.T.); -#20314=ORIENTED_EDGE('',*,*,#15210,.F.); -#20315=ORIENTED_EDGE('',*,*,#15183,.F.); -#20316=ORIENTED_EDGE('',*,*,#15211,.T.); -#20317=ORIENTED_EDGE('',*,*,#14610,.T.); -#20318=ORIENTED_EDGE('',*,*,#15211,.F.); -#20319=ORIENTED_EDGE('',*,*,#15184,.F.); -#20320=ORIENTED_EDGE('',*,*,#15212,.T.); -#20321=ORIENTED_EDGE('',*,*,#14611,.T.); -#20322=ORIENTED_EDGE('',*,*,#15212,.F.); -#20323=ORIENTED_EDGE('',*,*,#15185,.F.); -#20324=ORIENTED_EDGE('',*,*,#15213,.T.); -#20325=ORIENTED_EDGE('',*,*,#14612,.T.); -#20326=ORIENTED_EDGE('',*,*,#15213,.F.); -#20327=ORIENTED_EDGE('',*,*,#15186,.F.); -#20328=ORIENTED_EDGE('',*,*,#15214,.T.); -#20329=ORIENTED_EDGE('',*,*,#14613,.T.); -#20330=ORIENTED_EDGE('',*,*,#15214,.F.); -#20331=ORIENTED_EDGE('',*,*,#15187,.F.); -#20332=ORIENTED_EDGE('',*,*,#15215,.T.); -#20333=ORIENTED_EDGE('',*,*,#14614,.T.); -#20334=ORIENTED_EDGE('',*,*,#15215,.F.); -#20335=ORIENTED_EDGE('',*,*,#14615,.T.); -#20336=ORIENTED_EDGE('',*,*,#15216,.T.); -#20337=ORIENTED_EDGE('',*,*,#15217,.F.); -#20338=ORIENTED_EDGE('',*,*,#15218,.F.); -#20339=ORIENTED_EDGE('',*,*,#14616,.T.); -#20340=ORIENTED_EDGE('',*,*,#15218,.T.); -#20341=ORIENTED_EDGE('',*,*,#15219,.F.); -#20342=ORIENTED_EDGE('',*,*,#15220,.F.); -#20343=ORIENTED_EDGE('',*,*,#14617,.T.); -#20344=ORIENTED_EDGE('',*,*,#15220,.T.); -#20345=ORIENTED_EDGE('',*,*,#15221,.F.); -#20346=ORIENTED_EDGE('',*,*,#15222,.F.); -#20347=ORIENTED_EDGE('',*,*,#15223,.F.); -#20348=ORIENTED_EDGE('',*,*,#15224,.F.); -#20349=ORIENTED_EDGE('',*,*,#14618,.T.); -#20350=ORIENTED_EDGE('',*,*,#15222,.T.); -#20351=ORIENTED_EDGE('',*,*,#15225,.T.); -#20352=ORIENTED_EDGE('',*,*,#15216,.F.); -#20353=ORIENTED_EDGE('',*,*,#14626,.T.); -#20354=ORIENTED_EDGE('',*,*,#15226,.T.); -#20355=ORIENTED_EDGE('',*,*,#15227,.F.); -#20356=ORIENTED_EDGE('',*,*,#15228,.F.); -#20357=ORIENTED_EDGE('',*,*,#15229,.T.); -#20358=ORIENTED_EDGE('',*,*,#15230,.F.); -#20359=ORIENTED_EDGE('',*,*,#15231,.F.); -#20360=ORIENTED_EDGE('',*,*,#15232,.T.); -#20361=ORIENTED_EDGE('',*,*,#15223,.T.); -#20362=ORIENTED_EDGE('',*,*,#15233,.F.); -#20363=ORIENTED_EDGE('',*,*,#15234,.F.); -#20364=ORIENTED_EDGE('',*,*,#15235,.F.); -#20365=ORIENTED_EDGE('',*,*,#15236,.F.); -#20366=ORIENTED_EDGE('',*,*,#15237,.F.); -#20367=ORIENTED_EDGE('',*,*,#15231,.T.); -#20368=ORIENTED_EDGE('',*,*,#15238,.T.); -#20369=ORIENTED_EDGE('',*,*,#15239,.F.); -#20370=ORIENTED_EDGE('',*,*,#15240,.T.); -#20371=ORIENTED_EDGE('',*,*,#15241,.F.); -#20372=ORIENTED_EDGE('',*,*,#15242,.F.); -#20373=ORIENTED_EDGE('',*,*,#14624,.T.); -#20374=ORIENTED_EDGE('',*,*,#15243,.T.); -#20375=ORIENTED_EDGE('',*,*,#15244,.F.); -#20376=ORIENTED_EDGE('',*,*,#15245,.F.); -#20377=ORIENTED_EDGE('',*,*,#14620,.T.); -#20378=ORIENTED_EDGE('',*,*,#15246,.T.); -#20379=ORIENTED_EDGE('',*,*,#15232,.F.); -#20380=ORIENTED_EDGE('',*,*,#15246,.F.); -#20381=ORIENTED_EDGE('',*,*,#14619,.T.); -#20382=ORIENTED_EDGE('',*,*,#15224,.T.); -#20383=ORIENTED_EDGE('',*,*,#15247,.T.); -#20384=ORIENTED_EDGE('',*,*,#15228,.T.); -#20385=ORIENTED_EDGE('',*,*,#15248,.F.); -#20386=ORIENTED_EDGE('',*,*,#15240,.F.); -#20387=ORIENTED_EDGE('',*,*,#15239,.T.); -#20388=ORIENTED_EDGE('',*,*,#15249,.T.); -#20389=ORIENTED_EDGE('',*,*,#15250,.T.); -#20390=ORIENTED_EDGE('',*,*,#15251,.T.); -#20391=ORIENTED_EDGE('',*,*,#15252,.T.); -#20392=ORIENTED_EDGE('',*,*,#15253,.T.); -#20393=ORIENTED_EDGE('',*,*,#15229,.F.); -#20394=ORIENTED_EDGE('',*,*,#15247,.F.); -#20395=ORIENTED_EDGE('',*,*,#15238,.F.); -#20396=ORIENTED_EDGE('',*,*,#15237,.T.); -#20397=ORIENTED_EDGE('',*,*,#15254,.T.); -#20398=ORIENTED_EDGE('',*,*,#15249,.F.); -#20399=ORIENTED_EDGE('',*,*,#15230,.T.); -#20400=ORIENTED_EDGE('',*,*,#15253,.F.); -#20401=ORIENTED_EDGE('',*,*,#15255,.F.); -#20402=ORIENTED_EDGE('',*,*,#15233,.T.); -#20403=ORIENTED_EDGE('',*,*,#15236,.T.); -#20404=ORIENTED_EDGE('',*,*,#15256,.T.); -#20405=ORIENTED_EDGE('',*,*,#15250,.F.); -#20406=ORIENTED_EDGE('',*,*,#15254,.F.); -#20407=ORIENTED_EDGE('',*,*,#15235,.T.); -#20408=ORIENTED_EDGE('',*,*,#15257,.T.); -#20409=ORIENTED_EDGE('',*,*,#15251,.F.); -#20410=ORIENTED_EDGE('',*,*,#15256,.F.); -#20411=ORIENTED_EDGE('',*,*,#15234,.T.); -#20412=ORIENTED_EDGE('',*,*,#15255,.T.); -#20413=ORIENTED_EDGE('',*,*,#15252,.F.); -#20414=ORIENTED_EDGE('',*,*,#15257,.F.); -#20415=ORIENTED_EDGE('',*,*,#15241,.T.); -#20416=ORIENTED_EDGE('',*,*,#15248,.T.); -#20417=ORIENTED_EDGE('',*,*,#15227,.T.); -#20418=ORIENTED_EDGE('',*,*,#15258,.T.); -#20419=ORIENTED_EDGE('',*,*,#14625,.T.); -#20420=ORIENTED_EDGE('',*,*,#15242,.T.); -#20421=ORIENTED_EDGE('',*,*,#15258,.F.); -#20422=ORIENTED_EDGE('',*,*,#15226,.F.); -#20423=ORIENTED_EDGE('',*,*,#14621,.T.); -#20424=ORIENTED_EDGE('',*,*,#15245,.T.); -#20425=ORIENTED_EDGE('',*,*,#15259,.F.); -#20426=ORIENTED_EDGE('',*,*,#15260,.F.); -#20427=ORIENTED_EDGE('',*,*,#14622,.T.); -#20428=ORIENTED_EDGE('',*,*,#15260,.T.); -#20429=ORIENTED_EDGE('',*,*,#15261,.F.); -#20430=ORIENTED_EDGE('',*,*,#15262,.F.); -#20431=ORIENTED_EDGE('',*,*,#14623,.T.); -#20432=ORIENTED_EDGE('',*,*,#15262,.T.); -#20433=ORIENTED_EDGE('',*,*,#15263,.F.); -#20434=ORIENTED_EDGE('',*,*,#15243,.F.); -#20435=ORIENTED_EDGE('',*,*,#15244,.T.); -#20436=ORIENTED_EDGE('',*,*,#15263,.T.); -#20437=ORIENTED_EDGE('',*,*,#15261,.T.); -#20438=ORIENTED_EDGE('',*,*,#15259,.T.); -#20439=ORIENTED_EDGE('',*,*,#15225,.F.); -#20440=ORIENTED_EDGE('',*,*,#15221,.T.); -#20441=ORIENTED_EDGE('',*,*,#15219,.T.); -#20442=ORIENTED_EDGE('',*,*,#15217,.T.); -#20443=ORIENTED_EDGE('',*,*,#14589,.T.); -#20444=ORIENTED_EDGE('',*,*,#14636,.T.); -#20445=ORIENTED_EDGE('',*,*,#14573,.F.); -#20446=ORIENTED_EDGE('',*,*,#14572,.F.); -#20447=ORIENTED_EDGE('',*,*,#14576,.T.); -#20448=ORIENTED_EDGE('',*,*,#14587,.T.); -#20449=ORIENTED_EDGE('',*,*,#15264,.F.); -#20450=ORIENTED_EDGE('',*,*,#14641,.F.); -#20451=ORIENTED_EDGE('',*,*,#15265,.T.); -#20452=ORIENTED_EDGE('',*,*,#14579,.T.); -#20453=ORIENTED_EDGE('',*,*,#14580,.F.); -#20454=ORIENTED_EDGE('',*,*,#15265,.F.); -#20455=ORIENTED_EDGE('',*,*,#14640,.F.); -#20456=ORIENTED_EDGE('',*,*,#15266,.T.); -#20457=ORIENTED_EDGE('',*,*,#15188,.T.); -#20458=ORIENTED_EDGE('',*,*,#14581,.T.); -#20459=ORIENTED_EDGE('',*,*,#15266,.F.); -#20460=ORIENTED_EDGE('',*,*,#14639,.F.); -#20461=ORIENTED_EDGE('',*,*,#14586,.F.); -#20462=ORIENTED_EDGE('',*,*,#15267,.F.); -#20463=ORIENTED_EDGE('',*,*,#14642,.F.); -#20464=ORIENTED_EDGE('',*,*,#15264,.T.); -#20465=ORIENTED_EDGE('',*,*,#15268,.F.); -#20466=ORIENTED_EDGE('',*,*,#14643,.F.); -#20467=ORIENTED_EDGE('',*,*,#15267,.T.); -#20468=ORIENTED_EDGE('',*,*,#14585,.T.); -#20469=ORIENTED_EDGE('',*,*,#14584,.F.); -#20470=ORIENTED_EDGE('',*,*,#15269,.F.); -#20471=ORIENTED_EDGE('',*,*,#14644,.F.); -#20472=ORIENTED_EDGE('',*,*,#15268,.T.); -#20473=ORIENTED_EDGE('',*,*,#15189,.F.); -#20474=ORIENTED_EDGE('',*,*,#14645,.F.); -#20475=ORIENTED_EDGE('',*,*,#15269,.T.); -#20476=ORIENTED_EDGE('',*,*,#14583,.T.); -#20477=ORIENTED_EDGE('',*,*,#15270,.F.); -#20478=ORIENTED_EDGE('',*,*,#15271,.F.); -#20479=ORIENTED_EDGE('',*,*,#14628,.T.); -#20480=ORIENTED_EDGE('',*,*,#15272,.T.); -#20481=ORIENTED_EDGE('',*,*,#15270,.T.); -#20482=ORIENTED_EDGE('',*,*,#15273,.T.); -#20483=ORIENTED_EDGE('',*,*,#15274,.T.); -#20484=ORIENTED_EDGE('',*,*,#15275,.T.); -#20485=ORIENTED_EDGE('',*,*,#15276,.T.); -#20486=ORIENTED_EDGE('',*,*,#15277,.T.); -#20487=ORIENTED_EDGE('',*,*,#15278,.T.); -#20488=ORIENTED_EDGE('',*,*,#15279,.T.); -#20489=ORIENTED_EDGE('',*,*,#15280,.T.); -#20490=ORIENTED_EDGE('',*,*,#15281,.T.); -#20491=ORIENTED_EDGE('',*,*,#15282,.T.); -#20492=ORIENTED_EDGE('',*,*,#15283,.T.); -#20493=ORIENTED_EDGE('',*,*,#15284,.T.); -#20494=ORIENTED_EDGE('',*,*,#15285,.T.); -#20495=ORIENTED_EDGE('',*,*,#15286,.T.); -#20496=ORIENTED_EDGE('',*,*,#15287,.T.); -#20497=ORIENTED_EDGE('',*,*,#15288,.F.); -#20498=ORIENTED_EDGE('',*,*,#15289,.T.); -#20499=ORIENTED_EDGE('',*,*,#15276,.F.); -#20500=ORIENTED_EDGE('',*,*,#15290,.F.); -#20501=ORIENTED_EDGE('',*,*,#15291,.F.); -#20502=ORIENTED_EDGE('',*,*,#15292,.T.); -#20503=ORIENTED_EDGE('',*,*,#15288,.T.); -#20504=ORIENTED_EDGE('',*,*,#15293,.F.); -#20505=ORIENTED_EDGE('',*,*,#15294,.T.); -#20506=ORIENTED_EDGE('',*,*,#15295,.T.); -#20507=ORIENTED_EDGE('',*,*,#15296,.T.); -#20508=ORIENTED_EDGE('',*,*,#15297,.T.); -#20509=ORIENTED_EDGE('',*,*,#15298,.T.); -#20510=ORIENTED_EDGE('',*,*,#15291,.T.); -#20511=ORIENTED_EDGE('',*,*,#15299,.T.); -#20512=ORIENTED_EDGE('',*,*,#15300,.T.); -#20513=ORIENTED_EDGE('',*,*,#15294,.F.); -#20514=ORIENTED_EDGE('',*,*,#15301,.T.); -#20515=ORIENTED_EDGE('',*,*,#15302,.T.); -#20516=ORIENTED_EDGE('',*,*,#15303,.F.); -#20517=ORIENTED_EDGE('',*,*,#15295,.F.); -#20518=ORIENTED_EDGE('',*,*,#15303,.T.); -#20519=ORIENTED_EDGE('',*,*,#15304,.T.); -#20520=ORIENTED_EDGE('',*,*,#15305,.F.); -#20521=ORIENTED_EDGE('',*,*,#15296,.F.); -#20522=ORIENTED_EDGE('',*,*,#15305,.T.); -#20523=ORIENTED_EDGE('',*,*,#15306,.T.); -#20524=ORIENTED_EDGE('',*,*,#15307,.F.); -#20525=ORIENTED_EDGE('',*,*,#15297,.F.); -#20526=ORIENTED_EDGE('',*,*,#15307,.T.); -#20527=ORIENTED_EDGE('',*,*,#15308,.T.); -#20528=ORIENTED_EDGE('',*,*,#15301,.F.); -#20529=ORIENTED_EDGE('',*,*,#15308,.F.); -#20530=ORIENTED_EDGE('',*,*,#15309,.T.); -#20531=ORIENTED_EDGE('',*,*,#15286,.F.); -#20532=ORIENTED_EDGE('',*,*,#15310,.F.); -#20533=ORIENTED_EDGE('',*,*,#15302,.F.); -#20534=ORIENTED_EDGE('',*,*,#15310,.T.); -#20535=ORIENTED_EDGE('',*,*,#15285,.F.); -#20536=ORIENTED_EDGE('',*,*,#15311,.F.); -#20537=ORIENTED_EDGE('',*,*,#15304,.F.); -#20538=ORIENTED_EDGE('',*,*,#15311,.T.); -#20539=ORIENTED_EDGE('',*,*,#15284,.F.); -#20540=ORIENTED_EDGE('',*,*,#15312,.F.); -#20541=ORIENTED_EDGE('',*,*,#15306,.F.); -#20542=ORIENTED_EDGE('',*,*,#15312,.T.); -#20543=ORIENTED_EDGE('',*,*,#15287,.F.); -#20544=ORIENTED_EDGE('',*,*,#15309,.F.); -#20545=ORIENTED_EDGE('',*,*,#15298,.F.); -#20546=ORIENTED_EDGE('',*,*,#15313,.T.); -#20547=ORIENTED_EDGE('',*,*,#15314,.T.); -#20548=ORIENTED_EDGE('',*,*,#15292,.F.); -#20549=ORIENTED_EDGE('',*,*,#15314,.F.); -#20550=ORIENTED_EDGE('',*,*,#15315,.T.); -#20551=ORIENTED_EDGE('',*,*,#15277,.F.); -#20552=ORIENTED_EDGE('',*,*,#15289,.F.); -#20553=ORIENTED_EDGE('',*,*,#15316,.F.); -#20554=ORIENTED_EDGE('',*,*,#15317,.T.); -#20555=ORIENTED_EDGE('',*,*,#15278,.F.); -#20556=ORIENTED_EDGE('',*,*,#15315,.F.); -#20557=ORIENTED_EDGE('',*,*,#15300,.F.); -#20558=ORIENTED_EDGE('',*,*,#15318,.T.); -#20559=ORIENTED_EDGE('',*,*,#15316,.T.); -#20560=ORIENTED_EDGE('',*,*,#15313,.F.); -#20561=ORIENTED_EDGE('',*,*,#15299,.F.); -#20562=ORIENTED_EDGE('',*,*,#15293,.T.); -#20563=ORIENTED_EDGE('',*,*,#15319,.T.); -#20564=ORIENTED_EDGE('',*,*,#15318,.F.); -#20565=ORIENTED_EDGE('',*,*,#15319,.F.); -#20566=ORIENTED_EDGE('',*,*,#15290,.T.); -#20567=ORIENTED_EDGE('',*,*,#15279,.F.); -#20568=ORIENTED_EDGE('',*,*,#15317,.F.); -#20569=ORIENTED_EDGE('',*,*,#15275,.F.); -#20570=ORIENTED_EDGE('',*,*,#15320,.F.); -#20571=ORIENTED_EDGE('',*,*,#14629,.T.); -#20572=ORIENTED_EDGE('',*,*,#15271,.T.); -#20573=ORIENTED_EDGE('',*,*,#15274,.F.); -#20574=ORIENTED_EDGE('',*,*,#15321,.F.); -#20575=ORIENTED_EDGE('',*,*,#14630,.T.); -#20576=ORIENTED_EDGE('',*,*,#15320,.T.); -#20577=ORIENTED_EDGE('',*,*,#15273,.F.); -#20578=ORIENTED_EDGE('',*,*,#15272,.F.); -#20579=ORIENTED_EDGE('',*,*,#14631,.T.); -#20580=ORIENTED_EDGE('',*,*,#15321,.T.); -#20581=ORIENTED_EDGE('',*,*,#15280,.F.); -#20582=ORIENTED_EDGE('',*,*,#15322,.F.); -#20583=ORIENTED_EDGE('',*,*,#14632,.T.); -#20584=ORIENTED_EDGE('',*,*,#15323,.T.); -#20585=ORIENTED_EDGE('',*,*,#15281,.F.); -#20586=ORIENTED_EDGE('',*,*,#15323,.F.); -#20587=ORIENTED_EDGE('',*,*,#14635,.T.); -#20588=ORIENTED_EDGE('',*,*,#15324,.T.); -#20589=ORIENTED_EDGE('',*,*,#15282,.F.); -#20590=ORIENTED_EDGE('',*,*,#15324,.F.); -#20591=ORIENTED_EDGE('',*,*,#14634,.T.); -#20592=ORIENTED_EDGE('',*,*,#15325,.T.); -#20593=ORIENTED_EDGE('',*,*,#15283,.F.); -#20594=ORIENTED_EDGE('',*,*,#15325,.F.); -#20595=ORIENTED_EDGE('',*,*,#14633,.T.); -#20596=ORIENTED_EDGE('',*,*,#15322,.T.); -#20597=ORIENTED_EDGE('',*,*,#14648,.T.); -#20598=ORIENTED_EDGE('',*,*,#15326,.T.); -#20599=ORIENTED_EDGE('',*,*,#15327,.F.); -#20600=ORIENTED_EDGE('',*,*,#15328,.F.); -#20601=ORIENTED_EDGE('',*,*,#14649,.T.); -#20602=ORIENTED_EDGE('',*,*,#15328,.T.); -#20603=ORIENTED_EDGE('',*,*,#15329,.F.); -#20604=ORIENTED_EDGE('',*,*,#15330,.F.); -#20605=ORIENTED_EDGE('',*,*,#15331,.F.); -#20606=ORIENTED_EDGE('',*,*,#15332,.F.); -#20607=ORIENTED_EDGE('',*,*,#14654,.T.); -#20608=ORIENTED_EDGE('',*,*,#15333,.T.); -#20609=ORIENTED_EDGE('',*,*,#15334,.F.); -#20610=ORIENTED_EDGE('',*,*,#15335,.F.); -#20611=ORIENTED_EDGE('',*,*,#14650,.T.); -#20612=ORIENTED_EDGE('',*,*,#15330,.T.); -#20613=ORIENTED_EDGE('',*,*,#15336,.F.); -#20614=ORIENTED_EDGE('',*,*,#15337,.F.); -#20615=ORIENTED_EDGE('',*,*,#14666,.T.); -#20616=ORIENTED_EDGE('',*,*,#15338,.T.); -#20617=ORIENTED_EDGE('',*,*,#15339,.F.); -#20618=ORIENTED_EDGE('',*,*,#15340,.F.); -#20619=ORIENTED_EDGE('',*,*,#14662,.T.); -#20620=ORIENTED_EDGE('',*,*,#15341,.T.); -#20621=ORIENTED_EDGE('',*,*,#15342,.F.); -#20622=ORIENTED_EDGE('',*,*,#15343,.F.); -#20623=ORIENTED_EDGE('',*,*,#14658,.T.); -#20624=ORIENTED_EDGE('',*,*,#15344,.T.); -#20625=ORIENTED_EDGE('',*,*,#15331,.T.); -#20626=ORIENTED_EDGE('',*,*,#15345,.T.); -#20627=ORIENTED_EDGE('',*,*,#15346,.T.); -#20628=ORIENTED_EDGE('',*,*,#15347,.T.); -#20629=ORIENTED_EDGE('',*,*,#15342,.T.); -#20630=ORIENTED_EDGE('',*,*,#15348,.T.); -#20631=ORIENTED_EDGE('',*,*,#15349,.T.); -#20632=ORIENTED_EDGE('',*,*,#15350,.T.); -#20633=ORIENTED_EDGE('',*,*,#15339,.T.); -#20634=ORIENTED_EDGE('',*,*,#15351,.T.); -#20635=ORIENTED_EDGE('',*,*,#15352,.T.); -#20636=ORIENTED_EDGE('',*,*,#15353,.T.); -#20637=ORIENTED_EDGE('',*,*,#15336,.T.); -#20638=ORIENTED_EDGE('',*,*,#15329,.T.); -#20639=ORIENTED_EDGE('',*,*,#15327,.T.); -#20640=ORIENTED_EDGE('',*,*,#15354,.T.); -#20641=ORIENTED_EDGE('',*,*,#14655,.T.); -#20642=ORIENTED_EDGE('',*,*,#15332,.T.); -#20643=ORIENTED_EDGE('',*,*,#15347,.F.); -#20644=ORIENTED_EDGE('',*,*,#15355,.F.); -#20645=ORIENTED_EDGE('',*,*,#14656,.T.); -#20646=ORIENTED_EDGE('',*,*,#15355,.T.); -#20647=ORIENTED_EDGE('',*,*,#15346,.F.); -#20648=ORIENTED_EDGE('',*,*,#15356,.F.); -#20649=ORIENTED_EDGE('',*,*,#14657,.T.); -#20650=ORIENTED_EDGE('',*,*,#15356,.T.); -#20651=ORIENTED_EDGE('',*,*,#15345,.F.); -#20652=ORIENTED_EDGE('',*,*,#15344,.F.); -#20653=ORIENTED_EDGE('',*,*,#14659,.T.); -#20654=ORIENTED_EDGE('',*,*,#15343,.T.); -#20655=ORIENTED_EDGE('',*,*,#15350,.F.); -#20656=ORIENTED_EDGE('',*,*,#15357,.F.); -#20657=ORIENTED_EDGE('',*,*,#14660,.T.); -#20658=ORIENTED_EDGE('',*,*,#15357,.T.); -#20659=ORIENTED_EDGE('',*,*,#15349,.F.); -#20660=ORIENTED_EDGE('',*,*,#15358,.F.); -#20661=ORIENTED_EDGE('',*,*,#14661,.T.); -#20662=ORIENTED_EDGE('',*,*,#15358,.T.); -#20663=ORIENTED_EDGE('',*,*,#15348,.F.); -#20664=ORIENTED_EDGE('',*,*,#15341,.F.); -#20665=ORIENTED_EDGE('',*,*,#14663,.T.); -#20666=ORIENTED_EDGE('',*,*,#15340,.T.); -#20667=ORIENTED_EDGE('',*,*,#15353,.F.); -#20668=ORIENTED_EDGE('',*,*,#15359,.F.); -#20669=ORIENTED_EDGE('',*,*,#14664,.T.); -#20670=ORIENTED_EDGE('',*,*,#15359,.T.); -#20671=ORIENTED_EDGE('',*,*,#15352,.F.); -#20672=ORIENTED_EDGE('',*,*,#15360,.F.); -#20673=ORIENTED_EDGE('',*,*,#14665,.T.); -#20674=ORIENTED_EDGE('',*,*,#15360,.T.); -#20675=ORIENTED_EDGE('',*,*,#15351,.F.); -#20676=ORIENTED_EDGE('',*,*,#15338,.F.); -#20677=ORIENTED_EDGE('',*,*,#14667,.T.); -#20678=ORIENTED_EDGE('',*,*,#15337,.T.); -#20679=ORIENTED_EDGE('',*,*,#15354,.F.); -#20680=ORIENTED_EDGE('',*,*,#15326,.F.); -#20681=ORIENTED_EDGE('',*,*,#14651,.T.); -#20682=ORIENTED_EDGE('',*,*,#15335,.T.); -#20683=ORIENTED_EDGE('',*,*,#15361,.F.); -#20684=ORIENTED_EDGE('',*,*,#15362,.F.); -#20685=ORIENTED_EDGE('',*,*,#14652,.T.); -#20686=ORIENTED_EDGE('',*,*,#15362,.T.); -#20687=ORIENTED_EDGE('',*,*,#15363,.F.); -#20688=ORIENTED_EDGE('',*,*,#15364,.F.); -#20689=ORIENTED_EDGE('',*,*,#15365,.F.); -#20690=ORIENTED_EDGE('',*,*,#15366,.F.); -#20691=ORIENTED_EDGE('',*,*,#15367,.F.); -#20692=ORIENTED_EDGE('',*,*,#15368,.F.); -#20693=ORIENTED_EDGE('',*,*,#15369,.F.); -#20694=ORIENTED_EDGE('',*,*,#15370,.F.); -#20695=ORIENTED_EDGE('',*,*,#15371,.F.); -#20696=ORIENTED_EDGE('',*,*,#15372,.F.); -#20697=ORIENTED_EDGE('',*,*,#15373,.F.); -#20698=ORIENTED_EDGE('',*,*,#15374,.F.); -#20699=ORIENTED_EDGE('',*,*,#15375,.F.); -#20700=ORIENTED_EDGE('',*,*,#15376,.F.); -#20701=ORIENTED_EDGE('',*,*,#14653,.T.); -#20702=ORIENTED_EDGE('',*,*,#15364,.T.); -#20703=ORIENTED_EDGE('',*,*,#15377,.F.); -#20704=ORIENTED_EDGE('',*,*,#15333,.F.); -#20705=ORIENTED_EDGE('',*,*,#15334,.T.); -#20706=ORIENTED_EDGE('',*,*,#15377,.T.); -#20707=ORIENTED_EDGE('',*,*,#15363,.T.); -#20708=ORIENTED_EDGE('',*,*,#15361,.T.); -#20709=ORIENTED_EDGE('',*,*,#15365,.T.); -#20710=ORIENTED_EDGE('',*,*,#15378,.F.); -#20711=ORIENTED_EDGE('',*,*,#15379,.F.); -#20712=ORIENTED_EDGE('',*,*,#15380,.T.); -#20713=ORIENTED_EDGE('',*,*,#15370,.T.); -#20714=ORIENTED_EDGE('',*,*,#15381,.F.); -#20715=ORIENTED_EDGE('',*,*,#15382,.F.); -#20716=ORIENTED_EDGE('',*,*,#15383,.T.); -#20717=ORIENTED_EDGE('',*,*,#15366,.T.); -#20718=ORIENTED_EDGE('',*,*,#15380,.F.); -#20719=ORIENTED_EDGE('',*,*,#15384,.F.); -#20720=ORIENTED_EDGE('',*,*,#15385,.T.); -#20721=ORIENTED_EDGE('',*,*,#15371,.T.); -#20722=ORIENTED_EDGE('',*,*,#15383,.F.); -#20723=ORIENTED_EDGE('',*,*,#15386,.F.); -#20724=ORIENTED_EDGE('',*,*,#15387,.T.); -#20725=ORIENTED_EDGE('',*,*,#15376,.T.); -#20726=ORIENTED_EDGE('',*,*,#15388,.F.); -#20727=ORIENTED_EDGE('',*,*,#15389,.F.); -#20728=ORIENTED_EDGE('',*,*,#15378,.T.); -#20729=ORIENTED_EDGE('',*,*,#15372,.T.); -#20730=ORIENTED_EDGE('',*,*,#15387,.F.); -#20731=ORIENTED_EDGE('',*,*,#15390,.F.); -#20732=ORIENTED_EDGE('',*,*,#15391,.T.); -#20733=ORIENTED_EDGE('',*,*,#15392,.T.); -#20734=ORIENTED_EDGE('',*,*,#15393,.T.); -#20735=ORIENTED_EDGE('',*,*,#15394,.T.); -#20736=ORIENTED_EDGE('',*,*,#15384,.T.); -#20737=ORIENTED_EDGE('',*,*,#15379,.T.); -#20738=ORIENTED_EDGE('',*,*,#15389,.T.); -#20739=ORIENTED_EDGE('',*,*,#15395,.T.); -#20740=ORIENTED_EDGE('',*,*,#15396,.T.); -#20741=ORIENTED_EDGE('',*,*,#15397,.T.); -#20742=ORIENTED_EDGE('',*,*,#15390,.T.); -#20743=ORIENTED_EDGE('',*,*,#15386,.T.); -#20744=ORIENTED_EDGE('',*,*,#15382,.T.); -#20745=ORIENTED_EDGE('',*,*,#15391,.F.); -#20746=ORIENTED_EDGE('',*,*,#15397,.F.); -#20747=ORIENTED_EDGE('',*,*,#15398,.F.); -#20748=ORIENTED_EDGE('',*,*,#15373,.T.); -#20749=ORIENTED_EDGE('',*,*,#15381,.T.); -#20750=ORIENTED_EDGE('',*,*,#15369,.T.); -#20751=ORIENTED_EDGE('',*,*,#15399,.T.); -#20752=ORIENTED_EDGE('',*,*,#15392,.F.); -#20753=ORIENTED_EDGE('',*,*,#15374,.T.); -#20754=ORIENTED_EDGE('',*,*,#15398,.T.); -#20755=ORIENTED_EDGE('',*,*,#15396,.F.); -#20756=ORIENTED_EDGE('',*,*,#15400,.F.); -#20757=ORIENTED_EDGE('',*,*,#15388,.T.); -#20758=ORIENTED_EDGE('',*,*,#15375,.T.); -#20759=ORIENTED_EDGE('',*,*,#15400,.T.); -#20760=ORIENTED_EDGE('',*,*,#15395,.F.); -#20761=ORIENTED_EDGE('',*,*,#15385,.F.); -#20762=ORIENTED_EDGE('',*,*,#15394,.F.); -#20763=ORIENTED_EDGE('',*,*,#15401,.F.); -#20764=ORIENTED_EDGE('',*,*,#15367,.T.); -#20765=ORIENTED_EDGE('',*,*,#15368,.T.); -#20766=ORIENTED_EDGE('',*,*,#15401,.T.); -#20767=ORIENTED_EDGE('',*,*,#15393,.F.); -#20768=ORIENTED_EDGE('',*,*,#15399,.F.); -#20769=ORIENTED_EDGE('',*,*,#14668,.T.); -#20770=ORIENTED_EDGE('',*,*,#15402,.T.); -#20771=ORIENTED_EDGE('',*,*,#15403,.F.); -#20772=ORIENTED_EDGE('',*,*,#15404,.F.); -#20773=ORIENTED_EDGE('',*,*,#14669,.T.); -#20774=ORIENTED_EDGE('',*,*,#15404,.T.); -#20775=ORIENTED_EDGE('',*,*,#15405,.F.); -#20776=ORIENTED_EDGE('',*,*,#15406,.F.); -#20777=ORIENTED_EDGE('',*,*,#14670,.T.); -#20778=ORIENTED_EDGE('',*,*,#15406,.T.); -#20779=ORIENTED_EDGE('',*,*,#15407,.F.); -#20780=ORIENTED_EDGE('',*,*,#15408,.F.); -#20781=ORIENTED_EDGE('',*,*,#15409,.F.); -#20782=ORIENTED_EDGE('',*,*,#15410,.F.); -#20783=ORIENTED_EDGE('',*,*,#14675,.T.); -#20784=ORIENTED_EDGE('',*,*,#15411,.T.); -#20785=ORIENTED_EDGE('',*,*,#15412,.T.); -#20786=ORIENTED_EDGE('',*,*,#15413,.F.); -#20787=ORIENTED_EDGE('',*,*,#14671,.T.); -#20788=ORIENTED_EDGE('',*,*,#15408,.T.); -#20789=ORIENTED_EDGE('',*,*,#15414,.T.); -#20790=ORIENTED_EDGE('',*,*,#15402,.F.); -#20791=ORIENTED_EDGE('',*,*,#14685,.T.); -#20792=ORIENTED_EDGE('',*,*,#15415,.T.); -#20793=ORIENTED_EDGE('',*,*,#15416,.F.); -#20794=ORIENTED_EDGE('',*,*,#15417,.F.); -#20795=ORIENTED_EDGE('',*,*,#15418,.F.); -#20796=ORIENTED_EDGE('',*,*,#15419,.T.); -#20797=ORIENTED_EDGE('',*,*,#15420,.T.); -#20798=ORIENTED_EDGE('',*,*,#15421,.T.); -#20799=ORIENTED_EDGE('',*,*,#15422,.T.); -#20800=ORIENTED_EDGE('',*,*,#15409,.T.); -#20801=ORIENTED_EDGE('',*,*,#15423,.T.); -#20802=ORIENTED_EDGE('',*,*,#15416,.T.); -#20803=ORIENTED_EDGE('',*,*,#15424,.T.); -#20804=ORIENTED_EDGE('',*,*,#15425,.T.); -#20805=ORIENTED_EDGE('',*,*,#15426,.T.); -#20806=ORIENTED_EDGE('',*,*,#15427,.T.); -#20807=ORIENTED_EDGE('',*,*,#15420,.F.); -#20808=ORIENTED_EDGE('',*,*,#15428,.F.); -#20809=ORIENTED_EDGE('',*,*,#14678,.T.); -#20810=ORIENTED_EDGE('',*,*,#15429,.T.); -#20811=ORIENTED_EDGE('',*,*,#15421,.F.); -#20812=ORIENTED_EDGE('',*,*,#15429,.F.); -#20813=ORIENTED_EDGE('',*,*,#14677,.T.); -#20814=ORIENTED_EDGE('',*,*,#15430,.T.); -#20815=ORIENTED_EDGE('',*,*,#15422,.F.); -#20816=ORIENTED_EDGE('',*,*,#15430,.F.); -#20817=ORIENTED_EDGE('',*,*,#14676,.T.); -#20818=ORIENTED_EDGE('',*,*,#15410,.T.); -#20819=ORIENTED_EDGE('',*,*,#15431,.F.); -#20820=ORIENTED_EDGE('',*,*,#15432,.F.); -#20821=ORIENTED_EDGE('',*,*,#14679,.T.); -#20822=ORIENTED_EDGE('',*,*,#15428,.T.); -#20823=ORIENTED_EDGE('',*,*,#15423,.F.); -#20824=ORIENTED_EDGE('',*,*,#15419,.F.); -#20825=ORIENTED_EDGE('',*,*,#15433,.F.); -#20826=ORIENTED_EDGE('',*,*,#15434,.T.); -#20827=ORIENTED_EDGE('',*,*,#15435,.T.); -#20828=ORIENTED_EDGE('',*,*,#15436,.T.); -#20829=ORIENTED_EDGE('',*,*,#15437,.F.); -#20830=ORIENTED_EDGE('',*,*,#15438,.T.); -#20831=ORIENTED_EDGE('',*,*,#15439,.F.); -#20832=ORIENTED_EDGE('',*,*,#15440,.T.); -#20833=ORIENTED_EDGE('',*,*,#15431,.T.); -#20834=ORIENTED_EDGE('',*,*,#15441,.T.); -#20835=ORIENTED_EDGE('',*,*,#15442,.T.); -#20836=ORIENTED_EDGE('',*,*,#15443,.T.); -#20837=ORIENTED_EDGE('',*,*,#15444,.F.); -#20838=ORIENTED_EDGE('',*,*,#15445,.F.); -#20839=ORIENTED_EDGE('',*,*,#15435,.F.); -#20840=ORIENTED_EDGE('',*,*,#15442,.F.); -#20841=ORIENTED_EDGE('',*,*,#15438,.F.); -#20842=ORIENTED_EDGE('',*,*,#15446,.F.); -#20843=ORIENTED_EDGE('',*,*,#14680,.T.); -#20844=ORIENTED_EDGE('',*,*,#15432,.T.); -#20845=ORIENTED_EDGE('',*,*,#15440,.F.); -#20846=ORIENTED_EDGE('',*,*,#15447,.T.); -#20847=ORIENTED_EDGE('',*,*,#15448,.F.); -#20848=ORIENTED_EDGE('',*,*,#15448,.T.); -#20849=ORIENTED_EDGE('',*,*,#15449,.T.); -#20850=ORIENTED_EDGE('',*,*,#15450,.F.); -#20851=ORIENTED_EDGE('',*,*,#15443,.F.); -#20852=ORIENTED_EDGE('',*,*,#15444,.T.); -#20853=ORIENTED_EDGE('',*,*,#15450,.T.); -#20854=ORIENTED_EDGE('',*,*,#15451,.T.); -#20855=ORIENTED_EDGE('',*,*,#15452,.T.); -#20856=ORIENTED_EDGE('',*,*,#15453,.T.); -#20857=ORIENTED_EDGE('',*,*,#15445,.T.); -#20858=ORIENTED_EDGE('',*,*,#15452,.F.); -#20859=ORIENTED_EDGE('',*,*,#15454,.F.); -#20860=ORIENTED_EDGE('',*,*,#15453,.F.); -#20861=ORIENTED_EDGE('',*,*,#15441,.F.); -#20862=ORIENTED_EDGE('',*,*,#15434,.F.); -#20863=ORIENTED_EDGE('',*,*,#15455,.T.); -#20864=ORIENTED_EDGE('',*,*,#15456,.T.); -#20865=ORIENTED_EDGE('',*,*,#15436,.F.); -#20866=ORIENTED_EDGE('',*,*,#15437,.T.); -#20867=ORIENTED_EDGE('',*,*,#15456,.F.); -#20868=ORIENTED_EDGE('',*,*,#15457,.F.); -#20869=ORIENTED_EDGE('',*,*,#15417,.T.); -#20870=ORIENTED_EDGE('',*,*,#15427,.F.); -#20871=ORIENTED_EDGE('',*,*,#15458,.F.); -#20872=ORIENTED_EDGE('',*,*,#14681,.T.); -#20873=ORIENTED_EDGE('',*,*,#15446,.T.); -#20874=ORIENTED_EDGE('',*,*,#15426,.F.); -#20875=ORIENTED_EDGE('',*,*,#15459,.F.); -#20876=ORIENTED_EDGE('',*,*,#14682,.T.); -#20877=ORIENTED_EDGE('',*,*,#15458,.T.); -#20878=ORIENTED_EDGE('',*,*,#15425,.F.); -#20879=ORIENTED_EDGE('',*,*,#15460,.F.); -#20880=ORIENTED_EDGE('',*,*,#14683,.T.); -#20881=ORIENTED_EDGE('',*,*,#15459,.T.); -#20882=ORIENTED_EDGE('',*,*,#15424,.F.); -#20883=ORIENTED_EDGE('',*,*,#15415,.F.); -#20884=ORIENTED_EDGE('',*,*,#14684,.T.); -#20885=ORIENTED_EDGE('',*,*,#15460,.T.); -#20886=ORIENTED_EDGE('',*,*,#15455,.F.); -#20887=ORIENTED_EDGE('',*,*,#15433,.T.); -#20888=ORIENTED_EDGE('',*,*,#15418,.T.); -#20889=ORIENTED_EDGE('',*,*,#15457,.T.); -#20890=ORIENTED_EDGE('',*,*,#15447,.F.); -#20891=ORIENTED_EDGE('',*,*,#15439,.T.); -#20892=ORIENTED_EDGE('',*,*,#15454,.T.); -#20893=ORIENTED_EDGE('',*,*,#15451,.F.); -#20894=ORIENTED_EDGE('',*,*,#15449,.F.); -#20895=ORIENTED_EDGE('',*,*,#15412,.F.); -#20896=ORIENTED_EDGE('',*,*,#15461,.T.); -#20897=ORIENTED_EDGE('',*,*,#15462,.T.); -#20898=ORIENTED_EDGE('',*,*,#15463,.T.); -#20899=ORIENTED_EDGE('',*,*,#15414,.F.); -#20900=ORIENTED_EDGE('',*,*,#15407,.T.); -#20901=ORIENTED_EDGE('',*,*,#15405,.T.); -#20902=ORIENTED_EDGE('',*,*,#15403,.T.); -#20903=ORIENTED_EDGE('',*,*,#14672,.T.); -#20904=ORIENTED_EDGE('',*,*,#15413,.T.); -#20905=ORIENTED_EDGE('',*,*,#15463,.F.); -#20906=ORIENTED_EDGE('',*,*,#15464,.F.); -#20907=ORIENTED_EDGE('',*,*,#14673,.T.); -#20908=ORIENTED_EDGE('',*,*,#15464,.T.); -#20909=ORIENTED_EDGE('',*,*,#15462,.F.); -#20910=ORIENTED_EDGE('',*,*,#15465,.F.); -#20911=ORIENTED_EDGE('',*,*,#14674,.T.); -#20912=ORIENTED_EDGE('',*,*,#15465,.T.); -#20913=ORIENTED_EDGE('',*,*,#15461,.F.); -#20914=ORIENTED_EDGE('',*,*,#15411,.F.); -#20915=ORIENTED_EDGE('',*,*,#14686,.F.); -#20916=ORIENTED_EDGE('',*,*,#15466,.T.); -#20917=ORIENTED_EDGE('',*,*,#15467,.F.); -#20918=ORIENTED_EDGE('',*,*,#15468,.F.); -#20919=ORIENTED_EDGE('',*,*,#15469,.T.); -#20920=ORIENTED_EDGE('',*,*,#15470,.F.); -#20921=ORIENTED_EDGE('',*,*,#14687,.T.); -#20922=ORIENTED_EDGE('',*,*,#15468,.T.); -#20923=ORIENTED_EDGE('',*,*,#15471,.T.); -#20924=ORIENTED_EDGE('',*,*,#15472,.T.); -#20925=ORIENTED_EDGE('',*,*,#15473,.F.); -#20926=ORIENTED_EDGE('',*,*,#15474,.F.); -#20927=ORIENTED_EDGE('',*,*,#15475,.F.); -#20928=ORIENTED_EDGE('',*,*,#15476,.F.); -#20929=ORIENTED_EDGE('',*,*,#15477,.F.); -#20930=ORIENTED_EDGE('',*,*,#15478,.F.); -#20931=ORIENTED_EDGE('',*,*,#15475,.T.); -#20932=ORIENTED_EDGE('',*,*,#15479,.T.); -#20933=ORIENTED_EDGE('',*,*,#15480,.F.); -#20934=ORIENTED_EDGE('',*,*,#15481,.F.); -#20935=ORIENTED_EDGE('',*,*,#15476,.T.); -#20936=ORIENTED_EDGE('',*,*,#15481,.T.); -#20937=ORIENTED_EDGE('',*,*,#15482,.F.); -#20938=ORIENTED_EDGE('',*,*,#15483,.F.); -#20939=ORIENTED_EDGE('',*,*,#15477,.T.); -#20940=ORIENTED_EDGE('',*,*,#15483,.T.); -#20941=ORIENTED_EDGE('',*,*,#15484,.F.); -#20942=ORIENTED_EDGE('',*,*,#15485,.F.); -#20943=ORIENTED_EDGE('',*,*,#15478,.T.); -#20944=ORIENTED_EDGE('',*,*,#15485,.T.); -#20945=ORIENTED_EDGE('',*,*,#15486,.F.); -#20946=ORIENTED_EDGE('',*,*,#15479,.F.); -#20947=ORIENTED_EDGE('',*,*,#15480,.T.); -#20948=ORIENTED_EDGE('',*,*,#15486,.T.); -#20949=ORIENTED_EDGE('',*,*,#15484,.T.); -#20950=ORIENTED_EDGE('',*,*,#15482,.T.); -#20951=ORIENTED_EDGE('',*,*,#15487,.F.); -#20952=ORIENTED_EDGE('',*,*,#15488,.T.); -#20953=ORIENTED_EDGE('',*,*,#15469,.F.); -#20954=ORIENTED_EDGE('',*,*,#15471,.F.); -#20955=ORIENTED_EDGE('',*,*,#15467,.T.); -#20956=ORIENTED_EDGE('',*,*,#15489,.F.); -#20957=ORIENTED_EDGE('',*,*,#15490,.F.); -#20958=ORIENTED_EDGE('',*,*,#15491,.T.); -#20959=ORIENTED_EDGE('',*,*,#15492,.F.); -#20960=ORIENTED_EDGE('',*,*,#15493,.T.); -#20961=ORIENTED_EDGE('',*,*,#15487,.T.); -#20962=ORIENTED_EDGE('',*,*,#15474,.T.); -#20963=ORIENTED_EDGE('',*,*,#15494,.F.); -#20964=ORIENTED_EDGE('',*,*,#15495,.F.); -#20965=ORIENTED_EDGE('',*,*,#14689,.T.); -#20966=ORIENTED_EDGE('',*,*,#15496,.T.); -#20967=ORIENTED_EDGE('',*,*,#14688,.F.); -#20968=ORIENTED_EDGE('',*,*,#15470,.T.); -#20969=ORIENTED_EDGE('',*,*,#15488,.F.); -#20970=ORIENTED_EDGE('',*,*,#15496,.F.); -#20971=ORIENTED_EDGE('',*,*,#15490,.T.); -#20972=ORIENTED_EDGE('',*,*,#15497,.F.); -#20973=ORIENTED_EDGE('',*,*,#14692,.T.); -#20974=ORIENTED_EDGE('',*,*,#15498,.T.); -#20975=ORIENTED_EDGE('',*,*,#15492,.T.); -#20976=ORIENTED_EDGE('',*,*,#15499,.F.); -#20977=ORIENTED_EDGE('',*,*,#14690,.T.); -#20978=ORIENTED_EDGE('',*,*,#15495,.T.); -#20979=ORIENTED_EDGE('',*,*,#15500,.F.); -#20980=ORIENTED_EDGE('',*,*,#15501,.F.); -#20981=ORIENTED_EDGE('',*,*,#14694,.T.); -#20982=ORIENTED_EDGE('',*,*,#15502,.T.); -#20983=ORIENTED_EDGE('',*,*,#15491,.F.); -#20984=ORIENTED_EDGE('',*,*,#15502,.F.); -#20985=ORIENTED_EDGE('',*,*,#14693,.F.); -#20986=ORIENTED_EDGE('',*,*,#15497,.T.); -#20987=ORIENTED_EDGE('',*,*,#15489,.T.); -#20988=ORIENTED_EDGE('',*,*,#15466,.F.); -#20989=ORIENTED_EDGE('',*,*,#14695,.T.); -#20990=ORIENTED_EDGE('',*,*,#15501,.T.); -#20991=ORIENTED_EDGE('',*,*,#15503,.F.); -#20992=ORIENTED_EDGE('',*,*,#15472,.F.); -#20993=ORIENTED_EDGE('',*,*,#15494,.T.); -#20994=ORIENTED_EDGE('',*,*,#15473,.T.); -#20995=ORIENTED_EDGE('',*,*,#15503,.T.); -#20996=ORIENTED_EDGE('',*,*,#15500,.T.); -#20997=ORIENTED_EDGE('',*,*,#15493,.F.); -#20998=ORIENTED_EDGE('',*,*,#15498,.F.); -#20999=ORIENTED_EDGE('',*,*,#14691,.F.); -#21000=ORIENTED_EDGE('',*,*,#15499,.T.); -#21001=ORIENTED_EDGE('',*,*,#14696,.T.); -#21002=ORIENTED_EDGE('',*,*,#15504,.T.); -#21003=ORIENTED_EDGE('',*,*,#15505,.F.); -#21004=ORIENTED_EDGE('',*,*,#15506,.F.); -#21005=ORIENTED_EDGE('',*,*,#14697,.T.); -#21006=ORIENTED_EDGE('',*,*,#15506,.T.); -#21007=ORIENTED_EDGE('',*,*,#15507,.F.); -#21008=ORIENTED_EDGE('',*,*,#15508,.F.); -#21009=ORIENTED_EDGE('',*,*,#15509,.T.); -#21010=ORIENTED_EDGE('',*,*,#15510,.T.); -#21011=ORIENTED_EDGE('',*,*,#15511,.F.); -#21012=ORIENTED_EDGE('',*,*,#15512,.F.); -#21013=ORIENTED_EDGE('',*,*,#15513,.T.); -#21014=ORIENTED_EDGE('',*,*,#15514,.T.); -#21015=ORIENTED_EDGE('',*,*,#15515,.F.); -#21016=ORIENTED_EDGE('',*,*,#15516,.F.); -#21017=ORIENTED_EDGE('',*,*,#15517,.T.); -#21018=ORIENTED_EDGE('',*,*,#15518,.T.); -#21019=ORIENTED_EDGE('',*,*,#15519,.F.); -#21020=ORIENTED_EDGE('',*,*,#15520,.F.); -#21021=ORIENTED_EDGE('',*,*,#15521,.T.); -#21022=ORIENTED_EDGE('',*,*,#15522,.T.); -#21023=ORIENTED_EDGE('',*,*,#15523,.F.); -#21024=ORIENTED_EDGE('',*,*,#15524,.F.); -#21025=ORIENTED_EDGE('',*,*,#14698,.T.); -#21026=ORIENTED_EDGE('',*,*,#15508,.T.); -#21027=ORIENTED_EDGE('',*,*,#15525,.F.); -#21028=ORIENTED_EDGE('',*,*,#15526,.F.); -#21029=ORIENTED_EDGE('',*,*,#14699,.T.); -#21030=ORIENTED_EDGE('',*,*,#15526,.T.); -#21031=ORIENTED_EDGE('',*,*,#15527,.F.); -#21032=ORIENTED_EDGE('',*,*,#15504,.F.); -#21033=ORIENTED_EDGE('',*,*,#15528,.F.); -#21034=ORIENTED_EDGE('',*,*,#15529,.T.); -#21035=ORIENTED_EDGE('',*,*,#15530,.T.); -#21036=ORIENTED_EDGE('',*,*,#15531,.F.); -#21037=ORIENTED_EDGE('',*,*,#15532,.F.); -#21038=ORIENTED_EDGE('',*,*,#15533,.T.); -#21039=ORIENTED_EDGE('',*,*,#15534,.T.); -#21040=ORIENTED_EDGE('',*,*,#15535,.F.); -#21041=ORIENTED_EDGE('',*,*,#15536,.F.); -#21042=ORIENTED_EDGE('',*,*,#15537,.T.); -#21043=ORIENTED_EDGE('',*,*,#15538,.T.); -#21044=ORIENTED_EDGE('',*,*,#15539,.F.); -#21045=ORIENTED_EDGE('',*,*,#15540,.F.); -#21046=ORIENTED_EDGE('',*,*,#15541,.T.); -#21047=ORIENTED_EDGE('',*,*,#15542,.T.); -#21048=ORIENTED_EDGE('',*,*,#15543,.F.); -#21049=ORIENTED_EDGE('',*,*,#15505,.T.); -#21050=ORIENTED_EDGE('',*,*,#15527,.T.); -#21051=ORIENTED_EDGE('',*,*,#15525,.T.); -#21052=ORIENTED_EDGE('',*,*,#15507,.T.); -#21053=ORIENTED_EDGE('',*,*,#15528,.T.); -#21054=ORIENTED_EDGE('',*,*,#15544,.F.); -#21055=ORIENTED_EDGE('',*,*,#15545,.T.); -#21056=ORIENTED_EDGE('',*,*,#15546,.F.); -#21057=ORIENTED_EDGE('',*,*,#15532,.T.); -#21058=ORIENTED_EDGE('',*,*,#15547,.F.); -#21059=ORIENTED_EDGE('',*,*,#15548,.T.); -#21060=ORIENTED_EDGE('',*,*,#15549,.F.); -#21061=ORIENTED_EDGE('',*,*,#15536,.T.); -#21062=ORIENTED_EDGE('',*,*,#15550,.F.); -#21063=ORIENTED_EDGE('',*,*,#15551,.T.); -#21064=ORIENTED_EDGE('',*,*,#15552,.F.); -#21065=ORIENTED_EDGE('',*,*,#15540,.T.); -#21066=ORIENTED_EDGE('',*,*,#15553,.F.); -#21067=ORIENTED_EDGE('',*,*,#15554,.T.); -#21068=ORIENTED_EDGE('',*,*,#15555,.F.); -#21069=ORIENTED_EDGE('',*,*,#15509,.F.); -#21070=ORIENTED_EDGE('',*,*,#15556,.F.); -#21071=ORIENTED_EDGE('',*,*,#15557,.T.); -#21072=ORIENTED_EDGE('',*,*,#15558,.F.); -#21073=ORIENTED_EDGE('',*,*,#15513,.F.); -#21074=ORIENTED_EDGE('',*,*,#15559,.F.); -#21075=ORIENTED_EDGE('',*,*,#15560,.T.); -#21076=ORIENTED_EDGE('',*,*,#15561,.F.); -#21077=ORIENTED_EDGE('',*,*,#15517,.F.); -#21078=ORIENTED_EDGE('',*,*,#15562,.F.); -#21079=ORIENTED_EDGE('',*,*,#15563,.T.); -#21080=ORIENTED_EDGE('',*,*,#15564,.F.); -#21081=ORIENTED_EDGE('',*,*,#15521,.F.); -#21082=ORIENTED_EDGE('',*,*,#15565,.F.); -#21083=ORIENTED_EDGE('',*,*,#15566,.T.); -#21084=ORIENTED_EDGE('',*,*,#15567,.F.); -#21085=ORIENTED_EDGE('',*,*,#15568,.T.); -#21086=ORIENTED_EDGE('',*,*,#15569,.F.); -#21087=ORIENTED_EDGE('',*,*,#15529,.F.); -#21088=ORIENTED_EDGE('',*,*,#15546,.T.); -#21089=ORIENTED_EDGE('',*,*,#15570,.F.); -#21090=ORIENTED_EDGE('',*,*,#14702,.F.); -#21091=ORIENTED_EDGE('',*,*,#15571,.T.); -#21092=ORIENTED_EDGE('',*,*,#15572,.F.); -#21093=ORIENTED_EDGE('',*,*,#15573,.F.); -#21094=ORIENTED_EDGE('',*,*,#15574,.F.); -#21095=ORIENTED_EDGE('',*,*,#15575,.F.); -#21096=ORIENTED_EDGE('',*,*,#14716,.F.); -#21097=ORIENTED_EDGE('',*,*,#15576,.T.); -#21098=ORIENTED_EDGE('',*,*,#15556,.T.); -#21099=ORIENTED_EDGE('',*,*,#15512,.T.); -#21100=ORIENTED_EDGE('',*,*,#15577,.F.); -#21101=ORIENTED_EDGE('',*,*,#15568,.F.); -#21102=ORIENTED_EDGE('',*,*,#15578,.T.); -#21103=ORIENTED_EDGE('',*,*,#15579,.F.); -#21104=ORIENTED_EDGE('',*,*,#15580,.F.); -#21105=ORIENTED_EDGE('',*,*,#15530,.F.); -#21106=ORIENTED_EDGE('',*,*,#15569,.T.); -#21107=ORIENTED_EDGE('',*,*,#15580,.T.); -#21108=ORIENTED_EDGE('',*,*,#15581,.T.); -#21109=ORIENTED_EDGE('',*,*,#15534,.F.); -#21110=ORIENTED_EDGE('',*,*,#15582,.T.); -#21111=ORIENTED_EDGE('',*,*,#15583,.T.); -#21112=ORIENTED_EDGE('',*,*,#15584,.T.); -#21113=ORIENTED_EDGE('',*,*,#15538,.F.); -#21114=ORIENTED_EDGE('',*,*,#15585,.T.); -#21115=ORIENTED_EDGE('',*,*,#15586,.T.); -#21116=ORIENTED_EDGE('',*,*,#15587,.T.); -#21117=ORIENTED_EDGE('',*,*,#15542,.F.); -#21118=ORIENTED_EDGE('',*,*,#15588,.T.); -#21119=ORIENTED_EDGE('',*,*,#15589,.T.); -#21120=ORIENTED_EDGE('',*,*,#15590,.T.); -#21121=ORIENTED_EDGE('',*,*,#15511,.T.); -#21122=ORIENTED_EDGE('',*,*,#15591,.T.); -#21123=ORIENTED_EDGE('',*,*,#15592,.T.); -#21124=ORIENTED_EDGE('',*,*,#15577,.T.); -#21125=ORIENTED_EDGE('',*,*,#15515,.T.); -#21126=ORIENTED_EDGE('',*,*,#15593,.T.); -#21127=ORIENTED_EDGE('',*,*,#15594,.T.); -#21128=ORIENTED_EDGE('',*,*,#15595,.T.); -#21129=ORIENTED_EDGE('',*,*,#15519,.T.); -#21130=ORIENTED_EDGE('',*,*,#15596,.T.); -#21131=ORIENTED_EDGE('',*,*,#15597,.T.); -#21132=ORIENTED_EDGE('',*,*,#15598,.T.); -#21133=ORIENTED_EDGE('',*,*,#15523,.T.); -#21134=ORIENTED_EDGE('',*,*,#15599,.T.); -#21135=ORIENTED_EDGE('',*,*,#15600,.T.); -#21136=ORIENTED_EDGE('',*,*,#15601,.T.); -#21137=ORIENTED_EDGE('',*,*,#15544,.T.); -#21138=ORIENTED_EDGE('',*,*,#15531,.T.); -#21139=ORIENTED_EDGE('',*,*,#15581,.F.); -#21140=ORIENTED_EDGE('',*,*,#15579,.T.); -#21141=ORIENTED_EDGE('',*,*,#15602,.F.); -#21142=ORIENTED_EDGE('',*,*,#15603,.F.); -#21143=ORIENTED_EDGE('',*,*,#14700,.F.); -#21144=ORIENTED_EDGE('',*,*,#15604,.T.); -#21145=ORIENTED_EDGE('',*,*,#15605,.F.); -#21146=ORIENTED_EDGE('',*,*,#14718,.F.); -#21147=ORIENTED_EDGE('',*,*,#15606,.T.); -#21148=ORIENTED_EDGE('',*,*,#15607,.F.); -#21149=ORIENTED_EDGE('',*,*,#15608,.T.); -#21150=ORIENTED_EDGE('',*,*,#15591,.F.); -#21151=ORIENTED_EDGE('',*,*,#15510,.F.); -#21152=ORIENTED_EDGE('',*,*,#15558,.T.); -#21153=ORIENTED_EDGE('',*,*,#15545,.F.); -#21154=ORIENTED_EDGE('',*,*,#15604,.F.); -#21155=ORIENTED_EDGE('',*,*,#14703,.T.); -#21156=ORIENTED_EDGE('',*,*,#15570,.T.); -#21157=ORIENTED_EDGE('',*,*,#14701,.T.); -#21158=ORIENTED_EDGE('',*,*,#15603,.T.); -#21159=ORIENTED_EDGE('',*,*,#15609,.F.); -#21160=ORIENTED_EDGE('',*,*,#15571,.F.); -#21161=ORIENTED_EDGE('',*,*,#15578,.F.); -#21162=ORIENTED_EDGE('',*,*,#15572,.T.); -#21163=ORIENTED_EDGE('',*,*,#15609,.T.); -#21164=ORIENTED_EDGE('',*,*,#15602,.T.); -#21165=ORIENTED_EDGE('',*,*,#15610,.F.); -#21166=ORIENTED_EDGE('',*,*,#15611,.T.); -#21167=ORIENTED_EDGE('',*,*,#15612,.T.); -#21168=ORIENTED_EDGE('',*,*,#15613,.T.); -#21169=ORIENTED_EDGE('',*,*,#15614,.F.); -#21170=ORIENTED_EDGE('',*,*,#15615,.T.); -#21171=ORIENTED_EDGE('',*,*,#15616,.T.); -#21172=ORIENTED_EDGE('',*,*,#15617,.T.); -#21173=ORIENTED_EDGE('',*,*,#15618,.T.); -#21174=ORIENTED_EDGE('',*,*,#15619,.T.); -#21175=ORIENTED_EDGE('',*,*,#15620,.T.); -#21176=ORIENTED_EDGE('',*,*,#15621,.T.); -#21177=ORIENTED_EDGE('',*,*,#15622,.T.); -#21178=ORIENTED_EDGE('',*,*,#15607,.T.); -#21179=ORIENTED_EDGE('',*,*,#15623,.T.); -#21180=ORIENTED_EDGE('',*,*,#15574,.T.); -#21181=ORIENTED_EDGE('',*,*,#15624,.F.); -#21182=ORIENTED_EDGE('',*,*,#15625,.T.); -#21183=ORIENTED_EDGE('',*,*,#15626,.T.); -#21184=ORIENTED_EDGE('',*,*,#15627,.T.); -#21185=ORIENTED_EDGE('',*,*,#15628,.F.); -#21186=ORIENTED_EDGE('',*,*,#15629,.T.); -#21187=ORIENTED_EDGE('',*,*,#15630,.T.); -#21188=ORIENTED_EDGE('',*,*,#15631,.T.); -#21189=ORIENTED_EDGE('',*,*,#15632,.F.); -#21190=ORIENTED_EDGE('',*,*,#15633,.T.); -#21191=ORIENTED_EDGE('',*,*,#15634,.T.); -#21192=ORIENTED_EDGE('',*,*,#15635,.T.); -#21193=ORIENTED_EDGE('',*,*,#15636,.F.); -#21194=ORIENTED_EDGE('',*,*,#15610,.T.); -#21195=ORIENTED_EDGE('',*,*,#15637,.T.); -#21196=ORIENTED_EDGE('',*,*,#15583,.F.); -#21197=ORIENTED_EDGE('',*,*,#15636,.T.); -#21198=ORIENTED_EDGE('',*,*,#15582,.F.); -#21199=ORIENTED_EDGE('',*,*,#15533,.F.); -#21200=ORIENTED_EDGE('',*,*,#15549,.T.); -#21201=ORIENTED_EDGE('',*,*,#15638,.F.); -#21202=ORIENTED_EDGE('',*,*,#14706,.F.); -#21203=ORIENTED_EDGE('',*,*,#15639,.T.); -#21204=ORIENTED_EDGE('',*,*,#15611,.F.); -#21205=ORIENTED_EDGE('',*,*,#15640,.F.); -#21206=ORIENTED_EDGE('',*,*,#15627,.F.); -#21207=ORIENTED_EDGE('',*,*,#15641,.F.); -#21208=ORIENTED_EDGE('',*,*,#14720,.F.); -#21209=ORIENTED_EDGE('',*,*,#15642,.T.); -#21210=ORIENTED_EDGE('',*,*,#15559,.T.); -#21211=ORIENTED_EDGE('',*,*,#15516,.T.); -#21212=ORIENTED_EDGE('',*,*,#15595,.F.); -#21213=ORIENTED_EDGE('',*,*,#14705,.T.); -#21214=ORIENTED_EDGE('',*,*,#15643,.T.); -#21215=ORIENTED_EDGE('',*,*,#15612,.F.); -#21216=ORIENTED_EDGE('',*,*,#15639,.F.); -#21217=ORIENTED_EDGE('',*,*,#15547,.T.); -#21218=ORIENTED_EDGE('',*,*,#15535,.T.); -#21219=ORIENTED_EDGE('',*,*,#15584,.F.); -#21220=ORIENTED_EDGE('',*,*,#15637,.F.); -#21221=ORIENTED_EDGE('',*,*,#15613,.F.); -#21222=ORIENTED_EDGE('',*,*,#15643,.F.); -#21223=ORIENTED_EDGE('',*,*,#14704,.F.); -#21224=ORIENTED_EDGE('',*,*,#15644,.T.); -#21225=ORIENTED_EDGE('',*,*,#15645,.F.); -#21226=ORIENTED_EDGE('',*,*,#14722,.F.); -#21227=ORIENTED_EDGE('',*,*,#15646,.T.); -#21228=ORIENTED_EDGE('',*,*,#15625,.F.); -#21229=ORIENTED_EDGE('',*,*,#15647,.F.); -#21230=ORIENTED_EDGE('',*,*,#15593,.F.); -#21231=ORIENTED_EDGE('',*,*,#15514,.F.); -#21232=ORIENTED_EDGE('',*,*,#15561,.T.); -#21233=ORIENTED_EDGE('',*,*,#15548,.F.); -#21234=ORIENTED_EDGE('',*,*,#15644,.F.); -#21235=ORIENTED_EDGE('',*,*,#14707,.T.); -#21236=ORIENTED_EDGE('',*,*,#15638,.T.); -#21237=ORIENTED_EDGE('',*,*,#15560,.F.); -#21238=ORIENTED_EDGE('',*,*,#15642,.F.); -#21239=ORIENTED_EDGE('',*,*,#14723,.T.); -#21240=ORIENTED_EDGE('',*,*,#15645,.T.); -#21241=ORIENTED_EDGE('',*,*,#15647,.T.); -#21242=ORIENTED_EDGE('',*,*,#15624,.T.); -#21243=ORIENTED_EDGE('',*,*,#15640,.T.); -#21244=ORIENTED_EDGE('',*,*,#15594,.F.); -#21245=ORIENTED_EDGE('',*,*,#14721,.T.); -#21246=ORIENTED_EDGE('',*,*,#15641,.T.); -#21247=ORIENTED_EDGE('',*,*,#15626,.F.); -#21248=ORIENTED_EDGE('',*,*,#15646,.F.); -#21249=ORIENTED_EDGE('',*,*,#15648,.F.); -#21250=ORIENTED_EDGE('',*,*,#15614,.T.); -#21251=ORIENTED_EDGE('',*,*,#15649,.F.); -#21252=ORIENTED_EDGE('',*,*,#15586,.F.); -#21253=ORIENTED_EDGE('',*,*,#15648,.T.); -#21254=ORIENTED_EDGE('',*,*,#15585,.F.); -#21255=ORIENTED_EDGE('',*,*,#15537,.F.); -#21256=ORIENTED_EDGE('',*,*,#15552,.T.); -#21257=ORIENTED_EDGE('',*,*,#15650,.F.); -#21258=ORIENTED_EDGE('',*,*,#14710,.F.); -#21259=ORIENTED_EDGE('',*,*,#15651,.T.); -#21260=ORIENTED_EDGE('',*,*,#15615,.F.); -#21261=ORIENTED_EDGE('',*,*,#15652,.F.); -#21262=ORIENTED_EDGE('',*,*,#15631,.F.); -#21263=ORIENTED_EDGE('',*,*,#15653,.F.); -#21264=ORIENTED_EDGE('',*,*,#14724,.F.); -#21265=ORIENTED_EDGE('',*,*,#15654,.T.); -#21266=ORIENTED_EDGE('',*,*,#15562,.T.); -#21267=ORIENTED_EDGE('',*,*,#15520,.T.); -#21268=ORIENTED_EDGE('',*,*,#15598,.F.); -#21269=ORIENTED_EDGE('',*,*,#14709,.T.); -#21270=ORIENTED_EDGE('',*,*,#15655,.T.); -#21271=ORIENTED_EDGE('',*,*,#15616,.F.); -#21272=ORIENTED_EDGE('',*,*,#15651,.F.); -#21273=ORIENTED_EDGE('',*,*,#15550,.T.); -#21274=ORIENTED_EDGE('',*,*,#15539,.T.); -#21275=ORIENTED_EDGE('',*,*,#15587,.F.); -#21276=ORIENTED_EDGE('',*,*,#15649,.T.); -#21277=ORIENTED_EDGE('',*,*,#15617,.F.); -#21278=ORIENTED_EDGE('',*,*,#15655,.F.); -#21279=ORIENTED_EDGE('',*,*,#14708,.F.); -#21280=ORIENTED_EDGE('',*,*,#15656,.T.); -#21281=ORIENTED_EDGE('',*,*,#15657,.F.); -#21282=ORIENTED_EDGE('',*,*,#14726,.F.); -#21283=ORIENTED_EDGE('',*,*,#15658,.T.); -#21284=ORIENTED_EDGE('',*,*,#15629,.F.); -#21285=ORIENTED_EDGE('',*,*,#15659,.T.); -#21286=ORIENTED_EDGE('',*,*,#15596,.F.); -#21287=ORIENTED_EDGE('',*,*,#15518,.F.); -#21288=ORIENTED_EDGE('',*,*,#15564,.T.); -#21289=ORIENTED_EDGE('',*,*,#15551,.F.); -#21290=ORIENTED_EDGE('',*,*,#15656,.F.); -#21291=ORIENTED_EDGE('',*,*,#14711,.T.); -#21292=ORIENTED_EDGE('',*,*,#15650,.T.); -#21293=ORIENTED_EDGE('',*,*,#15563,.F.); -#21294=ORIENTED_EDGE('',*,*,#15654,.F.); -#21295=ORIENTED_EDGE('',*,*,#14727,.T.); -#21296=ORIENTED_EDGE('',*,*,#15657,.T.); -#21297=ORIENTED_EDGE('',*,*,#15659,.F.); -#21298=ORIENTED_EDGE('',*,*,#15628,.T.); -#21299=ORIENTED_EDGE('',*,*,#15652,.T.); -#21300=ORIENTED_EDGE('',*,*,#15597,.F.); -#21301=ORIENTED_EDGE('',*,*,#14725,.T.); -#21302=ORIENTED_EDGE('',*,*,#15653,.T.); -#21303=ORIENTED_EDGE('',*,*,#15630,.F.); -#21304=ORIENTED_EDGE('',*,*,#15658,.F.); -#21305=ORIENTED_EDGE('',*,*,#15660,.F.); -#21306=ORIENTED_EDGE('',*,*,#15618,.F.); -#21307=ORIENTED_EDGE('',*,*,#15661,.T.); -#21308=ORIENTED_EDGE('',*,*,#15589,.F.); -#21309=ORIENTED_EDGE('',*,*,#15660,.T.); -#21310=ORIENTED_EDGE('',*,*,#15588,.F.); -#21311=ORIENTED_EDGE('',*,*,#15541,.F.); -#21312=ORIENTED_EDGE('',*,*,#15555,.T.); -#21313=ORIENTED_EDGE('',*,*,#15662,.F.); -#21314=ORIENTED_EDGE('',*,*,#14714,.F.); -#21315=ORIENTED_EDGE('',*,*,#15663,.T.); -#21316=ORIENTED_EDGE('',*,*,#15619,.F.); -#21317=ORIENTED_EDGE('',*,*,#15664,.F.); -#21318=ORIENTED_EDGE('',*,*,#15635,.F.); -#21319=ORIENTED_EDGE('',*,*,#15665,.F.); -#21320=ORIENTED_EDGE('',*,*,#14728,.F.); -#21321=ORIENTED_EDGE('',*,*,#15666,.T.); -#21322=ORIENTED_EDGE('',*,*,#15565,.T.); -#21323=ORIENTED_EDGE('',*,*,#15524,.T.); -#21324=ORIENTED_EDGE('',*,*,#15601,.F.); -#21325=ORIENTED_EDGE('',*,*,#14713,.T.); -#21326=ORIENTED_EDGE('',*,*,#15667,.T.); -#21327=ORIENTED_EDGE('',*,*,#15620,.F.); -#21328=ORIENTED_EDGE('',*,*,#15663,.F.); -#21329=ORIENTED_EDGE('',*,*,#15553,.T.); -#21330=ORIENTED_EDGE('',*,*,#15543,.T.); -#21331=ORIENTED_EDGE('',*,*,#15590,.F.); -#21332=ORIENTED_EDGE('',*,*,#15661,.F.); -#21333=ORIENTED_EDGE('',*,*,#15621,.F.); -#21334=ORIENTED_EDGE('',*,*,#15667,.F.); -#21335=ORIENTED_EDGE('',*,*,#14712,.F.); -#21336=ORIENTED_EDGE('',*,*,#15668,.T.); -#21337=ORIENTED_EDGE('',*,*,#15669,.F.); -#21338=ORIENTED_EDGE('',*,*,#14730,.F.); -#21339=ORIENTED_EDGE('',*,*,#15670,.T.); -#21340=ORIENTED_EDGE('',*,*,#15633,.F.); -#21341=ORIENTED_EDGE('',*,*,#15671,.F.); -#21342=ORIENTED_EDGE('',*,*,#15599,.F.); -#21343=ORIENTED_EDGE('',*,*,#15522,.F.); -#21344=ORIENTED_EDGE('',*,*,#15567,.T.); -#21345=ORIENTED_EDGE('',*,*,#15554,.F.); -#21346=ORIENTED_EDGE('',*,*,#15668,.F.); -#21347=ORIENTED_EDGE('',*,*,#14715,.T.); -#21348=ORIENTED_EDGE('',*,*,#15662,.T.); -#21349=ORIENTED_EDGE('',*,*,#15566,.F.); -#21350=ORIENTED_EDGE('',*,*,#15666,.F.); -#21351=ORIENTED_EDGE('',*,*,#14731,.T.); -#21352=ORIENTED_EDGE('',*,*,#15669,.T.); -#21353=ORIENTED_EDGE('',*,*,#15671,.T.); -#21354=ORIENTED_EDGE('',*,*,#15632,.T.); -#21355=ORIENTED_EDGE('',*,*,#15664,.T.); -#21356=ORIENTED_EDGE('',*,*,#15600,.F.); -#21357=ORIENTED_EDGE('',*,*,#14729,.T.); -#21358=ORIENTED_EDGE('',*,*,#15665,.T.); -#21359=ORIENTED_EDGE('',*,*,#15634,.F.); -#21360=ORIENTED_EDGE('',*,*,#15670,.F.); -#21361=ORIENTED_EDGE('',*,*,#15608,.F.); -#21362=ORIENTED_EDGE('',*,*,#15622,.F.); -#21363=ORIENTED_EDGE('',*,*,#15573,.T.); -#21364=ORIENTED_EDGE('',*,*,#15592,.F.); -#21365=ORIENTED_EDGE('',*,*,#14717,.T.); -#21366=ORIENTED_EDGE('',*,*,#15575,.T.); -#21367=ORIENTED_EDGE('',*,*,#15623,.F.); -#21368=ORIENTED_EDGE('',*,*,#15606,.F.); -#21369=ORIENTED_EDGE('',*,*,#15557,.F.); -#21370=ORIENTED_EDGE('',*,*,#15576,.F.); -#21371=ORIENTED_EDGE('',*,*,#14719,.T.); -#21372=ORIENTED_EDGE('',*,*,#15605,.T.); -#21373=ORIENTED_EDGE('',*,*,#14732,.T.); -#21374=ORIENTED_EDGE('',*,*,#15672,.T.); -#21375=ORIENTED_EDGE('',*,*,#15673,.F.); -#21376=ORIENTED_EDGE('',*,*,#15674,.F.); -#21377=ORIENTED_EDGE('',*,*,#14733,.T.); -#21378=ORIENTED_EDGE('',*,*,#15674,.T.); -#21379=ORIENTED_EDGE('',*,*,#15675,.F.); -#21380=ORIENTED_EDGE('',*,*,#15676,.F.); -#21381=ORIENTED_EDGE('',*,*,#14734,.T.); -#21382=ORIENTED_EDGE('',*,*,#15676,.T.); -#21383=ORIENTED_EDGE('',*,*,#15677,.F.); -#21384=ORIENTED_EDGE('',*,*,#15678,.F.); -#21385=ORIENTED_EDGE('',*,*,#15679,.T.); -#21386=ORIENTED_EDGE('',*,*,#15672,.F.); -#21387=ORIENTED_EDGE('',*,*,#14751,.T.); -#21388=ORIENTED_EDGE('',*,*,#15680,.T.); -#21389=ORIENTED_EDGE('',*,*,#15681,.F.); -#21390=ORIENTED_EDGE('',*,*,#15682,.F.); -#21391=ORIENTED_EDGE('',*,*,#14735,.T.); -#21392=ORIENTED_EDGE('',*,*,#15678,.T.); -#21393=ORIENTED_EDGE('',*,*,#15683,.F.); -#21394=ORIENTED_EDGE('',*,*,#15684,.T.); -#21395=ORIENTED_EDGE('',*,*,#15685,.T.); -#21396=ORIENTED_EDGE('',*,*,#15686,.T.); -#21397=ORIENTED_EDGE('',*,*,#15687,.F.); -#21398=ORIENTED_EDGE('',*,*,#15688,.T.); -#21399=ORIENTED_EDGE('',*,*,#15689,.T.); -#21400=ORIENTED_EDGE('',*,*,#15690,.T.); -#21401=ORIENTED_EDGE('',*,*,#15679,.F.); -#21402=ORIENTED_EDGE('',*,*,#15677,.T.); -#21403=ORIENTED_EDGE('',*,*,#15675,.T.); -#21404=ORIENTED_EDGE('',*,*,#15673,.T.); -#21405=ORIENTED_EDGE('',*,*,#15691,.F.); -#21406=ORIENTED_EDGE('',*,*,#15692,.T.); -#21407=ORIENTED_EDGE('',*,*,#15693,.T.); -#21408=ORIENTED_EDGE('',*,*,#15694,.T.); -#21409=ORIENTED_EDGE('',*,*,#15683,.T.); -#21410=ORIENTED_EDGE('',*,*,#15695,.F.); -#21411=ORIENTED_EDGE('',*,*,#14741,.T.); -#21412=ORIENTED_EDGE('',*,*,#15696,.T.); -#21413=ORIENTED_EDGE('',*,*,#15697,.F.); -#21414=ORIENTED_EDGE('',*,*,#15698,.F.); -#21415=ORIENTED_EDGE('',*,*,#14745,.T.); -#21416=ORIENTED_EDGE('',*,*,#15699,.T.); -#21417=ORIENTED_EDGE('',*,*,#14744,.T.); -#21418=ORIENTED_EDGE('',*,*,#15700,.T.); -#21419=ORIENTED_EDGE('',*,*,#15684,.F.); -#21420=ORIENTED_EDGE('',*,*,#15699,.F.); -#21421=ORIENTED_EDGE('',*,*,#14743,.T.); -#21422=ORIENTED_EDGE('',*,*,#15701,.T.); -#21423=ORIENTED_EDGE('',*,*,#15685,.F.); -#21424=ORIENTED_EDGE('',*,*,#15700,.F.); -#21425=ORIENTED_EDGE('',*,*,#14742,.T.); -#21426=ORIENTED_EDGE('',*,*,#15695,.T.); -#21427=ORIENTED_EDGE('',*,*,#15686,.F.); -#21428=ORIENTED_EDGE('',*,*,#15701,.F.); -#21429=ORIENTED_EDGE('',*,*,#15691,.T.); -#21430=ORIENTED_EDGE('',*,*,#15702,.F.); -#21431=ORIENTED_EDGE('',*,*,#14746,.T.); -#21432=ORIENTED_EDGE('',*,*,#15698,.T.); -#21433=ORIENTED_EDGE('',*,*,#15703,.F.); -#21434=ORIENTED_EDGE('',*,*,#15680,.F.); -#21435=ORIENTED_EDGE('',*,*,#14750,.T.); -#21436=ORIENTED_EDGE('',*,*,#15704,.T.); -#21437=ORIENTED_EDGE('',*,*,#14749,.T.); -#21438=ORIENTED_EDGE('',*,*,#15705,.T.); -#21439=ORIENTED_EDGE('',*,*,#15692,.F.); -#21440=ORIENTED_EDGE('',*,*,#15704,.F.); -#21441=ORIENTED_EDGE('',*,*,#14748,.T.); -#21442=ORIENTED_EDGE('',*,*,#15706,.T.); -#21443=ORIENTED_EDGE('',*,*,#15693,.F.); -#21444=ORIENTED_EDGE('',*,*,#15705,.F.); -#21445=ORIENTED_EDGE('',*,*,#14747,.T.); -#21446=ORIENTED_EDGE('',*,*,#15702,.T.); -#21447=ORIENTED_EDGE('',*,*,#15694,.F.); -#21448=ORIENTED_EDGE('',*,*,#15706,.F.); -#21449=ORIENTED_EDGE('',*,*,#15697,.T.); -#21450=ORIENTED_EDGE('',*,*,#15707,.T.); -#21451=ORIENTED_EDGE('',*,*,#15681,.T.); -#21452=ORIENTED_EDGE('',*,*,#15703,.T.); -#21453=ORIENTED_EDGE('',*,*,#15687,.T.); -#21454=ORIENTED_EDGE('',*,*,#15708,.F.); -#21455=ORIENTED_EDGE('',*,*,#14736,.T.); -#21456=ORIENTED_EDGE('',*,*,#15682,.T.); -#21457=ORIENTED_EDGE('',*,*,#15707,.F.); -#21458=ORIENTED_EDGE('',*,*,#15696,.F.); -#21459=ORIENTED_EDGE('',*,*,#14740,.T.); -#21460=ORIENTED_EDGE('',*,*,#15709,.T.); -#21461=ORIENTED_EDGE('',*,*,#14739,.T.); -#21462=ORIENTED_EDGE('',*,*,#15710,.T.); -#21463=ORIENTED_EDGE('',*,*,#15688,.F.); -#21464=ORIENTED_EDGE('',*,*,#15709,.F.); -#21465=ORIENTED_EDGE('',*,*,#14738,.T.); -#21466=ORIENTED_EDGE('',*,*,#15711,.T.); -#21467=ORIENTED_EDGE('',*,*,#15689,.F.); -#21468=ORIENTED_EDGE('',*,*,#15710,.F.); -#21469=ORIENTED_EDGE('',*,*,#14737,.T.); -#21470=ORIENTED_EDGE('',*,*,#15708,.T.); -#21471=ORIENTED_EDGE('',*,*,#15690,.F.); -#21472=ORIENTED_EDGE('',*,*,#15711,.F.); -#21473=ORIENTED_EDGE('',*,*,#14752,.T.); -#21474=ORIENTED_EDGE('',*,*,#15712,.T.); -#21475=ORIENTED_EDGE('',*,*,#15713,.F.); -#21476=ORIENTED_EDGE('',*,*,#15714,.F.); -#21477=ORIENTED_EDGE('',*,*,#14757,.T.); -#21478=ORIENTED_EDGE('',*,*,#15715,.T.); -#21479=ORIENTED_EDGE('',*,*,#15716,.F.); -#21480=ORIENTED_EDGE('',*,*,#15717,.F.); -#21481=ORIENTED_EDGE('',*,*,#14753,.T.); -#21482=ORIENTED_EDGE('',*,*,#15714,.T.); -#21483=ORIENTED_EDGE('',*,*,#15718,.F.); -#21484=ORIENTED_EDGE('',*,*,#15719,.F.); -#21485=ORIENTED_EDGE('',*,*,#14758,.T.); -#21486=ORIENTED_EDGE('',*,*,#15717,.T.); -#21487=ORIENTED_EDGE('',*,*,#15720,.F.); -#21488=ORIENTED_EDGE('',*,*,#15721,.F.); -#21489=ORIENTED_EDGE('',*,*,#14763,.T.); -#21490=ORIENTED_EDGE('',*,*,#15722,.T.); -#21491=ORIENTED_EDGE('',*,*,#15723,.F.); -#21492=ORIENTED_EDGE('',*,*,#15712,.F.); -#21493=ORIENTED_EDGE('',*,*,#14759,.T.); -#21494=ORIENTED_EDGE('',*,*,#15721,.T.); -#21495=ORIENTED_EDGE('',*,*,#15724,.F.); -#21496=ORIENTED_EDGE('',*,*,#15725,.F.); -#21497=ORIENTED_EDGE('',*,*,#15726,.T.); -#21498=ORIENTED_EDGE('',*,*,#15718,.T.); -#21499=ORIENTED_EDGE('',*,*,#15713,.T.); -#21500=ORIENTED_EDGE('',*,*,#15723,.T.); -#21501=ORIENTED_EDGE('',*,*,#15727,.F.); -#21502=ORIENTED_EDGE('',*,*,#15724,.T.); -#21503=ORIENTED_EDGE('',*,*,#15720,.T.); -#21504=ORIENTED_EDGE('',*,*,#15716,.T.); -#21505=ORIENTED_EDGE('',*,*,#15726,.F.); -#21506=ORIENTED_EDGE('',*,*,#15722,.F.); -#21507=ORIENTED_EDGE('',*,*,#14762,.T.); -#21508=ORIENTED_EDGE('',*,*,#15728,.T.); -#21509=ORIENTED_EDGE('',*,*,#15729,.F.); -#21510=ORIENTED_EDGE('',*,*,#15730,.F.); -#21511=ORIENTED_EDGE('',*,*,#14754,.T.); -#21512=ORIENTED_EDGE('',*,*,#15719,.T.); -#21513=ORIENTED_EDGE('',*,*,#14755,.T.); -#21514=ORIENTED_EDGE('',*,*,#15730,.T.); -#21515=ORIENTED_EDGE('',*,*,#15731,.F.); -#21516=ORIENTED_EDGE('',*,*,#15732,.F.); -#21517=ORIENTED_EDGE('',*,*,#15727,.T.); -#21518=ORIENTED_EDGE('',*,*,#15715,.F.); -#21519=ORIENTED_EDGE('',*,*,#14756,.T.); -#21520=ORIENTED_EDGE('',*,*,#15732,.T.); -#21521=ORIENTED_EDGE('',*,*,#15733,.F.); -#21522=ORIENTED_EDGE('',*,*,#15734,.F.); -#21523=ORIENTED_EDGE('',*,*,#14760,.T.); -#21524=ORIENTED_EDGE('',*,*,#15725,.T.); -#21525=ORIENTED_EDGE('',*,*,#14761,.T.); -#21526=ORIENTED_EDGE('',*,*,#15734,.T.); -#21527=ORIENTED_EDGE('',*,*,#15735,.F.); -#21528=ORIENTED_EDGE('',*,*,#15728,.F.); -#21529=ORIENTED_EDGE('',*,*,#15729,.T.); -#21530=ORIENTED_EDGE('',*,*,#15735,.T.); -#21531=ORIENTED_EDGE('',*,*,#15733,.T.); -#21532=ORIENTED_EDGE('',*,*,#15731,.T.); -#21533=ORIENTED_EDGE('',*,*,#14764,.T.); -#21534=ORIENTED_EDGE('',*,*,#15736,.T.); -#21535=ORIENTED_EDGE('',*,*,#15737,.F.); -#21536=ORIENTED_EDGE('',*,*,#15738,.F.); -#21537=ORIENTED_EDGE('',*,*,#14765,.T.); -#21538=ORIENTED_EDGE('',*,*,#15738,.T.); -#21539=ORIENTED_EDGE('',*,*,#15739,.F.); -#21540=ORIENTED_EDGE('',*,*,#15740,.F.); -#21541=ORIENTED_EDGE('',*,*,#14766,.T.); -#21542=ORIENTED_EDGE('',*,*,#15740,.T.); -#21543=ORIENTED_EDGE('',*,*,#15741,.F.); -#21544=ORIENTED_EDGE('',*,*,#15742,.F.); -#21545=ORIENTED_EDGE('',*,*,#14767,.T.); -#21546=ORIENTED_EDGE('',*,*,#15742,.T.); -#21547=ORIENTED_EDGE('',*,*,#15743,.F.); -#21548=ORIENTED_EDGE('',*,*,#15736,.F.); -#21549=ORIENTED_EDGE('',*,*,#15737,.T.); -#21550=ORIENTED_EDGE('',*,*,#15743,.T.); -#21551=ORIENTED_EDGE('',*,*,#15741,.T.); -#21552=ORIENTED_EDGE('',*,*,#15739,.T.); -#21553=ORIENTED_EDGE('',*,*,#14768,.T.); -#21554=ORIENTED_EDGE('',*,*,#15744,.T.); -#21555=ORIENTED_EDGE('',*,*,#15745,.F.); -#21556=ORIENTED_EDGE('',*,*,#15746,.F.); -#21557=ORIENTED_EDGE('',*,*,#15747,.F.); -#21558=ORIENTED_EDGE('',*,*,#15748,.F.); -#21559=ORIENTED_EDGE('',*,*,#14781,.T.); -#21560=ORIENTED_EDGE('',*,*,#15749,.T.); -#21561=ORIENTED_EDGE('',*,*,#15750,.F.); -#21562=ORIENTED_EDGE('',*,*,#15751,.F.); -#21563=ORIENTED_EDGE('',*,*,#14769,.T.); -#21564=ORIENTED_EDGE('',*,*,#15746,.T.); -#21565=ORIENTED_EDGE('',*,*,#15747,.T.); -#21566=ORIENTED_EDGE('',*,*,#15745,.T.); -#21567=ORIENTED_EDGE('',*,*,#15752,.T.); -#21568=ORIENTED_EDGE('',*,*,#15753,.T.); -#21569=ORIENTED_EDGE('',*,*,#15754,.F.); -#21570=ORIENTED_EDGE('',*,*,#15755,.T.); -#21571=ORIENTED_EDGE('',*,*,#15756,.T.); -#21572=ORIENTED_EDGE('',*,*,#15757,.T.); -#21573=ORIENTED_EDGE('',*,*,#15758,.F.); -#21574=ORIENTED_EDGE('',*,*,#15759,.T.); -#21575=ORIENTED_EDGE('',*,*,#15760,.T.); -#21576=ORIENTED_EDGE('',*,*,#15761,.T.); -#21577=ORIENTED_EDGE('',*,*,#14782,.T.); -#21578=ORIENTED_EDGE('',*,*,#15748,.T.); -#21579=ORIENTED_EDGE('',*,*,#15753,.F.); -#21580=ORIENTED_EDGE('',*,*,#15762,.F.); -#21581=ORIENTED_EDGE('',*,*,#14783,.T.); -#21582=ORIENTED_EDGE('',*,*,#15762,.T.); -#21583=ORIENTED_EDGE('',*,*,#15752,.F.); -#21584=ORIENTED_EDGE('',*,*,#15744,.F.); -#21585=ORIENTED_EDGE('',*,*,#15754,.T.); -#21586=ORIENTED_EDGE('',*,*,#15763,.F.); -#21587=ORIENTED_EDGE('',*,*,#14776,.T.); -#21588=ORIENTED_EDGE('',*,*,#15764,.T.); -#21589=ORIENTED_EDGE('',*,*,#15765,.F.); -#21590=ORIENTED_EDGE('',*,*,#15749,.F.); -#21591=ORIENTED_EDGE('',*,*,#14780,.T.); -#21592=ORIENTED_EDGE('',*,*,#15766,.T.); -#21593=ORIENTED_EDGE('',*,*,#14779,.T.); -#21594=ORIENTED_EDGE('',*,*,#15767,.T.); -#21595=ORIENTED_EDGE('',*,*,#15755,.F.); -#21596=ORIENTED_EDGE('',*,*,#15766,.F.); -#21597=ORIENTED_EDGE('',*,*,#14778,.T.); -#21598=ORIENTED_EDGE('',*,*,#15768,.T.); -#21599=ORIENTED_EDGE('',*,*,#15756,.F.); -#21600=ORIENTED_EDGE('',*,*,#15767,.F.); -#21601=ORIENTED_EDGE('',*,*,#14777,.T.); -#21602=ORIENTED_EDGE('',*,*,#15763,.T.); -#21603=ORIENTED_EDGE('',*,*,#15757,.F.); -#21604=ORIENTED_EDGE('',*,*,#15768,.F.); -#21605=ORIENTED_EDGE('',*,*,#15769,.T.); -#21606=ORIENTED_EDGE('',*,*,#15770,.F.); -#21607=ORIENTED_EDGE('',*,*,#15771,.F.); -#21608=ORIENTED_EDGE('',*,*,#15772,.T.); -#21609=ORIENTED_EDGE('',*,*,#15750,.T.); -#21610=ORIENTED_EDGE('',*,*,#15765,.T.); -#21611=ORIENTED_EDGE('',*,*,#15773,.T.); -#21612=ORIENTED_EDGE('',*,*,#14770,.T.); -#21613=ORIENTED_EDGE('',*,*,#15751,.T.); -#21614=ORIENTED_EDGE('',*,*,#15772,.F.); -#21615=ORIENTED_EDGE('',*,*,#15769,.F.); -#21616=ORIENTED_EDGE('',*,*,#15774,.F.); -#21617=ORIENTED_EDGE('',*,*,#15758,.T.); -#21618=ORIENTED_EDGE('',*,*,#15775,.F.); -#21619=ORIENTED_EDGE('',*,*,#14771,.T.); -#21620=ORIENTED_EDGE('',*,*,#15774,.T.); -#21621=ORIENTED_EDGE('',*,*,#15773,.F.); -#21622=ORIENTED_EDGE('',*,*,#15764,.F.); -#21623=ORIENTED_EDGE('',*,*,#14775,.T.); -#21624=ORIENTED_EDGE('',*,*,#15776,.T.); -#21625=ORIENTED_EDGE('',*,*,#14774,.T.); -#21626=ORIENTED_EDGE('',*,*,#15777,.T.); -#21627=ORIENTED_EDGE('',*,*,#15759,.F.); -#21628=ORIENTED_EDGE('',*,*,#15776,.F.); -#21629=ORIENTED_EDGE('',*,*,#14773,.T.); -#21630=ORIENTED_EDGE('',*,*,#15778,.T.); -#21631=ORIENTED_EDGE('',*,*,#15760,.F.); -#21632=ORIENTED_EDGE('',*,*,#15777,.F.); -#21633=ORIENTED_EDGE('',*,*,#14772,.T.); -#21634=ORIENTED_EDGE('',*,*,#15775,.T.); -#21635=ORIENTED_EDGE('',*,*,#15761,.F.); -#21636=ORIENTED_EDGE('',*,*,#15778,.F.); -#21637=ORIENTED_EDGE('',*,*,#15779,.F.); -#21638=ORIENTED_EDGE('',*,*,#15780,.T.); -#21639=ORIENTED_EDGE('',*,*,#15770,.T.); -#21640=ORIENTED_EDGE('',*,*,#15771,.T.); -#21641=ORIENTED_EDGE('',*,*,#15780,.F.); -#21642=ORIENTED_EDGE('',*,*,#15779,.T.); -#21643=ORIENTED_EDGE('',*,*,#15781,.F.); -#21644=ORIENTED_EDGE('',*,*,#15782,.T.); -#21645=ORIENTED_EDGE('',*,*,#15783,.F.); -#21646=ORIENTED_EDGE('',*,*,#15784,.T.); -#21647=ORIENTED_EDGE('',*,*,#15781,.T.); -#21648=ORIENTED_EDGE('',*,*,#15784,.F.); -#21649=ORIENTED_EDGE('',*,*,#15783,.T.); -#21650=ORIENTED_EDGE('',*,*,#15785,.T.); -#21651=ORIENTED_EDGE('',*,*,#15782,.F.); -#21652=ORIENTED_EDGE('',*,*,#15786,.T.); -#21653=ORIENTED_EDGE('',*,*,#15785,.F.); -#21654=ORIENTED_EDGE('',*,*,#15786,.F.); -#21655=ORIENTED_EDGE('',*,*,#14784,.T.); -#21656=ORIENTED_EDGE('',*,*,#15787,.T.); -#21657=ORIENTED_EDGE('',*,*,#15788,.F.); -#21658=ORIENTED_EDGE('',*,*,#15789,.F.); -#21659=ORIENTED_EDGE('',*,*,#14785,.T.); -#21660=ORIENTED_EDGE('',*,*,#15789,.T.); -#21661=ORIENTED_EDGE('',*,*,#15790,.F.); -#21662=ORIENTED_EDGE('',*,*,#15791,.F.); -#21663=ORIENTED_EDGE('',*,*,#14786,.T.); -#21664=ORIENTED_EDGE('',*,*,#15791,.T.); -#21665=ORIENTED_EDGE('',*,*,#15792,.F.); -#21666=ORIENTED_EDGE('',*,*,#15793,.F.); -#21667=ORIENTED_EDGE('',*,*,#14787,.T.); -#21668=ORIENTED_EDGE('',*,*,#15793,.T.); -#21669=ORIENTED_EDGE('',*,*,#15794,.F.); -#21670=ORIENTED_EDGE('',*,*,#15787,.F.); -#21671=ORIENTED_EDGE('',*,*,#15788,.T.); -#21672=ORIENTED_EDGE('',*,*,#15794,.T.); -#21673=ORIENTED_EDGE('',*,*,#15792,.T.); -#21674=ORIENTED_EDGE('',*,*,#15790,.T.); -#21675=ORIENTED_EDGE('',*,*,#15795,.T.); -#21676=ORIENTED_EDGE('',*,*,#15796,.T.); -#21677=ORIENTED_EDGE('',*,*,#15797,.T.); -#21678=ORIENTED_EDGE('',*,*,#15798,.T.); -#21679=ORIENTED_EDGE('',*,*,#14788,.T.); -#21680=ORIENTED_EDGE('',*,*,#15799,.T.); -#21681=ORIENTED_EDGE('',*,*,#15795,.F.); -#21682=ORIENTED_EDGE('',*,*,#15800,.F.); -#21683=ORIENTED_EDGE('',*,*,#14789,.T.); -#21684=ORIENTED_EDGE('',*,*,#15800,.T.); -#21685=ORIENTED_EDGE('',*,*,#15798,.F.); -#21686=ORIENTED_EDGE('',*,*,#15801,.F.); -#21687=ORIENTED_EDGE('',*,*,#14790,.T.); -#21688=ORIENTED_EDGE('',*,*,#15801,.T.); -#21689=ORIENTED_EDGE('',*,*,#15797,.F.); -#21690=ORIENTED_EDGE('',*,*,#15802,.F.); -#21691=ORIENTED_EDGE('',*,*,#14791,.T.); -#21692=ORIENTED_EDGE('',*,*,#15802,.T.); -#21693=ORIENTED_EDGE('',*,*,#15796,.F.); -#21694=ORIENTED_EDGE('',*,*,#15799,.F.); -#21695=ORIENTED_EDGE('',*,*,#14792,.T.); -#21696=ORIENTED_EDGE('',*,*,#15803,.T.); -#21697=ORIENTED_EDGE('',*,*,#15804,.F.); -#21698=ORIENTED_EDGE('',*,*,#15805,.F.); -#21699=ORIENTED_EDGE('',*,*,#14793,.T.); -#21700=ORIENTED_EDGE('',*,*,#15805,.T.); -#21701=ORIENTED_EDGE('',*,*,#15806,.F.); -#21702=ORIENTED_EDGE('',*,*,#15807,.F.); -#21703=ORIENTED_EDGE('',*,*,#14794,.T.); -#21704=ORIENTED_EDGE('',*,*,#15807,.T.); -#21705=ORIENTED_EDGE('',*,*,#15808,.F.); -#21706=ORIENTED_EDGE('',*,*,#15809,.F.); -#21707=ORIENTED_EDGE('',*,*,#14795,.T.); -#21708=ORIENTED_EDGE('',*,*,#15809,.T.); -#21709=ORIENTED_EDGE('',*,*,#15810,.F.); -#21710=ORIENTED_EDGE('',*,*,#15803,.F.); -#21711=ORIENTED_EDGE('',*,*,#15804,.T.); -#21712=ORIENTED_EDGE('',*,*,#15810,.T.); -#21713=ORIENTED_EDGE('',*,*,#15808,.T.); -#21714=ORIENTED_EDGE('',*,*,#15806,.T.); -#21715=ORIENTED_EDGE('',*,*,#15811,.T.); -#21716=ORIENTED_EDGE('',*,*,#15812,.T.); -#21717=ORIENTED_EDGE('',*,*,#15813,.T.); -#21718=ORIENTED_EDGE('',*,*,#15814,.T.); -#21719=ORIENTED_EDGE('',*,*,#15815,.T.); -#21720=ORIENTED_EDGE('',*,*,#15816,.T.); -#21721=ORIENTED_EDGE('',*,*,#15817,.T.); -#21722=ORIENTED_EDGE('',*,*,#15818,.T.); -#21723=ORIENTED_EDGE('',*,*,#15819,.T.); -#21724=ORIENTED_EDGE('',*,*,#15820,.T.); -#21725=ORIENTED_EDGE('',*,*,#15821,.T.); -#21726=ORIENTED_EDGE('',*,*,#15822,.T.); -#21727=ORIENTED_EDGE('',*,*,#15823,.T.); -#21728=ORIENTED_EDGE('',*,*,#15824,.T.); -#21729=ORIENTED_EDGE('',*,*,#15825,.T.); -#21730=ORIENTED_EDGE('',*,*,#15826,.T.); -#21731=ORIENTED_EDGE('',*,*,#15827,.T.); -#21732=ORIENTED_EDGE('',*,*,#15828,.T.); -#21733=ORIENTED_EDGE('',*,*,#15829,.T.); -#21734=ORIENTED_EDGE('',*,*,#15830,.T.); -#21735=ORIENTED_EDGE('',*,*,#15831,.T.); -#21736=ORIENTED_EDGE('',*,*,#15832,.T.); -#21737=ORIENTED_EDGE('',*,*,#15833,.T.); -#21738=ORIENTED_EDGE('',*,*,#15834,.T.); -#21739=ORIENTED_EDGE('',*,*,#15835,.T.); -#21740=ORIENTED_EDGE('',*,*,#15836,.T.); -#21741=ORIENTED_EDGE('',*,*,#15837,.T.); -#21742=ORIENTED_EDGE('',*,*,#15838,.T.); -#21743=ORIENTED_EDGE('',*,*,#15839,.T.); -#21744=ORIENTED_EDGE('',*,*,#15840,.T.); -#21745=ORIENTED_EDGE('',*,*,#15841,.T.); -#21746=ORIENTED_EDGE('',*,*,#15842,.T.); -#21747=ORIENTED_EDGE('',*,*,#15843,.T.); -#21748=ORIENTED_EDGE('',*,*,#15844,.T.); -#21749=ORIENTED_EDGE('',*,*,#15845,.T.); -#21750=ORIENTED_EDGE('',*,*,#15846,.T.); -#21751=ORIENTED_EDGE('',*,*,#15847,.T.); -#21752=ORIENTED_EDGE('',*,*,#15848,.T.); -#21753=ORIENTED_EDGE('',*,*,#15849,.T.); -#21754=ORIENTED_EDGE('',*,*,#15850,.T.); -#21755=ORIENTED_EDGE('',*,*,#15851,.T.); -#21756=ORIENTED_EDGE('',*,*,#15852,.T.); -#21757=ORIENTED_EDGE('',*,*,#15853,.T.); -#21758=ORIENTED_EDGE('',*,*,#15854,.T.); -#21759=ORIENTED_EDGE('',*,*,#15855,.T.); -#21760=ORIENTED_EDGE('',*,*,#15856,.T.); -#21761=ORIENTED_EDGE('',*,*,#15857,.T.); -#21762=ORIENTED_EDGE('',*,*,#15858,.T.); -#21763=ORIENTED_EDGE('',*,*,#15859,.T.); -#21764=ORIENTED_EDGE('',*,*,#15860,.T.); -#21765=ORIENTED_EDGE('',*,*,#15861,.T.); -#21766=ORIENTED_EDGE('',*,*,#15862,.T.); -#21767=ORIENTED_EDGE('',*,*,#15863,.T.); -#21768=ORIENTED_EDGE('',*,*,#15864,.T.); -#21769=ORIENTED_EDGE('',*,*,#15865,.T.); -#21770=ORIENTED_EDGE('',*,*,#15866,.T.); -#21771=ORIENTED_EDGE('',*,*,#15867,.T.); -#21772=ORIENTED_EDGE('',*,*,#15868,.T.); -#21773=ORIENTED_EDGE('',*,*,#15869,.T.); -#21774=ORIENTED_EDGE('',*,*,#15870,.T.); -#21775=ORIENTED_EDGE('',*,*,#15871,.T.); -#21776=ORIENTED_EDGE('',*,*,#15872,.T.); -#21777=ORIENTED_EDGE('',*,*,#15873,.T.); -#21778=ORIENTED_EDGE('',*,*,#15874,.T.); -#21779=ORIENTED_EDGE('',*,*,#15875,.T.); -#21780=ORIENTED_EDGE('',*,*,#15876,.T.); -#21781=ORIENTED_EDGE('',*,*,#15877,.T.); -#21782=ORIENTED_EDGE('',*,*,#15878,.T.); -#21783=ORIENTED_EDGE('',*,*,#15879,.T.); -#21784=ORIENTED_EDGE('',*,*,#15880,.T.); -#21785=ORIENTED_EDGE('',*,*,#15881,.T.); -#21786=ORIENTED_EDGE('',*,*,#15882,.T.); -#21787=ORIENTED_EDGE('',*,*,#15883,.T.); -#21788=ORIENTED_EDGE('',*,*,#15884,.T.); -#21789=ORIENTED_EDGE('',*,*,#15885,.T.); -#21790=ORIENTED_EDGE('',*,*,#15886,.T.); -#21791=ORIENTED_EDGE('',*,*,#14796,.T.); -#21792=ORIENTED_EDGE('',*,*,#15887,.T.); -#21793=ORIENTED_EDGE('',*,*,#15811,.F.); -#21794=ORIENTED_EDGE('',*,*,#15888,.F.); -#21795=ORIENTED_EDGE('',*,*,#14797,.T.); -#21796=ORIENTED_EDGE('',*,*,#15888,.T.); -#21797=ORIENTED_EDGE('',*,*,#15814,.F.); -#21798=ORIENTED_EDGE('',*,*,#15889,.F.); -#21799=ORIENTED_EDGE('',*,*,#14798,.T.); -#21800=ORIENTED_EDGE('',*,*,#15889,.T.); -#21801=ORIENTED_EDGE('',*,*,#15813,.F.); -#21802=ORIENTED_EDGE('',*,*,#15890,.F.); -#21803=ORIENTED_EDGE('',*,*,#14799,.T.); -#21804=ORIENTED_EDGE('',*,*,#15890,.T.); -#21805=ORIENTED_EDGE('',*,*,#15812,.F.); -#21806=ORIENTED_EDGE('',*,*,#15887,.F.); -#21807=ORIENTED_EDGE('',*,*,#14800,.T.); -#21808=ORIENTED_EDGE('',*,*,#15891,.T.); -#21809=ORIENTED_EDGE('',*,*,#15815,.F.); -#21810=ORIENTED_EDGE('',*,*,#15892,.F.); -#21811=ORIENTED_EDGE('',*,*,#14801,.T.); -#21812=ORIENTED_EDGE('',*,*,#15892,.T.); -#21813=ORIENTED_EDGE('',*,*,#15818,.F.); -#21814=ORIENTED_EDGE('',*,*,#15893,.F.); -#21815=ORIENTED_EDGE('',*,*,#14802,.T.); -#21816=ORIENTED_EDGE('',*,*,#15893,.T.); -#21817=ORIENTED_EDGE('',*,*,#15817,.F.); -#21818=ORIENTED_EDGE('',*,*,#15894,.F.); -#21819=ORIENTED_EDGE('',*,*,#14803,.T.); -#21820=ORIENTED_EDGE('',*,*,#15894,.T.); -#21821=ORIENTED_EDGE('',*,*,#15816,.F.); -#21822=ORIENTED_EDGE('',*,*,#15891,.F.); -#21823=ORIENTED_EDGE('',*,*,#14804,.T.); -#21824=ORIENTED_EDGE('',*,*,#15895,.T.); -#21825=ORIENTED_EDGE('',*,*,#15819,.F.); -#21826=ORIENTED_EDGE('',*,*,#15896,.F.); -#21827=ORIENTED_EDGE('',*,*,#14805,.T.); -#21828=ORIENTED_EDGE('',*,*,#15896,.T.); -#21829=ORIENTED_EDGE('',*,*,#15822,.F.); -#21830=ORIENTED_EDGE('',*,*,#15897,.F.); -#21831=ORIENTED_EDGE('',*,*,#14806,.T.); -#21832=ORIENTED_EDGE('',*,*,#15897,.T.); -#21833=ORIENTED_EDGE('',*,*,#15821,.F.); -#21834=ORIENTED_EDGE('',*,*,#15898,.F.); -#21835=ORIENTED_EDGE('',*,*,#14807,.T.); -#21836=ORIENTED_EDGE('',*,*,#15898,.T.); -#21837=ORIENTED_EDGE('',*,*,#15820,.F.); -#21838=ORIENTED_EDGE('',*,*,#15895,.F.); -#21839=ORIENTED_EDGE('',*,*,#14808,.T.); -#21840=ORIENTED_EDGE('',*,*,#15899,.T.); -#21841=ORIENTED_EDGE('',*,*,#15823,.F.); -#21842=ORIENTED_EDGE('',*,*,#15900,.F.); -#21843=ORIENTED_EDGE('',*,*,#14809,.T.); -#21844=ORIENTED_EDGE('',*,*,#15900,.T.); -#21845=ORIENTED_EDGE('',*,*,#15826,.F.); -#21846=ORIENTED_EDGE('',*,*,#15901,.F.); -#21847=ORIENTED_EDGE('',*,*,#14810,.T.); -#21848=ORIENTED_EDGE('',*,*,#15901,.T.); -#21849=ORIENTED_EDGE('',*,*,#15825,.F.); -#21850=ORIENTED_EDGE('',*,*,#15902,.F.); -#21851=ORIENTED_EDGE('',*,*,#14811,.T.); -#21852=ORIENTED_EDGE('',*,*,#15902,.T.); -#21853=ORIENTED_EDGE('',*,*,#15824,.F.); -#21854=ORIENTED_EDGE('',*,*,#15899,.F.); -#21855=ORIENTED_EDGE('',*,*,#14812,.T.); -#21856=ORIENTED_EDGE('',*,*,#15903,.T.); -#21857=ORIENTED_EDGE('',*,*,#15827,.F.); -#21858=ORIENTED_EDGE('',*,*,#15904,.F.); -#21859=ORIENTED_EDGE('',*,*,#14813,.T.); -#21860=ORIENTED_EDGE('',*,*,#15904,.T.); -#21861=ORIENTED_EDGE('',*,*,#15830,.F.); -#21862=ORIENTED_EDGE('',*,*,#15905,.F.); -#21863=ORIENTED_EDGE('',*,*,#14814,.T.); -#21864=ORIENTED_EDGE('',*,*,#15905,.T.); -#21865=ORIENTED_EDGE('',*,*,#15829,.F.); -#21866=ORIENTED_EDGE('',*,*,#15906,.F.); -#21867=ORIENTED_EDGE('',*,*,#14815,.T.); -#21868=ORIENTED_EDGE('',*,*,#15906,.T.); -#21869=ORIENTED_EDGE('',*,*,#15828,.F.); -#21870=ORIENTED_EDGE('',*,*,#15903,.F.); -#21871=ORIENTED_EDGE('',*,*,#14816,.T.); -#21872=ORIENTED_EDGE('',*,*,#15907,.T.); -#21873=ORIENTED_EDGE('',*,*,#15831,.F.); -#21874=ORIENTED_EDGE('',*,*,#15908,.F.); -#21875=ORIENTED_EDGE('',*,*,#14817,.T.); -#21876=ORIENTED_EDGE('',*,*,#15908,.T.); -#21877=ORIENTED_EDGE('',*,*,#15834,.F.); -#21878=ORIENTED_EDGE('',*,*,#15909,.F.); -#21879=ORIENTED_EDGE('',*,*,#14818,.T.); -#21880=ORIENTED_EDGE('',*,*,#15909,.T.); -#21881=ORIENTED_EDGE('',*,*,#15833,.F.); -#21882=ORIENTED_EDGE('',*,*,#15910,.F.); -#21883=ORIENTED_EDGE('',*,*,#14819,.T.); -#21884=ORIENTED_EDGE('',*,*,#15910,.T.); -#21885=ORIENTED_EDGE('',*,*,#15832,.F.); -#21886=ORIENTED_EDGE('',*,*,#15907,.F.); -#21887=ORIENTED_EDGE('',*,*,#14820,.T.); -#21888=ORIENTED_EDGE('',*,*,#15911,.T.); -#21889=ORIENTED_EDGE('',*,*,#15835,.F.); -#21890=ORIENTED_EDGE('',*,*,#15912,.F.); -#21891=ORIENTED_EDGE('',*,*,#14821,.T.); -#21892=ORIENTED_EDGE('',*,*,#15912,.T.); -#21893=ORIENTED_EDGE('',*,*,#15838,.F.); -#21894=ORIENTED_EDGE('',*,*,#15913,.F.); -#21895=ORIENTED_EDGE('',*,*,#14822,.T.); -#21896=ORIENTED_EDGE('',*,*,#15913,.T.); -#21897=ORIENTED_EDGE('',*,*,#15837,.F.); -#21898=ORIENTED_EDGE('',*,*,#15914,.F.); -#21899=ORIENTED_EDGE('',*,*,#14823,.T.); -#21900=ORIENTED_EDGE('',*,*,#15914,.T.); -#21901=ORIENTED_EDGE('',*,*,#15836,.F.); -#21902=ORIENTED_EDGE('',*,*,#15911,.F.); -#21903=ORIENTED_EDGE('',*,*,#14824,.T.); -#21904=ORIENTED_EDGE('',*,*,#15915,.T.); -#21905=ORIENTED_EDGE('',*,*,#15839,.F.); -#21906=ORIENTED_EDGE('',*,*,#15916,.F.); -#21907=ORIENTED_EDGE('',*,*,#14825,.T.); -#21908=ORIENTED_EDGE('',*,*,#15916,.T.); -#21909=ORIENTED_EDGE('',*,*,#15842,.F.); -#21910=ORIENTED_EDGE('',*,*,#15917,.F.); -#21911=ORIENTED_EDGE('',*,*,#14826,.T.); -#21912=ORIENTED_EDGE('',*,*,#15917,.T.); -#21913=ORIENTED_EDGE('',*,*,#15841,.F.); -#21914=ORIENTED_EDGE('',*,*,#15918,.F.); -#21915=ORIENTED_EDGE('',*,*,#14827,.T.); -#21916=ORIENTED_EDGE('',*,*,#15918,.T.); -#21917=ORIENTED_EDGE('',*,*,#15840,.F.); -#21918=ORIENTED_EDGE('',*,*,#15915,.F.); -#21919=ORIENTED_EDGE('',*,*,#14828,.T.); -#21920=ORIENTED_EDGE('',*,*,#15919,.T.); -#21921=ORIENTED_EDGE('',*,*,#15843,.F.); -#21922=ORIENTED_EDGE('',*,*,#15920,.F.); -#21923=ORIENTED_EDGE('',*,*,#14829,.T.); -#21924=ORIENTED_EDGE('',*,*,#15920,.T.); -#21925=ORIENTED_EDGE('',*,*,#15846,.F.); -#21926=ORIENTED_EDGE('',*,*,#15921,.F.); -#21927=ORIENTED_EDGE('',*,*,#14830,.T.); -#21928=ORIENTED_EDGE('',*,*,#15921,.T.); -#21929=ORIENTED_EDGE('',*,*,#15845,.F.); -#21930=ORIENTED_EDGE('',*,*,#15922,.F.); -#21931=ORIENTED_EDGE('',*,*,#14831,.T.); -#21932=ORIENTED_EDGE('',*,*,#15922,.T.); -#21933=ORIENTED_EDGE('',*,*,#15844,.F.); -#21934=ORIENTED_EDGE('',*,*,#15919,.F.); -#21935=ORIENTED_EDGE('',*,*,#14832,.T.); -#21936=ORIENTED_EDGE('',*,*,#15923,.T.); -#21937=ORIENTED_EDGE('',*,*,#15847,.F.); -#21938=ORIENTED_EDGE('',*,*,#15924,.F.); -#21939=ORIENTED_EDGE('',*,*,#14833,.T.); -#21940=ORIENTED_EDGE('',*,*,#15924,.T.); -#21941=ORIENTED_EDGE('',*,*,#15850,.F.); -#21942=ORIENTED_EDGE('',*,*,#15925,.F.); -#21943=ORIENTED_EDGE('',*,*,#14834,.T.); -#21944=ORIENTED_EDGE('',*,*,#15925,.T.); -#21945=ORIENTED_EDGE('',*,*,#15849,.F.); -#21946=ORIENTED_EDGE('',*,*,#15926,.F.); -#21947=ORIENTED_EDGE('',*,*,#14835,.T.); -#21948=ORIENTED_EDGE('',*,*,#15926,.T.); -#21949=ORIENTED_EDGE('',*,*,#15848,.F.); -#21950=ORIENTED_EDGE('',*,*,#15923,.F.); -#21951=ORIENTED_EDGE('',*,*,#14836,.T.); -#21952=ORIENTED_EDGE('',*,*,#15927,.T.); -#21953=ORIENTED_EDGE('',*,*,#15851,.F.); -#21954=ORIENTED_EDGE('',*,*,#15928,.F.); -#21955=ORIENTED_EDGE('',*,*,#14837,.T.); -#21956=ORIENTED_EDGE('',*,*,#15928,.T.); -#21957=ORIENTED_EDGE('',*,*,#15854,.F.); -#21958=ORIENTED_EDGE('',*,*,#15929,.F.); -#21959=ORIENTED_EDGE('',*,*,#14838,.T.); -#21960=ORIENTED_EDGE('',*,*,#15929,.T.); -#21961=ORIENTED_EDGE('',*,*,#15853,.F.); -#21962=ORIENTED_EDGE('',*,*,#15930,.F.); -#21963=ORIENTED_EDGE('',*,*,#14839,.T.); -#21964=ORIENTED_EDGE('',*,*,#15930,.T.); -#21965=ORIENTED_EDGE('',*,*,#15852,.F.); -#21966=ORIENTED_EDGE('',*,*,#15927,.F.); -#21967=ORIENTED_EDGE('',*,*,#14840,.T.); -#21968=ORIENTED_EDGE('',*,*,#15931,.T.); -#21969=ORIENTED_EDGE('',*,*,#15855,.F.); -#21970=ORIENTED_EDGE('',*,*,#15932,.F.); -#21971=ORIENTED_EDGE('',*,*,#14841,.T.); -#21972=ORIENTED_EDGE('',*,*,#15932,.T.); -#21973=ORIENTED_EDGE('',*,*,#15858,.F.); -#21974=ORIENTED_EDGE('',*,*,#15933,.F.); -#21975=ORIENTED_EDGE('',*,*,#14842,.T.); -#21976=ORIENTED_EDGE('',*,*,#15933,.T.); -#21977=ORIENTED_EDGE('',*,*,#15857,.F.); -#21978=ORIENTED_EDGE('',*,*,#15934,.F.); -#21979=ORIENTED_EDGE('',*,*,#14843,.T.); -#21980=ORIENTED_EDGE('',*,*,#15934,.T.); -#21981=ORIENTED_EDGE('',*,*,#15856,.F.); -#21982=ORIENTED_EDGE('',*,*,#15931,.F.); -#21983=ORIENTED_EDGE('',*,*,#14844,.T.); -#21984=ORIENTED_EDGE('',*,*,#15935,.T.); -#21985=ORIENTED_EDGE('',*,*,#15859,.F.); -#21986=ORIENTED_EDGE('',*,*,#15936,.F.); -#21987=ORIENTED_EDGE('',*,*,#14845,.T.); -#21988=ORIENTED_EDGE('',*,*,#15936,.T.); -#21989=ORIENTED_EDGE('',*,*,#15862,.F.); -#21990=ORIENTED_EDGE('',*,*,#15937,.F.); -#21991=ORIENTED_EDGE('',*,*,#14846,.T.); -#21992=ORIENTED_EDGE('',*,*,#15937,.T.); -#21993=ORIENTED_EDGE('',*,*,#15861,.F.); -#21994=ORIENTED_EDGE('',*,*,#15938,.F.); -#21995=ORIENTED_EDGE('',*,*,#14847,.T.); -#21996=ORIENTED_EDGE('',*,*,#15938,.T.); -#21997=ORIENTED_EDGE('',*,*,#15860,.F.); -#21998=ORIENTED_EDGE('',*,*,#15935,.F.); -#21999=ORIENTED_EDGE('',*,*,#14848,.T.); -#22000=ORIENTED_EDGE('',*,*,#15939,.T.); -#22001=ORIENTED_EDGE('',*,*,#15863,.F.); -#22002=ORIENTED_EDGE('',*,*,#15940,.F.); -#22003=ORIENTED_EDGE('',*,*,#14849,.T.); -#22004=ORIENTED_EDGE('',*,*,#15940,.T.); -#22005=ORIENTED_EDGE('',*,*,#15866,.F.); -#22006=ORIENTED_EDGE('',*,*,#15941,.F.); -#22007=ORIENTED_EDGE('',*,*,#14850,.T.); -#22008=ORIENTED_EDGE('',*,*,#15941,.T.); -#22009=ORIENTED_EDGE('',*,*,#15865,.F.); -#22010=ORIENTED_EDGE('',*,*,#15942,.F.); -#22011=ORIENTED_EDGE('',*,*,#14851,.T.); -#22012=ORIENTED_EDGE('',*,*,#15942,.T.); -#22013=ORIENTED_EDGE('',*,*,#15864,.F.); -#22014=ORIENTED_EDGE('',*,*,#15939,.F.); -#22015=ORIENTED_EDGE('',*,*,#14852,.T.); -#22016=ORIENTED_EDGE('',*,*,#15943,.T.); -#22017=ORIENTED_EDGE('',*,*,#15867,.F.); -#22018=ORIENTED_EDGE('',*,*,#15944,.F.); -#22019=ORIENTED_EDGE('',*,*,#14853,.T.); -#22020=ORIENTED_EDGE('',*,*,#15944,.T.); -#22021=ORIENTED_EDGE('',*,*,#15870,.F.); -#22022=ORIENTED_EDGE('',*,*,#15945,.F.); -#22023=ORIENTED_EDGE('',*,*,#14854,.T.); -#22024=ORIENTED_EDGE('',*,*,#15945,.T.); -#22025=ORIENTED_EDGE('',*,*,#15869,.F.); -#22026=ORIENTED_EDGE('',*,*,#15946,.F.); -#22027=ORIENTED_EDGE('',*,*,#14855,.T.); -#22028=ORIENTED_EDGE('',*,*,#15946,.T.); -#22029=ORIENTED_EDGE('',*,*,#15868,.F.); -#22030=ORIENTED_EDGE('',*,*,#15943,.F.); -#22031=ORIENTED_EDGE('',*,*,#14856,.T.); -#22032=ORIENTED_EDGE('',*,*,#15947,.T.); -#22033=ORIENTED_EDGE('',*,*,#15871,.F.); -#22034=ORIENTED_EDGE('',*,*,#15948,.F.); -#22035=ORIENTED_EDGE('',*,*,#14857,.T.); -#22036=ORIENTED_EDGE('',*,*,#15948,.T.); -#22037=ORIENTED_EDGE('',*,*,#15874,.F.); -#22038=ORIENTED_EDGE('',*,*,#15949,.F.); -#22039=ORIENTED_EDGE('',*,*,#14858,.T.); -#22040=ORIENTED_EDGE('',*,*,#15949,.T.); -#22041=ORIENTED_EDGE('',*,*,#15873,.F.); -#22042=ORIENTED_EDGE('',*,*,#15950,.F.); -#22043=ORIENTED_EDGE('',*,*,#14859,.T.); -#22044=ORIENTED_EDGE('',*,*,#15950,.T.); -#22045=ORIENTED_EDGE('',*,*,#15872,.F.); -#22046=ORIENTED_EDGE('',*,*,#15947,.F.); -#22047=ORIENTED_EDGE('',*,*,#14860,.T.); -#22048=ORIENTED_EDGE('',*,*,#15951,.T.); -#22049=ORIENTED_EDGE('',*,*,#15875,.F.); -#22050=ORIENTED_EDGE('',*,*,#15952,.F.); -#22051=ORIENTED_EDGE('',*,*,#14861,.T.); -#22052=ORIENTED_EDGE('',*,*,#15952,.T.); -#22053=ORIENTED_EDGE('',*,*,#15878,.F.); -#22054=ORIENTED_EDGE('',*,*,#15953,.F.); -#22055=ORIENTED_EDGE('',*,*,#14862,.T.); -#22056=ORIENTED_EDGE('',*,*,#15953,.T.); -#22057=ORIENTED_EDGE('',*,*,#15877,.F.); -#22058=ORIENTED_EDGE('',*,*,#15954,.F.); -#22059=ORIENTED_EDGE('',*,*,#14863,.T.); -#22060=ORIENTED_EDGE('',*,*,#15954,.T.); -#22061=ORIENTED_EDGE('',*,*,#15876,.F.); -#22062=ORIENTED_EDGE('',*,*,#15951,.F.); -#22063=ORIENTED_EDGE('',*,*,#14864,.T.); -#22064=ORIENTED_EDGE('',*,*,#15955,.T.); -#22065=ORIENTED_EDGE('',*,*,#15879,.F.); -#22066=ORIENTED_EDGE('',*,*,#15956,.F.); -#22067=ORIENTED_EDGE('',*,*,#14865,.T.); -#22068=ORIENTED_EDGE('',*,*,#15956,.T.); -#22069=ORIENTED_EDGE('',*,*,#15882,.F.); -#22070=ORIENTED_EDGE('',*,*,#15957,.F.); -#22071=ORIENTED_EDGE('',*,*,#14866,.T.); -#22072=ORIENTED_EDGE('',*,*,#15957,.T.); -#22073=ORIENTED_EDGE('',*,*,#15881,.F.); -#22074=ORIENTED_EDGE('',*,*,#15958,.F.); -#22075=ORIENTED_EDGE('',*,*,#14867,.T.); -#22076=ORIENTED_EDGE('',*,*,#15958,.T.); -#22077=ORIENTED_EDGE('',*,*,#15880,.F.); -#22078=ORIENTED_EDGE('',*,*,#15955,.F.); -#22079=ORIENTED_EDGE('',*,*,#14868,.T.); -#22080=ORIENTED_EDGE('',*,*,#15959,.T.); -#22081=ORIENTED_EDGE('',*,*,#15883,.F.); -#22082=ORIENTED_EDGE('',*,*,#15960,.F.); -#22083=ORIENTED_EDGE('',*,*,#14869,.T.); -#22084=ORIENTED_EDGE('',*,*,#15960,.T.); -#22085=ORIENTED_EDGE('',*,*,#15886,.F.); -#22086=ORIENTED_EDGE('',*,*,#15961,.F.); -#22087=ORIENTED_EDGE('',*,*,#14870,.T.); -#22088=ORIENTED_EDGE('',*,*,#15961,.T.); -#22089=ORIENTED_EDGE('',*,*,#15885,.F.); -#22090=ORIENTED_EDGE('',*,*,#15962,.F.); -#22091=ORIENTED_EDGE('',*,*,#14871,.T.); -#22092=ORIENTED_EDGE('',*,*,#15962,.T.); -#22093=ORIENTED_EDGE('',*,*,#15884,.F.); -#22094=ORIENTED_EDGE('',*,*,#15959,.F.); -#22095=ORIENTED_EDGE('',*,*,#14872,.T.); -#22096=ORIENTED_EDGE('',*,*,#15963,.T.); -#22097=ORIENTED_EDGE('',*,*,#15964,.F.); -#22098=ORIENTED_EDGE('',*,*,#15965,.F.); -#22099=ORIENTED_EDGE('',*,*,#14873,.T.); -#22100=ORIENTED_EDGE('',*,*,#15965,.T.); -#22101=ORIENTED_EDGE('',*,*,#15966,.F.); -#22102=ORIENTED_EDGE('',*,*,#15967,.F.); -#22103=ORIENTED_EDGE('',*,*,#14874,.T.); -#22104=ORIENTED_EDGE('',*,*,#15967,.T.); -#22105=ORIENTED_EDGE('',*,*,#15968,.F.); -#22106=ORIENTED_EDGE('',*,*,#15969,.F.); -#22107=ORIENTED_EDGE('',*,*,#14875,.T.); -#22108=ORIENTED_EDGE('',*,*,#15969,.T.); -#22109=ORIENTED_EDGE('',*,*,#15970,.F.); -#22110=ORIENTED_EDGE('',*,*,#15963,.F.); -#22111=ORIENTED_EDGE('',*,*,#15964,.T.); -#22112=ORIENTED_EDGE('',*,*,#15970,.T.); -#22113=ORIENTED_EDGE('',*,*,#15968,.T.); -#22114=ORIENTED_EDGE('',*,*,#15966,.T.); -#22115=ORIENTED_EDGE('',*,*,#15971,.T.); -#22116=ORIENTED_EDGE('',*,*,#15972,.T.); -#22117=ORIENTED_EDGE('',*,*,#15973,.T.); -#22118=ORIENTED_EDGE('',*,*,#15974,.T.); -#22119=ORIENTED_EDGE('',*,*,#15975,.T.); -#22120=ORIENTED_EDGE('',*,*,#15976,.T.); -#22121=ORIENTED_EDGE('',*,*,#15977,.T.); -#22122=ORIENTED_EDGE('',*,*,#15978,.T.); -#22123=ORIENTED_EDGE('',*,*,#15979,.T.); -#22124=ORIENTED_EDGE('',*,*,#15980,.T.); -#22125=ORIENTED_EDGE('',*,*,#15981,.T.); -#22126=ORIENTED_EDGE('',*,*,#15982,.T.); -#22127=ORIENTED_EDGE('',*,*,#15983,.T.); -#22128=ORIENTED_EDGE('',*,*,#15984,.T.); -#22129=ORIENTED_EDGE('',*,*,#15985,.T.); -#22130=ORIENTED_EDGE('',*,*,#15986,.T.); -#22131=ORIENTED_EDGE('',*,*,#15987,.T.); -#22132=ORIENTED_EDGE('',*,*,#15988,.T.); -#22133=ORIENTED_EDGE('',*,*,#15989,.T.); -#22134=ORIENTED_EDGE('',*,*,#15990,.T.); -#22135=ORIENTED_EDGE('',*,*,#15991,.T.); -#22136=ORIENTED_EDGE('',*,*,#15992,.T.); -#22137=ORIENTED_EDGE('',*,*,#15993,.T.); -#22138=ORIENTED_EDGE('',*,*,#15994,.T.); -#22139=ORIENTED_EDGE('',*,*,#15995,.T.); -#22140=ORIENTED_EDGE('',*,*,#15996,.T.); -#22141=ORIENTED_EDGE('',*,*,#15997,.T.); -#22142=ORIENTED_EDGE('',*,*,#15998,.T.); -#22143=ORIENTED_EDGE('',*,*,#15999,.T.); -#22144=ORIENTED_EDGE('',*,*,#16000,.T.); -#22145=ORIENTED_EDGE('',*,*,#16001,.T.); -#22146=ORIENTED_EDGE('',*,*,#16002,.T.); -#22147=ORIENTED_EDGE('',*,*,#16003,.T.); -#22148=ORIENTED_EDGE('',*,*,#16004,.T.); -#22149=ORIENTED_EDGE('',*,*,#16005,.T.); -#22150=ORIENTED_EDGE('',*,*,#16006,.T.); -#22151=ORIENTED_EDGE('',*,*,#16007,.T.); -#22152=ORIENTED_EDGE('',*,*,#16008,.T.); -#22153=ORIENTED_EDGE('',*,*,#16009,.T.); -#22154=ORIENTED_EDGE('',*,*,#16010,.T.); -#22155=ORIENTED_EDGE('',*,*,#16011,.T.); -#22156=ORIENTED_EDGE('',*,*,#16012,.T.); -#22157=ORIENTED_EDGE('',*,*,#16013,.T.); -#22158=ORIENTED_EDGE('',*,*,#16014,.T.); -#22159=ORIENTED_EDGE('',*,*,#16015,.T.); -#22160=ORIENTED_EDGE('',*,*,#16016,.T.); -#22161=ORIENTED_EDGE('',*,*,#16017,.T.); -#22162=ORIENTED_EDGE('',*,*,#16018,.T.); -#22163=ORIENTED_EDGE('',*,*,#16019,.T.); -#22164=ORIENTED_EDGE('',*,*,#16020,.T.); -#22165=ORIENTED_EDGE('',*,*,#16021,.T.); -#22166=ORIENTED_EDGE('',*,*,#16022,.T.); -#22167=ORIENTED_EDGE('',*,*,#16023,.T.); -#22168=ORIENTED_EDGE('',*,*,#16024,.T.); -#22169=ORIENTED_EDGE('',*,*,#16025,.T.); -#22170=ORIENTED_EDGE('',*,*,#16026,.T.); -#22171=ORIENTED_EDGE('',*,*,#16027,.T.); -#22172=ORIENTED_EDGE('',*,*,#16028,.T.); -#22173=ORIENTED_EDGE('',*,*,#16029,.T.); -#22174=ORIENTED_EDGE('',*,*,#16030,.T.); -#22175=ORIENTED_EDGE('',*,*,#16031,.T.); -#22176=ORIENTED_EDGE('',*,*,#16032,.T.); -#22177=ORIENTED_EDGE('',*,*,#16033,.T.); -#22178=ORIENTED_EDGE('',*,*,#16034,.T.); -#22179=ORIENTED_EDGE('',*,*,#16035,.T.); -#22180=ORIENTED_EDGE('',*,*,#16036,.T.); -#22181=ORIENTED_EDGE('',*,*,#16037,.T.); -#22182=ORIENTED_EDGE('',*,*,#16038,.T.); -#22183=ORIENTED_EDGE('',*,*,#16039,.T.); -#22184=ORIENTED_EDGE('',*,*,#16040,.T.); -#22185=ORIENTED_EDGE('',*,*,#16041,.T.); -#22186=ORIENTED_EDGE('',*,*,#16042,.T.); -#22187=ORIENTED_EDGE('',*,*,#14876,.T.); -#22188=ORIENTED_EDGE('',*,*,#16043,.T.); -#22189=ORIENTED_EDGE('',*,*,#15971,.F.); -#22190=ORIENTED_EDGE('',*,*,#16044,.F.); -#22191=ORIENTED_EDGE('',*,*,#14877,.T.); -#22192=ORIENTED_EDGE('',*,*,#16044,.T.); -#22193=ORIENTED_EDGE('',*,*,#15974,.F.); -#22194=ORIENTED_EDGE('',*,*,#16045,.F.); -#22195=ORIENTED_EDGE('',*,*,#14878,.T.); -#22196=ORIENTED_EDGE('',*,*,#16045,.T.); -#22197=ORIENTED_EDGE('',*,*,#15973,.F.); -#22198=ORIENTED_EDGE('',*,*,#16046,.F.); -#22199=ORIENTED_EDGE('',*,*,#14879,.T.); -#22200=ORIENTED_EDGE('',*,*,#16046,.T.); -#22201=ORIENTED_EDGE('',*,*,#15972,.F.); -#22202=ORIENTED_EDGE('',*,*,#16043,.F.); -#22203=ORIENTED_EDGE('',*,*,#14880,.T.); -#22204=ORIENTED_EDGE('',*,*,#16047,.T.); -#22205=ORIENTED_EDGE('',*,*,#15975,.F.); -#22206=ORIENTED_EDGE('',*,*,#16048,.F.); -#22207=ORIENTED_EDGE('',*,*,#14881,.T.); -#22208=ORIENTED_EDGE('',*,*,#16048,.T.); -#22209=ORIENTED_EDGE('',*,*,#15978,.F.); -#22210=ORIENTED_EDGE('',*,*,#16049,.F.); -#22211=ORIENTED_EDGE('',*,*,#14882,.T.); -#22212=ORIENTED_EDGE('',*,*,#16049,.T.); -#22213=ORIENTED_EDGE('',*,*,#15977,.F.); -#22214=ORIENTED_EDGE('',*,*,#16050,.F.); -#22215=ORIENTED_EDGE('',*,*,#14883,.T.); -#22216=ORIENTED_EDGE('',*,*,#16050,.T.); -#22217=ORIENTED_EDGE('',*,*,#15976,.F.); -#22218=ORIENTED_EDGE('',*,*,#16047,.F.); -#22219=ORIENTED_EDGE('',*,*,#14884,.T.); -#22220=ORIENTED_EDGE('',*,*,#16051,.T.); -#22221=ORIENTED_EDGE('',*,*,#15979,.F.); -#22222=ORIENTED_EDGE('',*,*,#16052,.F.); -#22223=ORIENTED_EDGE('',*,*,#14885,.T.); -#22224=ORIENTED_EDGE('',*,*,#16052,.T.); -#22225=ORIENTED_EDGE('',*,*,#15982,.F.); -#22226=ORIENTED_EDGE('',*,*,#16053,.F.); -#22227=ORIENTED_EDGE('',*,*,#14886,.T.); -#22228=ORIENTED_EDGE('',*,*,#16053,.T.); -#22229=ORIENTED_EDGE('',*,*,#15981,.F.); -#22230=ORIENTED_EDGE('',*,*,#16054,.F.); -#22231=ORIENTED_EDGE('',*,*,#14887,.T.); -#22232=ORIENTED_EDGE('',*,*,#16054,.T.); -#22233=ORIENTED_EDGE('',*,*,#15980,.F.); -#22234=ORIENTED_EDGE('',*,*,#16051,.F.); -#22235=ORIENTED_EDGE('',*,*,#14888,.T.); -#22236=ORIENTED_EDGE('',*,*,#16055,.T.); -#22237=ORIENTED_EDGE('',*,*,#15983,.F.); -#22238=ORIENTED_EDGE('',*,*,#16056,.F.); -#22239=ORIENTED_EDGE('',*,*,#14889,.T.); -#22240=ORIENTED_EDGE('',*,*,#16056,.T.); -#22241=ORIENTED_EDGE('',*,*,#15986,.F.); -#22242=ORIENTED_EDGE('',*,*,#16057,.F.); -#22243=ORIENTED_EDGE('',*,*,#14890,.T.); -#22244=ORIENTED_EDGE('',*,*,#16057,.T.); -#22245=ORIENTED_EDGE('',*,*,#15985,.F.); -#22246=ORIENTED_EDGE('',*,*,#16058,.F.); -#22247=ORIENTED_EDGE('',*,*,#14891,.T.); -#22248=ORIENTED_EDGE('',*,*,#16058,.T.); -#22249=ORIENTED_EDGE('',*,*,#15984,.F.); -#22250=ORIENTED_EDGE('',*,*,#16055,.F.); -#22251=ORIENTED_EDGE('',*,*,#14892,.T.); -#22252=ORIENTED_EDGE('',*,*,#16059,.T.); -#22253=ORIENTED_EDGE('',*,*,#15987,.F.); -#22254=ORIENTED_EDGE('',*,*,#16060,.F.); -#22255=ORIENTED_EDGE('',*,*,#14893,.T.); -#22256=ORIENTED_EDGE('',*,*,#16060,.T.); -#22257=ORIENTED_EDGE('',*,*,#15990,.F.); -#22258=ORIENTED_EDGE('',*,*,#16061,.F.); -#22259=ORIENTED_EDGE('',*,*,#14894,.T.); -#22260=ORIENTED_EDGE('',*,*,#16061,.T.); -#22261=ORIENTED_EDGE('',*,*,#15989,.F.); -#22262=ORIENTED_EDGE('',*,*,#16062,.F.); -#22263=ORIENTED_EDGE('',*,*,#14895,.T.); -#22264=ORIENTED_EDGE('',*,*,#16062,.T.); -#22265=ORIENTED_EDGE('',*,*,#15988,.F.); -#22266=ORIENTED_EDGE('',*,*,#16059,.F.); -#22267=ORIENTED_EDGE('',*,*,#14896,.T.); -#22268=ORIENTED_EDGE('',*,*,#16063,.T.); -#22269=ORIENTED_EDGE('',*,*,#15991,.F.); -#22270=ORIENTED_EDGE('',*,*,#16064,.F.); -#22271=ORIENTED_EDGE('',*,*,#14897,.T.); -#22272=ORIENTED_EDGE('',*,*,#16064,.T.); -#22273=ORIENTED_EDGE('',*,*,#15994,.F.); -#22274=ORIENTED_EDGE('',*,*,#16065,.F.); -#22275=ORIENTED_EDGE('',*,*,#14898,.T.); -#22276=ORIENTED_EDGE('',*,*,#16065,.T.); -#22277=ORIENTED_EDGE('',*,*,#15993,.F.); -#22278=ORIENTED_EDGE('',*,*,#16066,.F.); -#22279=ORIENTED_EDGE('',*,*,#14899,.T.); -#22280=ORIENTED_EDGE('',*,*,#16066,.T.); -#22281=ORIENTED_EDGE('',*,*,#15992,.F.); -#22282=ORIENTED_EDGE('',*,*,#16063,.F.); -#22283=ORIENTED_EDGE('',*,*,#14900,.T.); -#22284=ORIENTED_EDGE('',*,*,#16067,.T.); -#22285=ORIENTED_EDGE('',*,*,#15995,.F.); -#22286=ORIENTED_EDGE('',*,*,#16068,.F.); -#22287=ORIENTED_EDGE('',*,*,#14901,.T.); -#22288=ORIENTED_EDGE('',*,*,#16068,.T.); -#22289=ORIENTED_EDGE('',*,*,#15998,.F.); -#22290=ORIENTED_EDGE('',*,*,#16069,.F.); -#22291=ORIENTED_EDGE('',*,*,#14902,.T.); -#22292=ORIENTED_EDGE('',*,*,#16069,.T.); -#22293=ORIENTED_EDGE('',*,*,#15997,.F.); -#22294=ORIENTED_EDGE('',*,*,#16070,.F.); -#22295=ORIENTED_EDGE('',*,*,#14903,.T.); -#22296=ORIENTED_EDGE('',*,*,#16070,.T.); -#22297=ORIENTED_EDGE('',*,*,#15996,.F.); -#22298=ORIENTED_EDGE('',*,*,#16067,.F.); -#22299=ORIENTED_EDGE('',*,*,#14904,.T.); -#22300=ORIENTED_EDGE('',*,*,#16071,.T.); -#22301=ORIENTED_EDGE('',*,*,#15999,.F.); -#22302=ORIENTED_EDGE('',*,*,#16072,.F.); -#22303=ORIENTED_EDGE('',*,*,#14905,.T.); -#22304=ORIENTED_EDGE('',*,*,#16072,.T.); -#22305=ORIENTED_EDGE('',*,*,#16002,.F.); -#22306=ORIENTED_EDGE('',*,*,#16073,.F.); -#22307=ORIENTED_EDGE('',*,*,#14906,.T.); -#22308=ORIENTED_EDGE('',*,*,#16073,.T.); -#22309=ORIENTED_EDGE('',*,*,#16001,.F.); -#22310=ORIENTED_EDGE('',*,*,#16074,.F.); -#22311=ORIENTED_EDGE('',*,*,#14907,.T.); -#22312=ORIENTED_EDGE('',*,*,#16074,.T.); -#22313=ORIENTED_EDGE('',*,*,#16000,.F.); -#22314=ORIENTED_EDGE('',*,*,#16071,.F.); -#22315=ORIENTED_EDGE('',*,*,#14908,.T.); -#22316=ORIENTED_EDGE('',*,*,#16075,.T.); -#22317=ORIENTED_EDGE('',*,*,#16003,.F.); -#22318=ORIENTED_EDGE('',*,*,#16076,.F.); -#22319=ORIENTED_EDGE('',*,*,#14909,.T.); -#22320=ORIENTED_EDGE('',*,*,#16076,.T.); -#22321=ORIENTED_EDGE('',*,*,#16006,.F.); -#22322=ORIENTED_EDGE('',*,*,#16077,.F.); -#22323=ORIENTED_EDGE('',*,*,#14910,.T.); -#22324=ORIENTED_EDGE('',*,*,#16077,.T.); -#22325=ORIENTED_EDGE('',*,*,#16005,.F.); -#22326=ORIENTED_EDGE('',*,*,#16078,.F.); -#22327=ORIENTED_EDGE('',*,*,#14911,.T.); -#22328=ORIENTED_EDGE('',*,*,#16078,.T.); -#22329=ORIENTED_EDGE('',*,*,#16004,.F.); -#22330=ORIENTED_EDGE('',*,*,#16075,.F.); -#22331=ORIENTED_EDGE('',*,*,#14912,.T.); -#22332=ORIENTED_EDGE('',*,*,#16079,.T.); -#22333=ORIENTED_EDGE('',*,*,#16007,.F.); -#22334=ORIENTED_EDGE('',*,*,#16080,.F.); -#22335=ORIENTED_EDGE('',*,*,#14913,.T.); -#22336=ORIENTED_EDGE('',*,*,#16080,.T.); -#22337=ORIENTED_EDGE('',*,*,#16010,.F.); -#22338=ORIENTED_EDGE('',*,*,#16081,.F.); -#22339=ORIENTED_EDGE('',*,*,#14914,.T.); -#22340=ORIENTED_EDGE('',*,*,#16081,.T.); -#22341=ORIENTED_EDGE('',*,*,#16009,.F.); -#22342=ORIENTED_EDGE('',*,*,#16082,.F.); -#22343=ORIENTED_EDGE('',*,*,#14915,.T.); -#22344=ORIENTED_EDGE('',*,*,#16082,.T.); -#22345=ORIENTED_EDGE('',*,*,#16008,.F.); -#22346=ORIENTED_EDGE('',*,*,#16079,.F.); -#22347=ORIENTED_EDGE('',*,*,#14916,.T.); -#22348=ORIENTED_EDGE('',*,*,#16083,.T.); -#22349=ORIENTED_EDGE('',*,*,#16011,.F.); -#22350=ORIENTED_EDGE('',*,*,#16084,.F.); -#22351=ORIENTED_EDGE('',*,*,#14917,.T.); -#22352=ORIENTED_EDGE('',*,*,#16084,.T.); -#22353=ORIENTED_EDGE('',*,*,#16014,.F.); -#22354=ORIENTED_EDGE('',*,*,#16085,.F.); -#22355=ORIENTED_EDGE('',*,*,#14918,.T.); -#22356=ORIENTED_EDGE('',*,*,#16085,.T.); -#22357=ORIENTED_EDGE('',*,*,#16013,.F.); -#22358=ORIENTED_EDGE('',*,*,#16086,.F.); -#22359=ORIENTED_EDGE('',*,*,#14919,.T.); -#22360=ORIENTED_EDGE('',*,*,#16086,.T.); -#22361=ORIENTED_EDGE('',*,*,#16012,.F.); -#22362=ORIENTED_EDGE('',*,*,#16083,.F.); -#22363=ORIENTED_EDGE('',*,*,#14920,.T.); -#22364=ORIENTED_EDGE('',*,*,#16087,.T.); -#22365=ORIENTED_EDGE('',*,*,#16015,.F.); -#22366=ORIENTED_EDGE('',*,*,#16088,.F.); -#22367=ORIENTED_EDGE('',*,*,#14921,.T.); -#22368=ORIENTED_EDGE('',*,*,#16088,.T.); -#22369=ORIENTED_EDGE('',*,*,#16018,.F.); -#22370=ORIENTED_EDGE('',*,*,#16089,.F.); -#22371=ORIENTED_EDGE('',*,*,#14922,.T.); -#22372=ORIENTED_EDGE('',*,*,#16089,.T.); -#22373=ORIENTED_EDGE('',*,*,#16017,.F.); -#22374=ORIENTED_EDGE('',*,*,#16090,.F.); -#22375=ORIENTED_EDGE('',*,*,#14923,.T.); -#22376=ORIENTED_EDGE('',*,*,#16090,.T.); -#22377=ORIENTED_EDGE('',*,*,#16016,.F.); -#22378=ORIENTED_EDGE('',*,*,#16087,.F.); -#22379=ORIENTED_EDGE('',*,*,#14924,.T.); -#22380=ORIENTED_EDGE('',*,*,#16091,.T.); -#22381=ORIENTED_EDGE('',*,*,#16019,.F.); -#22382=ORIENTED_EDGE('',*,*,#16092,.F.); -#22383=ORIENTED_EDGE('',*,*,#14925,.T.); -#22384=ORIENTED_EDGE('',*,*,#16092,.T.); -#22385=ORIENTED_EDGE('',*,*,#16022,.F.); -#22386=ORIENTED_EDGE('',*,*,#16093,.F.); -#22387=ORIENTED_EDGE('',*,*,#14926,.T.); -#22388=ORIENTED_EDGE('',*,*,#16093,.T.); -#22389=ORIENTED_EDGE('',*,*,#16021,.F.); -#22390=ORIENTED_EDGE('',*,*,#16094,.F.); -#22391=ORIENTED_EDGE('',*,*,#14927,.T.); -#22392=ORIENTED_EDGE('',*,*,#16094,.T.); -#22393=ORIENTED_EDGE('',*,*,#16020,.F.); -#22394=ORIENTED_EDGE('',*,*,#16091,.F.); -#22395=ORIENTED_EDGE('',*,*,#14928,.T.); -#22396=ORIENTED_EDGE('',*,*,#16095,.T.); -#22397=ORIENTED_EDGE('',*,*,#16023,.F.); -#22398=ORIENTED_EDGE('',*,*,#16096,.F.); -#22399=ORIENTED_EDGE('',*,*,#14929,.T.); -#22400=ORIENTED_EDGE('',*,*,#16096,.T.); -#22401=ORIENTED_EDGE('',*,*,#16026,.F.); -#22402=ORIENTED_EDGE('',*,*,#16097,.F.); -#22403=ORIENTED_EDGE('',*,*,#14930,.T.); -#22404=ORIENTED_EDGE('',*,*,#16097,.T.); -#22405=ORIENTED_EDGE('',*,*,#16025,.F.); -#22406=ORIENTED_EDGE('',*,*,#16098,.F.); -#22407=ORIENTED_EDGE('',*,*,#14931,.T.); -#22408=ORIENTED_EDGE('',*,*,#16098,.T.); -#22409=ORIENTED_EDGE('',*,*,#16024,.F.); -#22410=ORIENTED_EDGE('',*,*,#16095,.F.); -#22411=ORIENTED_EDGE('',*,*,#14932,.T.); -#22412=ORIENTED_EDGE('',*,*,#16099,.T.); -#22413=ORIENTED_EDGE('',*,*,#16027,.F.); -#22414=ORIENTED_EDGE('',*,*,#16100,.F.); -#22415=ORIENTED_EDGE('',*,*,#14933,.T.); -#22416=ORIENTED_EDGE('',*,*,#16100,.T.); -#22417=ORIENTED_EDGE('',*,*,#16030,.F.); -#22418=ORIENTED_EDGE('',*,*,#16101,.F.); -#22419=ORIENTED_EDGE('',*,*,#14934,.T.); -#22420=ORIENTED_EDGE('',*,*,#16101,.T.); -#22421=ORIENTED_EDGE('',*,*,#16029,.F.); -#22422=ORIENTED_EDGE('',*,*,#16102,.F.); -#22423=ORIENTED_EDGE('',*,*,#14935,.T.); -#22424=ORIENTED_EDGE('',*,*,#16102,.T.); -#22425=ORIENTED_EDGE('',*,*,#16028,.F.); -#22426=ORIENTED_EDGE('',*,*,#16099,.F.); -#22427=ORIENTED_EDGE('',*,*,#14936,.T.); -#22428=ORIENTED_EDGE('',*,*,#16103,.T.); -#22429=ORIENTED_EDGE('',*,*,#16031,.F.); -#22430=ORIENTED_EDGE('',*,*,#16104,.F.); -#22431=ORIENTED_EDGE('',*,*,#14937,.T.); -#22432=ORIENTED_EDGE('',*,*,#16104,.T.); -#22433=ORIENTED_EDGE('',*,*,#16034,.F.); -#22434=ORIENTED_EDGE('',*,*,#16105,.F.); -#22435=ORIENTED_EDGE('',*,*,#14938,.T.); -#22436=ORIENTED_EDGE('',*,*,#16105,.T.); -#22437=ORIENTED_EDGE('',*,*,#16033,.F.); -#22438=ORIENTED_EDGE('',*,*,#16106,.F.); -#22439=ORIENTED_EDGE('',*,*,#14939,.T.); -#22440=ORIENTED_EDGE('',*,*,#16106,.T.); -#22441=ORIENTED_EDGE('',*,*,#16032,.F.); -#22442=ORIENTED_EDGE('',*,*,#16103,.F.); -#22443=ORIENTED_EDGE('',*,*,#14940,.T.); -#22444=ORIENTED_EDGE('',*,*,#16107,.T.); -#22445=ORIENTED_EDGE('',*,*,#16035,.F.); -#22446=ORIENTED_EDGE('',*,*,#16108,.F.); -#22447=ORIENTED_EDGE('',*,*,#14941,.T.); -#22448=ORIENTED_EDGE('',*,*,#16108,.T.); -#22449=ORIENTED_EDGE('',*,*,#16038,.F.); -#22450=ORIENTED_EDGE('',*,*,#16109,.F.); -#22451=ORIENTED_EDGE('',*,*,#14942,.T.); -#22452=ORIENTED_EDGE('',*,*,#16109,.T.); -#22453=ORIENTED_EDGE('',*,*,#16037,.F.); -#22454=ORIENTED_EDGE('',*,*,#16110,.F.); -#22455=ORIENTED_EDGE('',*,*,#14943,.T.); -#22456=ORIENTED_EDGE('',*,*,#16110,.T.); -#22457=ORIENTED_EDGE('',*,*,#16036,.F.); -#22458=ORIENTED_EDGE('',*,*,#16107,.F.); -#22459=ORIENTED_EDGE('',*,*,#14944,.T.); -#22460=ORIENTED_EDGE('',*,*,#16111,.T.); -#22461=ORIENTED_EDGE('',*,*,#16039,.F.); -#22462=ORIENTED_EDGE('',*,*,#16112,.F.); -#22463=ORIENTED_EDGE('',*,*,#14945,.T.); -#22464=ORIENTED_EDGE('',*,*,#16112,.T.); -#22465=ORIENTED_EDGE('',*,*,#16042,.F.); -#22466=ORIENTED_EDGE('',*,*,#16113,.F.); -#22467=ORIENTED_EDGE('',*,*,#14946,.T.); -#22468=ORIENTED_EDGE('',*,*,#16113,.T.); -#22469=ORIENTED_EDGE('',*,*,#16041,.F.); -#22470=ORIENTED_EDGE('',*,*,#16114,.F.); -#22471=ORIENTED_EDGE('',*,*,#14947,.T.); -#22472=ORIENTED_EDGE('',*,*,#16114,.T.); -#22473=ORIENTED_EDGE('',*,*,#16040,.F.); -#22474=ORIENTED_EDGE('',*,*,#16111,.F.); -#22475=ORIENTED_EDGE('',*,*,#14948,.T.); -#22476=ORIENTED_EDGE('',*,*,#16115,.T.); -#22477=ORIENTED_EDGE('',*,*,#16116,.F.); -#22478=ORIENTED_EDGE('',*,*,#16117,.F.); -#22479=ORIENTED_EDGE('',*,*,#14949,.T.); -#22480=ORIENTED_EDGE('',*,*,#16117,.T.); -#22481=ORIENTED_EDGE('',*,*,#16118,.F.); -#22482=ORIENTED_EDGE('',*,*,#16119,.F.); -#22483=ORIENTED_EDGE('',*,*,#14950,.T.); -#22484=ORIENTED_EDGE('',*,*,#16119,.T.); -#22485=ORIENTED_EDGE('',*,*,#16120,.F.); -#22486=ORIENTED_EDGE('',*,*,#16121,.F.); -#22487=ORIENTED_EDGE('',*,*,#14951,.T.); -#22488=ORIENTED_EDGE('',*,*,#16121,.T.); -#22489=ORIENTED_EDGE('',*,*,#16122,.F.); -#22490=ORIENTED_EDGE('',*,*,#16115,.F.); -#22491=ORIENTED_EDGE('',*,*,#16116,.T.); -#22492=ORIENTED_EDGE('',*,*,#16122,.T.); -#22493=ORIENTED_EDGE('',*,*,#16120,.T.); -#22494=ORIENTED_EDGE('',*,*,#16118,.T.); -#22495=ORIENTED_EDGE('',*,*,#16123,.T.); -#22496=ORIENTED_EDGE('',*,*,#16124,.T.); -#22497=ORIENTED_EDGE('',*,*,#16125,.T.); -#22498=ORIENTED_EDGE('',*,*,#16126,.T.); -#22499=ORIENTED_EDGE('',*,*,#16127,.T.); -#22500=ORIENTED_EDGE('',*,*,#16128,.T.); -#22501=ORIENTED_EDGE('',*,*,#16129,.T.); -#22502=ORIENTED_EDGE('',*,*,#16130,.T.); -#22503=ORIENTED_EDGE('',*,*,#16131,.T.); -#22504=ORIENTED_EDGE('',*,*,#16132,.T.); -#22505=ORIENTED_EDGE('',*,*,#16133,.T.); -#22506=ORIENTED_EDGE('',*,*,#16134,.T.); -#22507=ORIENTED_EDGE('',*,*,#16135,.T.); -#22508=ORIENTED_EDGE('',*,*,#16136,.T.); -#22509=ORIENTED_EDGE('',*,*,#16137,.T.); -#22510=ORIENTED_EDGE('',*,*,#16138,.T.); -#22511=ORIENTED_EDGE('',*,*,#16139,.T.); -#22512=ORIENTED_EDGE('',*,*,#16140,.T.); -#22513=ORIENTED_EDGE('',*,*,#16141,.T.); -#22514=ORIENTED_EDGE('',*,*,#16142,.T.); -#22515=ORIENTED_EDGE('',*,*,#16143,.T.); -#22516=ORIENTED_EDGE('',*,*,#16144,.T.); -#22517=ORIENTED_EDGE('',*,*,#16145,.T.); -#22518=ORIENTED_EDGE('',*,*,#16146,.T.); -#22519=ORIENTED_EDGE('',*,*,#16147,.T.); -#22520=ORIENTED_EDGE('',*,*,#16148,.T.); -#22521=ORIENTED_EDGE('',*,*,#16149,.T.); -#22522=ORIENTED_EDGE('',*,*,#16150,.T.); -#22523=ORIENTED_EDGE('',*,*,#14952,.T.); -#22524=ORIENTED_EDGE('',*,*,#16151,.T.); -#22525=ORIENTED_EDGE('',*,*,#16123,.F.); -#22526=ORIENTED_EDGE('',*,*,#16152,.F.); -#22527=ORIENTED_EDGE('',*,*,#14953,.T.); -#22528=ORIENTED_EDGE('',*,*,#16152,.T.); -#22529=ORIENTED_EDGE('',*,*,#16126,.F.); -#22530=ORIENTED_EDGE('',*,*,#16153,.F.); -#22531=ORIENTED_EDGE('',*,*,#14954,.T.); -#22532=ORIENTED_EDGE('',*,*,#16153,.T.); -#22533=ORIENTED_EDGE('',*,*,#16125,.F.); -#22534=ORIENTED_EDGE('',*,*,#16154,.F.); -#22535=ORIENTED_EDGE('',*,*,#14955,.T.); -#22536=ORIENTED_EDGE('',*,*,#16154,.T.); -#22537=ORIENTED_EDGE('',*,*,#16124,.F.); -#22538=ORIENTED_EDGE('',*,*,#16151,.F.); -#22539=ORIENTED_EDGE('',*,*,#14956,.T.); -#22540=ORIENTED_EDGE('',*,*,#16155,.T.); -#22541=ORIENTED_EDGE('',*,*,#16127,.F.); -#22542=ORIENTED_EDGE('',*,*,#16156,.F.); -#22543=ORIENTED_EDGE('',*,*,#14957,.T.); -#22544=ORIENTED_EDGE('',*,*,#16156,.T.); -#22545=ORIENTED_EDGE('',*,*,#16130,.F.); -#22546=ORIENTED_EDGE('',*,*,#16157,.F.); -#22547=ORIENTED_EDGE('',*,*,#14958,.T.); -#22548=ORIENTED_EDGE('',*,*,#16157,.T.); -#22549=ORIENTED_EDGE('',*,*,#16129,.F.); -#22550=ORIENTED_EDGE('',*,*,#16158,.F.); -#22551=ORIENTED_EDGE('',*,*,#14959,.T.); -#22552=ORIENTED_EDGE('',*,*,#16158,.T.); -#22553=ORIENTED_EDGE('',*,*,#16128,.F.); -#22554=ORIENTED_EDGE('',*,*,#16155,.F.); -#22555=ORIENTED_EDGE('',*,*,#14960,.T.); -#22556=ORIENTED_EDGE('',*,*,#16159,.T.); -#22557=ORIENTED_EDGE('',*,*,#16131,.F.); -#22558=ORIENTED_EDGE('',*,*,#16160,.F.); -#22559=ORIENTED_EDGE('',*,*,#14961,.T.); -#22560=ORIENTED_EDGE('',*,*,#16160,.T.); -#22561=ORIENTED_EDGE('',*,*,#16134,.F.); -#22562=ORIENTED_EDGE('',*,*,#16161,.F.); -#22563=ORIENTED_EDGE('',*,*,#14962,.T.); -#22564=ORIENTED_EDGE('',*,*,#16161,.T.); -#22565=ORIENTED_EDGE('',*,*,#16133,.F.); -#22566=ORIENTED_EDGE('',*,*,#16162,.F.); -#22567=ORIENTED_EDGE('',*,*,#14963,.T.); -#22568=ORIENTED_EDGE('',*,*,#16162,.T.); -#22569=ORIENTED_EDGE('',*,*,#16132,.F.); -#22570=ORIENTED_EDGE('',*,*,#16159,.F.); -#22571=ORIENTED_EDGE('',*,*,#14964,.T.); -#22572=ORIENTED_EDGE('',*,*,#16163,.T.); -#22573=ORIENTED_EDGE('',*,*,#16135,.F.); -#22574=ORIENTED_EDGE('',*,*,#16164,.F.); -#22575=ORIENTED_EDGE('',*,*,#14965,.T.); -#22576=ORIENTED_EDGE('',*,*,#16164,.T.); -#22577=ORIENTED_EDGE('',*,*,#16138,.F.); -#22578=ORIENTED_EDGE('',*,*,#16165,.F.); -#22579=ORIENTED_EDGE('',*,*,#14966,.T.); -#22580=ORIENTED_EDGE('',*,*,#16165,.T.); -#22581=ORIENTED_EDGE('',*,*,#16137,.F.); -#22582=ORIENTED_EDGE('',*,*,#16166,.F.); -#22583=ORIENTED_EDGE('',*,*,#14967,.T.); -#22584=ORIENTED_EDGE('',*,*,#16166,.T.); -#22585=ORIENTED_EDGE('',*,*,#16136,.F.); -#22586=ORIENTED_EDGE('',*,*,#16163,.F.); -#22587=ORIENTED_EDGE('',*,*,#14968,.T.); -#22588=ORIENTED_EDGE('',*,*,#16167,.T.); -#22589=ORIENTED_EDGE('',*,*,#16139,.F.); -#22590=ORIENTED_EDGE('',*,*,#16168,.F.); -#22591=ORIENTED_EDGE('',*,*,#14969,.T.); -#22592=ORIENTED_EDGE('',*,*,#16168,.T.); -#22593=ORIENTED_EDGE('',*,*,#16142,.F.); -#22594=ORIENTED_EDGE('',*,*,#16169,.F.); -#22595=ORIENTED_EDGE('',*,*,#14970,.T.); -#22596=ORIENTED_EDGE('',*,*,#16169,.T.); -#22597=ORIENTED_EDGE('',*,*,#16141,.F.); -#22598=ORIENTED_EDGE('',*,*,#16170,.F.); -#22599=ORIENTED_EDGE('',*,*,#14971,.T.); -#22600=ORIENTED_EDGE('',*,*,#16170,.T.); -#22601=ORIENTED_EDGE('',*,*,#16140,.F.); -#22602=ORIENTED_EDGE('',*,*,#16167,.F.); -#22603=ORIENTED_EDGE('',*,*,#14972,.T.); -#22604=ORIENTED_EDGE('',*,*,#16171,.T.); -#22605=ORIENTED_EDGE('',*,*,#16143,.F.); -#22606=ORIENTED_EDGE('',*,*,#16172,.F.); -#22607=ORIENTED_EDGE('',*,*,#14973,.T.); -#22608=ORIENTED_EDGE('',*,*,#16172,.T.); -#22609=ORIENTED_EDGE('',*,*,#16146,.F.); -#22610=ORIENTED_EDGE('',*,*,#16173,.F.); -#22611=ORIENTED_EDGE('',*,*,#14974,.T.); -#22612=ORIENTED_EDGE('',*,*,#16173,.T.); -#22613=ORIENTED_EDGE('',*,*,#16145,.F.); -#22614=ORIENTED_EDGE('',*,*,#16174,.F.); -#22615=ORIENTED_EDGE('',*,*,#14975,.T.); -#22616=ORIENTED_EDGE('',*,*,#16174,.T.); -#22617=ORIENTED_EDGE('',*,*,#16144,.F.); -#22618=ORIENTED_EDGE('',*,*,#16171,.F.); -#22619=ORIENTED_EDGE('',*,*,#14976,.T.); -#22620=ORIENTED_EDGE('',*,*,#16175,.T.); -#22621=ORIENTED_EDGE('',*,*,#16147,.F.); -#22622=ORIENTED_EDGE('',*,*,#16176,.F.); -#22623=ORIENTED_EDGE('',*,*,#14977,.T.); -#22624=ORIENTED_EDGE('',*,*,#16176,.T.); -#22625=ORIENTED_EDGE('',*,*,#16150,.F.); -#22626=ORIENTED_EDGE('',*,*,#16177,.F.); -#22627=ORIENTED_EDGE('',*,*,#14978,.T.); -#22628=ORIENTED_EDGE('',*,*,#16177,.T.); -#22629=ORIENTED_EDGE('',*,*,#16149,.F.); -#22630=ORIENTED_EDGE('',*,*,#16178,.F.); -#22631=ORIENTED_EDGE('',*,*,#14979,.T.); -#22632=ORIENTED_EDGE('',*,*,#16178,.T.); -#22633=ORIENTED_EDGE('',*,*,#16148,.F.); -#22634=ORIENTED_EDGE('',*,*,#16175,.F.); -#22635=ORIENTED_EDGE('',*,*,#14980,.T.); -#22636=ORIENTED_EDGE('',*,*,#16179,.T.); -#22637=ORIENTED_EDGE('',*,*,#16180,.F.); -#22638=ORIENTED_EDGE('',*,*,#16181,.F.); -#22639=ORIENTED_EDGE('',*,*,#14981,.T.); -#22640=ORIENTED_EDGE('',*,*,#16181,.T.); -#22641=ORIENTED_EDGE('',*,*,#16182,.F.); -#22642=ORIENTED_EDGE('',*,*,#16183,.F.); -#22643=ORIENTED_EDGE('',*,*,#14982,.T.); -#22644=ORIENTED_EDGE('',*,*,#16183,.T.); -#22645=ORIENTED_EDGE('',*,*,#16184,.F.); -#22646=ORIENTED_EDGE('',*,*,#16185,.F.); -#22647=ORIENTED_EDGE('',*,*,#14983,.T.); -#22648=ORIENTED_EDGE('',*,*,#16185,.T.); -#22649=ORIENTED_EDGE('',*,*,#16186,.F.); -#22650=ORIENTED_EDGE('',*,*,#16179,.F.); -#22651=ORIENTED_EDGE('',*,*,#16180,.T.); -#22652=ORIENTED_EDGE('',*,*,#16186,.T.); -#22653=ORIENTED_EDGE('',*,*,#16184,.T.); -#22654=ORIENTED_EDGE('',*,*,#16182,.T.); -#22655=ORIENTED_EDGE('',*,*,#16187,.T.); -#22656=ORIENTED_EDGE('',*,*,#16188,.T.); -#22657=ORIENTED_EDGE('',*,*,#16189,.T.); -#22658=ORIENTED_EDGE('',*,*,#16190,.T.); -#22659=ORIENTED_EDGE('',*,*,#16191,.T.); -#22660=ORIENTED_EDGE('',*,*,#16192,.T.); -#22661=ORIENTED_EDGE('',*,*,#16193,.T.); -#22662=ORIENTED_EDGE('',*,*,#16194,.T.); -#22663=ORIENTED_EDGE('',*,*,#16195,.T.); -#22664=ORIENTED_EDGE('',*,*,#16196,.T.); -#22665=ORIENTED_EDGE('',*,*,#16197,.T.); -#22666=ORIENTED_EDGE('',*,*,#16198,.T.); -#22667=ORIENTED_EDGE('',*,*,#16199,.T.); -#22668=ORIENTED_EDGE('',*,*,#16200,.T.); -#22669=ORIENTED_EDGE('',*,*,#16201,.T.); -#22670=ORIENTED_EDGE('',*,*,#16202,.T.); -#22671=ORIENTED_EDGE('',*,*,#14984,.T.); -#22672=ORIENTED_EDGE('',*,*,#16203,.T.); -#22673=ORIENTED_EDGE('',*,*,#16187,.F.); -#22674=ORIENTED_EDGE('',*,*,#16204,.F.); -#22675=ORIENTED_EDGE('',*,*,#14985,.T.); -#22676=ORIENTED_EDGE('',*,*,#16204,.T.); -#22677=ORIENTED_EDGE('',*,*,#16190,.F.); -#22678=ORIENTED_EDGE('',*,*,#16205,.F.); -#22679=ORIENTED_EDGE('',*,*,#14986,.T.); -#22680=ORIENTED_EDGE('',*,*,#16205,.T.); -#22681=ORIENTED_EDGE('',*,*,#16189,.F.); -#22682=ORIENTED_EDGE('',*,*,#16206,.F.); -#22683=ORIENTED_EDGE('',*,*,#14987,.T.); -#22684=ORIENTED_EDGE('',*,*,#16206,.T.); -#22685=ORIENTED_EDGE('',*,*,#16188,.F.); -#22686=ORIENTED_EDGE('',*,*,#16203,.F.); -#22687=ORIENTED_EDGE('',*,*,#14988,.T.); -#22688=ORIENTED_EDGE('',*,*,#16207,.T.); -#22689=ORIENTED_EDGE('',*,*,#16191,.F.); -#22690=ORIENTED_EDGE('',*,*,#16208,.F.); -#22691=ORIENTED_EDGE('',*,*,#14989,.T.); -#22692=ORIENTED_EDGE('',*,*,#16208,.T.); -#22693=ORIENTED_EDGE('',*,*,#16194,.F.); -#22694=ORIENTED_EDGE('',*,*,#16209,.F.); -#22695=ORIENTED_EDGE('',*,*,#14990,.T.); -#22696=ORIENTED_EDGE('',*,*,#16209,.T.); -#22697=ORIENTED_EDGE('',*,*,#16193,.F.); -#22698=ORIENTED_EDGE('',*,*,#16210,.F.); -#22699=ORIENTED_EDGE('',*,*,#14991,.T.); -#22700=ORIENTED_EDGE('',*,*,#16210,.T.); -#22701=ORIENTED_EDGE('',*,*,#16192,.F.); -#22702=ORIENTED_EDGE('',*,*,#16207,.F.); -#22703=ORIENTED_EDGE('',*,*,#14992,.T.); -#22704=ORIENTED_EDGE('',*,*,#16211,.T.); -#22705=ORIENTED_EDGE('',*,*,#16195,.F.); -#22706=ORIENTED_EDGE('',*,*,#16212,.F.); -#22707=ORIENTED_EDGE('',*,*,#14993,.T.); -#22708=ORIENTED_EDGE('',*,*,#16212,.T.); -#22709=ORIENTED_EDGE('',*,*,#16198,.F.); -#22710=ORIENTED_EDGE('',*,*,#16213,.F.); -#22711=ORIENTED_EDGE('',*,*,#14994,.T.); -#22712=ORIENTED_EDGE('',*,*,#16213,.T.); -#22713=ORIENTED_EDGE('',*,*,#16197,.F.); -#22714=ORIENTED_EDGE('',*,*,#16214,.F.); -#22715=ORIENTED_EDGE('',*,*,#14995,.T.); -#22716=ORIENTED_EDGE('',*,*,#16214,.T.); -#22717=ORIENTED_EDGE('',*,*,#16196,.F.); -#22718=ORIENTED_EDGE('',*,*,#16211,.F.); -#22719=ORIENTED_EDGE('',*,*,#14996,.T.); -#22720=ORIENTED_EDGE('',*,*,#16215,.T.); -#22721=ORIENTED_EDGE('',*,*,#16199,.F.); -#22722=ORIENTED_EDGE('',*,*,#16216,.F.); -#22723=ORIENTED_EDGE('',*,*,#14997,.T.); -#22724=ORIENTED_EDGE('',*,*,#16216,.T.); -#22725=ORIENTED_EDGE('',*,*,#16202,.F.); -#22726=ORIENTED_EDGE('',*,*,#16217,.F.); -#22727=ORIENTED_EDGE('',*,*,#14998,.T.); -#22728=ORIENTED_EDGE('',*,*,#16217,.T.); -#22729=ORIENTED_EDGE('',*,*,#16201,.F.); -#22730=ORIENTED_EDGE('',*,*,#16218,.F.); -#22731=ORIENTED_EDGE('',*,*,#14999,.T.); -#22732=ORIENTED_EDGE('',*,*,#16218,.T.); -#22733=ORIENTED_EDGE('',*,*,#16200,.F.); -#22734=ORIENTED_EDGE('',*,*,#16215,.F.); -#22735=ORIENTED_EDGE('',*,*,#15000,.T.); -#22736=ORIENTED_EDGE('',*,*,#16219,.T.); -#22737=ORIENTED_EDGE('',*,*,#16220,.F.); -#22738=ORIENTED_EDGE('',*,*,#16221,.F.); -#22739=ORIENTED_EDGE('',*,*,#15001,.T.); -#22740=ORIENTED_EDGE('',*,*,#16221,.T.); -#22741=ORIENTED_EDGE('',*,*,#16222,.F.); -#22742=ORIENTED_EDGE('',*,*,#16223,.F.); -#22743=ORIENTED_EDGE('',*,*,#15002,.T.); -#22744=ORIENTED_EDGE('',*,*,#16223,.T.); -#22745=ORIENTED_EDGE('',*,*,#16224,.F.); -#22746=ORIENTED_EDGE('',*,*,#16225,.F.); -#22747=ORIENTED_EDGE('',*,*,#15003,.T.); -#22748=ORIENTED_EDGE('',*,*,#16225,.T.); -#22749=ORIENTED_EDGE('',*,*,#16226,.F.); -#22750=ORIENTED_EDGE('',*,*,#16219,.F.); -#22751=ORIENTED_EDGE('',*,*,#16220,.T.); -#22752=ORIENTED_EDGE('',*,*,#16226,.T.); -#22753=ORIENTED_EDGE('',*,*,#16224,.T.); -#22754=ORIENTED_EDGE('',*,*,#16222,.T.); -#22755=ORIENTED_EDGE('',*,*,#16227,.T.); -#22756=ORIENTED_EDGE('',*,*,#16228,.T.); -#22757=ORIENTED_EDGE('',*,*,#16229,.T.); -#22758=ORIENTED_EDGE('',*,*,#16230,.T.); -#22759=ORIENTED_EDGE('',*,*,#15004,.T.); -#22760=ORIENTED_EDGE('',*,*,#16231,.T.); -#22761=ORIENTED_EDGE('',*,*,#16227,.F.); -#22762=ORIENTED_EDGE('',*,*,#16232,.F.); -#22763=ORIENTED_EDGE('',*,*,#15005,.T.); -#22764=ORIENTED_EDGE('',*,*,#16232,.T.); -#22765=ORIENTED_EDGE('',*,*,#16230,.F.); -#22766=ORIENTED_EDGE('',*,*,#16233,.F.); -#22767=ORIENTED_EDGE('',*,*,#15006,.T.); -#22768=ORIENTED_EDGE('',*,*,#16233,.T.); -#22769=ORIENTED_EDGE('',*,*,#16229,.F.); -#22770=ORIENTED_EDGE('',*,*,#16234,.F.); -#22771=ORIENTED_EDGE('',*,*,#15007,.T.); -#22772=ORIENTED_EDGE('',*,*,#16234,.T.); -#22773=ORIENTED_EDGE('',*,*,#16228,.F.); -#22774=ORIENTED_EDGE('',*,*,#16231,.F.); -#22775=ORIENTED_EDGE('',*,*,#15008,.T.); -#22776=ORIENTED_EDGE('',*,*,#16235,.T.); -#22777=ORIENTED_EDGE('',*,*,#16236,.F.); -#22778=ORIENTED_EDGE('',*,*,#16237,.F.); -#22779=ORIENTED_EDGE('',*,*,#15013,.T.); -#22780=ORIENTED_EDGE('',*,*,#16238,.T.); -#22781=ORIENTED_EDGE('',*,*,#16239,.F.); -#22782=ORIENTED_EDGE('',*,*,#16240,.F.); -#22783=ORIENTED_EDGE('',*,*,#15009,.T.); -#22784=ORIENTED_EDGE('',*,*,#16237,.T.); -#22785=ORIENTED_EDGE('',*,*,#16241,.F.); -#22786=ORIENTED_EDGE('',*,*,#16242,.F.); -#22787=ORIENTED_EDGE('',*,*,#15014,.T.); -#22788=ORIENTED_EDGE('',*,*,#16240,.T.); -#22789=ORIENTED_EDGE('',*,*,#16243,.F.); -#22790=ORIENTED_EDGE('',*,*,#16244,.F.); -#22791=ORIENTED_EDGE('',*,*,#15019,.T.); -#22792=ORIENTED_EDGE('',*,*,#16245,.T.); -#22793=ORIENTED_EDGE('',*,*,#16246,.F.); -#22794=ORIENTED_EDGE('',*,*,#16235,.F.); -#22795=ORIENTED_EDGE('',*,*,#15015,.T.); -#22796=ORIENTED_EDGE('',*,*,#16244,.T.); -#22797=ORIENTED_EDGE('',*,*,#16247,.F.); -#22798=ORIENTED_EDGE('',*,*,#16248,.F.); -#22799=ORIENTED_EDGE('',*,*,#16249,.T.); -#22800=ORIENTED_EDGE('',*,*,#16241,.T.); -#22801=ORIENTED_EDGE('',*,*,#16236,.T.); -#22802=ORIENTED_EDGE('',*,*,#16246,.T.); -#22803=ORIENTED_EDGE('',*,*,#16250,.F.); -#22804=ORIENTED_EDGE('',*,*,#16247,.T.); -#22805=ORIENTED_EDGE('',*,*,#16243,.T.); -#22806=ORIENTED_EDGE('',*,*,#16239,.T.); -#22807=ORIENTED_EDGE('',*,*,#16251,.F.); -#22808=ORIENTED_EDGE('',*,*,#16252,.T.); -#22809=ORIENTED_EDGE('',*,*,#16253,.T.); -#22810=ORIENTED_EDGE('',*,*,#16254,.T.); -#22811=ORIENTED_EDGE('',*,*,#16255,.T.); -#22812=ORIENTED_EDGE('',*,*,#16256,.T.); -#22813=ORIENTED_EDGE('',*,*,#16257,.T.); -#22814=ORIENTED_EDGE('',*,*,#16258,.T.); -#22815=ORIENTED_EDGE('',*,*,#16249,.F.); -#22816=ORIENTED_EDGE('',*,*,#16245,.F.); -#22817=ORIENTED_EDGE('',*,*,#15018,.T.); -#22818=ORIENTED_EDGE('',*,*,#16259,.T.); -#22819=ORIENTED_EDGE('',*,*,#16260,.F.); -#22820=ORIENTED_EDGE('',*,*,#16261,.F.); -#22821=ORIENTED_EDGE('',*,*,#15010,.T.); -#22822=ORIENTED_EDGE('',*,*,#16242,.T.); -#22823=ORIENTED_EDGE('',*,*,#15011,.T.); -#22824=ORIENTED_EDGE('',*,*,#16261,.T.); -#22825=ORIENTED_EDGE('',*,*,#16262,.F.); -#22826=ORIENTED_EDGE('',*,*,#16263,.F.); -#22827=ORIENTED_EDGE('',*,*,#16250,.T.); -#22828=ORIENTED_EDGE('',*,*,#16238,.F.); -#22829=ORIENTED_EDGE('',*,*,#15012,.T.); -#22830=ORIENTED_EDGE('',*,*,#16263,.T.); -#22831=ORIENTED_EDGE('',*,*,#16264,.F.); -#22832=ORIENTED_EDGE('',*,*,#16265,.F.); -#22833=ORIENTED_EDGE('',*,*,#15016,.T.); -#22834=ORIENTED_EDGE('',*,*,#16248,.T.); -#22835=ORIENTED_EDGE('',*,*,#15017,.T.); -#22836=ORIENTED_EDGE('',*,*,#16265,.T.); -#22837=ORIENTED_EDGE('',*,*,#16266,.F.); -#22838=ORIENTED_EDGE('',*,*,#16259,.F.); -#22839=ORIENTED_EDGE('',*,*,#16260,.T.); -#22840=ORIENTED_EDGE('',*,*,#16266,.T.); -#22841=ORIENTED_EDGE('',*,*,#16264,.T.); -#22842=ORIENTED_EDGE('',*,*,#16262,.T.); -#22843=ORIENTED_EDGE('',*,*,#16267,.T.); -#22844=ORIENTED_EDGE('',*,*,#16268,.T.); -#22845=ORIENTED_EDGE('',*,*,#16269,.T.); -#22846=ORIENTED_EDGE('',*,*,#16270,.T.); -#22847=ORIENTED_EDGE('',*,*,#16251,.T.); -#22848=ORIENTED_EDGE('',*,*,#16271,.F.); -#22849=ORIENTED_EDGE('',*,*,#15025,.T.); -#22850=ORIENTED_EDGE('',*,*,#16272,.T.); -#22851=ORIENTED_EDGE('',*,*,#16267,.F.); -#22852=ORIENTED_EDGE('',*,*,#16273,.F.); -#22853=ORIENTED_EDGE('',*,*,#15029,.T.); -#22854=ORIENTED_EDGE('',*,*,#16274,.T.); -#22855=ORIENTED_EDGE('',*,*,#15020,.T.); -#22856=ORIENTED_EDGE('',*,*,#16275,.T.); -#22857=ORIENTED_EDGE('',*,*,#16258,.F.); -#22858=ORIENTED_EDGE('',*,*,#16276,.F.); -#22859=ORIENTED_EDGE('',*,*,#15028,.T.); -#22860=ORIENTED_EDGE('',*,*,#16277,.T.); -#22861=ORIENTED_EDGE('',*,*,#16252,.F.); -#22862=ORIENTED_EDGE('',*,*,#16274,.F.); -#22863=ORIENTED_EDGE('',*,*,#15021,.T.); -#22864=ORIENTED_EDGE('',*,*,#16276,.T.); -#22865=ORIENTED_EDGE('',*,*,#16257,.F.); -#22866=ORIENTED_EDGE('',*,*,#16278,.F.); -#22867=ORIENTED_EDGE('',*,*,#15026,.T.); -#22868=ORIENTED_EDGE('',*,*,#16271,.T.); -#22869=ORIENTED_EDGE('',*,*,#16254,.F.); -#22870=ORIENTED_EDGE('',*,*,#16279,.F.); -#22871=ORIENTED_EDGE('',*,*,#15022,.T.); -#22872=ORIENTED_EDGE('',*,*,#16278,.T.); -#22873=ORIENTED_EDGE('',*,*,#16256,.F.); -#22874=ORIENTED_EDGE('',*,*,#16280,.F.); -#22875=ORIENTED_EDGE('',*,*,#15027,.T.); -#22876=ORIENTED_EDGE('',*,*,#16279,.T.); -#22877=ORIENTED_EDGE('',*,*,#16253,.F.); -#22878=ORIENTED_EDGE('',*,*,#16277,.F.); -#22879=ORIENTED_EDGE('',*,*,#16255,.F.); -#22880=ORIENTED_EDGE('',*,*,#16275,.F.); -#22881=ORIENTED_EDGE('',*,*,#15031,.T.); -#22882=ORIENTED_EDGE('',*,*,#16281,.T.); -#22883=ORIENTED_EDGE('',*,*,#16269,.F.); -#22884=ORIENTED_EDGE('',*,*,#16282,.F.); -#22885=ORIENTED_EDGE('',*,*,#15023,.T.); -#22886=ORIENTED_EDGE('',*,*,#16280,.T.); -#22887=ORIENTED_EDGE('',*,*,#15024,.T.); -#22888=ORIENTED_EDGE('',*,*,#16282,.T.); -#22889=ORIENTED_EDGE('',*,*,#16268,.F.); -#22890=ORIENTED_EDGE('',*,*,#16272,.F.); -#22891=ORIENTED_EDGE('',*,*,#15030,.T.); -#22892=ORIENTED_EDGE('',*,*,#16273,.T.); -#22893=ORIENTED_EDGE('',*,*,#16270,.F.); -#22894=ORIENTED_EDGE('',*,*,#16281,.F.); -#22895=ORIENTED_EDGE('',*,*,#15032,.T.); -#22896=ORIENTED_EDGE('',*,*,#16283,.T.); -#22897=ORIENTED_EDGE('',*,*,#16284,.F.); -#22898=ORIENTED_EDGE('',*,*,#16285,.F.); -#22899=ORIENTED_EDGE('',*,*,#15033,.T.); -#22900=ORIENTED_EDGE('',*,*,#16285,.T.); -#22901=ORIENTED_EDGE('',*,*,#16286,.F.); -#22902=ORIENTED_EDGE('',*,*,#16287,.F.); -#22903=ORIENTED_EDGE('',*,*,#16288,.T.); -#22904=ORIENTED_EDGE('',*,*,#16289,.F.); -#22905=ORIENTED_EDGE('',*,*,#15034,.T.); -#22906=ORIENTED_EDGE('',*,*,#16287,.T.); -#22907=ORIENTED_EDGE('',*,*,#16290,.T.); -#22908=ORIENTED_EDGE('',*,*,#16291,.F.); -#22909=ORIENTED_EDGE('',*,*,#15046,.T.); -#22910=ORIENTED_EDGE('',*,*,#16292,.T.); -#22911=ORIENTED_EDGE('',*,*,#16293,.F.); -#22912=ORIENTED_EDGE('',*,*,#16294,.F.); -#22913=ORIENTED_EDGE('',*,*,#15038,.T.); -#22914=ORIENTED_EDGE('',*,*,#16295,.T.); -#22915=ORIENTED_EDGE('',*,*,#16296,.F.); -#22916=ORIENTED_EDGE('',*,*,#16297,.T.); -#22917=ORIENTED_EDGE('',*,*,#16298,.T.); -#22918=ORIENTED_EDGE('',*,*,#16299,.T.); -#22919=ORIENTED_EDGE('',*,*,#16300,.F.); -#22920=ORIENTED_EDGE('',*,*,#16301,.T.); -#22921=ORIENTED_EDGE('',*,*,#16302,.T.); -#22922=ORIENTED_EDGE('',*,*,#16303,.T.); -#22923=ORIENTED_EDGE('',*,*,#16304,.T.); -#22924=ORIENTED_EDGE('',*,*,#16305,.T.); -#22925=ORIENTED_EDGE('',*,*,#16306,.T.); -#22926=ORIENTED_EDGE('',*,*,#16307,.T.); -#22927=ORIENTED_EDGE('',*,*,#16308,.F.); -#22928=ORIENTED_EDGE('',*,*,#16309,.T.); -#22929=ORIENTED_EDGE('',*,*,#16310,.T.); -#22930=ORIENTED_EDGE('',*,*,#16311,.T.); -#22931=ORIENTED_EDGE('',*,*,#16312,.T.); -#22932=ORIENTED_EDGE('',*,*,#16313,.T.); -#22933=ORIENTED_EDGE('',*,*,#16314,.T.); -#22934=ORIENTED_EDGE('',*,*,#16315,.T.); -#22935=ORIENTED_EDGE('',*,*,#16316,.T.); -#22936=ORIENTED_EDGE('',*,*,#16317,.T.); -#22937=ORIENTED_EDGE('',*,*,#16318,.T.); -#22938=ORIENTED_EDGE('',*,*,#16319,.T.); -#22939=ORIENTED_EDGE('',*,*,#16320,.F.); -#22940=ORIENTED_EDGE('',*,*,#16321,.T.); -#22941=ORIENTED_EDGE('',*,*,#16322,.T.); -#22942=ORIENTED_EDGE('',*,*,#16323,.T.); -#22943=ORIENTED_EDGE('',*,*,#16288,.F.); -#22944=ORIENTED_EDGE('',*,*,#16324,.T.); -#22945=ORIENTED_EDGE('',*,*,#16325,.T.); -#22946=ORIENTED_EDGE('',*,*,#16326,.T.); -#22947=ORIENTED_EDGE('',*,*,#16290,.F.); -#22948=ORIENTED_EDGE('',*,*,#16286,.T.); -#22949=ORIENTED_EDGE('',*,*,#16284,.T.); -#22950=ORIENTED_EDGE('',*,*,#16327,.T.); -#22951=ORIENTED_EDGE('',*,*,#16328,.T.); -#22952=ORIENTED_EDGE('',*,*,#16329,.T.); -#22953=ORIENTED_EDGE('',*,*,#16330,.T.); -#22954=ORIENTED_EDGE('',*,*,#16331,.T.); -#22955=ORIENTED_EDGE('',*,*,#16332,.F.); -#22956=ORIENTED_EDGE('',*,*,#16333,.T.); -#22957=ORIENTED_EDGE('',*,*,#16334,.T.); -#22958=ORIENTED_EDGE('',*,*,#16335,.T.); -#22959=ORIENTED_EDGE('',*,*,#16336,.F.); -#22960=ORIENTED_EDGE('',*,*,#16337,.T.); -#22961=ORIENTED_EDGE('',*,*,#16338,.T.); -#22962=ORIENTED_EDGE('',*,*,#16339,.T.); -#22963=ORIENTED_EDGE('',*,*,#16296,.T.); -#22964=ORIENTED_EDGE('',*,*,#16340,.F.); -#22965=ORIENTED_EDGE('',*,*,#15089,.T.); -#22966=ORIENTED_EDGE('',*,*,#16341,.T.); -#22967=ORIENTED_EDGE('',*,*,#16300,.T.); -#22968=ORIENTED_EDGE('',*,*,#16342,.F.); -#22969=ORIENTED_EDGE('',*,*,#15085,.T.); -#22970=ORIENTED_EDGE('',*,*,#16343,.T.); -#22971=ORIENTED_EDGE('',*,*,#16344,.F.); -#22972=ORIENTED_EDGE('',*,*,#16345,.F.); -#22973=ORIENTED_EDGE('',*,*,#15093,.T.); -#22974=ORIENTED_EDGE('',*,*,#16346,.T.); -#22975=ORIENTED_EDGE('',*,*,#15092,.T.); -#22976=ORIENTED_EDGE('',*,*,#16347,.T.); -#22977=ORIENTED_EDGE('',*,*,#16297,.F.); -#22978=ORIENTED_EDGE('',*,*,#16346,.F.); -#22979=ORIENTED_EDGE('',*,*,#15091,.T.); -#22980=ORIENTED_EDGE('',*,*,#16348,.T.); -#22981=ORIENTED_EDGE('',*,*,#16298,.F.); -#22982=ORIENTED_EDGE('',*,*,#16347,.F.); -#22983=ORIENTED_EDGE('',*,*,#15090,.T.); -#22984=ORIENTED_EDGE('',*,*,#16340,.T.); -#22985=ORIENTED_EDGE('',*,*,#16299,.F.); -#22986=ORIENTED_EDGE('',*,*,#16348,.F.); -#22987=ORIENTED_EDGE('',*,*,#15094,.T.); -#22988=ORIENTED_EDGE('',*,*,#16345,.T.); -#22989=ORIENTED_EDGE('',*,*,#16349,.F.); -#22990=ORIENTED_EDGE('',*,*,#16350,.F.); -#22991=ORIENTED_EDGE('',*,*,#16304,.F.); -#22992=ORIENTED_EDGE('',*,*,#16351,.F.); -#22993=ORIENTED_EDGE('',*,*,#15095,.T.); -#22994=ORIENTED_EDGE('',*,*,#16350,.T.); -#22995=ORIENTED_EDGE('',*,*,#16352,.F.); -#22996=ORIENTED_EDGE('',*,*,#16353,.F.); -#22997=ORIENTED_EDGE('',*,*,#15083,.T.); -#22998=ORIENTED_EDGE('',*,*,#16354,.T.); -#22999=ORIENTED_EDGE('',*,*,#15082,.T.); -#23000=ORIENTED_EDGE('',*,*,#16355,.T.); -#23001=ORIENTED_EDGE('',*,*,#16305,.F.); -#23002=ORIENTED_EDGE('',*,*,#16354,.F.); -#23003=ORIENTED_EDGE('',*,*,#15081,.T.); -#23004=ORIENTED_EDGE('',*,*,#16356,.T.); -#23005=ORIENTED_EDGE('',*,*,#16306,.F.); -#23006=ORIENTED_EDGE('',*,*,#16355,.F.); -#23007=ORIENTED_EDGE('',*,*,#15080,.T.); -#23008=ORIENTED_EDGE('',*,*,#16351,.T.); -#23009=ORIENTED_EDGE('',*,*,#16307,.F.); -#23010=ORIENTED_EDGE('',*,*,#16356,.F.); -#23011=ORIENTED_EDGE('',*,*,#15084,.T.); -#23012=ORIENTED_EDGE('',*,*,#16353,.T.); -#23013=ORIENTED_EDGE('',*,*,#16357,.F.); -#23014=ORIENTED_EDGE('',*,*,#16343,.F.); -#23015=ORIENTED_EDGE('',*,*,#16344,.T.); -#23016=ORIENTED_EDGE('',*,*,#16357,.T.); -#23017=ORIENTED_EDGE('',*,*,#16352,.T.); -#23018=ORIENTED_EDGE('',*,*,#16349,.T.); -#23019=ORIENTED_EDGE('',*,*,#16358,.T.); -#23020=ORIENTED_EDGE('',*,*,#16359,.T.); -#23021=ORIENTED_EDGE('',*,*,#16360,.T.); -#23022=ORIENTED_EDGE('',*,*,#16361,.T.); -#23023=ORIENTED_EDGE('',*,*,#16362,.T.); -#23024=ORIENTED_EDGE('',*,*,#16363,.T.); -#23025=ORIENTED_EDGE('',*,*,#16364,.T.); -#23026=ORIENTED_EDGE('',*,*,#16293,.T.); -#23027=ORIENTED_EDGE('',*,*,#16365,.T.); -#23028=ORIENTED_EDGE('',*,*,#16366,.T.); -#23029=ORIENTED_EDGE('',*,*,#16367,.T.); -#23030=ORIENTED_EDGE('',*,*,#16368,.T.); -#23031=ORIENTED_EDGE('',*,*,#15067,.T.); -#23032=ORIENTED_EDGE('',*,*,#16369,.T.); -#23033=ORIENTED_EDGE('',*,*,#16358,.F.); -#23034=ORIENTED_EDGE('',*,*,#16370,.F.); -#23035=ORIENTED_EDGE('',*,*,#16312,.F.); -#23036=ORIENTED_EDGE('',*,*,#16371,.F.); -#23037=ORIENTED_EDGE('',*,*,#15068,.T.); -#23038=ORIENTED_EDGE('',*,*,#16370,.T.); -#23039=ORIENTED_EDGE('',*,*,#16361,.F.); -#23040=ORIENTED_EDGE('',*,*,#16372,.F.); -#23041=ORIENTED_EDGE('',*,*,#15076,.T.); -#23042=ORIENTED_EDGE('',*,*,#16373,.T.); -#23043=ORIENTED_EDGE('',*,*,#16316,.F.); -#23044=ORIENTED_EDGE('',*,*,#16374,.F.); -#23045=ORIENTED_EDGE('',*,*,#15072,.T.); -#23046=ORIENTED_EDGE('',*,*,#16375,.T.); -#23047=ORIENTED_EDGE('',*,*,#15071,.T.); -#23048=ORIENTED_EDGE('',*,*,#16376,.T.); -#23049=ORIENTED_EDGE('',*,*,#16313,.F.); -#23050=ORIENTED_EDGE('',*,*,#16375,.F.); -#23051=ORIENTED_EDGE('',*,*,#15070,.T.); -#23052=ORIENTED_EDGE('',*,*,#16377,.T.); -#23053=ORIENTED_EDGE('',*,*,#16314,.F.); -#23054=ORIENTED_EDGE('',*,*,#16376,.F.); -#23055=ORIENTED_EDGE('',*,*,#15069,.T.); -#23056=ORIENTED_EDGE('',*,*,#16371,.T.); -#23057=ORIENTED_EDGE('',*,*,#16315,.F.); -#23058=ORIENTED_EDGE('',*,*,#16377,.F.); -#23059=ORIENTED_EDGE('',*,*,#15073,.T.); -#23060=ORIENTED_EDGE('',*,*,#16374,.T.); -#23061=ORIENTED_EDGE('',*,*,#16319,.F.); -#23062=ORIENTED_EDGE('',*,*,#16378,.F.); -#23063=ORIENTED_EDGE('',*,*,#15074,.T.); -#23064=ORIENTED_EDGE('',*,*,#16378,.T.); -#23065=ORIENTED_EDGE('',*,*,#16318,.F.); -#23066=ORIENTED_EDGE('',*,*,#16379,.F.); -#23067=ORIENTED_EDGE('',*,*,#15075,.T.); -#23068=ORIENTED_EDGE('',*,*,#16379,.T.); -#23069=ORIENTED_EDGE('',*,*,#16317,.F.); -#23070=ORIENTED_EDGE('',*,*,#16373,.F.); -#23071=ORIENTED_EDGE('',*,*,#15077,.T.); -#23072=ORIENTED_EDGE('',*,*,#16372,.T.); -#23073=ORIENTED_EDGE('',*,*,#16360,.F.); -#23074=ORIENTED_EDGE('',*,*,#16380,.F.); -#23075=ORIENTED_EDGE('',*,*,#16308,.T.); -#23076=ORIENTED_EDGE('',*,*,#16381,.F.); -#23077=ORIENTED_EDGE('',*,*,#15078,.T.); -#23078=ORIENTED_EDGE('',*,*,#16380,.T.); -#23079=ORIENTED_EDGE('',*,*,#16359,.F.); -#23080=ORIENTED_EDGE('',*,*,#16369,.F.); -#23081=ORIENTED_EDGE('',*,*,#15066,.T.); -#23082=ORIENTED_EDGE('',*,*,#16382,.T.); -#23083=ORIENTED_EDGE('',*,*,#15065,.T.); -#23084=ORIENTED_EDGE('',*,*,#16383,.T.); -#23085=ORIENTED_EDGE('',*,*,#16309,.F.); -#23086=ORIENTED_EDGE('',*,*,#16382,.F.); -#23087=ORIENTED_EDGE('',*,*,#15064,.T.); -#23088=ORIENTED_EDGE('',*,*,#16384,.T.); -#23089=ORIENTED_EDGE('',*,*,#16310,.F.); -#23090=ORIENTED_EDGE('',*,*,#16383,.F.); -#23091=ORIENTED_EDGE('',*,*,#15079,.T.); -#23092=ORIENTED_EDGE('',*,*,#16381,.T.); -#23093=ORIENTED_EDGE('',*,*,#16311,.F.); -#23094=ORIENTED_EDGE('',*,*,#16384,.F.); -#23095=ORIENTED_EDGE('',*,*,#15045,.T.); -#23096=ORIENTED_EDGE('',*,*,#16385,.T.); -#23097=ORIENTED_EDGE('',*,*,#16362,.F.); -#23098=ORIENTED_EDGE('',*,*,#16292,.F.); -#23099=ORIENTED_EDGE('',*,*,#16320,.T.); -#23100=ORIENTED_EDGE('',*,*,#16386,.F.); -#23101=ORIENTED_EDGE('',*,*,#15040,.T.); -#23102=ORIENTED_EDGE('',*,*,#16387,.T.); -#23103=ORIENTED_EDGE('',*,*,#16363,.F.); -#23104=ORIENTED_EDGE('',*,*,#16385,.F.); -#23105=ORIENTED_EDGE('',*,*,#15044,.T.); -#23106=ORIENTED_EDGE('',*,*,#16388,.T.); -#23107=ORIENTED_EDGE('',*,*,#15043,.T.); -#23108=ORIENTED_EDGE('',*,*,#16389,.T.); -#23109=ORIENTED_EDGE('',*,*,#16321,.F.); -#23110=ORIENTED_EDGE('',*,*,#16388,.F.); -#23111=ORIENTED_EDGE('',*,*,#15042,.T.); -#23112=ORIENTED_EDGE('',*,*,#16390,.T.); -#23113=ORIENTED_EDGE('',*,*,#16322,.F.); -#23114=ORIENTED_EDGE('',*,*,#16389,.F.); -#23115=ORIENTED_EDGE('',*,*,#15041,.T.); -#23116=ORIENTED_EDGE('',*,*,#16386,.T.); -#23117=ORIENTED_EDGE('',*,*,#16323,.F.); -#23118=ORIENTED_EDGE('',*,*,#16390,.F.); -#23119=ORIENTED_EDGE('',*,*,#15039,.T.); -#23120=ORIENTED_EDGE('',*,*,#16294,.T.); -#23121=ORIENTED_EDGE('',*,*,#16364,.F.); -#23122=ORIENTED_EDGE('',*,*,#16387,.F.); -#23123=ORIENTED_EDGE('',*,*,#15062,.T.); -#23124=ORIENTED_EDGE('',*,*,#16391,.T.); -#23125=ORIENTED_EDGE('',*,*,#16365,.F.); -#23126=ORIENTED_EDGE('',*,*,#16392,.F.); -#23127=ORIENTED_EDGE('',*,*,#16332,.T.); -#23128=ORIENTED_EDGE('',*,*,#16393,.F.); -#23129=ORIENTED_EDGE('',*,*,#15051,.T.); -#23130=ORIENTED_EDGE('',*,*,#16394,.T.); -#23131=ORIENTED_EDGE('',*,*,#16336,.T.); -#23132=ORIENTED_EDGE('',*,*,#16395,.F.); -#23133=ORIENTED_EDGE('',*,*,#15063,.T.); -#23134=ORIENTED_EDGE('',*,*,#16392,.T.); -#23135=ORIENTED_EDGE('',*,*,#16368,.F.); -#23136=ORIENTED_EDGE('',*,*,#16396,.F.); -#23137=ORIENTED_EDGE('',*,*,#15055,.T.); -#23138=ORIENTED_EDGE('',*,*,#16397,.T.); -#23139=ORIENTED_EDGE('',*,*,#15054,.T.); -#23140=ORIENTED_EDGE('',*,*,#16398,.T.); -#23141=ORIENTED_EDGE('',*,*,#16333,.F.); -#23142=ORIENTED_EDGE('',*,*,#16397,.F.); -#23143=ORIENTED_EDGE('',*,*,#15053,.T.); -#23144=ORIENTED_EDGE('',*,*,#16399,.T.); -#23145=ORIENTED_EDGE('',*,*,#16334,.F.); -#23146=ORIENTED_EDGE('',*,*,#16398,.F.); -#23147=ORIENTED_EDGE('',*,*,#15052,.T.); -#23148=ORIENTED_EDGE('',*,*,#16393,.T.); -#23149=ORIENTED_EDGE('',*,*,#16335,.F.); -#23150=ORIENTED_EDGE('',*,*,#16399,.F.); -#23151=ORIENTED_EDGE('',*,*,#15056,.T.); -#23152=ORIENTED_EDGE('',*,*,#16396,.T.); -#23153=ORIENTED_EDGE('',*,*,#16367,.F.); -#23154=ORIENTED_EDGE('',*,*,#16400,.F.); -#23155=ORIENTED_EDGE('',*,*,#16328,.F.); -#23156=ORIENTED_EDGE('',*,*,#16401,.F.); -#23157=ORIENTED_EDGE('',*,*,#15057,.T.); -#23158=ORIENTED_EDGE('',*,*,#16400,.T.); -#23159=ORIENTED_EDGE('',*,*,#16366,.F.); -#23160=ORIENTED_EDGE('',*,*,#16391,.F.); -#23161=ORIENTED_EDGE('',*,*,#15061,.T.); -#23162=ORIENTED_EDGE('',*,*,#16402,.T.); -#23163=ORIENTED_EDGE('',*,*,#15060,.T.); -#23164=ORIENTED_EDGE('',*,*,#16403,.T.); -#23165=ORIENTED_EDGE('',*,*,#16329,.F.); -#23166=ORIENTED_EDGE('',*,*,#16402,.F.); -#23167=ORIENTED_EDGE('',*,*,#15059,.T.); -#23168=ORIENTED_EDGE('',*,*,#16404,.T.); -#23169=ORIENTED_EDGE('',*,*,#16330,.F.); -#23170=ORIENTED_EDGE('',*,*,#16403,.F.); -#23171=ORIENTED_EDGE('',*,*,#15058,.T.); -#23172=ORIENTED_EDGE('',*,*,#16401,.T.); -#23173=ORIENTED_EDGE('',*,*,#16331,.F.); -#23174=ORIENTED_EDGE('',*,*,#16404,.F.); -#23175=ORIENTED_EDGE('',*,*,#15048,.T.); -#23176=ORIENTED_EDGE('',*,*,#16395,.T.); -#23177=ORIENTED_EDGE('',*,*,#16339,.F.); -#23178=ORIENTED_EDGE('',*,*,#16405,.F.); -#23179=ORIENTED_EDGE('',*,*,#15049,.T.); -#23180=ORIENTED_EDGE('',*,*,#16405,.T.); -#23181=ORIENTED_EDGE('',*,*,#16338,.F.); -#23182=ORIENTED_EDGE('',*,*,#16406,.F.); -#23183=ORIENTED_EDGE('',*,*,#15050,.T.); -#23184=ORIENTED_EDGE('',*,*,#16406,.T.); -#23185=ORIENTED_EDGE('',*,*,#16337,.F.); -#23186=ORIENTED_EDGE('',*,*,#16394,.F.); -#23187=ORIENTED_EDGE('',*,*,#15086,.T.); -#23188=ORIENTED_EDGE('',*,*,#16342,.T.); -#23189=ORIENTED_EDGE('',*,*,#16303,.F.); -#23190=ORIENTED_EDGE('',*,*,#16407,.F.); -#23191=ORIENTED_EDGE('',*,*,#15087,.T.); -#23192=ORIENTED_EDGE('',*,*,#16407,.T.); -#23193=ORIENTED_EDGE('',*,*,#16302,.F.); -#23194=ORIENTED_EDGE('',*,*,#16408,.F.); -#23195=ORIENTED_EDGE('',*,*,#15088,.T.); -#23196=ORIENTED_EDGE('',*,*,#16408,.T.); -#23197=ORIENTED_EDGE('',*,*,#16301,.F.); -#23198=ORIENTED_EDGE('',*,*,#16341,.F.); -#23199=ORIENTED_EDGE('',*,*,#15035,.T.); -#23200=ORIENTED_EDGE('',*,*,#16289,.T.); -#23201=ORIENTED_EDGE('',*,*,#16326,.F.); -#23202=ORIENTED_EDGE('',*,*,#16409,.F.); -#23203=ORIENTED_EDGE('',*,*,#15036,.T.); -#23204=ORIENTED_EDGE('',*,*,#16409,.T.); -#23205=ORIENTED_EDGE('',*,*,#16325,.F.); -#23206=ORIENTED_EDGE('',*,*,#16410,.F.); -#23207=ORIENTED_EDGE('',*,*,#15037,.T.); -#23208=ORIENTED_EDGE('',*,*,#16410,.T.); -#23209=ORIENTED_EDGE('',*,*,#16324,.F.); -#23210=ORIENTED_EDGE('',*,*,#16295,.F.); -#23211=ORIENTED_EDGE('',*,*,#15047,.T.); -#23212=ORIENTED_EDGE('',*,*,#16291,.T.); -#23213=ORIENTED_EDGE('',*,*,#16327,.F.); -#23214=ORIENTED_EDGE('',*,*,#16283,.F.); -#23215=ORIENTED_EDGE('',*,*,#15096,.T.); -#23216=ORIENTED_EDGE('',*,*,#16411,.T.); -#23217=ORIENTED_EDGE('',*,*,#16412,.F.); -#23218=ORIENTED_EDGE('',*,*,#16413,.F.); -#23219=ORIENTED_EDGE('',*,*,#15104,.T.); -#23220=ORIENTED_EDGE('',*,*,#16414,.T.); -#23221=ORIENTED_EDGE('',*,*,#16415,.F.); -#23222=ORIENTED_EDGE('',*,*,#16416,.F.); -#23223=ORIENTED_EDGE('',*,*,#15097,.T.); -#23224=ORIENTED_EDGE('',*,*,#16413,.T.); -#23225=ORIENTED_EDGE('',*,*,#16417,.F.); -#23226=ORIENTED_EDGE('',*,*,#16418,.F.); -#23227=ORIENTED_EDGE('',*,*,#15102,.T.); -#23228=ORIENTED_EDGE('',*,*,#16419,.T.); -#23229=ORIENTED_EDGE('',*,*,#16420,.F.); -#23230=ORIENTED_EDGE('',*,*,#16421,.F.); -#23231=ORIENTED_EDGE('',*,*,#15098,.T.); -#23232=ORIENTED_EDGE('',*,*,#16418,.T.); -#23233=ORIENTED_EDGE('',*,*,#16422,.F.); -#23234=ORIENTED_EDGE('',*,*,#16423,.F.); -#23235=ORIENTED_EDGE('',*,*,#15103,.T.); -#23236=ORIENTED_EDGE('',*,*,#16421,.T.); -#23237=ORIENTED_EDGE('',*,*,#16424,.F.); -#23238=ORIENTED_EDGE('',*,*,#16414,.F.); -#23239=ORIENTED_EDGE('',*,*,#16425,.F.); -#23240=ORIENTED_EDGE('',*,*,#16415,.T.); -#23241=ORIENTED_EDGE('',*,*,#16424,.T.); -#23242=ORIENTED_EDGE('',*,*,#16420,.T.); -#23243=ORIENTED_EDGE('',*,*,#16426,.T.); -#23244=ORIENTED_EDGE('',*,*,#16422,.T.); -#23245=ORIENTED_EDGE('',*,*,#16417,.T.); -#23246=ORIENTED_EDGE('',*,*,#16412,.T.); -#23247=ORIENTED_EDGE('',*,*,#16425,.T.); -#23248=ORIENTED_EDGE('',*,*,#16419,.F.); -#23249=ORIENTED_EDGE('',*,*,#15101,.T.); -#23250=ORIENTED_EDGE('',*,*,#16427,.T.); -#23251=ORIENTED_EDGE('',*,*,#16428,.F.); -#23252=ORIENTED_EDGE('',*,*,#16429,.F.); -#23253=ORIENTED_EDGE('',*,*,#15105,.T.); -#23254=ORIENTED_EDGE('',*,*,#16416,.T.); -#23255=ORIENTED_EDGE('',*,*,#15106,.T.); -#23256=ORIENTED_EDGE('',*,*,#16429,.T.); -#23257=ORIENTED_EDGE('',*,*,#16430,.F.); -#23258=ORIENTED_EDGE('',*,*,#16431,.F.); -#23259=ORIENTED_EDGE('',*,*,#16426,.F.); -#23260=ORIENTED_EDGE('',*,*,#16411,.F.); -#23261=ORIENTED_EDGE('',*,*,#15107,.T.); -#23262=ORIENTED_EDGE('',*,*,#16431,.T.); -#23263=ORIENTED_EDGE('',*,*,#16432,.F.); -#23264=ORIENTED_EDGE('',*,*,#16433,.F.); -#23265=ORIENTED_EDGE('',*,*,#15099,.T.); -#23266=ORIENTED_EDGE('',*,*,#16423,.T.); -#23267=ORIENTED_EDGE('',*,*,#15100,.T.); -#23268=ORIENTED_EDGE('',*,*,#16433,.T.); -#23269=ORIENTED_EDGE('',*,*,#16434,.F.); -#23270=ORIENTED_EDGE('',*,*,#16427,.F.); -#23271=ORIENTED_EDGE('',*,*,#16430,.T.); -#23272=ORIENTED_EDGE('',*,*,#16428,.T.); -#23273=ORIENTED_EDGE('',*,*,#16434,.T.); -#23274=ORIENTED_EDGE('',*,*,#16432,.T.); -#23275=ORIENTED_EDGE('',*,*,#15108,.T.); -#23276=ORIENTED_EDGE('',*,*,#16435,.T.); -#23277=ORIENTED_EDGE('',*,*,#16436,.F.); -#23278=ORIENTED_EDGE('',*,*,#16437,.F.); -#23279=ORIENTED_EDGE('',*,*,#15128,.T.); -#23280=ORIENTED_EDGE('',*,*,#16438,.T.); -#23281=ORIENTED_EDGE('',*,*,#16439,.F.); -#23282=ORIENTED_EDGE('',*,*,#16440,.F.); -#23283=ORIENTED_EDGE('',*,*,#15109,.T.); -#23284=ORIENTED_EDGE('',*,*,#16437,.T.); -#23285=ORIENTED_EDGE('',*,*,#16441,.F.); -#23286=ORIENTED_EDGE('',*,*,#16442,.F.); -#23287=ORIENTED_EDGE('',*,*,#15126,.T.); -#23288=ORIENTED_EDGE('',*,*,#16443,.T.); -#23289=ORIENTED_EDGE('',*,*,#16444,.F.); -#23290=ORIENTED_EDGE('',*,*,#16445,.F.); -#23291=ORIENTED_EDGE('',*,*,#15110,.T.); -#23292=ORIENTED_EDGE('',*,*,#16442,.T.); -#23293=ORIENTED_EDGE('',*,*,#16446,.F.); -#23294=ORIENTED_EDGE('',*,*,#16447,.F.); -#23295=ORIENTED_EDGE('',*,*,#15127,.T.); -#23296=ORIENTED_EDGE('',*,*,#16445,.T.); -#23297=ORIENTED_EDGE('',*,*,#16448,.F.); -#23298=ORIENTED_EDGE('',*,*,#16438,.F.); -#23299=ORIENTED_EDGE('',*,*,#16449,.F.); -#23300=ORIENTED_EDGE('',*,*,#16439,.T.); -#23301=ORIENTED_EDGE('',*,*,#16448,.T.); -#23302=ORIENTED_EDGE('',*,*,#16444,.T.); -#23303=ORIENTED_EDGE('',*,*,#16450,.F.); -#23304=ORIENTED_EDGE('',*,*,#16451,.T.); -#23305=ORIENTED_EDGE('',*,*,#16452,.T.); -#23306=ORIENTED_EDGE('',*,*,#16453,.T.); -#23307=ORIENTED_EDGE('',*,*,#16454,.F.); -#23308=ORIENTED_EDGE('',*,*,#16455,.T.); -#23309=ORIENTED_EDGE('',*,*,#16456,.T.); -#23310=ORIENTED_EDGE('',*,*,#16457,.T.); -#23311=ORIENTED_EDGE('',*,*,#16458,.T.); -#23312=ORIENTED_EDGE('',*,*,#16446,.T.); -#23313=ORIENTED_EDGE('',*,*,#16441,.T.); -#23314=ORIENTED_EDGE('',*,*,#16436,.T.); -#23315=ORIENTED_EDGE('',*,*,#16459,.T.); -#23316=ORIENTED_EDGE('',*,*,#16460,.T.); -#23317=ORIENTED_EDGE('',*,*,#16461,.T.); -#23318=ORIENTED_EDGE('',*,*,#16462,.T.); -#23319=ORIENTED_EDGE('',*,*,#16449,.T.); -#23320=ORIENTED_EDGE('',*,*,#16443,.F.); -#23321=ORIENTED_EDGE('',*,*,#15125,.T.); -#23322=ORIENTED_EDGE('',*,*,#16463,.T.); -#23323=ORIENTED_EDGE('',*,*,#16450,.T.); -#23324=ORIENTED_EDGE('',*,*,#16464,.F.); -#23325=ORIENTED_EDGE('',*,*,#15121,.T.); -#23326=ORIENTED_EDGE('',*,*,#16465,.T.); -#23327=ORIENTED_EDGE('',*,*,#16454,.T.); -#23328=ORIENTED_EDGE('',*,*,#16466,.F.); -#23329=ORIENTED_EDGE('',*,*,#15117,.T.); -#23330=ORIENTED_EDGE('',*,*,#16467,.T.); -#23331=ORIENTED_EDGE('',*,*,#16468,.F.); -#23332=ORIENTED_EDGE('',*,*,#16469,.F.); -#23333=ORIENTED_EDGE('',*,*,#15129,.T.); -#23334=ORIENTED_EDGE('',*,*,#16440,.T.); -#23335=ORIENTED_EDGE('',*,*,#15130,.T.); -#23336=ORIENTED_EDGE('',*,*,#16469,.T.); -#23337=ORIENTED_EDGE('',*,*,#16470,.F.); -#23338=ORIENTED_EDGE('',*,*,#16471,.F.); -#23339=ORIENTED_EDGE('',*,*,#16458,.F.); -#23340=ORIENTED_EDGE('',*,*,#16435,.F.); -#23341=ORIENTED_EDGE('',*,*,#15131,.T.); -#23342=ORIENTED_EDGE('',*,*,#16471,.T.); -#23343=ORIENTED_EDGE('',*,*,#16472,.F.); -#23344=ORIENTED_EDGE('',*,*,#16473,.F.); -#23345=ORIENTED_EDGE('',*,*,#15115,.T.); -#23346=ORIENTED_EDGE('',*,*,#16474,.T.); -#23347=ORIENTED_EDGE('',*,*,#16459,.F.); -#23348=ORIENTED_EDGE('',*,*,#16475,.F.); -#23349=ORIENTED_EDGE('',*,*,#15111,.T.); -#23350=ORIENTED_EDGE('',*,*,#16447,.T.); -#23351=ORIENTED_EDGE('',*,*,#15112,.T.); -#23352=ORIENTED_EDGE('',*,*,#16475,.T.); -#23353=ORIENTED_EDGE('',*,*,#16462,.F.); -#23354=ORIENTED_EDGE('',*,*,#16476,.F.); -#23355=ORIENTED_EDGE('',*,*,#15120,.T.); -#23356=ORIENTED_EDGE('',*,*,#16477,.T.); -#23357=ORIENTED_EDGE('',*,*,#16455,.F.); -#23358=ORIENTED_EDGE('',*,*,#16465,.F.); -#23359=ORIENTED_EDGE('',*,*,#15113,.T.); -#23360=ORIENTED_EDGE('',*,*,#16476,.T.); -#23361=ORIENTED_EDGE('',*,*,#16461,.F.); -#23362=ORIENTED_EDGE('',*,*,#16478,.F.); -#23363=ORIENTED_EDGE('',*,*,#15118,.T.); -#23364=ORIENTED_EDGE('',*,*,#16466,.T.); -#23365=ORIENTED_EDGE('',*,*,#16457,.F.); -#23366=ORIENTED_EDGE('',*,*,#16479,.F.); -#23367=ORIENTED_EDGE('',*,*,#15114,.T.); -#23368=ORIENTED_EDGE('',*,*,#16478,.T.); -#23369=ORIENTED_EDGE('',*,*,#16460,.F.); -#23370=ORIENTED_EDGE('',*,*,#16474,.F.); -#23371=ORIENTED_EDGE('',*,*,#15119,.T.); -#23372=ORIENTED_EDGE('',*,*,#16479,.T.); -#23373=ORIENTED_EDGE('',*,*,#16456,.F.); -#23374=ORIENTED_EDGE('',*,*,#16477,.F.); -#23375=ORIENTED_EDGE('',*,*,#15116,.T.); -#23376=ORIENTED_EDGE('',*,*,#16473,.T.); -#23377=ORIENTED_EDGE('',*,*,#16480,.F.); -#23378=ORIENTED_EDGE('',*,*,#16467,.F.); -#23379=ORIENTED_EDGE('',*,*,#16470,.T.); -#23380=ORIENTED_EDGE('',*,*,#16468,.T.); -#23381=ORIENTED_EDGE('',*,*,#16480,.T.); -#23382=ORIENTED_EDGE('',*,*,#16472,.T.); -#23383=ORIENTED_EDGE('',*,*,#15122,.T.); -#23384=ORIENTED_EDGE('',*,*,#16464,.T.); -#23385=ORIENTED_EDGE('',*,*,#16453,.F.); -#23386=ORIENTED_EDGE('',*,*,#16481,.F.); -#23387=ORIENTED_EDGE('',*,*,#15123,.T.); -#23388=ORIENTED_EDGE('',*,*,#16481,.T.); -#23389=ORIENTED_EDGE('',*,*,#16452,.F.); -#23390=ORIENTED_EDGE('',*,*,#16482,.F.); -#23391=ORIENTED_EDGE('',*,*,#15124,.T.); -#23392=ORIENTED_EDGE('',*,*,#16482,.T.); -#23393=ORIENTED_EDGE('',*,*,#16451,.F.); -#23394=ORIENTED_EDGE('',*,*,#16463,.F.); -#23395=ORIENTED_EDGE('',*,*,#15132,.T.); -#23396=ORIENTED_EDGE('',*,*,#16483,.T.); -#23397=ORIENTED_EDGE('',*,*,#16484,.F.); -#23398=ORIENTED_EDGE('',*,*,#16485,.F.); -#23399=ORIENTED_EDGE('',*,*,#15133,.T.); -#23400=ORIENTED_EDGE('',*,*,#16485,.T.); -#23401=ORIENTED_EDGE('',*,*,#16486,.F.); -#23402=ORIENTED_EDGE('',*,*,#16487,.F.); -#23403=ORIENTED_EDGE('',*,*,#15134,.T.); -#23404=ORIENTED_EDGE('',*,*,#16487,.T.); -#23405=ORIENTED_EDGE('',*,*,#16488,.F.); -#23406=ORIENTED_EDGE('',*,*,#16489,.F.); -#23407=ORIENTED_EDGE('',*,*,#15135,.T.); -#23408=ORIENTED_EDGE('',*,*,#16489,.T.); -#23409=ORIENTED_EDGE('',*,*,#16490,.F.); -#23410=ORIENTED_EDGE('',*,*,#16483,.F.); -#23411=ORIENTED_EDGE('',*,*,#16484,.T.); -#23412=ORIENTED_EDGE('',*,*,#16490,.T.); -#23413=ORIENTED_EDGE('',*,*,#16488,.T.); -#23414=ORIENTED_EDGE('',*,*,#16486,.T.); -#23415=ORIENTED_EDGE('',*,*,#15136,.T.); -#23416=ORIENTED_EDGE('',*,*,#16491,.T.); -#23417=ORIENTED_EDGE('',*,*,#16492,.F.); -#23418=ORIENTED_EDGE('',*,*,#16493,.F.); -#23419=ORIENTED_EDGE('',*,*,#15137,.T.); -#23420=ORIENTED_EDGE('',*,*,#16493,.T.); -#23421=ORIENTED_EDGE('',*,*,#16494,.F.); -#23422=ORIENTED_EDGE('',*,*,#16495,.F.); -#23423=ORIENTED_EDGE('',*,*,#15138,.T.); -#23424=ORIENTED_EDGE('',*,*,#16495,.T.); -#23425=ORIENTED_EDGE('',*,*,#16496,.F.); -#23426=ORIENTED_EDGE('',*,*,#16497,.F.); -#23427=ORIENTED_EDGE('',*,*,#15139,.T.); -#23428=ORIENTED_EDGE('',*,*,#16497,.T.); -#23429=ORIENTED_EDGE('',*,*,#16498,.F.); -#23430=ORIENTED_EDGE('',*,*,#16491,.F.); -#23431=ORIENTED_EDGE('',*,*,#16492,.T.); -#23432=ORIENTED_EDGE('',*,*,#16498,.T.); -#23433=ORIENTED_EDGE('',*,*,#16496,.T.); -#23434=ORIENTED_EDGE('',*,*,#16494,.T.); -#23435=ORIENTED_EDGE('',*,*,#15140,.T.); -#23436=ORIENTED_EDGE('',*,*,#16499,.T.); -#23437=ORIENTED_EDGE('',*,*,#16500,.F.); -#23438=ORIENTED_EDGE('',*,*,#16501,.F.); -#23439=ORIENTED_EDGE('',*,*,#15145,.T.); -#23440=ORIENTED_EDGE('',*,*,#16502,.T.); -#23441=ORIENTED_EDGE('',*,*,#16503,.F.); -#23442=ORIENTED_EDGE('',*,*,#16504,.F.); -#23443=ORIENTED_EDGE('',*,*,#15141,.T.); -#23444=ORIENTED_EDGE('',*,*,#16501,.T.); -#23445=ORIENTED_EDGE('',*,*,#16505,.F.); -#23446=ORIENTED_EDGE('',*,*,#16506,.F.); -#23447=ORIENTED_EDGE('',*,*,#15146,.T.); -#23448=ORIENTED_EDGE('',*,*,#16504,.T.); -#23449=ORIENTED_EDGE('',*,*,#16507,.F.); -#23450=ORIENTED_EDGE('',*,*,#16508,.F.); -#23451=ORIENTED_EDGE('',*,*,#15163,.T.); -#23452=ORIENTED_EDGE('',*,*,#16509,.T.); -#23453=ORIENTED_EDGE('',*,*,#16510,.F.); -#23454=ORIENTED_EDGE('',*,*,#16499,.F.); -#23455=ORIENTED_EDGE('',*,*,#15147,.T.); -#23456=ORIENTED_EDGE('',*,*,#16508,.T.); -#23457=ORIENTED_EDGE('',*,*,#16511,.F.); -#23458=ORIENTED_EDGE('',*,*,#16512,.F.); -#23459=ORIENTED_EDGE('',*,*,#16513,.T.); -#23460=ORIENTED_EDGE('',*,*,#16514,.T.); -#23461=ORIENTED_EDGE('',*,*,#16515,.T.); -#23462=ORIENTED_EDGE('',*,*,#16516,.T.); -#23463=ORIENTED_EDGE('',*,*,#16517,.T.); -#23464=ORIENTED_EDGE('',*,*,#16505,.T.); -#23465=ORIENTED_EDGE('',*,*,#16500,.T.); -#23466=ORIENTED_EDGE('',*,*,#16510,.T.); -#23467=ORIENTED_EDGE('',*,*,#16518,.F.); -#23468=ORIENTED_EDGE('',*,*,#16519,.T.); -#23469=ORIENTED_EDGE('',*,*,#16520,.T.); -#23470=ORIENTED_EDGE('',*,*,#16521,.T.); -#23471=ORIENTED_EDGE('',*,*,#16522,.F.); -#23472=ORIENTED_EDGE('',*,*,#16523,.T.); -#23473=ORIENTED_EDGE('',*,*,#16524,.T.); -#23474=ORIENTED_EDGE('',*,*,#16525,.T.); -#23475=ORIENTED_EDGE('',*,*,#16526,.F.); -#23476=ORIENTED_EDGE('',*,*,#16511,.T.); -#23477=ORIENTED_EDGE('',*,*,#16507,.T.); -#23478=ORIENTED_EDGE('',*,*,#16503,.T.); -#23479=ORIENTED_EDGE('',*,*,#16513,.F.); -#23480=ORIENTED_EDGE('',*,*,#16527,.F.); -#23481=ORIENTED_EDGE('',*,*,#15158,.T.); -#23482=ORIENTED_EDGE('',*,*,#16528,.T.); -#23483=ORIENTED_EDGE('',*,*,#16529,.F.); -#23484=ORIENTED_EDGE('',*,*,#16530,.F.); -#23485=ORIENTED_EDGE('',*,*,#15142,.T.); -#23486=ORIENTED_EDGE('',*,*,#16506,.T.); -#23487=ORIENTED_EDGE('',*,*,#16517,.F.); -#23488=ORIENTED_EDGE('',*,*,#16509,.F.); -#23489=ORIENTED_EDGE('',*,*,#15162,.T.); -#23490=ORIENTED_EDGE('',*,*,#16531,.T.); -#23491=ORIENTED_EDGE('',*,*,#15153,.T.); -#23492=ORIENTED_EDGE('',*,*,#16532,.T.); -#23493=ORIENTED_EDGE('',*,*,#16521,.F.); -#23494=ORIENTED_EDGE('',*,*,#16533,.F.); -#23495=ORIENTED_EDGE('',*,*,#15161,.T.); -#23496=ORIENTED_EDGE('',*,*,#16534,.T.); -#23497=ORIENTED_EDGE('',*,*,#16514,.F.); -#23498=ORIENTED_EDGE('',*,*,#16531,.F.); -#23499=ORIENTED_EDGE('',*,*,#15154,.T.); -#23500=ORIENTED_EDGE('',*,*,#16533,.T.); -#23501=ORIENTED_EDGE('',*,*,#16520,.F.); -#23502=ORIENTED_EDGE('',*,*,#16535,.F.); -#23503=ORIENTED_EDGE('',*,*,#15159,.T.); -#23504=ORIENTED_EDGE('',*,*,#16527,.T.); -#23505=ORIENTED_EDGE('',*,*,#16516,.F.); -#23506=ORIENTED_EDGE('',*,*,#16536,.F.); -#23507=ORIENTED_EDGE('',*,*,#15155,.T.); -#23508=ORIENTED_EDGE('',*,*,#16535,.T.); -#23509=ORIENTED_EDGE('',*,*,#16519,.F.); -#23510=ORIENTED_EDGE('',*,*,#16537,.F.); -#23511=ORIENTED_EDGE('',*,*,#15160,.T.); -#23512=ORIENTED_EDGE('',*,*,#16536,.T.); -#23513=ORIENTED_EDGE('',*,*,#16515,.F.); -#23514=ORIENTED_EDGE('',*,*,#16534,.F.); -#23515=ORIENTED_EDGE('',*,*,#16518,.T.); -#23516=ORIENTED_EDGE('',*,*,#16532,.F.); -#23517=ORIENTED_EDGE('',*,*,#15152,.T.); -#23518=ORIENTED_EDGE('',*,*,#16538,.T.); -#23519=ORIENTED_EDGE('',*,*,#16522,.T.); -#23520=ORIENTED_EDGE('',*,*,#16539,.F.); -#23521=ORIENTED_EDGE('',*,*,#15148,.T.); -#23522=ORIENTED_EDGE('',*,*,#16512,.T.); -#23523=ORIENTED_EDGE('',*,*,#16526,.T.); -#23524=ORIENTED_EDGE('',*,*,#16502,.F.); -#23525=ORIENTED_EDGE('',*,*,#15144,.T.); -#23526=ORIENTED_EDGE('',*,*,#16540,.T.); -#23527=ORIENTED_EDGE('',*,*,#16541,.F.); -#23528=ORIENTED_EDGE('',*,*,#16542,.F.); -#23529=ORIENTED_EDGE('',*,*,#15156,.T.); -#23530=ORIENTED_EDGE('',*,*,#16537,.T.); -#23531=ORIENTED_EDGE('',*,*,#15157,.T.); -#23532=ORIENTED_EDGE('',*,*,#16542,.T.); -#23533=ORIENTED_EDGE('',*,*,#16543,.F.); -#23534=ORIENTED_EDGE('',*,*,#16528,.F.); -#23535=ORIENTED_EDGE('',*,*,#16529,.T.); -#23536=ORIENTED_EDGE('',*,*,#16543,.T.); -#23537=ORIENTED_EDGE('',*,*,#16541,.T.); -#23538=ORIENTED_EDGE('',*,*,#16544,.T.); -#23539=ORIENTED_EDGE('',*,*,#15143,.T.); -#23540=ORIENTED_EDGE('',*,*,#16530,.T.); -#23541=ORIENTED_EDGE('',*,*,#16544,.F.); -#23542=ORIENTED_EDGE('',*,*,#16540,.F.); -#23543=ORIENTED_EDGE('',*,*,#15149,.T.); -#23544=ORIENTED_EDGE('',*,*,#16539,.T.); -#23545=ORIENTED_EDGE('',*,*,#16525,.F.); -#23546=ORIENTED_EDGE('',*,*,#16545,.F.); -#23547=ORIENTED_EDGE('',*,*,#15150,.T.); -#23548=ORIENTED_EDGE('',*,*,#16545,.T.); -#23549=ORIENTED_EDGE('',*,*,#16524,.F.); -#23550=ORIENTED_EDGE('',*,*,#16546,.F.); -#23551=ORIENTED_EDGE('',*,*,#15151,.T.); -#23552=ORIENTED_EDGE('',*,*,#16546,.T.); -#23553=ORIENTED_EDGE('',*,*,#16523,.F.); -#23554=ORIENTED_EDGE('',*,*,#16538,.F.); -#23555=CYLINDRICAL_SURFACE('',#25093,0.1); -#23556=CYLINDRICAL_SURFACE('',#25098,0.100000000000002); -#23557=CYLINDRICAL_SURFACE('',#25103,0.099999999999985); -#23558=CYLINDRICAL_SURFACE('',#25171,1.); -#23559=CYLINDRICAL_SURFACE('',#25174,1.); -#23560=CYLINDRICAL_SURFACE('',#25207,0.700000000000004); -#23561=CYLINDRICAL_SURFACE('',#25210,0.700000000000004); -#23562=CYLINDRICAL_SURFACE('',#25234,0.999999999999999); -#23563=CYLINDRICAL_SURFACE('',#25242,0.700000000000005); -#23564=CYLINDRICAL_SURFACE('',#25245,1.00000000000001); -#23565=CYLINDRICAL_SURFACE('',#25266,0.999999999999998); -#23566=CYLINDRICAL_SURFACE('',#25273,0.999999999999999); -#23567=CYLINDRICAL_SURFACE('',#25280,0.700000000000019); -#23568=CYLINDRICAL_SURFACE('',#25281,0.699999999999999); -#23569=CYLINDRICAL_SURFACE('',#25287,0.700000000000005); -#23570=CYLINDRICAL_SURFACE('',#25295,0.349999999999999); -#23571=CYLINDRICAL_SURFACE('',#25297,0.349999999999999); -#23572=CYLINDRICAL_SURFACE('',#25299,0.35); -#23573=CYLINDRICAL_SURFACE('',#25301,0.305000000000234); -#23574=CYLINDRICAL_SURFACE('',#25303,0.305000000000083); -#23575=CYLINDRICAL_SURFACE('',#25306,0.349999999999999); -#23576=CYLINDRICAL_SURFACE('',#25311,0.1); -#23577=CYLINDRICAL_SURFACE('',#25312,0.099999999999997); -#23578=CYLINDRICAL_SURFACE('',#25316,0.1); -#23579=CYLINDRICAL_SURFACE('',#25317,0.349999999999999); -#23580=CYLINDRICAL_SURFACE('',#25319,0.349999999999999); -#23581=CYLINDRICAL_SURFACE('',#25321,0.35); -#23582=CYLINDRICAL_SURFACE('',#25323,0.349999999999999); -#23583=CYLINDRICAL_SURFACE('',#25326,0.305000000000083); -#23584=CYLINDRICAL_SURFACE('',#25328,0.305000000000234); -#23585=CYLINDRICAL_SURFACE('',#25330,0.300000000000046); -#23586=CYLINDRICAL_SURFACE('',#25332,0.300000000000042); -#23587=CYLINDRICAL_SURFACE('',#25334,0.300000000000042); -#23588=CYLINDRICAL_SURFACE('',#25336,0.300000000000046); -#23589=CYLINDRICAL_SURFACE('',#25339,0.100000000000001); -#23590=CYLINDRICAL_SURFACE('',#25341,0.2); -#23591=CYLINDRICAL_SURFACE('',#25343,0.199999999999998); -#23592=CYLINDRICAL_SURFACE('',#25345,0.0999999999999997); -#23593=CYLINDRICAL_SURFACE('',#25347,0.100000000000477); -#23594=CYLINDRICAL_SURFACE('',#25349,0.2); -#23595=CYLINDRICAL_SURFACE('',#25351,0.200000000000002); -#23596=CYLINDRICAL_SURFACE('',#25353,0.100000000000004); -#23597=CYLINDRICAL_SURFACE('',#25356,0.150000000000001); -#23598=CYLINDRICAL_SURFACE('',#25358,0.149999999999999); -#23599=CYLINDRICAL_SURFACE('',#25360,0.149999999999998); -#23600=CYLINDRICAL_SURFACE('',#25362,0.15); -#23601=CYLINDRICAL_SURFACE('',#25364,0.150000000000476); -#23602=CYLINDRICAL_SURFACE('',#25366,0.15); -#23603=CYLINDRICAL_SURFACE('',#25368,0.150000000000001); -#23604=CYLINDRICAL_SURFACE('',#25370,0.149999999999999); -#23605=CYLINDRICAL_SURFACE('',#25454,0.3); -#23606=CYLINDRICAL_SURFACE('',#25456,0.0999999999999996); -#23607=CYLINDRICAL_SURFACE('',#25458,0.0999999999999996); -#23608=CYLINDRICAL_SURFACE('',#25462,0.25); -#23609=CYLINDRICAL_SURFACE('',#25464,0.0999999999999998); -#23610=CYLINDRICAL_SURFACE('',#25466,0.0999999999999998); -#23611=CYLINDRICAL_SURFACE('',#25473,0.100000000000002); -#23612=CYLINDRICAL_SURFACE('',#25475,0.1); -#23613=CYLINDRICAL_SURFACE('',#25477,0.25); -#23614=CYLINDRICAL_SURFACE('',#25482,0.0999999999999998); -#23615=CYLINDRICAL_SURFACE('',#25484,0.0999999999999998); -#23616=CYLINDRICAL_SURFACE('',#25486,0.3); -#23617=CYLINDRICAL_SURFACE('',#25488,0.25); -#23618=CYLINDRICAL_SURFACE('',#25490,0.25); -#23619=CYLINDRICAL_SURFACE('',#25492,0.1); -#23620=CYLINDRICAL_SURFACE('',#25494,0.100000000000002); -#23621=CYLINDRICAL_SURFACE('',#25501,0.0999999999999998); -#23622=CYLINDRICAL_SURFACE('',#25503,0.0999999999999998); -#23623=CYLINDRICAL_SURFACE('',#25509,1.3); -#23624=CYLINDRICAL_SURFACE('',#25510,1.6); -#23625=CYLINDRICAL_SURFACE('',#25514,1.6); -#23626=CYLINDRICAL_SURFACE('',#25515,1.3); -#23627=CYLINDRICAL_SURFACE('',#25526,1.6); -#23628=CYLINDRICAL_SURFACE('',#25527,1.3); -#23629=CYLINDRICAL_SURFACE('',#25539,1.3); -#23630=CYLINDRICAL_SURFACE('',#25540,1.6); -#23631=CYLINDRICAL_SURFACE('',#25545,0.15); -#23632=CYLINDRICAL_SURFACE('',#25546,0.15); -#23633=CYLINDRICAL_SURFACE('',#25547,0.15); -#23634=CYLINDRICAL_SURFACE('',#25548,0.15); -#23635=CYLINDRICAL_SURFACE('',#25549,0.15); -#23636=CYLINDRICAL_SURFACE('',#25550,0.15); -#23637=CYLINDRICAL_SURFACE('',#25551,0.15); -#23638=CYLINDRICAL_SURFACE('',#25552,0.15); -#23639=CYLINDRICAL_SURFACE('',#25553,0.450000000000001); -#23640=CYLINDRICAL_SURFACE('',#25554,0.449999999999999); -#23641=CYLINDRICAL_SURFACE('',#25555,0.149999999999999); -#23642=CYLINDRICAL_SURFACE('',#25557,0.45); -#23643=CYLINDRICAL_SURFACE('',#25559,0.15); -#23644=CYLINDRICAL_SURFACE('',#25561,0.15); -#23645=CYLINDRICAL_SURFACE('',#25563,0.449999999999999); -#23646=CYLINDRICAL_SURFACE('',#25564,0.149999999999999); -#23647=CYLINDRICAL_SURFACE('',#25566,0.45); -#23648=CYLINDRICAL_SURFACE('',#25568,0.15); -#23649=CYLINDRICAL_SURFACE('',#25569,0.450000000000001); -#23650=CYLINDRICAL_SURFACE('',#25571,0.15); -#23651=CYLINDRICAL_SURFACE('',#25573,0.450000000000001); -#23652=CYLINDRICAL_SURFACE('',#25574,0.449999999999999); -#23653=CYLINDRICAL_SURFACE('',#25576,0.15); -#23654=CYLINDRICAL_SURFACE('',#25578,0.15); -#23655=CYLINDRICAL_SURFACE('',#25580,0.45); -#23656=CYLINDRICAL_SURFACE('',#25582,0.149999999999999); -#23657=CYLINDRICAL_SURFACE('',#25583,0.449999999999999); -#23658=CYLINDRICAL_SURFACE('',#25585,0.15); -#23659=CYLINDRICAL_SURFACE('',#25587,0.450000000000001); -#23660=CYLINDRICAL_SURFACE('',#25588,0.15); -#23661=CYLINDRICAL_SURFACE('',#25590,0.45); -#23662=CYLINDRICAL_SURFACE('',#25592,0.149999999999999); -#23663=CYLINDRICAL_SURFACE('',#25595,0.2); -#23664=CYLINDRICAL_SURFACE('',#25606,0.2); -#23665=CYLINDRICAL_SURFACE('',#25617,0.2); -#23666=CYLINDRICAL_SURFACE('',#25628,0.2); -#23667=CYLINDRICAL_SURFACE('',#25639,0.2); -#23668=CYLINDRICAL_SURFACE('',#25650,0.2); -#23669=CYLINDRICAL_SURFACE('',#25659,0.299999999999999); -#23670=CYLINDRICAL_SURFACE('',#25663,0.100000000000001); -#23671=CYLINDRICAL_SURFACE('',#25666,0.195803989154979); -#23672=CYLINDRICAL_SURFACE('',#25670,0.0499999999999975); -#23673=CYLINDRICAL_SURFACE('',#25674,0.049999999999998); -#23674=CYLINDRICAL_SURFACE('',#25684,0.0499999999999975); -#23675=CYLINDRICAL_SURFACE('',#25688,0.0499999999999975); -#23676=CYLINDRICAL_SURFACE('',#25692,0.195803989154979); -#23677=CYLINDRICAL_SURFACE('',#25695,0.100000000000001); -#23678=CYLINDRICAL_SURFACE('',#25699,0.299999999999999); -#23679=CYLINDRICAL_SURFACE('',#25711,0.12); -#23680=CYLINDRICAL_SURFACE('',#25716,0.336071985539977); -#23681=CYLINDRICAL_SURFACE('',#25719,0.120000000000001); -#23682=CYLINDRICAL_SURFACE('',#25722,0.336071985539979); -#23683=CYLINDRICAL_SURFACE('',#25727,0.690000000000007); -#23684=CYLINDRICAL_SURFACE('',#25730,0.690000000000005); -#23685=CYLINDRICAL_SURFACE('',#25750,0.690000000000025); -#23686=CYLINDRICAL_SURFACE('',#25753,0.69); -#23687=CYLINDRICAL_SURFACE('',#25785,0.299999999999999); -#23688=CYLINDRICAL_SURFACE('',#25787,0.299999999999999); -#23689=CYLINDRICAL_SURFACE('',#25789,0.299999999999999); -#23690=CYLINDRICAL_SURFACE('',#25791,0.299999999999999); -#23691=CYLINDRICAL_SURFACE('',#25802,0.12); -#23692=CYLINDRICAL_SURFACE('',#25805,0.336071985539977); -#23693=CYLINDRICAL_SURFACE('',#25807,0.120000000000001); -#23694=CYLINDRICAL_SURFACE('',#25809,0.336071985539979); -#23695=CYLINDRICAL_SURFACE('',#25921,1.56220799999991); -#23696=CYLINDRICAL_SURFACE('',#25925,1.56220799999994); -#23697=CYLINDRICAL_SURFACE('',#25931,0.941174); -#23698=CYLINDRICAL_SURFACE('',#25933,0.974849500000001); -#23699=CYLINDRICAL_SURFACE('',#25935,0.999999999999783); -#23700=CYLINDRICAL_SURFACE('',#25939,1.00000000000001); -#23701=CYLINDRICAL_SURFACE('',#26016,1.); -#23702=CYLINDRICAL_SURFACE('',#26017,0.9748495); -#23703=CYLINDRICAL_SURFACE('',#26018,0.941174); -#23704=CYLINDRICAL_SURFACE('',#26019,0.701599); -#23705=CYLINDRICAL_SURFACE('',#26020,0.701599); -#23706=CYLINDRICAL_SURFACE('',#26021,0.701599); -#23707=CYLINDRICAL_SURFACE('',#26022,0.701599); -#23708=CYLINDRICAL_SURFACE('',#26023,0.701599); -#23709=CYLINDRICAL_SURFACE('',#26024,0.701599); -#23710=CYLINDRICAL_SURFACE('',#26025,0.801599); -#23711=CYLINDRICAL_SURFACE('',#26026,0.801599); -#23712=CYLINDRICAL_SURFACE('',#26027,0.801599); -#23713=CYLINDRICAL_SURFACE('',#26028,0.801599); -#23714=CYLINDRICAL_SURFACE('',#26029,0.801599); -#23715=CYLINDRICAL_SURFACE('',#26030,0.801599); -#23716=CYLINDRICAL_SURFACE('',#26031,0.801599); -#23717=CYLINDRICAL_SURFACE('',#26032,0.701599); -#23718=CYLINDRICAL_SURFACE('',#26033,0.701599); -#23719=CYLINDRICAL_SURFACE('',#26034,0.701599); -#23720=CYLINDRICAL_SURFACE('',#26035,0.701599); -#23721=CYLINDRICAL_SURFACE('',#26036,0.701599); -#23722=CYLINDRICAL_SURFACE('',#26037,0.701599); -#23723=CYLINDRICAL_SURFACE('',#26038,0.701599); -#23724=CYLINDRICAL_SURFACE('',#26039,0.701599); -#23725=CYLINDRICAL_SURFACE('',#26040,0.701599); -#23726=CYLINDRICAL_SURFACE('',#26041,0.701599); -#23727=CYLINDRICAL_SURFACE('',#26042,0.701599); -#23728=CYLINDRICAL_SURFACE('',#26066,1.); -#23729=CYLINDRICAL_SURFACE('',#26068,1.); -#23730=CYLINDRICAL_SURFACE('',#26070,1.); -#23731=CYLINDRICAL_SURFACE('',#26079,1.05); -#23732=CYLINDRICAL_SURFACE('',#26090,0.3); -#23733=CYLINDRICAL_SURFACE('',#26096,0.3); -#23734=CYLINDRICAL_SURFACE('',#26100,1.05); -#23735=CYLINDRICAL_SURFACE('',#26102,1.05); -#23736=CYLINDRICAL_SURFACE('',#26104,0.3); -#23737=CYLINDRICAL_SURFACE('',#26107,1.05); -#23738=CYLINDRICAL_SURFACE('',#26111,0.3); -#23739=CYLINDRICAL_SURFACE('',#26183,0.601599); -#23740=CYLINDRICAL_SURFACE('',#26199,0.601599); -#23741=CYLINDRICAL_SURFACE('',#26201,0.5516); -#23742=CYLINDRICAL_SURFACE('',#26204,0.5516005); -#23743=CYLINDRICAL_SURFACE('',#26332,1.); -#23744=CYLINDRICAL_SURFACE('',#26338,0.8); -#23745=CYLINDRICAL_SURFACE('',#26342,0.25); -#23746=ADVANCED_FACE('',(#1367),#23555,.F.); -#23747=ADVANCED_FACE('',(#1368),#23556,.F.); -#23748=ADVANCED_FACE('',(#1369),#23557,.F.); -#23749=ADVANCED_FACE('',(#1370),#265,.F.); -#23750=ADVANCED_FACE('',(#1371,#97,#98,#99,#100),#266,.F.); -#23751=ADVANCED_FACE('',(#1372),#267,.F.); -#23752=ADVANCED_FACE('',(#1373),#268,.F.); -#23753=ADVANCED_FACE('',(#1374),#269,.F.); -#23754=ADVANCED_FACE('',(#1375,#101),#270,.T.); -#23755=ADVANCED_FACE('',(#1376,#102),#271,.F.); -#23756=ADVANCED_FACE('',(#1377),#272,.F.); -#23757=ADVANCED_FACE('',(#1378,#103),#273,.T.); -#23758=ADVANCED_FACE('',(#1379),#23558,.T.); -#23759=ADVANCED_FACE('',(#1380),#23559,.T.); -#23760=ADVANCED_FACE('',(#1381),#274,.T.); -#23761=ADVANCED_FACE('',(#1382),#275,.T.); -#23762=ADVANCED_FACE('',(#1383),#276,.T.); -#23763=ADVANCED_FACE('',(#1384),#277,.T.); -#23764=ADVANCED_FACE('',(#1385),#278,.F.); -#23765=ADVANCED_FACE('',(#1386,#104),#279,.F.); -#23766=ADVANCED_FACE('',(#1387),#23560,.F.); -#23767=ADVANCED_FACE('',(#1388),#23561,.F.); -#23768=ADVANCED_FACE('',(#1389),#280,.F.); -#23769=ADVANCED_FACE('',(#1390),#281,.F.); -#23770=ADVANCED_FACE('',(#1391),#282,.F.); -#23771=ADVANCED_FACE('',(#1392),#283,.F.); -#23772=ADVANCED_FACE('',(#1393),#284,.T.); -#23773=ADVANCED_FACE('',(#1394),#285,.T.); -#23774=ADVANCED_FACE('',(#1395),#286,.T.); -#23775=ADVANCED_FACE('',(#1396),#23562,.T.); -#23776=ADVANCED_FACE('',(#1397),#287,.F.); -#23777=ADVANCED_FACE('',(#1398),#288,.F.); -#23778=ADVANCED_FACE('',(#1399),#289,.F.); -#23779=ADVANCED_FACE('',(#1400),#23563,.F.); -#23780=ADVANCED_FACE('',(#1401),#23564,.T.); -#23781=ADVANCED_FACE('',(#1402,#105,#106,#107,#108),#290,.T.); -#23782=ADVANCED_FACE('',(#1403),#23565,.T.); -#23783=ADVANCED_FACE('',(#1404),#291,.T.); -#23784=ADVANCED_FACE('',(#1405),#23566,.T.); -#23785=ADVANCED_FACE('',(#1406),#292,.T.); -#23786=ADVANCED_FACE('',(#1407),#23567,.F.); -#23787=ADVANCED_FACE('',(#1408),#23568,.F.); -#23788=ADVANCED_FACE('',(#1409),#293,.F.); -#23789=ADVANCED_FACE('',(#1410),#23569,.F.); -#23790=ADVANCED_FACE('',(#1411),#294,.T.); -#23791=ADVANCED_FACE('',(#1412),#295,.F.); -#23792=ADVANCED_FACE('',(#1413),#296,.F.); -#23793=ADVANCED_FACE('',(#1414),#297,.F.); -#23794=ADVANCED_FACE('',(#1415),#298,.F.); -#23795=ADVANCED_FACE('',(#1416),#299,.F.); -#23796=ADVANCED_FACE('',(#1417),#23570,.T.); -#23797=ADVANCED_FACE('',(#1418),#300,.T.); -#23798=ADVANCED_FACE('',(#1419),#23571,.T.); -#23799=ADVANCED_FACE('',(#1420),#301,.T.); -#23800=ADVANCED_FACE('',(#1421),#23572,.F.); -#23801=ADVANCED_FACE('',(#1422),#302,.T.); -#23802=ADVANCED_FACE('',(#1423),#23573,.T.); -#23803=ADVANCED_FACE('',(#1424),#303,.T.); -#23804=ADVANCED_FACE('',(#1425),#23574,.T.); -#23805=ADVANCED_FACE('',(#1426),#304,.T.); -#23806=ADVANCED_FACE('',(#1427),#305,.T.); -#23807=ADVANCED_FACE('',(#1428),#23575,.T.); -#23808=ADVANCED_FACE('',(#1429),#306,.T.); -#23809=ADVANCED_FACE('',(#1430),#307,.T.); -#23810=ADVANCED_FACE('',(#1431),#308,.T.); -#23811=ADVANCED_FACE('',(#1432),#309,.T.); -#23812=ADVANCED_FACE('',(#1433),#23576,.F.); -#23813=ADVANCED_FACE('',(#1434),#23577,.F.); -#23814=ADVANCED_FACE('',(#1435),#310,.T.); -#23815=ADVANCED_FACE('',(#1436),#311,.T.); -#23816=ADVANCED_FACE('',(#1437),#312,.T.); -#23817=ADVANCED_FACE('',(#1438),#23578,.F.); -#23818=ADVANCED_FACE('',(#1439),#23579,.T.); -#23819=ADVANCED_FACE('',(#1440),#313,.F.); -#23820=ADVANCED_FACE('',(#1441),#23580,.T.); -#23821=ADVANCED_FACE('',(#1442),#314,.F.); -#23822=ADVANCED_FACE('',(#1443),#23581,.F.); -#23823=ADVANCED_FACE('',(#1444),#315,.F.); -#23824=ADVANCED_FACE('',(#1445),#23582,.T.); -#23825=ADVANCED_FACE('',(#1446),#316,.F.); -#23826=ADVANCED_FACE('',(#1447),#317,.F.); -#23827=ADVANCED_FACE('',(#1448),#23583,.T.); -#23828=ADVANCED_FACE('',(#1449),#318,.F.); -#23829=ADVANCED_FACE('',(#1450),#23584,.T.); -#23830=ADVANCED_FACE('',(#1451),#319,.F.); -#23831=ADVANCED_FACE('',(#1452),#23585,.F.); -#23832=ADVANCED_FACE('',(#1453),#320,.F.); -#23833=ADVANCED_FACE('',(#1454),#23586,.T.); -#23834=ADVANCED_FACE('',(#1455),#321,.F.); -#23835=ADVANCED_FACE('',(#1456),#23587,.T.); -#23836=ADVANCED_FACE('',(#1457),#322,.F.); -#23837=ADVANCED_FACE('',(#1458),#23588,.F.); -#23838=ADVANCED_FACE('',(#1459),#323,.F.); -#23839=ADVANCED_FACE('',(#1460),#324,.F.); -#23840=ADVANCED_FACE('',(#1461),#23589,.T.); -#23841=ADVANCED_FACE('',(#1462),#325,.F.); -#23842=ADVANCED_FACE('',(#1463),#23590,.F.); -#23843=ADVANCED_FACE('',(#1464),#326,.F.); -#23844=ADVANCED_FACE('',(#1465),#23591,.F.); -#23845=ADVANCED_FACE('',(#1466),#327,.F.); -#23846=ADVANCED_FACE('',(#1467),#23592,.T.); -#23847=ADVANCED_FACE('',(#1468),#328,.F.); -#23848=ADVANCED_FACE('',(#1469),#23593,.T.); -#23849=ADVANCED_FACE('',(#1470),#329,.F.); -#23850=ADVANCED_FACE('',(#1471),#23594,.F.); -#23851=ADVANCED_FACE('',(#1472),#330,.F.); -#23852=ADVANCED_FACE('',(#1473),#23595,.F.); -#23853=ADVANCED_FACE('',(#1474),#331,.F.); -#23854=ADVANCED_FACE('',(#1475),#23596,.T.); -#23855=ADVANCED_FACE('',(#1476),#332,.F.); -#23856=ADVANCED_FACE('',(#1477),#333,.F.); -#23857=ADVANCED_FACE('',(#1478),#23597,.F.); -#23858=ADVANCED_FACE('',(#1479),#334,.F.); -#23859=ADVANCED_FACE('',(#1480),#23598,.T.); -#23860=ADVANCED_FACE('',(#1481),#335,.F.); -#23861=ADVANCED_FACE('',(#1482),#23599,.T.); -#23862=ADVANCED_FACE('',(#1483),#336,.F.); -#23863=ADVANCED_FACE('',(#1484),#23600,.F.); -#23864=ADVANCED_FACE('',(#1485),#337,.F.); -#23865=ADVANCED_FACE('',(#1486),#23601,.F.); -#23866=ADVANCED_FACE('',(#1487),#338,.F.); -#23867=ADVANCED_FACE('',(#1488),#23602,.T.); -#23868=ADVANCED_FACE('',(#1489),#339,.F.); -#23869=ADVANCED_FACE('',(#1490),#23603,.T.); -#23870=ADVANCED_FACE('',(#1491),#340,.F.); -#23871=ADVANCED_FACE('',(#1492),#23604,.F.); -#23872=ADVANCED_FACE('',(#1493),#341,.F.); -#23873=ADVANCED_FACE('',(#1494),#342,.F.); -#23874=ADVANCED_FACE('',(#1495),#343,.F.); -#23875=ADVANCED_FACE('',(#1496),#344,.F.); -#23876=ADVANCED_FACE('',(#1497),#345,.F.); -#23877=ADVANCED_FACE('',(#1498),#346,.F.); -#23878=ADVANCED_FACE('',(#1499),#347,.F.); -#23879=ADVANCED_FACE('',(#1500),#348,.F.); -#23880=ADVANCED_FACE('',(#1501),#349,.F.); -#23881=ADVANCED_FACE('',(#1502),#350,.F.); -#23882=ADVANCED_FACE('',(#1503),#351,.F.); -#23883=ADVANCED_FACE('',(#1504),#352,.F.); -#23884=ADVANCED_FACE('',(#1505),#353,.F.); -#23885=ADVANCED_FACE('',(#1506),#354,.F.); -#23886=ADVANCED_FACE('',(#1507),#355,.F.); -#23887=ADVANCED_FACE('',(#1508),#356,.F.); -#23888=ADVANCED_FACE('',(#1509),#357,.F.); -#23889=ADVANCED_FACE('',(#1510),#358,.F.); -#23890=ADVANCED_FACE('',(#1511),#359,.F.); -#23891=ADVANCED_FACE('',(#1512),#360,.F.); -#23892=ADVANCED_FACE('',(#1513),#361,.F.); -#23893=ADVANCED_FACE('',(#1514),#362,.F.); -#23894=ADVANCED_FACE('',(#1515),#363,.F.); -#23895=ADVANCED_FACE('',(#1516),#364,.F.); -#23896=ADVANCED_FACE('',(#1517),#365,.F.); -#23897=ADVANCED_FACE('',(#1518),#366,.F.); -#23898=ADVANCED_FACE('',(#1519),#367,.F.); -#23899=ADVANCED_FACE('',(#1520),#368,.F.); -#23900=ADVANCED_FACE('',(#1521),#369,.F.); -#23901=ADVANCED_FACE('',(#1522),#370,.F.); -#23902=ADVANCED_FACE('',(#1523),#371,.F.); -#23903=ADVANCED_FACE('',(#1524),#372,.F.); -#23904=ADVANCED_FACE('',(#1525),#373,.F.); -#23905=ADVANCED_FACE('',(#1526),#374,.F.); -#23906=ADVANCED_FACE('',(#1527),#375,.F.); -#23907=ADVANCED_FACE('',(#1528),#23605,.F.); -#23908=ADVANCED_FACE('',(#1529),#376,.F.); -#23909=ADVANCED_FACE('',(#1530),#23606,.F.); -#23910=ADVANCED_FACE('',(#1531),#377,.F.); -#23911=ADVANCED_FACE('',(#1532),#23607,.F.); -#23912=ADVANCED_FACE('',(#1533),#378,.F.); -#23913=ADVANCED_FACE('',(#1534),#23608,.F.); -#23914=ADVANCED_FACE('',(#1535),#379,.F.); -#23915=ADVANCED_FACE('',(#1536),#23609,.F.); -#23916=ADVANCED_FACE('',(#1537),#380,.F.); -#23917=ADVANCED_FACE('',(#1538),#23610,.F.); -#23918=ADVANCED_FACE('',(#1539),#381,.F.); -#23919=ADVANCED_FACE('',(#1540),#382,.F.); -#23920=ADVANCED_FACE('',(#1541),#23611,.F.); -#23921=ADVANCED_FACE('',(#1542),#383,.F.); -#23922=ADVANCED_FACE('',(#1543),#23612,.F.); -#23923=ADVANCED_FACE('',(#1544),#384,.F.); -#23924=ADVANCED_FACE('',(#1545),#23613,.F.); -#23925=ADVANCED_FACE('',(#1546),#385,.F.); -#23926=ADVANCED_FACE('',(#1547),#386,.F.); -#23927=ADVANCED_FACE('',(#1548),#23614,.F.); -#23928=ADVANCED_FACE('',(#1549),#387,.F.); -#23929=ADVANCED_FACE('',(#1550),#23615,.F.); -#23930=ADVANCED_FACE('',(#1551),#388,.F.); -#23931=ADVANCED_FACE('',(#1552),#23616,.F.); -#23932=ADVANCED_FACE('',(#1553),#389,.F.); -#23933=ADVANCED_FACE('',(#1554),#23617,.F.); -#23934=ADVANCED_FACE('',(#1555),#390,.F.); -#23935=ADVANCED_FACE('',(#1556),#23618,.F.); -#23936=ADVANCED_FACE('',(#1557),#391,.F.); -#23937=ADVANCED_FACE('',(#1558),#23619,.F.); -#23938=ADVANCED_FACE('',(#1559),#392,.F.); -#23939=ADVANCED_FACE('',(#1560),#23620,.F.); -#23940=ADVANCED_FACE('',(#1561),#393,.F.); -#23941=ADVANCED_FACE('',(#1562),#394,.F.); -#23942=ADVANCED_FACE('',(#1563),#23621,.F.); -#23943=ADVANCED_FACE('',(#1564),#395,.F.); -#23944=ADVANCED_FACE('',(#1565),#23622,.F.); -#23945=ADVANCED_FACE('',(#1566),#396,.F.); -#23946=ADVANCED_FACE('',(#1567),#397,.T.); -#23947=ADVANCED_FACE('',(#1568),#398,.T.); -#23948=ADVANCED_FACE('',(#1569),#23623,.F.); -#23949=ADVANCED_FACE('',(#1570),#23624,.T.); -#23950=ADVANCED_FACE('',(#1571),#399,.T.); -#23951=ADVANCED_FACE('',(#1572),#23625,.T.); -#23952=ADVANCED_FACE('',(#1573),#23626,.F.); -#23953=ADVANCED_FACE('',(#1574),#400,.T.); -#23954=ADVANCED_FACE('',(#1575),#401,.T.); -#23955=ADVANCED_FACE('',(#1576),#402,.T.); -#23956=ADVANCED_FACE('',(#1577),#403,.T.); -#23957=ADVANCED_FACE('',(#1578),#23627,.T.); -#23958=ADVANCED_FACE('',(#1579),#23628,.F.); -#23959=ADVANCED_FACE('',(#1580),#404,.T.); -#23960=ADVANCED_FACE('',(#1581),#405,.T.); -#23961=ADVANCED_FACE('',(#1582),#406,.F.); -#23962=ADVANCED_FACE('',(#1583),#407,.F.); -#23963=ADVANCED_FACE('',(#1584),#408,.F.); -#23964=ADVANCED_FACE('',(#1585),#23629,.F.); -#23965=ADVANCED_FACE('',(#1586),#23630,.T.); -#23966=ADVANCED_FACE('',(#1587),#409,.F.); -#23967=ADVANCED_FACE('',(#1588),#410,.F.); -#23968=ADVANCED_FACE('',(#1589),#411,.F.); -#23969=ADVANCED_FACE('',(#1590),#412,.F.); -#23970=ADVANCED_FACE('',(#1591),#23631,.T.); -#23971=ADVANCED_FACE('',(#1592),#23632,.T.); -#23972=ADVANCED_FACE('',(#1593),#23633,.T.); -#23973=ADVANCED_FACE('',(#1594),#23634,.T.); -#23974=ADVANCED_FACE('',(#1595),#23635,.T.); -#23975=ADVANCED_FACE('',(#1596),#23636,.T.); -#23976=ADVANCED_FACE('',(#1597),#23637,.T.); -#23977=ADVANCED_FACE('',(#1598),#23638,.T.); -#23978=ADVANCED_FACE('',(#1599),#23639,.T.); -#23979=ADVANCED_FACE('',(#1600),#23640,.T.); -#23980=ADVANCED_FACE('',(#1601),#23641,.F.); -#23981=ADVANCED_FACE('',(#1602),#413,.T.); -#23982=ADVANCED_FACE('',(#1603),#23642,.T.); -#23983=ADVANCED_FACE('',(#1604),#414,.T.); -#23984=ADVANCED_FACE('',(#1605),#23643,.F.); -#23985=ADVANCED_FACE('',(#1606),#415,.T.); -#23986=ADVANCED_FACE('',(#1607),#23644,.F.); -#23987=ADVANCED_FACE('',(#1608),#416,.T.); -#23988=ADVANCED_FACE('',(#1609),#23645,.T.); -#23989=ADVANCED_FACE('',(#1610),#23646,.F.); -#23990=ADVANCED_FACE('',(#1611),#417,.T.); -#23991=ADVANCED_FACE('',(#1612),#23647,.T.); -#23992=ADVANCED_FACE('',(#1613),#418,.T.); -#23993=ADVANCED_FACE('',(#1614),#23648,.F.); -#23994=ADVANCED_FACE('',(#1615),#23649,.T.); -#23995=ADVANCED_FACE('',(#1616),#419,.T.); -#23996=ADVANCED_FACE('',(#1617),#23650,.F.); -#23997=ADVANCED_FACE('',(#1618),#420,.T.); -#23998=ADVANCED_FACE('',(#1619),#23651,.T.); -#23999=ADVANCED_FACE('',(#1620),#23652,.T.); -#24000=ADVANCED_FACE('',(#1621),#421,.F.); -#24001=ADVANCED_FACE('',(#1622),#23653,.F.); -#24002=ADVANCED_FACE('',(#1623),#422,.F.); -#24003=ADVANCED_FACE('',(#1624),#23654,.F.); -#24004=ADVANCED_FACE('',(#1625),#423,.F.); -#24005=ADVANCED_FACE('',(#1626),#23655,.T.); -#24006=ADVANCED_FACE('',(#1627),#424,.F.); -#24007=ADVANCED_FACE('',(#1628),#23656,.F.); -#24008=ADVANCED_FACE('',(#1629),#23657,.T.); -#24009=ADVANCED_FACE('',(#1630),#425,.F.); -#24010=ADVANCED_FACE('',(#1631),#23658,.F.); -#24011=ADVANCED_FACE('',(#1632),#426,.F.); -#24012=ADVANCED_FACE('',(#1633),#23659,.T.); -#24013=ADVANCED_FACE('',(#1634),#23660,.F.); -#24014=ADVANCED_FACE('',(#1635),#427,.F.); -#24015=ADVANCED_FACE('',(#1636),#23661,.T.); -#24016=ADVANCED_FACE('',(#1637),#428,.F.); -#24017=ADVANCED_FACE('',(#1638),#23662,.F.); -#24018=ADVANCED_FACE('',(#1639),#429,.T.); -#24019=ADVANCED_FACE('',(#1640),#430,.T.); -#24020=ADVANCED_FACE('',(#1641),#23663,.T.); -#24021=ADVANCED_FACE('',(#1642),#431,.T.); -#24022=ADVANCED_FACE('',(#1643),#432,.T.); -#24023=ADVANCED_FACE('',(#1644),#433,.T.); -#24024=ADVANCED_FACE('',(#1645),#434,.T.); -#24025=ADVANCED_FACE('',(#1646),#435,.F.); -#24026=ADVANCED_FACE('',(#1647),#436,.T.); -#24027=ADVANCED_FACE('',(#1648),#437,.T.); -#24028=ADVANCED_FACE('',(#1649),#438,.T.); -#24029=ADVANCED_FACE('',(#1650),#23664,.T.); -#24030=ADVANCED_FACE('',(#1651),#439,.T.); -#24031=ADVANCED_FACE('',(#1652),#440,.T.); -#24032=ADVANCED_FACE('',(#1653),#441,.T.); -#24033=ADVANCED_FACE('',(#1654),#442,.T.); -#24034=ADVANCED_FACE('',(#1655),#443,.F.); -#24035=ADVANCED_FACE('',(#1656),#444,.T.); -#24036=ADVANCED_FACE('',(#1657),#445,.T.); -#24037=ADVANCED_FACE('',(#1658),#446,.T.); -#24038=ADVANCED_FACE('',(#1659),#23665,.T.); -#24039=ADVANCED_FACE('',(#1660),#447,.T.); -#24040=ADVANCED_FACE('',(#1661),#448,.T.); -#24041=ADVANCED_FACE('',(#1662),#449,.T.); -#24042=ADVANCED_FACE('',(#1663),#450,.T.); -#24043=ADVANCED_FACE('',(#1664),#451,.F.); -#24044=ADVANCED_FACE('',(#1665),#452,.T.); -#24045=ADVANCED_FACE('',(#1666),#453,.T.); -#24046=ADVANCED_FACE('',(#1667),#454,.T.); -#24047=ADVANCED_FACE('',(#1668),#23666,.T.); -#24048=ADVANCED_FACE('',(#1669),#455,.T.); -#24049=ADVANCED_FACE('',(#1670),#456,.T.); -#24050=ADVANCED_FACE('',(#1671),#457,.T.); -#24051=ADVANCED_FACE('',(#1672),#458,.T.); -#24052=ADVANCED_FACE('',(#1673),#459,.F.); -#24053=ADVANCED_FACE('',(#1674),#460,.T.); -#24054=ADVANCED_FACE('',(#1675),#461,.T.); -#24055=ADVANCED_FACE('',(#1676),#462,.T.); -#24056=ADVANCED_FACE('',(#1677),#23667,.T.); -#24057=ADVANCED_FACE('',(#1678),#463,.T.); -#24058=ADVANCED_FACE('',(#1679),#464,.T.); -#24059=ADVANCED_FACE('',(#1680),#465,.T.); -#24060=ADVANCED_FACE('',(#1681),#466,.T.); -#24061=ADVANCED_FACE('',(#1682),#467,.F.); -#24062=ADVANCED_FACE('',(#1683),#468,.T.); -#24063=ADVANCED_FACE('',(#1684),#469,.T.); -#24064=ADVANCED_FACE('',(#1685),#470,.T.); -#24065=ADVANCED_FACE('',(#1686),#23668,.T.); -#24066=ADVANCED_FACE('',(#1687),#471,.T.); -#24067=ADVANCED_FACE('',(#1688),#472,.T.); -#24068=ADVANCED_FACE('',(#1689),#473,.T.); -#24069=ADVANCED_FACE('',(#1690),#474,.T.); -#24070=ADVANCED_FACE('',(#1691),#475,.F.); -#24071=ADVANCED_FACE('',(#1692),#476,.T.); -#24072=ADVANCED_FACE('',(#1693),#23669,.T.); -#24073=ADVANCED_FACE('',(#1694),#477,.F.); -#24074=ADVANCED_FACE('',(#1695),#23670,.T.); -#24075=ADVANCED_FACE('',(#1696),#23671,.F.); -#24076=ADVANCED_FACE('',(#1697),#478,.F.); -#24077=ADVANCED_FACE('',(#1698),#23672,.F.); -#24078=ADVANCED_FACE('',(#1699),#479,.F.); -#24079=ADVANCED_FACE('',(#1700),#23673,.F.); -#24080=ADVANCED_FACE('',(#1701),#480,.F.); -#24081=ADVANCED_FACE('',(#1702),#481,.F.); -#24082=ADVANCED_FACE('',(#1703),#482,.F.); -#24083=ADVANCED_FACE('',(#1704),#483,.F.); -#24084=ADVANCED_FACE('',(#1705),#484,.F.); -#24085=ADVANCED_FACE('',(#1706),#485,.T.); -#24086=ADVANCED_FACE('',(#1707),#486,.F.); -#24087=ADVANCED_FACE('',(#1708),#23674,.F.); -#24088=ADVANCED_FACE('',(#1709),#487,.F.); -#24089=ADVANCED_FACE('',(#1710),#23675,.F.); -#24090=ADVANCED_FACE('',(#1711),#488,.F.); -#24091=ADVANCED_FACE('',(#1712),#23676,.F.); -#24092=ADVANCED_FACE('',(#1713),#23677,.T.); -#24093=ADVANCED_FACE('',(#1714),#489,.F.); -#24094=ADVANCED_FACE('',(#1715),#23678,.T.); -#24095=ADVANCED_FACE('',(#1716),#490,.F.); -#24096=ADVANCED_FACE('',(#1717),#491,.F.); -#24097=ADVANCED_FACE('',(#1718),#492,.F.); -#24098=ADVANCED_FACE('',(#1719),#493,.F.); -#24099=ADVANCED_FACE('',(#1720),#494,.F.); -#24100=ADVANCED_FACE('',(#1721),#495,.T.); -#24101=ADVANCED_FACE('',(#1722),#496,.F.); -#24102=ADVANCED_FACE('',(#1723),#497,.F.); -#24103=ADVANCED_FACE('',(#1724),#498,.F.); -#24104=ADVANCED_FACE('',(#1725),#23679,.T.); -#24105=ADVANCED_FACE('',(#1726),#499,.F.); -#24106=ADVANCED_FACE('',(#1727),#500,.F.); -#24107=ADVANCED_FACE('',(#1728),#23680,.F.); -#24108=ADVANCED_FACE('',(#1729),#23681,.T.); -#24109=ADVANCED_FACE('',(#1730),#23682,.F.); -#24110=ADVANCED_FACE('',(#1731),#501,.F.); -#24111=ADVANCED_FACE('',(#1732),#502,.F.); -#24112=ADVANCED_FACE('',(#1733),#23683,.T.); -#24113=ADVANCED_FACE('',(#1734),#23684,.T.); -#24114=ADVANCED_FACE('',(#1735),#503,.T.); -#24115=ADVANCED_FACE('',(#1736),#504,.T.); -#24116=ADVANCED_FACE('',(#1737),#505,.T.); -#24117=ADVANCED_FACE('',(#1738),#35,.T.); -#24118=ADVANCED_FACE('',(#1739),#36,.T.); -#24119=ADVANCED_FACE('',(#1740),#506,.T.); -#24120=ADVANCED_FACE('',(#1741),#31,.T.); -#24121=ADVANCED_FACE('',(#1742),#32,.T.); -#24122=ADVANCED_FACE('',(#1743,#109),#507,.T.); -#24123=ADVANCED_FACE('',(#1744),#508,.F.); -#24124=ADVANCED_FACE('',(#1745),#23685,.T.); -#24125=ADVANCED_FACE('',(#1746),#509,.T.); -#24126=ADVANCED_FACE('',(#1747),#23686,.T.); -#24127=ADVANCED_FACE('',(#1748),#510,.T.); -#24128=ADVANCED_FACE('',(#1749),#511,.T.); -#24129=ADVANCED_FACE('',(#1750),#512,.T.); -#24130=ADVANCED_FACE('',(#1751),#513,.F.); -#24131=ADVANCED_FACE('',(#1752),#514,.F.); -#24132=ADVANCED_FACE('',(#1753),#515,.F.); -#24133=ADVANCED_FACE('',(#1754),#516,.T.); -#24134=ADVANCED_FACE('',(#1755),#517,.F.); -#24135=ADVANCED_FACE('',(#1756),#518,.F.); -#24136=ADVANCED_FACE('',(#1757),#519,.F.); -#24137=ADVANCED_FACE('',(#1758),#520,.F.); -#24138=ADVANCED_FACE('',(#1759),#521,.F.); -#24139=ADVANCED_FACE('',(#1760),#522,.F.); -#24140=ADVANCED_FACE('',(#1761),#523,.F.); -#24141=ADVANCED_FACE('',(#1762),#524,.F.); -#24142=ADVANCED_FACE('',(#1763),#525,.F.); -#24143=ADVANCED_FACE('',(#1764),#526,.F.); -#24144=ADVANCED_FACE('',(#1765),#527,.F.); -#24145=ADVANCED_FACE('',(#1766),#528,.F.); -#24146=ADVANCED_FACE('',(#1767),#529,.F.); -#24147=ADVANCED_FACE('',(#1768),#530,.F.); -#24148=ADVANCED_FACE('',(#1769),#531,.F.); -#24149=ADVANCED_FACE('',(#1770),#532,.F.); -#24150=ADVANCED_FACE('',(#1771),#533,.F.); -#24151=ADVANCED_FACE('',(#1772),#534,.F.); -#24152=ADVANCED_FACE('',(#1773),#535,.F.); -#24153=ADVANCED_FACE('',(#1774),#536,.F.); -#24154=ADVANCED_FACE('',(#1775),#537,.F.); -#24155=ADVANCED_FACE('',(#1776),#538,.F.); -#24156=ADVANCED_FACE('',(#1777),#539,.F.); -#24157=ADVANCED_FACE('',(#1778),#540,.T.); -#24158=ADVANCED_FACE('',(#1779),#23687,.T.); -#24159=ADVANCED_FACE('',(#1780),#541,.F.); -#24160=ADVANCED_FACE('',(#1781),#23688,.T.); -#24161=ADVANCED_FACE('',(#1782),#542,.F.); -#24162=ADVANCED_FACE('',(#1783),#23689,.T.); -#24163=ADVANCED_FACE('',(#1784),#543,.F.); -#24164=ADVANCED_FACE('',(#1785),#23690,.T.); -#24165=ADVANCED_FACE('',(#1786),#544,.F.); -#24166=ADVANCED_FACE('',(#1787),#545,.F.); -#24167=ADVANCED_FACE('',(#1788),#546,.F.); -#24168=ADVANCED_FACE('',(#1789),#547,.T.); -#24169=ADVANCED_FACE('',(#1790),#548,.F.); -#24170=ADVANCED_FACE('',(#1791),#549,.F.); -#24171=ADVANCED_FACE('',(#1792),#23691,.T.); -#24172=ADVANCED_FACE('',(#1793),#550,.F.); -#24173=ADVANCED_FACE('',(#1794),#23692,.F.); -#24174=ADVANCED_FACE('',(#1795),#23693,.T.); -#24175=ADVANCED_FACE('',(#1796),#23694,.F.); -#24176=ADVANCED_FACE('',(#1797),#551,.F.); -#24177=ADVANCED_FACE('',(#1798),#552,.F.); -#24178=ADVANCED_FACE('',(#1799),#29,.T.); -#24179=ADVANCED_FACE('',(#1800),#30,.T.); -#24180=ADVANCED_FACE('',(#1801),#553,.F.); -#24181=ADVANCED_FACE('',(#1802),#554,.F.); -#24182=ADVANCED_FACE('',(#1803),#555,.F.); -#24183=ADVANCED_FACE('',(#1804),#556,.F.); -#24184=ADVANCED_FACE('',(#1805),#557,.T.); -#24185=ADVANCED_FACE('',(#1806),#558,.F.); -#24186=ADVANCED_FACE('',(#1807),#559,.F.); -#24187=ADVANCED_FACE('',(#1808),#560,.F.); -#24188=ADVANCED_FACE('',(#1809),#561,.F.); -#24189=ADVANCED_FACE('',(#1810),#562,.T.); -#24190=ADVANCED_FACE('',(#1811),#563,.F.); -#24191=ADVANCED_FACE('',(#1812),#564,.F.); -#24192=ADVANCED_FACE('',(#1813),#565,.F.); -#24193=ADVANCED_FACE('',(#1814),#566,.F.); -#24194=ADVANCED_FACE('',(#1815),#567,.F.); -#24195=ADVANCED_FACE('',(#1816),#568,.F.); -#24196=ADVANCED_FACE('',(#1817),#569,.T.); -#24197=ADVANCED_FACE('',(#1818),#570,.F.); -#24198=ADVANCED_FACE('',(#1819),#571,.F.); -#24199=ADVANCED_FACE('',(#1820),#572,.F.); -#24200=ADVANCED_FACE('',(#1821),#573,.F.); -#24201=ADVANCED_FACE('',(#1822),#574,.T.); -#24202=ADVANCED_FACE('',(#1823),#575,.F.); -#24203=ADVANCED_FACE('',(#1824),#576,.F.); -#24204=ADVANCED_FACE('',(#1825),#577,.F.); -#24205=ADVANCED_FACE('',(#1826),#578,.F.); -#24206=ADVANCED_FACE('',(#1827),#579,.T.); -#24207=ADVANCED_FACE('',(#1828),#580,.F.); -#24208=ADVANCED_FACE('',(#1829),#581,.F.); -#24209=ADVANCED_FACE('',(#1830),#582,.F.); -#24210=ADVANCED_FACE('',(#1831),#583,.F.); -#24211=ADVANCED_FACE('',(#1832),#584,.T.); -#24212=ADVANCED_FACE('',(#1833),#585,.F.); -#24213=ADVANCED_FACE('',(#1834),#586,.F.); -#24214=ADVANCED_FACE('',(#1835),#587,.F.); -#24215=ADVANCED_FACE('',(#1836),#588,.F.); -#24216=ADVANCED_FACE('',(#1837),#589,.T.); -#24217=ADVANCED_FACE('',(#1838),#590,.F.); -#24218=ADVANCED_FACE('',(#1839),#591,.F.); -#24219=ADVANCED_FACE('',(#1840),#592,.F.); -#24220=ADVANCED_FACE('',(#1841),#593,.F.); -#24221=ADVANCED_FACE('',(#1842),#594,.T.); -#24222=ADVANCED_FACE('',(#1843),#595,.F.); -#24223=ADVANCED_FACE('',(#1844),#596,.F.); -#24224=ADVANCED_FACE('',(#1845),#597,.F.); -#24225=ADVANCED_FACE('',(#1846),#598,.F.); -#24226=ADVANCED_FACE('',(#1847),#599,.T.); -#24227=ADVANCED_FACE('',(#1848),#600,.F.); -#24228=ADVANCED_FACE('',(#1849),#601,.F.); -#24229=ADVANCED_FACE('',(#1850),#602,.F.); -#24230=ADVANCED_FACE('',(#1851),#603,.F.); -#24231=ADVANCED_FACE('',(#1852),#604,.F.); -#24232=ADVANCED_FACE('',(#1853),#605,.T.); -#24233=ADVANCED_FACE('',(#1854),#606,.F.); -#24234=ADVANCED_FACE('',(#1855),#607,.F.); -#24235=ADVANCED_FACE('',(#1856),#608,.F.); -#24236=ADVANCED_FACE('',(#1857),#609,.F.); -#24237=ADVANCED_FACE('',(#1858),#610,.F.); -#24238=ADVANCED_FACE('',(#1859),#611,.T.); -#24239=ADVANCED_FACE('',(#1860),#612,.F.); -#24240=ADVANCED_FACE('',(#1861),#613,.F.); -#24241=ADVANCED_FACE('',(#1862),#614,.F.); -#24242=ADVANCED_FACE('',(#1863),#615,.F.); -#24243=ADVANCED_FACE('',(#1864),#616,.F.); -#24244=ADVANCED_FACE('',(#1865),#617,.T.); -#24245=ADVANCED_FACE('',(#1866),#618,.F.); -#24246=ADVANCED_FACE('',(#1867),#619,.F.); -#24247=ADVANCED_FACE('',(#1868),#620,.F.); -#24248=ADVANCED_FACE('',(#1869),#621,.F.); -#24249=ADVANCED_FACE('',(#1870),#622,.F.); -#24250=ADVANCED_FACE('',(#1871),#623,.T.); -#24251=ADVANCED_FACE('',(#1872),#624,.F.); -#24252=ADVANCED_FACE('',(#1873),#625,.F.); -#24253=ADVANCED_FACE('',(#1874),#626,.F.); -#24254=ADVANCED_FACE('',(#1875),#627,.F.); -#24255=ADVANCED_FACE('',(#1876),#628,.F.); -#24256=ADVANCED_FACE('',(#1877),#629,.T.); -#24257=ADVANCED_FACE('',(#1878),#630,.F.); -#24258=ADVANCED_FACE('',(#1879),#631,.F.); -#24259=ADVANCED_FACE('',(#1880),#632,.F.); -#24260=ADVANCED_FACE('',(#1881),#633,.F.); -#24261=ADVANCED_FACE('',(#1882),#634,.F.); -#24262=ADVANCED_FACE('',(#1883),#635,.F.); -#24263=ADVANCED_FACE('',(#1884),#636,.T.); -#24264=ADVANCED_FACE('',(#1885),#637,.T.); -#24265=ADVANCED_FACE('',(#1886),#638,.T.); -#24266=ADVANCED_FACE('',(#1887),#639,.T.); -#24267=ADVANCED_FACE('',(#1888,#110),#640,.T.); -#24268=ADVANCED_FACE('',(#1889),#641,.T.); -#24269=ADVANCED_FACE('',(#1890),#642,.F.); -#24270=ADVANCED_FACE('',(#1891),#643,.F.); -#24271=ADVANCED_FACE('',(#1892),#644,.T.); -#24272=ADVANCED_FACE('',(#1893),#645,.T.); -#24273=ADVANCED_FACE('',(#1894),#646,.T.); -#24274=ADVANCED_FACE('',(#1895),#647,.T.); -#24275=ADVANCED_FACE('',(#1896),#648,.T.); -#24276=ADVANCED_FACE('',(#1897),#649,.F.); -#24277=ADVANCED_FACE('',(#1898),#650,.T.); -#24278=ADVANCED_FACE('',(#1899),#651,.T.); -#24279=ADVANCED_FACE('',(#1900),#652,.T.); -#24280=ADVANCED_FACE('',(#1901),#653,.T.); -#24281=ADVANCED_FACE('',(#1902),#654,.T.); -#24282=ADVANCED_FACE('',(#1903),#655,.T.); -#24283=ADVANCED_FACE('',(#1904),#656,.T.); -#24284=ADVANCED_FACE('',(#1905),#657,.T.); -#24285=ADVANCED_FACE('',(#1906),#23695,.T.); -#24286=ADVANCED_FACE('',(#1907),#658,.T.); -#24287=ADVANCED_FACE('',(#1908),#23696,.T.); -#24288=ADVANCED_FACE('',(#1909),#659,.T.); -#24289=ADVANCED_FACE('',(#1910),#660,.F.); -#24290=ADVANCED_FACE('',(#1911),#661,.T.); -#24291=ADVANCED_FACE('',(#1912),#23697,.F.); -#24292=ADVANCED_FACE('',(#1913),#23698,.F.); -#24293=ADVANCED_FACE('',(#1914),#23699,.T.); -#24294=ADVANCED_FACE('',(#1915),#662,.T.); -#24295=ADVANCED_FACE('',(#1916),#23700,.T.); -#24296=ADVANCED_FACE('',(#1917),#663,.T.); -#24297=ADVANCED_FACE('',(#1918),#664,.T.); -#24298=ADVANCED_FACE('',(#1919,#111,#112),#665,.F.); -#24299=ADVANCED_FACE('',(#1920),#666,.T.); -#24300=ADVANCED_FACE('',(#1921),#667,.T.); -#24301=ADVANCED_FACE('',(#1922),#668,.F.); -#24302=ADVANCED_FACE('',(#1923,#113,#114,#115,#116,#117,#118,#119,#120, -#121,#122,#123,#124,#125,#126,#127,#128,#129,#130,#131,#132,#133,#134,#135, -#136,#137,#138,#139,#140,#141),#669,.F.); -#24303=ADVANCED_FACE('',(#1924),#670,.T.); -#24304=ADVANCED_FACE('',(#1925),#671,.T.); -#24305=ADVANCED_FACE('',(#1926,#142,#143,#144,#145,#146,#147,#148,#149, -#150,#151,#152,#153,#154,#155,#156,#157,#158,#159,#160,#161,#162,#163,#164, -#165,#166,#167,#168,#169,#170,#171,#172,#173,#174,#175,#176,#177,#178,#179, -#180,#181,#182,#183,#184,#185,#186,#187,#188,#189,#190,#191,#192,#193,#194, -#195,#196,#197,#198,#199,#200,#201,#202,#203,#204,#205,#206,#207,#208,#209, -#210,#211,#212,#213,#214,#215,#216,#217,#218,#219,#220,#221,#222,#223,#224, -#225,#226,#227,#228,#229,#230,#231,#232,#233,#234,#235,#236,#237,#238,#239, -#240,#241,#242,#243,#244,#245,#246,#247,#248,#249,#250),#672,.T.); -#24306=ADVANCED_FACE('',(#1927),#23701,.T.); -#24307=ADVANCED_FACE('',(#1928),#23702,.F.); -#24308=ADVANCED_FACE('',(#1929),#23703,.F.); -#24309=ADVANCED_FACE('',(#1930),#23704,.F.); -#24310=ADVANCED_FACE('',(#1931),#23705,.F.); -#24311=ADVANCED_FACE('',(#1932),#23706,.F.); -#24312=ADVANCED_FACE('',(#1933),#23707,.F.); -#24313=ADVANCED_FACE('',(#1934),#23708,.F.); -#24314=ADVANCED_FACE('',(#1935),#23709,.F.); -#24315=ADVANCED_FACE('',(#1936),#23710,.F.); -#24316=ADVANCED_FACE('',(#1937),#23711,.F.); -#24317=ADVANCED_FACE('',(#1938),#23712,.F.); -#24318=ADVANCED_FACE('',(#1939),#23713,.F.); -#24319=ADVANCED_FACE('',(#1940),#23714,.F.); -#24320=ADVANCED_FACE('',(#1941),#23715,.F.); -#24321=ADVANCED_FACE('',(#1942),#23716,.F.); -#24322=ADVANCED_FACE('',(#1943),#23717,.F.); -#24323=ADVANCED_FACE('',(#1944),#23718,.F.); -#24324=ADVANCED_FACE('',(#1945),#23719,.F.); -#24325=ADVANCED_FACE('',(#1946),#23720,.F.); -#24326=ADVANCED_FACE('',(#1947),#23721,.F.); -#24327=ADVANCED_FACE('',(#1948),#23722,.F.); -#24328=ADVANCED_FACE('',(#1949),#23723,.F.); -#24329=ADVANCED_FACE('',(#1950),#23724,.F.); -#24330=ADVANCED_FACE('',(#1951),#23725,.F.); -#24331=ADVANCED_FACE('',(#1952),#23726,.F.); -#24332=ADVANCED_FACE('',(#1953),#23727,.F.); -#24333=ADVANCED_FACE('',(#1954),#673,.T.); -#24334=ADVANCED_FACE('',(#1955),#674,.T.); -#24335=ADVANCED_FACE('',(#1956),#675,.T.); -#24336=ADVANCED_FACE('',(#1957),#676,.T.); -#24337=ADVANCED_FACE('',(#1958),#677,.F.); -#24338=ADVANCED_FACE('',(#1959),#678,.T.); -#24339=ADVANCED_FACE('',(#1960),#679,.T.); -#24340=ADVANCED_FACE('',(#1961),#680,.T.); -#24341=ADVANCED_FACE('',(#1962),#681,.T.); -#24342=ADVANCED_FACE('',(#1963),#682,.T.); -#24343=ADVANCED_FACE('',(#1964),#683,.T.); -#24344=ADVANCED_FACE('',(#1965),#684,.T.); -#24345=ADVANCED_FACE('',(#1966),#685,.T.); -#24346=ADVANCED_FACE('',(#1967),#686,.T.); -#24347=ADVANCED_FACE('',(#1968),#687,.T.); -#24348=ADVANCED_FACE('',(#1969),#688,.T.); -#24349=ADVANCED_FACE('',(#1970),#689,.T.); -#24350=ADVANCED_FACE('',(#1971),#690,.T.); -#24351=ADVANCED_FACE('',(#1972),#691,.T.); -#24352=ADVANCED_FACE('',(#1973),#692,.T.); -#24353=ADVANCED_FACE('',(#1974),#693,.T.); -#24354=ADVANCED_FACE('',(#1975),#694,.T.); -#24355=ADVANCED_FACE('',(#1976),#695,.T.); -#24356=ADVANCED_FACE('',(#1977),#23728,.T.); -#24357=ADVANCED_FACE('',(#1978),#696,.T.); -#24358=ADVANCED_FACE('',(#1979),#23729,.T.); -#24359=ADVANCED_FACE('',(#1980),#697,.T.); -#24360=ADVANCED_FACE('',(#1981),#23730,.T.); -#24361=ADVANCED_FACE('',(#1982),#698,.T.); -#24362=ADVANCED_FACE('',(#1983),#699,.T.); -#24363=ADVANCED_FACE('',(#1984,#251),#700,.T.); -#24364=ADVANCED_FACE('',(#1985,#252),#701,.T.); -#24365=ADVANCED_FACE('',(#1986),#23731,.T.); -#24366=ADVANCED_FACE('',(#1987),#19,.T.); -#24367=ADVANCED_FACE('',(#1988),#702,.T.); -#24368=ADVANCED_FACE('',(#1989),#703,.T.); -#24369=ADVANCED_FACE('',(#1990),#23732,.T.); -#24370=ADVANCED_FACE('',(#1991),#20,.T.); -#24371=ADVANCED_FACE('',(#1992),#23733,.T.); -#24372=ADVANCED_FACE('',(#1993),#21,.T.); -#24373=ADVANCED_FACE('',(#1994),#23734,.T.); -#24374=ADVANCED_FACE('',(#1995),#704,.F.); -#24375=ADVANCED_FACE('',(#1996),#23735,.T.); -#24376=ADVANCED_FACE('',(#1997),#705,.F.); -#24377=ADVANCED_FACE('',(#1998),#23736,.T.); -#24378=ADVANCED_FACE('',(#1999),#706,.F.); -#24379=ADVANCED_FACE('',(#2000),#23737,.T.); -#24380=ADVANCED_FACE('',(#2001),#22,.T.); -#24381=ADVANCED_FACE('',(#2002),#23738,.T.); -#24382=ADVANCED_FACE('',(#2003),#707,.F.); -#24383=ADVANCED_FACE('',(#2004),#708,.T.); -#24384=ADVANCED_FACE('',(#2005),#709,.T.); -#24385=ADVANCED_FACE('',(#2006),#710,.T.); -#24386=ADVANCED_FACE('',(#2007),#711,.T.); -#24387=ADVANCED_FACE('',(#2008),#712,.T.); -#24388=ADVANCED_FACE('',(#2009),#713,.T.); -#24389=ADVANCED_FACE('',(#2010),#714,.T.); -#24390=ADVANCED_FACE('',(#2011),#715,.T.); -#24391=ADVANCED_FACE('',(#2012),#716,.T.); -#24392=ADVANCED_FACE('',(#2013),#717,.T.); -#24393=ADVANCED_FACE('',(#2014),#718,.T.); -#24394=ADVANCED_FACE('',(#2015),#719,.T.); -#24395=ADVANCED_FACE('',(#2016),#720,.T.); -#24396=ADVANCED_FACE('',(#2017),#721,.T.); -#24397=ADVANCED_FACE('',(#2018),#722,.T.); -#24398=ADVANCED_FACE('',(#2019),#723,.T.); -#24399=ADVANCED_FACE('',(#2020),#724,.T.); -#24400=ADVANCED_FACE('',(#2021),#725,.T.); -#24401=ADVANCED_FACE('',(#2022),#726,.T.); -#24402=ADVANCED_FACE('',(#2023),#727,.T.); -#24403=ADVANCED_FACE('',(#2024),#728,.T.); -#24404=ADVANCED_FACE('',(#2025),#729,.T.); -#24405=ADVANCED_FACE('',(#2026),#730,.T.); -#24406=ADVANCED_FACE('',(#2027),#731,.T.); -#24407=ADVANCED_FACE('',(#2028),#732,.T.); -#24408=ADVANCED_FACE('',(#2029,#253),#733,.T.); -#24409=ADVANCED_FACE('',(#2030),#734,.T.); -#24410=ADVANCED_FACE('',(#2031),#735,.T.); -#24411=ADVANCED_FACE('',(#2032),#736,.F.); -#24412=ADVANCED_FACE('',(#2033),#737,.F.); -#24413=ADVANCED_FACE('',(#2034),#738,.F.); -#24414=ADVANCED_FACE('',(#2035),#739,.F.); -#24415=ADVANCED_FACE('',(#2036),#740,.F.); -#24416=ADVANCED_FACE('',(#2037),#741,.F.); -#24417=ADVANCED_FACE('',(#2038),#742,.T.); -#24418=ADVANCED_FACE('',(#2039),#743,.F.); -#24419=ADVANCED_FACE('',(#2040),#744,.F.); -#24420=ADVANCED_FACE('',(#2041),#745,.F.); -#24421=ADVANCED_FACE('',(#2042),#746,.F.); -#24422=ADVANCED_FACE('',(#2043),#747,.F.); -#24423=ADVANCED_FACE('',(#2044),#748,.F.); -#24424=ADVANCED_FACE('',(#2045),#749,.T.); -#24425=ADVANCED_FACE('',(#2046),#750,.T.); -#24426=ADVANCED_FACE('',(#2047),#751,.T.); -#24427=ADVANCED_FACE('',(#2048),#752,.T.); -#24428=ADVANCED_FACE('',(#2049),#753,.T.); -#24429=ADVANCED_FACE('',(#2050),#754,.T.); -#24430=ADVANCED_FACE('',(#2051),#755,.T.); -#24431=ADVANCED_FACE('',(#2052),#756,.T.); -#24432=ADVANCED_FACE('',(#2053),#757,.T.); -#24433=ADVANCED_FACE('',(#2054),#758,.T.); -#24434=ADVANCED_FACE('',(#2055),#759,.T.); -#24435=ADVANCED_FACE('',(#2056),#760,.T.); -#24436=ADVANCED_FACE('',(#2057),#761,.F.); -#24437=ADVANCED_FACE('',(#2058),#762,.T.); -#24438=ADVANCED_FACE('',(#2059),#763,.F.); -#24439=ADVANCED_FACE('',(#2060),#764,.T.); -#24440=ADVANCED_FACE('',(#2061),#765,.F.); -#24441=ADVANCED_FACE('',(#2062),#766,.F.); -#24442=ADVANCED_FACE('',(#2063),#767,.T.); -#24443=ADVANCED_FACE('',(#2064),#768,.T.); -#24444=ADVANCED_FACE('',(#2065),#769,.T.); -#24445=ADVANCED_FACE('',(#2066),#770,.T.); -#24446=ADVANCED_FACE('',(#2067),#771,.T.); -#24447=ADVANCED_FACE('',(#2068),#772,.F.); -#24448=ADVANCED_FACE('',(#2069),#773,.T.); -#24449=ADVANCED_FACE('',(#2070),#774,.T.); -#24450=ADVANCED_FACE('',(#2071),#775,.T.); -#24451=ADVANCED_FACE('',(#2072),#776,.T.); -#24452=ADVANCED_FACE('',(#2073),#777,.T.); -#24453=ADVANCED_FACE('',(#2074),#23739,.T.); -#24454=ADVANCED_FACE('',(#2075,#254),#778,.T.); -#24455=ADVANCED_FACE('',(#2076),#779,.T.); -#24456=ADVANCED_FACE('',(#2077),#780,.T.); -#24457=ADVANCED_FACE('',(#2078),#781,.T.); -#24458=ADVANCED_FACE('',(#2079),#782,.T.); -#24459=ADVANCED_FACE('',(#2080),#783,.T.); -#24460=ADVANCED_FACE('',(#2081),#784,.T.); -#24461=ADVANCED_FACE('',(#2082),#785,.T.); -#24462=ADVANCED_FACE('',(#2083),#786,.T.); -#24463=ADVANCED_FACE('',(#2084),#787,.T.); -#24464=ADVANCED_FACE('',(#2085),#788,.T.); -#24465=ADVANCED_FACE('',(#2086),#23740,.T.); -#24466=ADVANCED_FACE('',(#2087),#789,.T.); -#24467=ADVANCED_FACE('',(#2088),#23741,.T.); -#24468=ADVANCED_FACE('',(#2089),#790,.T.); -#24469=ADVANCED_FACE('',(#2090),#791,.T.); -#24470=ADVANCED_FACE('',(#2091),#23742,.T.); -#24471=ADVANCED_FACE('',(#2092),#792,.T.); -#24472=ADVANCED_FACE('',(#2093,#255,#256,#257,#258),#793,.T.); -#24473=ADVANCED_FACE('',(#2094),#794,.T.); -#24474=ADVANCED_FACE('',(#2095,#259,#260,#261,#262),#795,.T.); -#24475=ADVANCED_FACE('',(#2096),#796,.T.); -#24476=ADVANCED_FACE('',(#2097),#797,.F.); -#24477=ADVANCED_FACE('',(#2098),#798,.F.); -#24478=ADVANCED_FACE('',(#2099),#799,.F.); -#24479=ADVANCED_FACE('',(#2100),#800,.F.); -#24480=ADVANCED_FACE('',(#2101),#801,.F.); -#24481=ADVANCED_FACE('',(#2102),#802,.F.); -#24482=ADVANCED_FACE('',(#2103),#803,.F.); -#24483=ADVANCED_FACE('',(#2104),#804,.F.); -#24484=ADVANCED_FACE('',(#2105),#805,.T.); -#24485=ADVANCED_FACE('',(#2106),#806,.T.); -#24486=ADVANCED_FACE('',(#2107),#807,.T.); -#24487=ADVANCED_FACE('',(#2108),#808,.T.); -#24488=ADVANCED_FACE('',(#2109),#809,.T.); -#24489=ADVANCED_FACE('',(#2110),#810,.T.); -#24490=ADVANCED_FACE('',(#2111),#811,.T.); -#24491=ADVANCED_FACE('',(#2112),#812,.T.); -#24492=ADVANCED_FACE('',(#2113),#813,.T.); -#24493=ADVANCED_FACE('',(#2114),#814,.T.); -#24494=ADVANCED_FACE('',(#2115),#815,.T.); -#24495=ADVANCED_FACE('',(#2116),#816,.T.); -#24496=ADVANCED_FACE('',(#2117),#817,.T.); -#24497=ADVANCED_FACE('',(#2118),#818,.T.); -#24498=ADVANCED_FACE('',(#2119),#819,.T.); -#24499=ADVANCED_FACE('',(#2120),#820,.T.); -#24500=ADVANCED_FACE('',(#2121),#821,.T.); -#24501=ADVANCED_FACE('',(#2122),#822,.T.); -#24502=ADVANCED_FACE('',(#2123),#823,.T.); -#24503=ADVANCED_FACE('',(#2124),#824,.T.); -#24504=ADVANCED_FACE('',(#2125),#825,.T.); -#24505=ADVANCED_FACE('',(#2126),#826,.T.); -#24506=ADVANCED_FACE('',(#2127),#827,.T.); -#24507=ADVANCED_FACE('',(#2128),#828,.T.); -#24508=ADVANCED_FACE('',(#2129),#829,.T.); -#24509=ADVANCED_FACE('',(#2130),#830,.T.); -#24510=ADVANCED_FACE('',(#2131),#831,.T.); -#24511=ADVANCED_FACE('',(#2132),#832,.T.); -#24512=ADVANCED_FACE('',(#2133),#833,.T.); -#24513=ADVANCED_FACE('',(#2134),#834,.T.); -#24514=ADVANCED_FACE('',(#2135),#835,.T.); -#24515=ADVANCED_FACE('',(#2136),#836,.T.); -#24516=ADVANCED_FACE('',(#2137),#837,.T.); -#24517=ADVANCED_FACE('',(#2138),#838,.T.); -#24518=ADVANCED_FACE('',(#2139),#839,.T.); -#24519=ADVANCED_FACE('',(#2140),#840,.T.); -#24520=ADVANCED_FACE('',(#2141),#841,.T.); -#24521=ADVANCED_FACE('',(#2142),#842,.T.); -#24522=ADVANCED_FACE('',(#2143),#843,.T.); -#24523=ADVANCED_FACE('',(#2144),#844,.T.); -#24524=ADVANCED_FACE('',(#2145),#845,.T.); -#24525=ADVANCED_FACE('',(#2146),#846,.T.); -#24526=ADVANCED_FACE('',(#2147),#847,.T.); -#24527=ADVANCED_FACE('',(#2148),#848,.T.); -#24528=ADVANCED_FACE('',(#2149),#849,.T.); -#24529=ADVANCED_FACE('',(#2150),#850,.T.); -#24530=ADVANCED_FACE('',(#2151),#851,.T.); -#24531=ADVANCED_FACE('',(#2152),#852,.T.); -#24532=ADVANCED_FACE('',(#2153),#853,.T.); -#24533=ADVANCED_FACE('',(#2154),#854,.T.); -#24534=ADVANCED_FACE('',(#2155),#855,.T.); -#24535=ADVANCED_FACE('',(#2156),#856,.T.); -#24536=ADVANCED_FACE('',(#2157),#857,.T.); -#24537=ADVANCED_FACE('',(#2158),#858,.T.); -#24538=ADVANCED_FACE('',(#2159),#859,.T.); -#24539=ADVANCED_FACE('',(#2160),#860,.T.); -#24540=ADVANCED_FACE('',(#2161),#861,.T.); -#24541=ADVANCED_FACE('',(#2162),#862,.T.); -#24542=ADVANCED_FACE('',(#2163),#863,.T.); -#24543=ADVANCED_FACE('',(#2164),#864,.T.); -#24544=ADVANCED_FACE('',(#2165),#865,.T.); -#24545=ADVANCED_FACE('',(#2166),#866,.T.); -#24546=ADVANCED_FACE('',(#2167),#867,.T.); -#24547=ADVANCED_FACE('',(#2168),#868,.T.); -#24548=ADVANCED_FACE('',(#2169),#869,.T.); -#24549=ADVANCED_FACE('',(#2170),#870,.T.); -#24550=ADVANCED_FACE('',(#2171),#871,.T.); -#24551=ADVANCED_FACE('',(#2172),#872,.T.); -#24552=ADVANCED_FACE('',(#2173),#873,.T.); -#24553=ADVANCED_FACE('',(#2174),#874,.T.); -#24554=ADVANCED_FACE('',(#2175),#875,.T.); -#24555=ADVANCED_FACE('',(#2176),#876,.T.); -#24556=ADVANCED_FACE('',(#2177),#877,.T.); -#24557=ADVANCED_FACE('',(#2178),#878,.T.); -#24558=ADVANCED_FACE('',(#2179),#879,.T.); -#24559=ADVANCED_FACE('',(#2180),#880,.T.); -#24560=ADVANCED_FACE('',(#2181),#881,.T.); -#24561=ADVANCED_FACE('',(#2182),#882,.T.); -#24562=ADVANCED_FACE('',(#2183),#883,.T.); -#24563=ADVANCED_FACE('',(#2184),#884,.T.); -#24564=ADVANCED_FACE('',(#2185),#885,.T.); -#24565=ADVANCED_FACE('',(#2186),#886,.T.); -#24566=ADVANCED_FACE('',(#2187),#887,.T.); -#24567=ADVANCED_FACE('',(#2188),#888,.T.); -#24568=ADVANCED_FACE('',(#2189),#889,.T.); -#24569=ADVANCED_FACE('',(#2190),#890,.T.); -#24570=ADVANCED_FACE('',(#2191),#891,.T.); -#24571=ADVANCED_FACE('',(#2192),#892,.T.); -#24572=ADVANCED_FACE('',(#2193),#893,.T.); -#24573=ADVANCED_FACE('',(#2194),#894,.T.); -#24574=ADVANCED_FACE('',(#2195),#895,.T.); -#24575=ADVANCED_FACE('',(#2196),#896,.T.); -#24576=ADVANCED_FACE('',(#2197),#897,.T.); -#24577=ADVANCED_FACE('',(#2198),#898,.T.); -#24578=ADVANCED_FACE('',(#2199),#899,.T.); -#24579=ADVANCED_FACE('',(#2200),#900,.T.); -#24580=ADVANCED_FACE('',(#2201),#901,.T.); -#24581=ADVANCED_FACE('',(#2202),#902,.T.); -#24582=ADVANCED_FACE('',(#2203),#903,.T.); -#24583=ADVANCED_FACE('',(#2204),#904,.T.); -#24584=ADVANCED_FACE('',(#2205),#905,.T.); -#24585=ADVANCED_FACE('',(#2206),#906,.T.); -#24586=ADVANCED_FACE('',(#2207),#907,.T.); -#24587=ADVANCED_FACE('',(#2208),#908,.T.); -#24588=ADVANCED_FACE('',(#2209),#909,.T.); -#24589=ADVANCED_FACE('',(#2210),#910,.T.); -#24590=ADVANCED_FACE('',(#2211),#911,.T.); -#24591=ADVANCED_FACE('',(#2212),#912,.T.); -#24592=ADVANCED_FACE('',(#2213),#913,.T.); -#24593=ADVANCED_FACE('',(#2214),#914,.T.); -#24594=ADVANCED_FACE('',(#2215),#915,.T.); -#24595=ADVANCED_FACE('',(#2216),#916,.T.); -#24596=ADVANCED_FACE('',(#2217),#23743,.T.); -#24597=ADVANCED_FACE('',(#2218,#263),#917,.T.); -#24598=ADVANCED_FACE('',(#2219),#918,.T.); -#24599=ADVANCED_FACE('',(#2220),#23744,.F.); -#24600=ADVANCED_FACE('',(#2221,#264),#919,.T.); -#24601=ADVANCED_FACE('',(#2222),#23745,.T.); -#24602=ADVANCED_FACE('',(#2223),#920,.T.); -#24603=ADVANCED_FACE('',(#2224),#921,.T.); -#24604=ADVANCED_FACE('',(#2225),#922,.T.); -#24605=ADVANCED_FACE('',(#2226),#923,.T.); -#24606=ADVANCED_FACE('',(#2227),#924,.T.); -#24607=ADVANCED_FACE('',(#2228),#925,.T.); -#24608=ADVANCED_FACE('',(#2229),#926,.T.); -#24609=ADVANCED_FACE('',(#2230),#927,.T.); -#24610=ADVANCED_FACE('',(#2231),#928,.T.); -#24611=ADVANCED_FACE('',(#2232),#929,.T.); -#24612=ADVANCED_FACE('',(#2233),#930,.T.); -#24613=ADVANCED_FACE('',(#2234),#931,.T.); -#24614=ADVANCED_FACE('',(#2235),#932,.T.); -#24615=ADVANCED_FACE('',(#2236),#933,.T.); -#24616=ADVANCED_FACE('',(#2237),#934,.T.); -#24617=ADVANCED_FACE('',(#2238),#935,.T.); -#24618=ADVANCED_FACE('',(#2239),#936,.T.); -#24619=ADVANCED_FACE('',(#2240),#937,.T.); -#24620=ADVANCED_FACE('',(#2241),#938,.T.); -#24621=ADVANCED_FACE('',(#2242),#939,.T.); -#24622=ADVANCED_FACE('',(#2243),#940,.T.); -#24623=ADVANCED_FACE('',(#2244),#941,.T.); -#24624=ADVANCED_FACE('',(#2245),#942,.T.); -#24625=ADVANCED_FACE('',(#2246),#943,.T.); -#24626=ADVANCED_FACE('',(#2247),#944,.T.); -#24627=ADVANCED_FACE('',(#2248),#945,.T.); -#24628=ADVANCED_FACE('',(#2249),#946,.T.); -#24629=ADVANCED_FACE('',(#2250),#947,.T.); -#24630=ADVANCED_FACE('',(#2251),#948,.T.); -#24631=ADVANCED_FACE('',(#2252),#949,.T.); -#24632=ADVANCED_FACE('',(#2253),#950,.T.); -#24633=ADVANCED_FACE('',(#2254),#951,.T.); -#24634=ADVANCED_FACE('',(#2255),#952,.T.); -#24635=ADVANCED_FACE('',(#2256),#953,.T.); -#24636=ADVANCED_FACE('',(#2257),#954,.T.); -#24637=ADVANCED_FACE('',(#2258),#955,.T.); -#24638=ADVANCED_FACE('',(#2259),#956,.T.); -#24639=ADVANCED_FACE('',(#2260),#957,.T.); -#24640=ADVANCED_FACE('',(#2261),#958,.T.); -#24641=ADVANCED_FACE('',(#2262),#959,.T.); -#24642=ADVANCED_FACE('',(#2263),#960,.T.); -#24643=ADVANCED_FACE('',(#2264),#961,.T.); -#24644=ADVANCED_FACE('',(#2265),#962,.T.); -#24645=ADVANCED_FACE('',(#2266),#963,.T.); -#24646=ADVANCED_FACE('',(#2267),#964,.T.); -#24647=ADVANCED_FACE('',(#2268),#965,.T.); -#24648=ADVANCED_FACE('',(#2269),#966,.T.); -#24649=ADVANCED_FACE('',(#2270),#967,.T.); -#24650=ADVANCED_FACE('',(#2271),#968,.T.); -#24651=ADVANCED_FACE('',(#2272),#969,.T.); -#24652=ADVANCED_FACE('',(#2273),#970,.T.); -#24653=ADVANCED_FACE('',(#2274),#971,.T.); -#24654=ADVANCED_FACE('',(#2275),#972,.T.); -#24655=ADVANCED_FACE('',(#2276),#973,.T.); -#24656=ADVANCED_FACE('',(#2277),#974,.T.); -#24657=ADVANCED_FACE('',(#2278),#975,.T.); -#24658=ADVANCED_FACE('',(#2279),#976,.T.); -#24659=ADVANCED_FACE('',(#2280),#977,.T.); -#24660=ADVANCED_FACE('',(#2281),#978,.T.); -#24661=ADVANCED_FACE('',(#2282),#979,.T.); -#24662=ADVANCED_FACE('',(#2283),#980,.T.); -#24663=ADVANCED_FACE('',(#2284),#981,.T.); -#24664=ADVANCED_FACE('',(#2285),#982,.T.); -#24665=ADVANCED_FACE('',(#2286),#983,.T.); -#24666=ADVANCED_FACE('',(#2287),#984,.T.); -#24667=ADVANCED_FACE('',(#2288),#985,.T.); -#24668=ADVANCED_FACE('',(#2289),#986,.T.); -#24669=ADVANCED_FACE('',(#2290),#987,.T.); -#24670=ADVANCED_FACE('',(#2291),#988,.T.); -#24671=ADVANCED_FACE('',(#2292),#989,.T.); -#24672=ADVANCED_FACE('',(#2293),#990,.T.); -#24673=ADVANCED_FACE('',(#2294),#991,.T.); -#24674=ADVANCED_FACE('',(#2295),#992,.T.); -#24675=ADVANCED_FACE('',(#2296),#993,.T.); -#24676=ADVANCED_FACE('',(#2297),#994,.T.); -#24677=ADVANCED_FACE('',(#2298),#995,.T.); -#24678=ADVANCED_FACE('',(#2299),#996,.T.); -#24679=ADVANCED_FACE('',(#2300),#997,.T.); -#24680=ADVANCED_FACE('',(#2301),#998,.T.); -#24681=ADVANCED_FACE('',(#2302),#999,.T.); -#24682=ADVANCED_FACE('',(#2303),#1000,.T.); -#24683=ADVANCED_FACE('',(#2304),#1001,.T.); -#24684=ADVANCED_FACE('',(#2305),#1002,.T.); -#24685=ADVANCED_FACE('',(#2306),#1003,.T.); -#24686=ADVANCED_FACE('',(#2307),#1004,.T.); -#24687=ADVANCED_FACE('',(#2308),#1005,.T.); -#24688=ADVANCED_FACE('',(#2309),#1006,.T.); -#24689=ADVANCED_FACE('',(#2310),#1007,.T.); -#24690=ADVANCED_FACE('',(#2311),#1008,.T.); -#24691=ADVANCED_FACE('',(#2312),#1009,.T.); -#24692=ADVANCED_FACE('',(#2313),#1010,.T.); -#24693=ADVANCED_FACE('',(#2314),#1011,.T.); -#24694=ADVANCED_FACE('',(#2315),#1012,.T.); -#24695=ADVANCED_FACE('',(#2316),#1013,.T.); -#24696=ADVANCED_FACE('',(#2317),#1014,.T.); -#24697=ADVANCED_FACE('',(#2318),#1015,.T.); -#24698=ADVANCED_FACE('',(#2319),#1016,.T.); -#24699=ADVANCED_FACE('',(#2320),#1017,.T.); -#24700=ADVANCED_FACE('',(#2321),#1018,.T.); -#24701=ADVANCED_FACE('',(#2322),#1019,.T.); -#24702=ADVANCED_FACE('',(#2323),#1020,.T.); -#24703=ADVANCED_FACE('',(#2324),#1021,.T.); -#24704=ADVANCED_FACE('',(#2325),#1022,.T.); -#24705=ADVANCED_FACE('',(#2326),#1023,.T.); -#24706=ADVANCED_FACE('',(#2327),#1024,.T.); -#24707=ADVANCED_FACE('',(#2328),#1025,.T.); -#24708=ADVANCED_FACE('',(#2329),#1026,.T.); -#24709=ADVANCED_FACE('',(#2330),#1027,.T.); -#24710=ADVANCED_FACE('',(#2331),#1028,.T.); -#24711=ADVANCED_FACE('',(#2332),#1029,.T.); -#24712=ADVANCED_FACE('',(#2333),#1030,.T.); -#24713=ADVANCED_FACE('',(#2334),#1031,.T.); -#24714=ADVANCED_FACE('',(#2335),#1032,.T.); -#24715=ADVANCED_FACE('',(#2336),#1033,.T.); -#24716=ADVANCED_FACE('',(#2337),#1034,.T.); -#24717=ADVANCED_FACE('',(#2338),#1035,.T.); -#24718=ADVANCED_FACE('',(#2339),#1036,.T.); -#24719=ADVANCED_FACE('',(#2340),#1037,.T.); -#24720=ADVANCED_FACE('',(#2341),#1038,.T.); -#24721=ADVANCED_FACE('',(#2342),#1039,.T.); -#24722=ADVANCED_FACE('',(#2343),#1040,.T.); -#24723=ADVANCED_FACE('',(#2344),#1041,.T.); -#24724=ADVANCED_FACE('',(#2345),#1042,.T.); -#24725=ADVANCED_FACE('',(#2346),#1043,.T.); -#24726=ADVANCED_FACE('',(#2347),#1044,.T.); -#24727=ADVANCED_FACE('',(#2348),#1045,.T.); -#24728=ADVANCED_FACE('',(#2349),#1046,.T.); -#24729=ADVANCED_FACE('',(#2350),#1047,.T.); -#24730=ADVANCED_FACE('',(#2351),#1048,.T.); -#24731=ADVANCED_FACE('',(#2352),#1049,.T.); -#24732=ADVANCED_FACE('',(#2353),#1050,.T.); -#24733=ADVANCED_FACE('',(#2354),#1051,.T.); -#24734=ADVANCED_FACE('',(#2355),#1052,.T.); -#24735=ADVANCED_FACE('',(#2356),#1053,.T.); -#24736=ADVANCED_FACE('',(#2357),#1054,.T.); -#24737=ADVANCED_FACE('',(#2358),#1055,.T.); -#24738=ADVANCED_FACE('',(#2359),#1056,.T.); -#24739=ADVANCED_FACE('',(#2360),#1057,.T.); -#24740=ADVANCED_FACE('',(#2361),#1058,.T.); -#24741=ADVANCED_FACE('',(#2362),#1059,.T.); -#24742=ADVANCED_FACE('',(#2363),#1060,.T.); -#24743=ADVANCED_FACE('',(#2364),#1061,.T.); -#24744=ADVANCED_FACE('',(#2365),#1062,.T.); -#24745=ADVANCED_FACE('',(#2366),#1063,.T.); -#24746=ADVANCED_FACE('',(#2367),#1064,.T.); -#24747=ADVANCED_FACE('',(#2368),#1065,.T.); -#24748=ADVANCED_FACE('',(#2369),#1066,.T.); -#24749=ADVANCED_FACE('',(#2370),#1067,.T.); -#24750=ADVANCED_FACE('',(#2371),#1068,.T.); -#24751=ADVANCED_FACE('',(#2372),#1069,.T.); -#24752=ADVANCED_FACE('',(#2373),#1070,.T.); -#24753=ADVANCED_FACE('',(#2374),#1071,.T.); -#24754=ADVANCED_FACE('',(#2375),#1072,.T.); -#24755=ADVANCED_FACE('',(#2376),#1073,.T.); -#24756=ADVANCED_FACE('',(#2377),#1074,.T.); -#24757=ADVANCED_FACE('',(#2378),#1075,.T.); -#24758=ADVANCED_FACE('',(#2379),#1076,.T.); -#24759=ADVANCED_FACE('',(#2380),#1077,.T.); -#24760=ADVANCED_FACE('',(#2381),#1078,.T.); -#24761=ADVANCED_FACE('',(#2382),#1079,.T.); -#24762=ADVANCED_FACE('',(#2383),#1080,.T.); -#24763=ADVANCED_FACE('',(#2384),#1081,.T.); -#24764=ADVANCED_FACE('',(#2385),#1082,.T.); -#24765=ADVANCED_FACE('',(#2386),#1083,.T.); -#24766=ADVANCED_FACE('',(#2387),#1084,.T.); -#24767=ADVANCED_FACE('',(#2388),#1085,.T.); -#24768=ADVANCED_FACE('',(#2389),#1086,.T.); -#24769=ADVANCED_FACE('',(#2390),#1087,.T.); -#24770=ADVANCED_FACE('',(#2391),#1088,.T.); -#24771=ADVANCED_FACE('',(#2392),#1089,.T.); -#24772=ADVANCED_FACE('',(#2393),#1090,.T.); -#24773=ADVANCED_FACE('',(#2394),#1091,.T.); -#24774=ADVANCED_FACE('',(#2395),#1092,.T.); -#24775=ADVANCED_FACE('',(#2396),#1093,.T.); -#24776=ADVANCED_FACE('',(#2397),#1094,.T.); -#24777=ADVANCED_FACE('',(#2398),#1095,.T.); -#24778=ADVANCED_FACE('',(#2399),#1096,.T.); -#24779=ADVANCED_FACE('',(#2400),#1097,.T.); -#24780=ADVANCED_FACE('',(#2401),#1098,.T.); -#24781=ADVANCED_FACE('',(#2402),#1099,.T.); -#24782=ADVANCED_FACE('',(#2403),#1100,.T.); -#24783=ADVANCED_FACE('',(#2404),#1101,.T.); -#24784=ADVANCED_FACE('',(#2405),#1102,.T.); -#24785=ADVANCED_FACE('',(#2406),#1103,.T.); -#24786=ADVANCED_FACE('',(#2407),#1104,.T.); -#24787=ADVANCED_FACE('',(#2408),#1105,.T.); -#24788=ADVANCED_FACE('',(#2409),#1106,.T.); -#24789=ADVANCED_FACE('',(#2410),#1107,.T.); -#24790=ADVANCED_FACE('',(#2411),#1108,.T.); -#24791=ADVANCED_FACE('',(#2412),#1109,.T.); -#24792=ADVANCED_FACE('',(#2413),#1110,.T.); -#24793=ADVANCED_FACE('',(#2414),#1111,.T.); -#24794=ADVANCED_FACE('',(#2415),#1112,.T.); -#24795=ADVANCED_FACE('',(#2416),#1113,.T.); -#24796=ADVANCED_FACE('',(#2417),#1114,.T.); -#24797=ADVANCED_FACE('',(#2418),#1115,.T.); -#24798=ADVANCED_FACE('',(#2419),#1116,.T.); -#24799=ADVANCED_FACE('',(#2420),#1117,.T.); -#24800=ADVANCED_FACE('',(#2421),#1118,.T.); -#24801=ADVANCED_FACE('',(#2422),#1119,.T.); -#24802=ADVANCED_FACE('',(#2423),#1120,.T.); -#24803=ADVANCED_FACE('',(#2424),#1121,.T.); -#24804=ADVANCED_FACE('',(#2425),#1122,.T.); -#24805=ADVANCED_FACE('',(#2426),#1123,.T.); -#24806=ADVANCED_FACE('',(#2427),#1124,.T.); -#24807=ADVANCED_FACE('',(#2428),#1125,.T.); -#24808=ADVANCED_FACE('',(#2429),#1126,.T.); -#24809=ADVANCED_FACE('',(#2430),#1127,.T.); -#24810=ADVANCED_FACE('',(#2431),#1128,.T.); -#24811=ADVANCED_FACE('',(#2432),#1129,.T.); -#24812=ADVANCED_FACE('',(#2433),#1130,.T.); -#24813=ADVANCED_FACE('',(#2434),#1131,.T.); -#24814=ADVANCED_FACE('',(#2435),#1132,.T.); -#24815=ADVANCED_FACE('',(#2436),#1133,.T.); -#24816=ADVANCED_FACE('',(#2437),#1134,.T.); -#24817=ADVANCED_FACE('',(#2438),#1135,.T.); -#24818=ADVANCED_FACE('',(#2439),#1136,.T.); -#24819=ADVANCED_FACE('',(#2440),#1137,.T.); -#24820=ADVANCED_FACE('',(#2441),#1138,.T.); -#24821=ADVANCED_FACE('',(#2442),#1139,.T.); -#24822=ADVANCED_FACE('',(#2443),#1140,.T.); -#24823=ADVANCED_FACE('',(#2444),#1141,.T.); -#24824=ADVANCED_FACE('',(#2445),#1142,.T.); -#24825=ADVANCED_FACE('',(#2446),#1143,.T.); -#24826=ADVANCED_FACE('',(#2447),#1144,.T.); -#24827=ADVANCED_FACE('',(#2448),#1145,.T.); -#24828=ADVANCED_FACE('',(#2449),#1146,.T.); -#24829=ADVANCED_FACE('',(#2450),#1147,.T.); -#24830=ADVANCED_FACE('',(#2451),#1148,.T.); -#24831=ADVANCED_FACE('',(#2452),#1149,.T.); -#24832=ADVANCED_FACE('',(#2453),#1150,.T.); -#24833=ADVANCED_FACE('',(#2454),#1151,.T.); -#24834=ADVANCED_FACE('',(#2455),#1152,.T.); -#24835=ADVANCED_FACE('',(#2456),#1153,.T.); -#24836=ADVANCED_FACE('',(#2457),#1154,.T.); -#24837=ADVANCED_FACE('',(#2458),#1155,.T.); -#24838=ADVANCED_FACE('',(#2459),#1156,.T.); -#24839=ADVANCED_FACE('',(#2460),#1157,.T.); -#24840=ADVANCED_FACE('',(#2461),#1158,.T.); -#24841=ADVANCED_FACE('',(#2462),#1159,.T.); -#24842=ADVANCED_FACE('',(#2463),#1160,.T.); -#24843=ADVANCED_FACE('',(#2464),#1161,.T.); -#24844=ADVANCED_FACE('',(#2465),#1162,.T.); -#24845=ADVANCED_FACE('',(#2466),#1163,.T.); -#24846=ADVANCED_FACE('',(#2467),#1164,.T.); -#24847=ADVANCED_FACE('',(#2468),#1165,.T.); -#24848=ADVANCED_FACE('',(#2469),#1166,.T.); -#24849=ADVANCED_FACE('',(#2470),#1167,.T.); -#24850=ADVANCED_FACE('',(#2471),#1168,.T.); -#24851=ADVANCED_FACE('',(#2472),#1169,.T.); -#24852=ADVANCED_FACE('',(#2473),#1170,.T.); -#24853=ADVANCED_FACE('',(#2474),#1171,.T.); -#24854=ADVANCED_FACE('',(#2475),#1172,.T.); -#24855=ADVANCED_FACE('',(#2476),#1173,.T.); -#24856=ADVANCED_FACE('',(#2477),#1174,.T.); -#24857=ADVANCED_FACE('',(#2478),#1175,.T.); -#24858=ADVANCED_FACE('',(#2479),#1176,.T.); -#24859=ADVANCED_FACE('',(#2480),#1177,.T.); -#24860=ADVANCED_FACE('',(#2481),#1178,.T.); -#24861=ADVANCED_FACE('',(#2482),#1179,.T.); -#24862=ADVANCED_FACE('',(#2483),#1180,.T.); -#24863=ADVANCED_FACE('',(#2484),#1181,.T.); -#24864=ADVANCED_FACE('',(#2485),#1182,.T.); -#24865=ADVANCED_FACE('',(#2486),#1183,.T.); -#24866=ADVANCED_FACE('',(#2487),#1184,.T.); -#24867=ADVANCED_FACE('',(#2488),#1185,.T.); -#24868=ADVANCED_FACE('',(#2489),#1186,.T.); -#24869=ADVANCED_FACE('',(#2490),#1187,.T.); -#24870=ADVANCED_FACE('',(#2491),#1188,.T.); -#24871=ADVANCED_FACE('',(#2492),#1189,.T.); -#24872=ADVANCED_FACE('',(#2493),#1190,.T.); -#24873=ADVANCED_FACE('',(#2494),#1191,.T.); -#24874=ADVANCED_FACE('',(#2495),#1192,.T.); -#24875=ADVANCED_FACE('',(#2496),#1193,.T.); -#24876=ADVANCED_FACE('',(#2497),#1194,.T.); -#24877=ADVANCED_FACE('',(#2498),#1195,.T.); -#24878=ADVANCED_FACE('',(#2499),#1196,.T.); -#24879=ADVANCED_FACE('',(#2500),#1197,.T.); -#24880=ADVANCED_FACE('',(#2501),#1198,.T.); -#24881=ADVANCED_FACE('',(#2502),#1199,.T.); -#24882=ADVANCED_FACE('',(#2503),#1200,.T.); -#24883=ADVANCED_FACE('',(#2504),#1201,.T.); -#24884=ADVANCED_FACE('',(#2505),#1202,.T.); -#24885=ADVANCED_FACE('',(#2506),#1203,.T.); -#24886=ADVANCED_FACE('',(#2507),#1204,.T.); -#24887=ADVANCED_FACE('',(#2508),#1205,.T.); -#24888=ADVANCED_FACE('',(#2509),#1206,.T.); -#24889=ADVANCED_FACE('',(#2510),#1207,.T.); -#24890=ADVANCED_FACE('',(#2511),#1208,.T.); -#24891=ADVANCED_FACE('',(#2512),#1209,.T.); -#24892=ADVANCED_FACE('',(#2513),#1210,.T.); -#24893=ADVANCED_FACE('',(#2514),#1211,.T.); -#24894=ADVANCED_FACE('',(#2515),#1212,.T.); -#24895=ADVANCED_FACE('',(#2516),#1213,.T.); -#24896=ADVANCED_FACE('',(#2517),#1214,.T.); -#24897=ADVANCED_FACE('',(#2518),#1215,.T.); -#24898=ADVANCED_FACE('',(#2519),#1216,.T.); -#24899=ADVANCED_FACE('',(#2520),#1217,.T.); -#24900=ADVANCED_FACE('',(#2521),#1218,.T.); -#24901=ADVANCED_FACE('',(#2522),#1219,.T.); -#24902=ADVANCED_FACE('',(#2523),#1220,.T.); -#24903=ADVANCED_FACE('',(#2524),#1221,.T.); -#24904=ADVANCED_FACE('',(#2525),#1222,.T.); -#24905=ADVANCED_FACE('',(#2526),#1223,.T.); -#24906=ADVANCED_FACE('',(#2527),#1224,.T.); -#24907=ADVANCED_FACE('',(#2528),#1225,.T.); -#24908=ADVANCED_FACE('',(#2529),#1226,.T.); -#24909=ADVANCED_FACE('',(#2530),#1227,.T.); -#24910=ADVANCED_FACE('',(#2531),#1228,.T.); -#24911=ADVANCED_FACE('',(#2532),#1229,.T.); -#24912=ADVANCED_FACE('',(#2533),#1230,.T.); -#24913=ADVANCED_FACE('',(#2534),#1231,.T.); -#24914=ADVANCED_FACE('',(#2535),#1232,.T.); -#24915=ADVANCED_FACE('',(#2536),#1233,.T.); -#24916=ADVANCED_FACE('',(#2537),#1234,.T.); -#24917=ADVANCED_FACE('',(#2538),#1235,.T.); -#24918=ADVANCED_FACE('',(#2539),#1236,.T.); -#24919=ADVANCED_FACE('',(#2540),#1237,.T.); -#24920=ADVANCED_FACE('',(#2541),#1238,.T.); -#24921=ADVANCED_FACE('',(#2542),#1239,.T.); -#24922=ADVANCED_FACE('',(#2543),#1240,.T.); -#24923=ADVANCED_FACE('',(#2544),#1241,.T.); -#24924=ADVANCED_FACE('',(#2545),#1242,.T.); -#24925=ADVANCED_FACE('',(#2546),#1243,.T.); -#24926=ADVANCED_FACE('',(#2547),#1244,.T.); -#24927=ADVANCED_FACE('',(#2548),#1245,.T.); -#24928=ADVANCED_FACE('',(#2549),#1246,.T.); -#24929=ADVANCED_FACE('',(#2550),#1247,.T.); -#24930=ADVANCED_FACE('',(#2551),#1248,.T.); -#24931=ADVANCED_FACE('',(#2552),#1249,.T.); -#24932=ADVANCED_FACE('',(#2553),#1250,.T.); -#24933=ADVANCED_FACE('',(#2554),#1251,.T.); -#24934=ADVANCED_FACE('',(#2555),#1252,.T.); -#24935=ADVANCED_FACE('',(#2556),#1253,.T.); -#24936=ADVANCED_FACE('',(#2557),#1254,.T.); -#24937=ADVANCED_FACE('',(#2558),#1255,.T.); -#24938=ADVANCED_FACE('',(#2559),#1256,.T.); -#24939=ADVANCED_FACE('',(#2560),#1257,.T.); -#24940=ADVANCED_FACE('',(#2561),#1258,.T.); -#24941=ADVANCED_FACE('',(#2562),#1259,.T.); -#24942=ADVANCED_FACE('',(#2563),#1260,.T.); -#24943=ADVANCED_FACE('',(#2564),#1261,.T.); -#24944=ADVANCED_FACE('',(#2565),#1262,.T.); -#24945=ADVANCED_FACE('',(#2566),#1263,.T.); -#24946=ADVANCED_FACE('',(#2567),#1264,.T.); -#24947=ADVANCED_FACE('',(#2568),#1265,.T.); -#24948=ADVANCED_FACE('',(#2569),#1266,.T.); -#24949=ADVANCED_FACE('',(#2570),#1267,.T.); -#24950=ADVANCED_FACE('',(#2571),#1268,.T.); -#24951=ADVANCED_FACE('',(#2572),#1269,.T.); -#24952=ADVANCED_FACE('',(#2573),#1270,.T.); -#24953=ADVANCED_FACE('',(#2574),#1271,.T.); -#24954=ADVANCED_FACE('',(#2575),#1272,.T.); -#24955=ADVANCED_FACE('',(#2576),#1273,.T.); -#24956=ADVANCED_FACE('',(#2577),#1274,.T.); -#24957=ADVANCED_FACE('',(#2578),#1275,.T.); -#24958=ADVANCED_FACE('',(#2579),#1276,.T.); -#24959=ADVANCED_FACE('',(#2580),#1277,.T.); -#24960=ADVANCED_FACE('',(#2581),#1278,.T.); -#24961=ADVANCED_FACE('',(#2582),#1279,.T.); -#24962=ADVANCED_FACE('',(#2583),#1280,.T.); -#24963=ADVANCED_FACE('',(#2584),#1281,.T.); -#24964=ADVANCED_FACE('',(#2585),#1282,.T.); -#24965=ADVANCED_FACE('',(#2586),#1283,.T.); -#24966=ADVANCED_FACE('',(#2587),#1284,.T.); -#24967=ADVANCED_FACE('',(#2588),#1285,.T.); -#24968=ADVANCED_FACE('',(#2589),#1286,.T.); -#24969=ADVANCED_FACE('',(#2590),#1287,.T.); -#24970=ADVANCED_FACE('',(#2591),#1288,.T.); -#24971=ADVANCED_FACE('',(#2592),#1289,.T.); -#24972=ADVANCED_FACE('',(#2593),#1290,.T.); -#24973=ADVANCED_FACE('',(#2594),#1291,.T.); -#24974=ADVANCED_FACE('',(#2595),#1292,.T.); -#24975=ADVANCED_FACE('',(#2596),#1293,.T.); -#24976=ADVANCED_FACE('',(#2597),#1294,.T.); -#24977=ADVANCED_FACE('',(#2598),#1295,.T.); -#24978=ADVANCED_FACE('',(#2599),#1296,.T.); -#24979=ADVANCED_FACE('',(#2600),#1297,.T.); -#24980=ADVANCED_FACE('',(#2601),#1298,.T.); -#24981=ADVANCED_FACE('',(#2602),#1299,.T.); -#24982=ADVANCED_FACE('',(#2603),#1300,.T.); -#24983=ADVANCED_FACE('',(#2604),#1301,.T.); -#24984=ADVANCED_FACE('',(#2605),#1302,.T.); -#24985=ADVANCED_FACE('',(#2606),#1303,.T.); -#24986=ADVANCED_FACE('',(#2607),#1304,.T.); -#24987=ADVANCED_FACE('',(#2608),#1305,.T.); -#24988=ADVANCED_FACE('',(#2609),#1306,.T.); -#24989=ADVANCED_FACE('',(#2610),#1307,.T.); -#24990=ADVANCED_FACE('',(#2611),#1308,.T.); -#24991=ADVANCED_FACE('',(#2612),#1309,.T.); -#24992=ADVANCED_FACE('',(#2613),#1310,.T.); -#24993=ADVANCED_FACE('',(#2614),#1311,.T.); -#24994=ADVANCED_FACE('',(#2615),#1312,.T.); -#24995=ADVANCED_FACE('',(#2616),#1313,.T.); -#24996=ADVANCED_FACE('',(#2617),#1314,.T.); -#24997=ADVANCED_FACE('',(#2618),#1315,.T.); -#24998=ADVANCED_FACE('',(#2619),#1316,.T.); -#24999=ADVANCED_FACE('',(#2620),#1317,.T.); -#25000=ADVANCED_FACE('',(#2621),#1318,.T.); -#25001=ADVANCED_FACE('',(#2622),#1319,.T.); -#25002=ADVANCED_FACE('',(#2623),#1320,.T.); -#25003=ADVANCED_FACE('',(#2624),#1321,.T.); -#25004=ADVANCED_FACE('',(#2625),#1322,.T.); -#25005=ADVANCED_FACE('',(#2626),#1323,.T.); -#25006=ADVANCED_FACE('',(#2627),#1324,.T.); -#25007=ADVANCED_FACE('',(#2628),#1325,.T.); -#25008=ADVANCED_FACE('',(#2629),#1326,.T.); -#25009=ADVANCED_FACE('',(#2630),#1327,.T.); -#25010=ADVANCED_FACE('',(#2631),#1328,.T.); -#25011=ADVANCED_FACE('',(#2632),#1329,.T.); -#25012=ADVANCED_FACE('',(#2633),#1330,.T.); -#25013=ADVANCED_FACE('',(#2634),#1331,.T.); -#25014=ADVANCED_FACE('',(#2635),#1332,.T.); -#25015=ADVANCED_FACE('',(#2636),#1333,.T.); -#25016=ADVANCED_FACE('',(#2637),#1334,.T.); -#25017=ADVANCED_FACE('',(#2638),#1335,.T.); -#25018=ADVANCED_FACE('',(#2639),#1336,.T.); -#25019=ADVANCED_FACE('',(#2640),#1337,.T.); -#25020=ADVANCED_FACE('',(#2641),#1338,.T.); -#25021=ADVANCED_FACE('',(#2642),#1339,.T.); -#25022=ADVANCED_FACE('',(#2643),#1340,.T.); -#25023=ADVANCED_FACE('',(#2644),#1341,.T.); -#25024=ADVANCED_FACE('',(#2645),#1342,.T.); -#25025=ADVANCED_FACE('',(#2646),#1343,.T.); -#25026=ADVANCED_FACE('',(#2647),#1344,.T.); -#25027=ADVANCED_FACE('',(#2648),#1345,.T.); -#25028=ADVANCED_FACE('',(#2649),#1346,.T.); -#25029=ADVANCED_FACE('',(#2650),#1347,.T.); -#25030=ADVANCED_FACE('',(#2651),#1348,.T.); -#25031=ADVANCED_FACE('',(#2652),#1349,.T.); -#25032=ADVANCED_FACE('',(#2653),#1350,.T.); -#25033=ADVANCED_FACE('',(#2654),#1351,.T.); -#25034=ADVANCED_FACE('',(#2655),#1352,.T.); -#25035=ADVANCED_FACE('',(#2656),#1353,.T.); -#25036=ADVANCED_FACE('',(#2657),#1354,.T.); -#25037=ADVANCED_FACE('',(#2658),#1355,.T.); -#25038=ADVANCED_FACE('',(#2659),#1356,.T.); -#25039=ADVANCED_FACE('',(#2660),#1357,.T.); -#25040=ADVANCED_FACE('',(#2661),#1358,.T.); -#25041=ADVANCED_FACE('',(#2662),#1359,.T.); -#25042=ADVANCED_FACE('',(#2663),#1360,.T.); -#25043=ADVANCED_FACE('',(#2664),#1361,.T.); -#25044=ADVANCED_FACE('',(#2665),#1362,.T.); -#25045=ADVANCED_FACE('',(#2666),#1363,.T.); -#25046=ADVANCED_FACE('',(#2667),#1364,.T.); -#25047=ADVANCED_FACE('',(#2668),#1365,.T.); -#25048=ADVANCED_FACE('',(#2669),#1366,.T.); -#25049=CLOSED_SHELL('',(#23746,#23747,#23748,#23749,#23750,#23751,#23752, -#23753,#23754,#23755,#23756,#23757,#23758,#23759,#23760,#23761,#23762,#23763, -#23764,#23765,#23766,#23767,#23768,#23769,#23770,#23771,#23772,#23773,#23774, -#23775,#23776,#23777,#23778,#23779,#23780,#23781,#23782,#23783,#23784,#23785, -#23786,#23787,#23788,#23789,#23790,#23791,#23792,#23793,#23794,#23795,#23796, -#23797,#23798,#23799,#23800,#23801,#23802,#23803,#23804,#23805,#23806,#23807, -#23808,#23809,#23810,#23811,#23812,#23813,#23814,#23815,#23816,#23817,#23818, -#23819,#23820,#23821,#23822,#23823,#23824,#23825,#23826,#23827,#23828,#23829, -#23830,#23831,#23832,#23833,#23834,#23835,#23836,#23837,#23838,#23839,#23840, -#23841,#23842,#23843,#23844,#23845,#23846,#23847,#23848,#23849,#23850,#23851, -#23852,#23853,#23854,#23855,#23856,#23857,#23858,#23859,#23860,#23861,#23862, -#23863,#23864,#23865,#23866,#23867,#23868,#23869,#23870,#23871,#23872,#23873, -#23874,#23875,#23876,#23877,#23878,#23879,#23880,#23881,#23882,#23883,#23884, -#23885,#23886,#23887,#23888,#23889,#23890,#23891,#23892,#23893,#23894,#23895, -#23896,#23897,#23898,#23899,#23900,#23901,#23902,#23903,#23904,#23905,#23906, -#23907,#23908,#23909,#23910,#23911,#23912,#23913,#23914,#23915,#23916,#23917, -#23918,#23919,#23920,#23921,#23922,#23923,#23924,#23925,#23926,#23927,#23928, -#23929,#23930,#23931,#23932,#23933,#23934,#23935,#23936,#23937,#23938,#23939, -#23940,#23941,#23942,#23943,#23944,#23945,#23946,#23947,#23948,#23949,#23950, -#23951,#23952,#23953,#23954,#23955,#23956,#23957,#23958,#23959,#23960,#23961, -#23962,#23963,#23964,#23965,#23966,#23967,#23968,#23969,#23970,#23971,#23972, -#23973,#23974,#23975,#23976,#23977,#23978,#23979,#23980,#23981,#23982,#23983, -#23984,#23985,#23986,#23987,#23988,#23989,#23990,#23991,#23992,#23993,#23994, -#23995,#23996,#23997,#23998,#23999,#24000,#24001,#24002,#24003,#24004,#24005, -#24006,#24007,#24008,#24009,#24010,#24011,#24012,#24013,#24014,#24015,#24016, -#24017)); -#25050=CLOSED_SHELL('',(#24018,#24019,#24020,#24021,#24022,#24023,#24024, -#24025,#24026)); -#25051=CLOSED_SHELL('',(#24027,#24028,#24029,#24030,#24031,#24032,#24033, -#24034,#24035)); -#25052=CLOSED_SHELL('',(#24036,#24037,#24038,#24039,#24040,#24041,#24042, -#24043,#24044)); -#25053=CLOSED_SHELL('',(#24045,#24046,#24047,#24048,#24049,#24050,#24051, -#24052,#24053)); -#25054=CLOSED_SHELL('',(#24054,#24055,#24056,#24057,#24058,#24059,#24060, -#24061,#24062)); -#25055=CLOSED_SHELL('',(#24063,#24064,#24065,#24066,#24067,#24068,#24069, -#24070,#24071)); -#25056=CLOSED_SHELL('',(#24072,#24073,#24074,#24075,#24076,#24077,#24078, -#24079,#24080,#24081,#24082,#24083,#24084,#24085,#24086)); -#25057=CLOSED_SHELL('',(#24087,#24088,#24089,#24090,#24091,#24092,#24093, -#24094,#24095,#24096,#24097,#24098,#24099,#24100,#24101)); -#25058=CLOSED_SHELL('',(#24102,#24103,#24104,#24105,#24106,#24107,#24108, -#24109,#24110,#24111,#24112,#24113,#24114,#24115,#24116,#24117,#24118,#24119, -#24120,#24121,#24122,#24123,#24124,#24125,#24126,#24127,#24128,#24129,#24130, -#24131,#24132,#24133,#24134,#24135,#24136,#24137,#24138,#24139,#24140,#24141, -#24142,#24143,#24144,#24145,#24146,#24147,#24148,#24149,#24150,#24151,#24152, -#24153,#24154,#24155,#24156,#24157,#24158,#24159,#24160,#24161,#24162,#24163, -#24164,#24165,#24166,#24167,#24168,#24169,#24170,#24171,#24172,#24173,#24174, -#24175,#24176,#24177,#24178,#24179,#24180,#24181,#24182,#24183,#24184,#24185, -#24186,#24187,#24188,#24189,#24190,#24191,#24192,#24193,#24194,#24195,#24196, -#24197,#24198,#24199,#24200,#24201,#24202,#24203,#24204,#24205,#24206,#24207, -#24208,#24209,#24210,#24211,#24212,#24213,#24214,#24215,#24216,#24217,#24218, -#24219,#24220,#24221)); -#25059=CLOSED_SHELL('',(#24222,#24223,#24224,#24225,#24226,#24227)); -#25060=CLOSED_SHELL('',(#24228,#24229,#24230,#24231,#24232,#24233)); -#25061=CLOSED_SHELL('',(#24234,#24235,#24236,#24237,#24238,#24239)); -#25062=CLOSED_SHELL('',(#24240,#24241,#24242,#24243,#24244,#24245)); -#25063=CLOSED_SHELL('',(#24246,#24247,#24248,#24249,#24250,#24251)); -#25064=CLOSED_SHELL('',(#24252,#24253,#24254,#24255,#24256,#24257)); -#25065=CLOSED_SHELL('',(#24258,#24259,#24260,#24261,#24262,#24263,#24264, -#24265,#24266,#24267,#24268)); -#25066=CLOSED_SHELL('',(#24269,#24270,#24271,#24272,#24273,#24274,#24275, -#24276,#24277,#24278,#24279,#24280,#24281,#24282,#24283,#24284,#24285,#24286, -#24287,#24288,#24289,#24290,#24291,#24292,#24293,#24294,#24295,#24296,#24297, -#24298)); -#25067=CLOSED_SHELL('',(#24299,#24300,#24301,#24302,#24303,#24304,#24305, -#24306,#24307,#24308,#24309,#24310,#24311,#24312,#24313,#24314,#24315,#24316, -#24317,#24318,#24319,#24320,#24321,#24322,#24323,#24324,#24325,#24326,#24327, -#24328,#24329,#24330,#24331,#24332,#24333,#24334,#24335,#24336,#24337,#24338, -#24339,#24340,#24341,#24342,#24343,#24344,#24345,#24346,#24347,#24348,#24349, -#24350,#24351,#24352,#24353,#24354,#24355,#24356,#24357,#24358,#24359,#24360, -#24361,#24362,#24363,#24364,#24365,#24366,#24367,#24368,#24369,#24370,#24371, -#24372,#24373,#24374,#24375,#24376,#24377,#24378,#24379,#24380,#24381,#24382, -#24383,#24384,#24385,#24386,#24387,#24388,#24389,#24390,#24391,#24392,#24393, -#24394,#24395,#24396,#24397,#24398,#24399,#24400,#24401,#24402,#24403,#24404, -#24405,#24406,#24407,#24408,#24409,#24410,#24411,#24412,#24413,#24414,#24415, -#24416,#24417,#24418,#24419,#24420,#24421,#24422,#24423,#24424,#24425,#24426, -#24427,#24428,#24429,#24430,#24431,#24432,#24433,#24434,#24435,#24436,#24437, -#24438,#24439,#24440,#24441,#24442,#24443,#24444,#24445,#24446,#24447,#24448, -#24449,#24450,#24451,#24452,#24453,#24454,#24455,#24456,#24457,#24458,#24459, -#24460,#24461,#24462,#24463,#24464,#24465,#24466,#24467,#24468,#24469,#24470, -#24471,#24472,#24473,#24474,#24475,#24476,#24477,#24478,#24479,#24480,#24481, -#24482,#24483,#24484,#24485,#24486,#24487,#24488,#24489,#24490,#24491,#24492, -#24493,#24494,#24495,#24496,#24497,#24498,#24499,#24500,#24501,#24502,#24503, -#24504,#24505,#24506,#24507,#24508,#24509,#24510,#24511,#24512,#24513,#24514, -#24515,#24516,#24517,#24518,#24519,#24520,#24521,#24522,#24523,#24524,#24525, -#24526,#24527,#24528,#24529,#24530,#24531,#24532,#24533,#24534,#24535,#24536, -#24537,#24538,#24539,#24540,#24541,#24542,#24543,#24544,#24545,#24546,#24547, -#24548,#24549,#24550,#24551,#24552,#24553,#24554,#24555,#24556,#24557,#24558, -#24559,#24560,#24561,#24562,#24563,#24564,#24565,#24566,#24567,#24568,#24569, -#24570,#24571,#24572,#24573,#24574,#24575,#24576,#24577,#24578,#24579,#24580, -#24581,#24582,#24583,#24584,#24585,#24586,#24587,#24588,#24589,#24590,#24591, -#24592,#24593,#24594,#24595,#24596,#24597,#24598,#24599,#24600,#24601,#24602, -#24603,#24604,#24605,#24606,#24607,#24608,#24609,#24610,#24611,#24612,#24613, -#24614,#24615,#24616,#24617,#24618,#24619,#24620,#24621,#24622,#24623,#24624, -#24625,#24626,#24627,#24628,#24629,#24630,#24631,#24632,#24633,#24634,#24635, -#24636,#24637,#24638,#24639,#24640,#24641,#24642,#24643,#24644,#24645,#24646, -#24647,#24648,#24649,#24650,#24651,#24652,#24653,#24654,#24655,#24656,#24657, -#24658,#24659,#24660,#24661,#24662,#24663,#24664,#24665,#24666,#24667,#24668, -#24669,#24670,#24671,#24672,#24673,#24674,#24675,#24676,#24677,#24678,#24679, -#24680,#24681,#24682,#24683,#24684,#24685,#24686,#24687,#24688,#24689,#24690, -#24691,#24692,#24693,#24694,#24695,#24696,#24697,#24698,#24699,#24700,#24701, -#24702,#24703,#24704,#24705,#24706,#24707,#24708,#24709,#24710,#24711,#24712, -#24713,#24714,#24715,#24716,#24717,#24718,#24719,#24720,#24721,#24722,#24723, -#24724,#24725,#24726,#24727,#24728,#24729,#24730,#24731,#24732,#24733,#24734, -#24735,#24736,#24737,#24738,#24739,#24740,#24741,#24742,#24743,#24744,#24745, -#24746,#24747,#24748,#24749,#24750,#24751,#24752,#24753,#24754,#24755,#24756, -#24757,#24758,#24759,#24760,#24761,#24762,#24763,#24764,#24765,#24766,#24767, -#24768,#24769,#24770,#24771,#24772,#24773,#24774,#24775,#24776,#24777,#24778, -#24779,#24780,#24781,#24782,#24783,#24784,#24785,#24786,#24787,#24788,#24789, -#24790,#24791,#24792,#24793,#24794,#24795,#24796,#24797,#24798,#24799,#24800, -#24801,#24802,#24803,#24804,#24805,#24806,#24807,#24808,#24809,#24810,#24811, -#24812,#24813,#24814,#24815,#24816,#24817,#24818,#24819,#24820,#24821,#24822, -#24823,#24824,#24825,#24826,#24827,#24828,#24829,#24830,#24831,#24832,#24833, -#24834,#24835,#24836,#24837,#24838,#24839,#24840,#24841,#24842,#24843,#24844, -#24845,#24846,#24847,#24848,#24849,#24850,#24851,#24852,#24853,#24854,#24855, -#24856,#24857,#24858,#24859,#24860,#24861,#24862,#24863,#24864,#24865,#24866, -#24867,#24868,#24869,#24870,#24871,#24872,#24873,#24874,#24875,#24876,#24877, -#24878,#24879,#24880,#24881,#24882,#24883,#24884,#24885,#24886,#24887,#24888, -#24889,#24890,#24891,#24892,#24893,#24894,#24895,#24896,#24897,#24898,#24899, -#24900,#24901,#24902,#24903,#24904,#24905,#24906,#24907,#24908,#24909,#24910, -#24911,#24912,#24913,#24914,#24915,#24916,#24917,#24918,#24919,#24920,#24921, -#24922,#24923,#24924,#24925,#24926,#24927,#24928,#24929,#24930,#24931,#24932, -#24933,#24934,#24935,#24936,#24937,#24938,#24939,#24940,#24941,#24942,#24943, -#24944,#24945,#24946,#24947,#24948,#24949,#24950,#24951,#24952,#24953,#24954, -#24955,#24956,#24957,#24958,#24959,#24960,#24961,#24962,#24963,#24964,#24965, -#24966,#24967,#24968,#24969,#24970,#24971,#24972,#24973,#24974,#24975,#24976, -#24977,#24978,#24979,#24980,#24981,#24982,#24983,#24984,#24985,#24986,#24987, -#24988,#24989,#24990,#24991,#24992,#24993,#24994,#24995,#24996,#24997,#24998, -#24999,#25000,#25001,#25002,#25003,#25004,#25005,#25006,#25007,#25008,#25009, -#25010,#25011,#25012,#25013,#25014,#25015,#25016,#25017,#25018,#25019,#25020, -#25021,#25022,#25023,#25024,#25025,#25026,#25027,#25028,#25029,#25030,#25031, -#25032,#25033,#25034,#25035,#25036,#25037,#25038,#25039,#25040,#25041,#25042, -#25043,#25044,#25045,#25046,#25047,#25048)); -#25068=DERIVED_UNIT_ELEMENT(#25072,1.); -#25069=DERIVED_UNIT_ELEMENT(#40599,-3.); -#25070=DERIVED_UNIT_ELEMENT(#25072,1.); -#25071=DERIVED_UNIT_ELEMENT(#40599,-3.); -#25072=( -MASS_UNIT() -NAMED_UNIT(*) -SI_UNIT(.KILO.,.GRAM.) -); -#25073=DERIVED_UNIT((#25068,#25069)); -#25074=DERIVED_UNIT((#25070,#25071)); -#25075=MEASURE_REPRESENTATION_ITEM('density measure', -POSITIVE_RATIO_MEASURE(7850.),#25073); -#25076=MEASURE_REPRESENTATION_ITEM('density measure', -POSITIVE_RATIO_MEASURE(7850.),#25074); -#25077=PROPERTY_DEFINITION_REPRESENTATION(#25087,#25081); -#25078=PROPERTY_DEFINITION_REPRESENTATION(#25088,#25082); -#25079=PROPERTY_DEFINITION_REPRESENTATION(#25089,#25083); -#25080=PROPERTY_DEFINITION_REPRESENTATION(#25090,#25084); -#25081=REPRESENTATION('material name',(#25085),#40595); -#25082=REPRESENTATION('density',(#25075),#40595); -#25083=REPRESENTATION('material name',(#25086),#40596); -#25084=REPRESENTATION('density',(#25076),#40596); -#25085=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); -#25086=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); -#25087=PROPERTY_DEFINITION('material property','material name',#40615); -#25088=PROPERTY_DEFINITION('material property','density of part',#40615); -#25089=PROPERTY_DEFINITION('material property','material name',#40616); -#25090=PROPERTY_DEFINITION('material property','density of part',#40616); -#25091=AXIS2_PLACEMENT_3D('',#33289,#26792,#26793); -#25092=AXIS2_PLACEMENT_3D('',#33290,#26794,#26795); -#25093=AXIS2_PLACEMENT_3D('',#33291,#26796,#26797); -#25094=AXIS2_PLACEMENT_3D('',#33294,#26798,#26799); -#25095=AXIS2_PLACEMENT_3D('',#33296,#26800,#26801); -#25096=AXIS2_PLACEMENT_3D('',#33300,#26803,#26804); -#25097=AXIS2_PLACEMENT_3D('',#33302,#26805,#26806); -#25098=AXIS2_PLACEMENT_3D('',#33304,#26808,#26809); -#25099=AXIS2_PLACEMENT_3D('',#33307,#26810,#26811); -#25100=AXIS2_PLACEMENT_3D('',#33309,#26812,#26813); -#25101=AXIS2_PLACEMENT_3D('',#33313,#26815,#26816); -#25102=AXIS2_PLACEMENT_3D('',#33315,#26817,#26818); -#25103=AXIS2_PLACEMENT_3D('',#33317,#26820,#26821); -#25104=AXIS2_PLACEMENT_3D('',#33320,#26822,#26823); -#25105=AXIS2_PLACEMENT_3D('',#33322,#26824,#26825); -#25106=AXIS2_PLACEMENT_3D('',#33326,#26827,#26828); -#25107=AXIS2_PLACEMENT_3D('',#33328,#26829,#26830); -#25108=AXIS2_PLACEMENT_3D('',#33330,#26832,#26833); -#25109=AXIS2_PLACEMENT_3D('',#33339,#26838,#26839); -#25110=AXIS2_PLACEMENT_3D('',#33352,#26845,#26846); -#25111=AXIS2_PLACEMENT_3D('',#33356,#26848,#26849); -#25112=AXIS2_PLACEMENT_3D('',#33360,#26851,#26852); -#25113=AXIS2_PLACEMENT_3D('',#33376,#26860,#26861); -#25114=AXIS2_PLACEMENT_3D('',#33380,#26863,#26864); -#25115=AXIS2_PLACEMENT_3D('',#33383,#26866,#26867); -#25116=AXIS2_PLACEMENT_3D('',#33428,#26889,#26890); -#25117=AXIS2_PLACEMENT_3D('',#33432,#26892,#26893); -#25118=AXIS2_PLACEMENT_3D('',#33436,#26895,#26896); -#25119=AXIS2_PLACEMENT_3D('',#33444,#26900,#26901); -#25120=AXIS2_PLACEMENT_3D('',#33448,#26903,#26904); -#25121=AXIS2_PLACEMENT_3D('',#33451,#26906,#26907); -#25122=AXIS2_PLACEMENT_3D('',#33456,#26909,#26910); -#25123=AXIS2_PLACEMENT_3D('',#33460,#26912,#26913); -#25124=AXIS2_PLACEMENT_3D('',#33464,#26915,#26916); -#25125=AXIS2_PLACEMENT_3D('',#33468,#26918,#26919); -#25126=AXIS2_PLACEMENT_3D('',#33476,#26923,#26924); -#25127=AXIS2_PLACEMENT_3D('',#33479,#26926,#26927); -#25128=AXIS2_PLACEMENT_3D('',#33480,#26928,#26929); -#25129=AXIS2_PLACEMENT_3D('',#33489,#26934,#26935); -#25130=AXIS2_PLACEMENT_3D('',#33497,#26939,#26940); -#25131=AXIS2_PLACEMENT_3D('',#33499,#26941,#26942); -#25132=AXIS2_PLACEMENT_3D('',#33507,#26946,#26947); -#25133=AXIS2_PLACEMENT_3D('',#33510,#26949,#26950); -#25134=AXIS2_PLACEMENT_3D('',#33511,#26951,#26952); -#25135=AXIS2_PLACEMENT_3D('',#33517,#26955,#26956); -#25136=AXIS2_PLACEMENT_3D('',#33524,#26960,#26961); -#25137=AXIS2_PLACEMENT_3D('',#33525,#26962,#26963); -#25138=AXIS2_PLACEMENT_3D('',#33530,#26965,#26966); -#25139=AXIS2_PLACEMENT_3D('',#33534,#26968,#26969); -#25140=AXIS2_PLACEMENT_3D('',#33538,#26971,#26972); -#25141=AXIS2_PLACEMENT_3D('',#33542,#26974,#26975); -#25142=AXIS2_PLACEMENT_3D('',#33546,#26977,#26978); -#25143=AXIS2_PLACEMENT_3D('',#33550,#26980,#26981); -#25144=AXIS2_PLACEMENT_3D('',#33554,#26983,#26984); -#25145=AXIS2_PLACEMENT_3D('',#33558,#26986,#26987); -#25146=AXIS2_PLACEMENT_3D('',#33564,#26990,#26991); -#25147=AXIS2_PLACEMENT_3D('',#33568,#26993,#26994); -#25148=AXIS2_PLACEMENT_3D('',#33603,#27013,#27014); -#25149=AXIS2_PLACEMENT_3D('',#33606,#27015,#27016); -#25150=AXIS2_PLACEMENT_3D('',#33624,#27025,#27026); -#25151=AXIS2_PLACEMENT_3D('',#33628,#27028,#27029); -#25152=AXIS2_PLACEMENT_3D('',#33634,#27032,#27033); -#25153=AXIS2_PLACEMENT_3D('',#33638,#27035,#27036); -#25154=AXIS2_PLACEMENT_3D('',#33642,#27038,#27039); -#25155=AXIS2_PLACEMENT_3D('',#33646,#27041,#27042); -#25156=AXIS2_PLACEMENT_3D('',#33650,#27044,#27045); -#25157=AXIS2_PLACEMENT_3D('',#33654,#27047,#27048); -#25158=AXIS2_PLACEMENT_3D('',#33658,#27050,#27051); -#25159=AXIS2_PLACEMENT_3D('',#33680,#27063,#27064); -#25160=AXIS2_PLACEMENT_3D('',#33683,#27067,#27068); -#25161=AXIS2_PLACEMENT_3D('',#33688,#27070,#27071); -#25162=AXIS2_PLACEMENT_3D('',#33692,#27073,#27074); -#25163=AXIS2_PLACEMENT_3D('',#33696,#27076,#27077); -#25164=AXIS2_PLACEMENT_3D('',#33700,#27079,#27080); -#25165=AXIS2_PLACEMENT_3D('',#33704,#27082,#27083); -#25166=AXIS2_PLACEMENT_3D('',#33708,#27085,#27086); -#25167=AXIS2_PLACEMENT_3D('',#33712,#27088,#27089); -#25168=AXIS2_PLACEMENT_3D('',#33716,#27091,#27092); -#25169=AXIS2_PLACEMENT_3D('',#33734,#27101,#27102); -#25170=AXIS2_PLACEMENT_3D('',#33738,#27104,#27105); -#25171=AXIS2_PLACEMENT_3D('',#33764,#27119,#27120); -#25172=AXIS2_PLACEMENT_3D('',#33768,#27122,#27123); -#25173=AXIS2_PLACEMENT_3D('',#33769,#27124,#27125); -#25174=AXIS2_PLACEMENT_3D('',#33770,#27126,#27127); -#25175=AXIS2_PLACEMENT_3D('',#33774,#27129,#27130); -#25176=AXIS2_PLACEMENT_3D('',#33775,#27131,#27132); -#25177=AXIS2_PLACEMENT_3D('',#33776,#27133,#27134); -#25178=AXIS2_PLACEMENT_3D('',#33779,#27135,#27136); -#25179=AXIS2_PLACEMENT_3D('',#33786,#27140,#27141); -#25180=AXIS2_PLACEMENT_3D('',#33788,#27143,#27144); -#25181=AXIS2_PLACEMENT_3D('',#33793,#27146,#27147); -#25182=AXIS2_PLACEMENT_3D('',#33797,#27149,#27150); -#25183=AXIS2_PLACEMENT_3D('',#33800,#27152,#27153); -#25184=AXIS2_PLACEMENT_3D('',#33802,#27155,#27156); -#25185=AXIS2_PLACEMENT_3D('',#33805,#27157,#27158); -#25186=AXIS2_PLACEMENT_3D('',#33810,#27162,#27163); -#25187=AXIS2_PLACEMENT_3D('',#33812,#27164,#27165); -#25188=AXIS2_PLACEMENT_3D('',#33816,#27167,#27168); -#25189=AXIS2_PLACEMENT_3D('',#33820,#27170,#27171); -#25190=AXIS2_PLACEMENT_3D('',#33824,#27173,#27174); -#25191=AXIS2_PLACEMENT_3D('',#33828,#27176,#27177); -#25192=AXIS2_PLACEMENT_3D('',#33831,#27179,#27180); -#25193=AXIS2_PLACEMENT_3D('',#33833,#27182,#27183); -#25194=AXIS2_PLACEMENT_3D('',#33839,#27186,#27187); -#25195=AXIS2_PLACEMENT_3D('',#33843,#27190,#27191); -#25196=AXIS2_PLACEMENT_3D('',#33844,#27192,#27193); -#25197=AXIS2_PLACEMENT_3D('',#33847,#27194,#27195); -#25198=AXIS2_PLACEMENT_3D('',#33853,#27198,#27199); -#25199=AXIS2_PLACEMENT_3D('',#33857,#27201,#27202); -#25200=AXIS2_PLACEMENT_3D('',#33875,#27211,#27212); -#25201=AXIS2_PLACEMENT_3D('',#33879,#27214,#27215); -#25202=AXIS2_PLACEMENT_3D('',#33883,#27217,#27218); -#25203=AXIS2_PLACEMENT_3D('',#33887,#27220,#27221); -#25204=AXIS2_PLACEMENT_3D('',#33891,#27223,#27224); -#25205=AXIS2_PLACEMENT_3D('',#33895,#27226,#27227); -#25206=AXIS2_PLACEMENT_3D('',#33899,#27229,#27230); -#25207=AXIS2_PLACEMENT_3D('',#33921,#27242,#27243); -#25208=AXIS2_PLACEMENT_3D('',#33923,#27244,#27245); -#25209=AXIS2_PLACEMENT_3D('',#33926,#27247,#27248); -#25210=AXIS2_PLACEMENT_3D('',#33927,#27249,#27250); -#25211=AXIS2_PLACEMENT_3D('',#33929,#27251,#27252); -#25212=AXIS2_PLACEMENT_3D('',#33932,#27254,#27255); -#25213=AXIS2_PLACEMENT_3D('',#33933,#27256,#27257); -#25214=AXIS2_PLACEMENT_3D('',#33938,#27259,#27260); -#25215=AXIS2_PLACEMENT_3D('',#33942,#27262,#27263); -#25216=AXIS2_PLACEMENT_3D('',#33945,#27266,#27267); -#25217=AXIS2_PLACEMENT_3D('',#33947,#27268,#27269); -#25218=AXIS2_PLACEMENT_3D('',#33950,#27271,#27272); -#25219=AXIS2_PLACEMENT_3D('',#33954,#27274,#27275); -#25220=AXIS2_PLACEMENT_3D('',#33956,#27277,#27278); -#25221=AXIS2_PLACEMENT_3D('',#33960,#27280,#27281); -#25222=AXIS2_PLACEMENT_3D('',#33964,#27284,#27285); -#25223=AXIS2_PLACEMENT_3D('',#33966,#27286,#27287); -#25224=AXIS2_PLACEMENT_3D('',#33970,#27289,#27290); -#25225=AXIS2_PLACEMENT_3D('',#33974,#27292,#27293); -#25226=AXIS2_PLACEMENT_3D('',#33978,#27295,#27296); -#25227=AXIS2_PLACEMENT_3D('',#33982,#27298,#27299); -#25228=AXIS2_PLACEMENT_3D('',#33985,#27301,#27302); -#25229=AXIS2_PLACEMENT_3D('',#33987,#27304,#27305); -#25230=AXIS2_PLACEMENT_3D('',#33991,#27307,#27308); -#25231=AXIS2_PLACEMENT_3D('',#33994,#27310,#27311); -#25232=AXIS2_PLACEMENT_3D('',#33996,#27313,#27314); -#25233=AXIS2_PLACEMENT_3D('',#34004,#27319,#27320); -#25234=AXIS2_PLACEMENT_3D('',#34013,#27326,#27327); -#25235=AXIS2_PLACEMENT_3D('',#34014,#27328,#27329); -#25236=AXIS2_PLACEMENT_3D('',#34015,#27330,#27331); -#25237=AXIS2_PLACEMENT_3D('',#34016,#27332,#27333); -#25238=AXIS2_PLACEMENT_3D('',#34019,#27334,#27335); -#25239=AXIS2_PLACEMENT_3D('',#34023,#27338,#27339); -#25240=AXIS2_PLACEMENT_3D('',#34025,#27341,#27342); -#25241=AXIS2_PLACEMENT_3D('',#34033,#27347,#27348); -#25242=AXIS2_PLACEMENT_3D('',#34042,#27354,#27355); -#25243=AXIS2_PLACEMENT_3D('',#34043,#27356,#27357); -#25244=AXIS2_PLACEMENT_3D('',#34044,#27358,#27359); -#25245=AXIS2_PLACEMENT_3D('',#34045,#27360,#27361); -#25246=AXIS2_PLACEMENT_3D('',#34047,#27362,#27363); -#25247=AXIS2_PLACEMENT_3D('',#34049,#27365,#27366); -#25248=AXIS2_PLACEMENT_3D('',#34055,#27369,#27370); -#25249=AXIS2_PLACEMENT_3D('',#34059,#27372,#27373); -#25250=AXIS2_PLACEMENT_3D('',#34063,#27375,#27376); -#25251=AXIS2_PLACEMENT_3D('',#34071,#27381,#27382); -#25252=AXIS2_PLACEMENT_3D('',#34075,#27384,#27385); -#25253=AXIS2_PLACEMENT_3D('',#34079,#27387,#27388); -#25254=AXIS2_PLACEMENT_3D('',#34085,#27391,#27392); -#25255=AXIS2_PLACEMENT_3D('',#34089,#27394,#27395); -#25256=AXIS2_PLACEMENT_3D('',#34093,#27397,#27398); -#25257=AXIS2_PLACEMENT_3D('',#34101,#27402,#27403); -#25258=AXIS2_PLACEMENT_3D('',#34105,#27405,#27406); -#25259=AXIS2_PLACEMENT_3D('',#34109,#27408,#27409); -#25260=AXIS2_PLACEMENT_3D('',#34158,#27434,#27435); -#25261=AXIS2_PLACEMENT_3D('',#34162,#27437,#27438); -#25262=AXIS2_PLACEMENT_3D('',#34166,#27440,#27441); -#25263=AXIS2_PLACEMENT_3D('',#34170,#27443,#27444); -#25264=AXIS2_PLACEMENT_3D('',#34178,#27448,#27449); -#25265=AXIS2_PLACEMENT_3D('',#34182,#27451,#27452); -#25266=AXIS2_PLACEMENT_3D('',#34184,#27454,#27455); -#25267=AXIS2_PLACEMENT_3D('',#34185,#27456,#27457); -#25268=AXIS2_PLACEMENT_3D('',#34187,#27459,#27460); -#25269=AXIS2_PLACEMENT_3D('',#34191,#27462,#27463); -#25270=AXIS2_PLACEMENT_3D('',#34195,#27465,#27466); -#25271=AXIS2_PLACEMENT_3D('',#34199,#27468,#27469); -#25272=AXIS2_PLACEMENT_3D('',#34203,#27471,#27472); -#25273=AXIS2_PLACEMENT_3D('',#34205,#27474,#27475); -#25274=AXIS2_PLACEMENT_3D('',#34206,#27476,#27477); -#25275=AXIS2_PLACEMENT_3D('',#34207,#27478,#27479); -#25276=AXIS2_PLACEMENT_3D('',#34208,#27480,#27481); -#25277=AXIS2_PLACEMENT_3D('',#34211,#27484,#27485); -#25278=AXIS2_PLACEMENT_3D('',#34212,#27486,#27487); -#25279=AXIS2_PLACEMENT_3D('',#34213,#27488,#27489); -#25280=AXIS2_PLACEMENT_3D('',#34214,#27490,#27491); -#25281=AXIS2_PLACEMENT_3D('',#34215,#27492,#27493); -#25282=AXIS2_PLACEMENT_3D('',#34217,#27495,#27496); -#25283=AXIS2_PLACEMENT_3D('',#34221,#27498,#27499); -#25284=AXIS2_PLACEMENT_3D('',#34225,#27501,#27502); -#25285=AXIS2_PLACEMENT_3D('',#34229,#27504,#27505); -#25286=AXIS2_PLACEMENT_3D('',#34233,#27507,#27508); -#25287=AXIS2_PLACEMENT_3D('',#34235,#27510,#27511); -#25288=AXIS2_PLACEMENT_3D('',#34236,#27512,#27513); -#25289=AXIS2_PLACEMENT_3D('',#34237,#27514,#27515); -#25290=AXIS2_PLACEMENT_3D('',#34240,#27518,#27519); -#25291=AXIS2_PLACEMENT_3D('',#34241,#27520,#27521); -#25292=AXIS2_PLACEMENT_3D('',#34242,#27522,#27523); -#25293=AXIS2_PLACEMENT_3D('',#34245,#27526,#27527); -#25294=AXIS2_PLACEMENT_3D('',#34247,#27529,#27530); -#25295=AXIS2_PLACEMENT_3D('',#34248,#27531,#27532); -#25296=AXIS2_PLACEMENT_3D('',#34251,#27535,#27536); -#25297=AXIS2_PLACEMENT_3D('',#34252,#27537,#27538); -#25298=AXIS2_PLACEMENT_3D('',#34254,#27540,#27541); -#25299=AXIS2_PLACEMENT_3D('',#34255,#27542,#27543); -#25300=AXIS2_PLACEMENT_3D('',#34258,#27546,#27547); -#25301=AXIS2_PLACEMENT_3D('',#34260,#27549,#27550); -#25302=AXIS2_PLACEMENT_3D('',#34262,#27552,#27553); -#25303=AXIS2_PLACEMENT_3D('',#34264,#27555,#27556); -#25304=AXIS2_PLACEMENT_3D('',#34266,#27558,#27559); -#25305=AXIS2_PLACEMENT_3D('',#34267,#27560,#27561); -#25306=AXIS2_PLACEMENT_3D('',#34269,#27563,#27564); -#25307=AXIS2_PLACEMENT_3D('',#34271,#27566,#27567); -#25308=AXIS2_PLACEMENT_3D('',#34272,#27568,#27569); -#25309=AXIS2_PLACEMENT_3D('',#34275,#27572,#27573); -#25310=AXIS2_PLACEMENT_3D('',#34277,#27575,#27576); -#25311=AXIS2_PLACEMENT_3D('',#34279,#27578,#27579); -#25312=AXIS2_PLACEMENT_3D('',#34281,#27581,#27582); -#25313=AXIS2_PLACEMENT_3D('',#34283,#27584,#27585); -#25314=AXIS2_PLACEMENT_3D('',#34286,#27588,#27589); -#25315=AXIS2_PLACEMENT_3D('',#34289,#27592,#27593); -#25316=AXIS2_PLACEMENT_3D('',#34290,#27594,#27595); -#25317=AXIS2_PLACEMENT_3D('',#34292,#27597,#27598); -#25318=AXIS2_PLACEMENT_3D('',#34295,#27601,#27602); -#25319=AXIS2_PLACEMENT_3D('',#34297,#27604,#27605); -#25320=AXIS2_PLACEMENT_3D('',#34298,#27606,#27607); -#25321=AXIS2_PLACEMENT_3D('',#34299,#27608,#27609); -#25322=AXIS2_PLACEMENT_3D('',#34302,#27612,#27613); -#25323=AXIS2_PLACEMENT_3D('',#34304,#27615,#27616); -#25324=AXIS2_PLACEMENT_3D('',#34306,#27618,#27619); -#25325=AXIS2_PLACEMENT_3D('',#34307,#27620,#27621); -#25326=AXIS2_PLACEMENT_3D('',#34309,#27623,#27624); -#25327=AXIS2_PLACEMENT_3D('',#34311,#27626,#27627); -#25328=AXIS2_PLACEMENT_3D('',#34313,#27629,#27630); -#25329=AXIS2_PLACEMENT_3D('',#34315,#27632,#27633); -#25330=AXIS2_PLACEMENT_3D('',#34316,#27634,#27635); -#25331=AXIS2_PLACEMENT_3D('',#34318,#27637,#27638); -#25332=AXIS2_PLACEMENT_3D('',#34320,#27640,#27641); -#25333=AXIS2_PLACEMENT_3D('',#34322,#27643,#27644); -#25334=AXIS2_PLACEMENT_3D('',#34324,#27646,#27647); -#25335=AXIS2_PLACEMENT_3D('',#34326,#27649,#27650); -#25336=AXIS2_PLACEMENT_3D('',#34328,#27652,#27653); -#25337=AXIS2_PLACEMENT_3D('',#34329,#27654,#27655); -#25338=AXIS2_PLACEMENT_3D('',#34331,#27657,#27658); -#25339=AXIS2_PLACEMENT_3D('',#34333,#27660,#27661); -#25340=AXIS2_PLACEMENT_3D('',#34335,#27663,#27664); -#25341=AXIS2_PLACEMENT_3D('',#34337,#27666,#27667); -#25342=AXIS2_PLACEMENT_3D('',#34339,#27669,#27670); -#25343=AXIS2_PLACEMENT_3D('',#34341,#27672,#27673); -#25344=AXIS2_PLACEMENT_3D('',#34343,#27675,#27676); -#25345=AXIS2_PLACEMENT_3D('',#34345,#27678,#27679); -#25346=AXIS2_PLACEMENT_3D('',#34347,#27681,#27682); -#25347=AXIS2_PLACEMENT_3D('',#34349,#27684,#27685); -#25348=AXIS2_PLACEMENT_3D('',#34351,#27687,#27688); -#25349=AXIS2_PLACEMENT_3D('',#34353,#27690,#27691); -#25350=AXIS2_PLACEMENT_3D('',#34355,#27693,#27694); -#25351=AXIS2_PLACEMENT_3D('',#34357,#27696,#27697); -#25352=AXIS2_PLACEMENT_3D('',#34359,#27699,#27700); -#25353=AXIS2_PLACEMENT_3D('',#34361,#27702,#27703); -#25354=AXIS2_PLACEMENT_3D('',#34362,#27704,#27705); -#25355=AXIS2_PLACEMENT_3D('',#34364,#27707,#27708); -#25356=AXIS2_PLACEMENT_3D('',#34366,#27710,#27711); -#25357=AXIS2_PLACEMENT_3D('',#34368,#27713,#27714); -#25358=AXIS2_PLACEMENT_3D('',#34370,#27716,#27717); -#25359=AXIS2_PLACEMENT_3D('',#34372,#27719,#27720); -#25360=AXIS2_PLACEMENT_3D('',#34374,#27722,#27723); -#25361=AXIS2_PLACEMENT_3D('',#34376,#27725,#27726); -#25362=AXIS2_PLACEMENT_3D('',#34378,#27728,#27729); -#25363=AXIS2_PLACEMENT_3D('',#34380,#27731,#27732); -#25364=AXIS2_PLACEMENT_3D('',#34382,#27734,#27735); -#25365=AXIS2_PLACEMENT_3D('',#34384,#27737,#27738); -#25366=AXIS2_PLACEMENT_3D('',#34386,#27740,#27741); -#25367=AXIS2_PLACEMENT_3D('',#34388,#27743,#27744); -#25368=AXIS2_PLACEMENT_3D('',#34390,#27746,#27747); -#25369=AXIS2_PLACEMENT_3D('',#34392,#27749,#27750); -#25370=AXIS2_PLACEMENT_3D('',#34394,#27752,#27753); -#25371=AXIS2_PLACEMENT_3D('',#34395,#27754,#27755); -#25372=AXIS2_PLACEMENT_3D('',#34401,#27759,#27760); -#25373=AXIS2_PLACEMENT_3D('',#34404,#27762,#27763); -#25374=AXIS2_PLACEMENT_3D('',#34408,#27765,#27766); -#25375=AXIS2_PLACEMENT_3D('',#34411,#27768,#27769); -#25376=AXIS2_PLACEMENT_3D('',#34414,#27771,#27772); -#25377=AXIS2_PLACEMENT_3D('',#34418,#27774,#27775); -#25378=AXIS2_PLACEMENT_3D('',#34421,#27777,#27778); -#25379=AXIS2_PLACEMENT_3D('',#34422,#27779,#27780); -#25380=AXIS2_PLACEMENT_3D('',#34424,#27782,#27783); -#25381=AXIS2_PLACEMENT_3D('',#34426,#27785,#27786); -#25382=AXIS2_PLACEMENT_3D('',#34427,#27787,#27788); -#25383=AXIS2_PLACEMENT_3D('',#34430,#27790,#27791); -#25384=AXIS2_PLACEMENT_3D('',#34434,#27793,#27794); -#25385=AXIS2_PLACEMENT_3D('',#34437,#27796,#27797); -#25386=AXIS2_PLACEMENT_3D('',#34440,#27799,#27800); -#25387=AXIS2_PLACEMENT_3D('',#34444,#27802,#27803); -#25388=AXIS2_PLACEMENT_3D('',#34447,#27805,#27806); -#25389=AXIS2_PLACEMENT_3D('',#34448,#27807,#27808); -#25390=AXIS2_PLACEMENT_3D('',#34450,#27810,#27811); -#25391=AXIS2_PLACEMENT_3D('',#34452,#27813,#27814); -#25392=AXIS2_PLACEMENT_3D('',#34453,#27815,#27816); -#25393=AXIS2_PLACEMENT_3D('',#34456,#27819,#27820); -#25394=AXIS2_PLACEMENT_3D('',#34458,#27821,#27822); -#25395=AXIS2_PLACEMENT_3D('',#34462,#27824,#27825); -#25396=AXIS2_PLACEMENT_3D('',#34465,#27827,#27828); -#25397=AXIS2_PLACEMENT_3D('',#34468,#27830,#27831); -#25398=AXIS2_PLACEMENT_3D('',#34472,#27833,#27834); -#25399=AXIS2_PLACEMENT_3D('',#34475,#27836,#27837); -#25400=AXIS2_PLACEMENT_3D('',#34476,#27838,#27839); -#25401=AXIS2_PLACEMENT_3D('',#34479,#27841,#27842); -#25402=AXIS2_PLACEMENT_3D('',#34483,#27844,#27845); -#25403=AXIS2_PLACEMENT_3D('',#34486,#27847,#27848); -#25404=AXIS2_PLACEMENT_3D('',#34489,#27850,#27851); -#25405=AXIS2_PLACEMENT_3D('',#34493,#27853,#27854); -#25406=AXIS2_PLACEMENT_3D('',#34496,#27856,#27857); -#25407=AXIS2_PLACEMENT_3D('',#34497,#27858,#27859); -#25408=AXIS2_PLACEMENT_3D('',#34499,#27861,#27862); -#25409=AXIS2_PLACEMENT_3D('',#34501,#27864,#27865); -#25410=AXIS2_PLACEMENT_3D('',#34502,#27866,#27867); -#25411=AXIS2_PLACEMENT_3D('',#34504,#27869,#27870); -#25412=AXIS2_PLACEMENT_3D('',#34505,#27871,#27872); -#25413=AXIS2_PLACEMENT_3D('',#34511,#27876,#27877); -#25414=AXIS2_PLACEMENT_3D('',#34513,#27878,#27879); -#25415=AXIS2_PLACEMENT_3D('',#34517,#27881,#27882); -#25416=AXIS2_PLACEMENT_3D('',#34520,#27884,#27885); -#25417=AXIS2_PLACEMENT_3D('',#34523,#27887,#27888); -#25418=AXIS2_PLACEMENT_3D('',#34527,#27890,#27891); -#25419=AXIS2_PLACEMENT_3D('',#34530,#27893,#27894); -#25420=AXIS2_PLACEMENT_3D('',#34532,#27896,#27897); -#25421=AXIS2_PLACEMENT_3D('',#34534,#27899,#27900); -#25422=AXIS2_PLACEMENT_3D('',#34536,#27902,#27903); -#25423=AXIS2_PLACEMENT_3D('',#34537,#27904,#27905); -#25424=AXIS2_PLACEMENT_3D('',#34540,#27907,#27908); -#25425=AXIS2_PLACEMENT_3D('',#34544,#27910,#27911); -#25426=AXIS2_PLACEMENT_3D('',#34547,#27913,#27914); -#25427=AXIS2_PLACEMENT_3D('',#34550,#27916,#27917); -#25428=AXIS2_PLACEMENT_3D('',#34554,#27919,#27920); -#25429=AXIS2_PLACEMENT_3D('',#34557,#27922,#27923); -#25430=AXIS2_PLACEMENT_3D('',#34558,#27924,#27925); -#25431=AXIS2_PLACEMENT_3D('',#34560,#27927,#27928); -#25432=AXIS2_PLACEMENT_3D('',#34562,#27930,#27931); -#25433=AXIS2_PLACEMENT_3D('',#34563,#27932,#27933); -#25434=AXIS2_PLACEMENT_3D('',#34566,#27936,#27937); -#25435=AXIS2_PLACEMENT_3D('',#34568,#27938,#27939); -#25436=AXIS2_PLACEMENT_3D('',#34572,#27941,#27942); -#25437=AXIS2_PLACEMENT_3D('',#34575,#27944,#27945); -#25438=AXIS2_PLACEMENT_3D('',#34578,#27947,#27948); -#25439=AXIS2_PLACEMENT_3D('',#34582,#27950,#27951); -#25440=AXIS2_PLACEMENT_3D('',#34585,#27953,#27954); -#25441=AXIS2_PLACEMENT_3D('',#34586,#27955,#27956); -#25442=AXIS2_PLACEMENT_3D('',#34589,#27958,#27959); -#25443=AXIS2_PLACEMENT_3D('',#34593,#27961,#27962); -#25444=AXIS2_PLACEMENT_3D('',#34596,#27964,#27965); -#25445=AXIS2_PLACEMENT_3D('',#34599,#27967,#27968); -#25446=AXIS2_PLACEMENT_3D('',#34603,#27970,#27971); -#25447=AXIS2_PLACEMENT_3D('',#34606,#27973,#27974); -#25448=AXIS2_PLACEMENT_3D('',#34607,#27975,#27976); -#25449=AXIS2_PLACEMENT_3D('',#34609,#27978,#27979); -#25450=AXIS2_PLACEMENT_3D('',#34611,#27981,#27982); -#25451=AXIS2_PLACEMENT_3D('',#34612,#27983,#27984); -#25452=AXIS2_PLACEMENT_3D('',#34614,#27986,#27987); -#25453=AXIS2_PLACEMENT_3D('',#34615,#27988,#27989); -#25454=AXIS2_PLACEMENT_3D('',#34617,#27991,#27992); -#25455=AXIS2_PLACEMENT_3D('',#34619,#27994,#27995); -#25456=AXIS2_PLACEMENT_3D('',#34621,#27997,#27998); -#25457=AXIS2_PLACEMENT_3D('',#34623,#28000,#28001); -#25458=AXIS2_PLACEMENT_3D('',#34625,#28003,#28004); -#25459=AXIS2_PLACEMENT_3D('',#34627,#28006,#28007); -#25460=AXIS2_PLACEMENT_3D('',#34635,#28011,#28012); -#25461=AXIS2_PLACEMENT_3D('',#34636,#28013,#28014); -#25462=AXIS2_PLACEMENT_3D('',#34637,#28015,#28016); -#25463=AXIS2_PLACEMENT_3D('',#34640,#28019,#28020); -#25464=AXIS2_PLACEMENT_3D('',#34642,#28022,#28023); -#25465=AXIS2_PLACEMENT_3D('',#34644,#28025,#28026); -#25466=AXIS2_PLACEMENT_3D('',#34646,#28028,#28029); -#25467=AXIS2_PLACEMENT_3D('',#34648,#28031,#28032); -#25468=AXIS2_PLACEMENT_3D('',#34656,#28036,#28037); -#25469=AXIS2_PLACEMENT_3D('',#34657,#28038,#28039); -#25470=AXIS2_PLACEMENT_3D('',#34658,#28040,#28041); -#25471=AXIS2_PLACEMENT_3D('',#34666,#28045,#28046); -#25472=AXIS2_PLACEMENT_3D('',#34668,#28048,#28049); -#25473=AXIS2_PLACEMENT_3D('',#34669,#28050,#28051); -#25474=AXIS2_PLACEMENT_3D('',#34671,#28053,#28054); -#25475=AXIS2_PLACEMENT_3D('',#34673,#28056,#28057); -#25476=AXIS2_PLACEMENT_3D('',#34675,#28059,#28060); -#25477=AXIS2_PLACEMENT_3D('',#34677,#28062,#28063); -#25478=AXIS2_PLACEMENT_3D('',#34679,#28065,#28066); -#25479=AXIS2_PLACEMENT_3D('',#34680,#28067,#28068); -#25480=AXIS2_PLACEMENT_3D('',#34688,#28072,#28073); -#25481=AXIS2_PLACEMENT_3D('',#34690,#28075,#28076); -#25482=AXIS2_PLACEMENT_3D('',#34691,#28077,#28078); -#25483=AXIS2_PLACEMENT_3D('',#34693,#28080,#28081); -#25484=AXIS2_PLACEMENT_3D('',#34695,#28083,#28084); -#25485=AXIS2_PLACEMENT_3D('',#34697,#28086,#28087); -#25486=AXIS2_PLACEMENT_3D('',#34699,#28089,#28090); -#25487=AXIS2_PLACEMENT_3D('',#34701,#28092,#28093); -#25488=AXIS2_PLACEMENT_3D('',#34702,#28094,#28095); -#25489=AXIS2_PLACEMENT_3D('',#34705,#28098,#28099); -#25490=AXIS2_PLACEMENT_3D('',#34707,#28101,#28102); -#25491=AXIS2_PLACEMENT_3D('',#34709,#28104,#28105); -#25492=AXIS2_PLACEMENT_3D('',#34711,#28107,#28108); -#25493=AXIS2_PLACEMENT_3D('',#34713,#28110,#28111); -#25494=AXIS2_PLACEMENT_3D('',#34715,#28113,#28114); -#25495=AXIS2_PLACEMENT_3D('',#34717,#28116,#28117); -#25496=AXIS2_PLACEMENT_3D('',#34725,#28121,#28122); -#25497=AXIS2_PLACEMENT_3D('',#34726,#28123,#28124); -#25498=AXIS2_PLACEMENT_3D('',#34727,#28125,#28126); -#25499=AXIS2_PLACEMENT_3D('',#34735,#28130,#28131); -#25500=AXIS2_PLACEMENT_3D('',#34737,#28133,#28134); -#25501=AXIS2_PLACEMENT_3D('',#34738,#28135,#28136); -#25502=AXIS2_PLACEMENT_3D('',#34740,#28138,#28139); -#25503=AXIS2_PLACEMENT_3D('',#34742,#28141,#28142); -#25504=AXIS2_PLACEMENT_3D('',#34744,#28144,#28145); -#25505=AXIS2_PLACEMENT_3D('',#34745,#28146,#28147); -#25506=AXIS2_PLACEMENT_3D('',#34754,#28152,#28153); -#25507=AXIS2_PLACEMENT_3D('',#34755,#28154,#28155); -#25508=AXIS2_PLACEMENT_3D('',#34756,#28156,#28157); -#25509=AXIS2_PLACEMENT_3D('',#34758,#28159,#28160); -#25510=AXIS2_PLACEMENT_3D('',#34759,#28161,#28162); -#25511=AXIS2_PLACEMENT_3D('',#34761,#28164,#28165); -#25512=AXIS2_PLACEMENT_3D('',#34762,#28166,#28167); -#25513=AXIS2_PLACEMENT_3D('',#34763,#28168,#28169); -#25514=AXIS2_PLACEMENT_3D('',#34764,#28170,#28171); -#25515=AXIS2_PLACEMENT_3D('',#34766,#28173,#28174); -#25516=AXIS2_PLACEMENT_3D('',#34768,#28176,#28177); -#25517=AXIS2_PLACEMENT_3D('',#34772,#28179,#28180); -#25518=AXIS2_PLACEMENT_3D('',#34773,#28181,#28182); -#25519=AXIS2_PLACEMENT_3D('',#34774,#28183,#28184); -#25520=AXIS2_PLACEMENT_3D('',#34780,#28188,#28189); -#25521=AXIS2_PLACEMENT_3D('',#34781,#28190,#28191); -#25522=AXIS2_PLACEMENT_3D('',#34782,#28192,#28193); -#25523=AXIS2_PLACEMENT_3D('',#34783,#28194,#28195); -#25524=AXIS2_PLACEMENT_3D('',#34787,#28197,#28198); -#25525=AXIS2_PLACEMENT_3D('',#34791,#28200,#28201); -#25526=AXIS2_PLACEMENT_3D('',#34794,#28204,#28205); -#25527=AXIS2_PLACEMENT_3D('',#34795,#28206,#28207); -#25528=AXIS2_PLACEMENT_3D('',#34797,#28209,#28210); -#25529=AXIS2_PLACEMENT_3D('',#34802,#28212,#28213); -#25530=AXIS2_PLACEMENT_3D('',#34806,#28216,#28217); -#25531=AXIS2_PLACEMENT_3D('',#34807,#28218,#28219); -#25532=AXIS2_PLACEMENT_3D('',#34810,#28222,#28223); -#25533=AXIS2_PLACEMENT_3D('',#34814,#28225,#28226); -#25534=AXIS2_PLACEMENT_3D('',#34818,#28228,#28229); -#25535=AXIS2_PLACEMENT_3D('',#34821,#28232,#28233); -#25536=AXIS2_PLACEMENT_3D('',#34827,#28237,#28238); -#25537=AXIS2_PLACEMENT_3D('',#34829,#28239,#28240); -#25538=AXIS2_PLACEMENT_3D('',#34834,#28244,#28245); -#25539=AXIS2_PLACEMENT_3D('',#34835,#28246,#28247); -#25540=AXIS2_PLACEMENT_3D('',#34836,#28248,#28249); -#25541=AXIS2_PLACEMENT_3D('',#34837,#28250,#28251); -#25542=AXIS2_PLACEMENT_3D('',#34839,#28253,#28254); -#25543=AXIS2_PLACEMENT_3D('',#34841,#28256,#28257); -#25544=AXIS2_PLACEMENT_3D('',#34843,#28259,#28260); -#25545=AXIS2_PLACEMENT_3D('',#34845,#28262,#28263); -#25546=AXIS2_PLACEMENT_3D('',#34846,#28264,#28265); -#25547=AXIS2_PLACEMENT_3D('',#34847,#28266,#28267); -#25548=AXIS2_PLACEMENT_3D('',#34848,#28268,#28269); -#25549=AXIS2_PLACEMENT_3D('',#34849,#28270,#28271); -#25550=AXIS2_PLACEMENT_3D('',#34850,#28272,#28273); -#25551=AXIS2_PLACEMENT_3D('',#34851,#28274,#28275); -#25552=AXIS2_PLACEMENT_3D('',#34852,#28276,#28277); -#25553=AXIS2_PLACEMENT_3D('',#34853,#28278,#28279); -#25554=AXIS2_PLACEMENT_3D('',#34855,#28281,#28282); -#25555=AXIS2_PLACEMENT_3D('',#34857,#28284,#28285); -#25556=AXIS2_PLACEMENT_3D('',#34859,#28287,#28288); -#25557=AXIS2_PLACEMENT_3D('',#34861,#28290,#28291); -#25558=AXIS2_PLACEMENT_3D('',#34863,#28293,#28294); -#25559=AXIS2_PLACEMENT_3D('',#34865,#28296,#28297); -#25560=AXIS2_PLACEMENT_3D('',#34866,#28298,#28299); -#25561=AXIS2_PLACEMENT_3D('',#34868,#28301,#28302); -#25562=AXIS2_PLACEMENT_3D('',#34870,#28304,#28305); -#25563=AXIS2_PLACEMENT_3D('',#34871,#28306,#28307); -#25564=AXIS2_PLACEMENT_3D('',#34873,#28309,#28310); -#25565=AXIS2_PLACEMENT_3D('',#34875,#28312,#28313); -#25566=AXIS2_PLACEMENT_3D('',#34877,#28315,#28316); -#25567=AXIS2_PLACEMENT_3D('',#34879,#28318,#28319); -#25568=AXIS2_PLACEMENT_3D('',#34881,#28321,#28322); -#25569=AXIS2_PLACEMENT_3D('',#34882,#28323,#28324); -#25570=AXIS2_PLACEMENT_3D('',#34884,#28326,#28327); -#25571=AXIS2_PLACEMENT_3D('',#34886,#28329,#28330); -#25572=AXIS2_PLACEMENT_3D('',#34888,#28332,#28333); -#25573=AXIS2_PLACEMENT_3D('',#34889,#28334,#28335); -#25574=AXIS2_PLACEMENT_3D('',#34891,#28337,#28338); -#25575=AXIS2_PLACEMENT_3D('',#34893,#28340,#28341); -#25576=AXIS2_PLACEMENT_3D('',#34895,#28343,#28344); -#25577=AXIS2_PLACEMENT_3D('',#34897,#28346,#28347); -#25578=AXIS2_PLACEMENT_3D('',#34898,#28348,#28349); -#25579=AXIS2_PLACEMENT_3D('',#34900,#28351,#28352); -#25580=AXIS2_PLACEMENT_3D('',#34902,#28354,#28355); -#25581=AXIS2_PLACEMENT_3D('',#34904,#28357,#28358); -#25582=AXIS2_PLACEMENT_3D('',#34906,#28360,#28361); -#25583=AXIS2_PLACEMENT_3D('',#34907,#28362,#28363); -#25584=AXIS2_PLACEMENT_3D('',#34909,#28365,#28366); -#25585=AXIS2_PLACEMENT_3D('',#34911,#28368,#28369); -#25586=AXIS2_PLACEMENT_3D('',#34913,#28371,#28372); -#25587=AXIS2_PLACEMENT_3D('',#34915,#28374,#28375); -#25588=AXIS2_PLACEMENT_3D('',#34916,#28376,#28377); -#25589=AXIS2_PLACEMENT_3D('',#34918,#28379,#28380); -#25590=AXIS2_PLACEMENT_3D('',#34920,#28382,#28383); -#25591=AXIS2_PLACEMENT_3D('',#34922,#28385,#28386); -#25592=AXIS2_PLACEMENT_3D('',#34924,#28388,#28389); -#25593=AXIS2_PLACEMENT_3D('',#34925,#28390,#28391); -#25594=AXIS2_PLACEMENT_3D('',#34934,#28396,#28397); -#25595=AXIS2_PLACEMENT_3D('',#34940,#28401,#28402); -#25596=AXIS2_PLACEMENT_3D('',#34942,#28403,#28404); -#25597=AXIS2_PLACEMENT_3D('',#34945,#28406,#28407); -#25598=AXIS2_PLACEMENT_3D('',#34946,#28408,#28409); -#25599=AXIS2_PLACEMENT_3D('',#34952,#28413,#28414); -#25600=AXIS2_PLACEMENT_3D('',#34958,#28418,#28419); -#25601=AXIS2_PLACEMENT_3D('',#34964,#28423,#28424); -#25602=AXIS2_PLACEMENT_3D('',#34967,#28427,#28428); -#25603=AXIS2_PLACEMENT_3D('',#34968,#28429,#28430); -#25604=AXIS2_PLACEMENT_3D('',#34969,#28431,#28432); -#25605=AXIS2_PLACEMENT_3D('',#34978,#28437,#28438); -#25606=AXIS2_PLACEMENT_3D('',#34984,#28442,#28443); -#25607=AXIS2_PLACEMENT_3D('',#34986,#28444,#28445); -#25608=AXIS2_PLACEMENT_3D('',#34989,#28447,#28448); -#25609=AXIS2_PLACEMENT_3D('',#34990,#28449,#28450); -#25610=AXIS2_PLACEMENT_3D('',#34996,#28454,#28455); -#25611=AXIS2_PLACEMENT_3D('',#35002,#28459,#28460); -#25612=AXIS2_PLACEMENT_3D('',#35008,#28464,#28465); -#25613=AXIS2_PLACEMENT_3D('',#35011,#28468,#28469); -#25614=AXIS2_PLACEMENT_3D('',#35012,#28470,#28471); -#25615=AXIS2_PLACEMENT_3D('',#35013,#28472,#28473); -#25616=AXIS2_PLACEMENT_3D('',#35022,#28478,#28479); -#25617=AXIS2_PLACEMENT_3D('',#35028,#28483,#28484); -#25618=AXIS2_PLACEMENT_3D('',#35030,#28485,#28486); -#25619=AXIS2_PLACEMENT_3D('',#35033,#28488,#28489); -#25620=AXIS2_PLACEMENT_3D('',#35034,#28490,#28491); -#25621=AXIS2_PLACEMENT_3D('',#35040,#28495,#28496); -#25622=AXIS2_PLACEMENT_3D('',#35046,#28500,#28501); -#25623=AXIS2_PLACEMENT_3D('',#35052,#28505,#28506); -#25624=AXIS2_PLACEMENT_3D('',#35055,#28509,#28510); -#25625=AXIS2_PLACEMENT_3D('',#35056,#28511,#28512); -#25626=AXIS2_PLACEMENT_3D('',#35057,#28513,#28514); -#25627=AXIS2_PLACEMENT_3D('',#35066,#28519,#28520); -#25628=AXIS2_PLACEMENT_3D('',#35072,#28524,#28525); -#25629=AXIS2_PLACEMENT_3D('',#35074,#28526,#28527); -#25630=AXIS2_PLACEMENT_3D('',#35076,#28528,#28529); -#25631=AXIS2_PLACEMENT_3D('',#35078,#28531,#28532); -#25632=AXIS2_PLACEMENT_3D('',#35084,#28536,#28537); -#25633=AXIS2_PLACEMENT_3D('',#35090,#28541,#28542); -#25634=AXIS2_PLACEMENT_3D('',#35096,#28546,#28547); -#25635=AXIS2_PLACEMENT_3D('',#35099,#28550,#28551); -#25636=AXIS2_PLACEMENT_3D('',#35100,#28552,#28553); -#25637=AXIS2_PLACEMENT_3D('',#35101,#28554,#28555); -#25638=AXIS2_PLACEMENT_3D('',#35110,#28560,#28561); -#25639=AXIS2_PLACEMENT_3D('',#35116,#28565,#28566); -#25640=AXIS2_PLACEMENT_3D('',#35118,#28567,#28568); -#25641=AXIS2_PLACEMENT_3D('',#35120,#28569,#28570); -#25642=AXIS2_PLACEMENT_3D('',#35122,#28572,#28573); -#25643=AXIS2_PLACEMENT_3D('',#35128,#28577,#28578); -#25644=AXIS2_PLACEMENT_3D('',#35134,#28582,#28583); -#25645=AXIS2_PLACEMENT_3D('',#35140,#28587,#28588); -#25646=AXIS2_PLACEMENT_3D('',#35143,#28591,#28592); -#25647=AXIS2_PLACEMENT_3D('',#35144,#28593,#28594); -#25648=AXIS2_PLACEMENT_3D('',#35145,#28595,#28596); -#25649=AXIS2_PLACEMENT_3D('',#35154,#28601,#28602); -#25650=AXIS2_PLACEMENT_3D('',#35160,#28606,#28607); -#25651=AXIS2_PLACEMENT_3D('',#35162,#28608,#28609); -#25652=AXIS2_PLACEMENT_3D('',#35164,#28610,#28611); -#25653=AXIS2_PLACEMENT_3D('',#35166,#28613,#28614); -#25654=AXIS2_PLACEMENT_3D('',#35172,#28618,#28619); -#25655=AXIS2_PLACEMENT_3D('',#35178,#28623,#28624); -#25656=AXIS2_PLACEMENT_3D('',#35184,#28628,#28629); -#25657=AXIS2_PLACEMENT_3D('',#35187,#28632,#28633); -#25658=AXIS2_PLACEMENT_3D('',#35188,#28634,#28635); -#25659=AXIS2_PLACEMENT_3D('',#35189,#28636,#28637); -#25660=AXIS2_PLACEMENT_3D('',#35192,#28638,#28639); -#25661=AXIS2_PLACEMENT_3D('',#35196,#28641,#28642); -#25662=AXIS2_PLACEMENT_3D('',#35198,#28644,#28645); -#25663=AXIS2_PLACEMENT_3D('',#35204,#28649,#28650); -#25664=AXIS2_PLACEMENT_3D('',#35206,#28651,#28652); -#25665=AXIS2_PLACEMENT_3D('',#35209,#28654,#28655); -#25666=AXIS2_PLACEMENT_3D('',#35210,#28656,#28657); -#25667=AXIS2_PLACEMENT_3D('',#35212,#28658,#28659); -#25668=AXIS2_PLACEMENT_3D('',#35215,#28661,#28662); -#25669=AXIS2_PLACEMENT_3D('',#35216,#28663,#28664); -#25670=AXIS2_PLACEMENT_3D('',#35222,#28668,#28669); -#25671=AXIS2_PLACEMENT_3D('',#35224,#28670,#28671); -#25672=AXIS2_PLACEMENT_3D('',#35227,#28673,#28674); -#25673=AXIS2_PLACEMENT_3D('',#35228,#28675,#28676); -#25674=AXIS2_PLACEMENT_3D('',#35234,#28680,#28681); -#25675=AXIS2_PLACEMENT_3D('',#35236,#28682,#28683); -#25676=AXIS2_PLACEMENT_3D('',#35239,#28685,#28686); -#25677=AXIS2_PLACEMENT_3D('',#35240,#28687,#28688); -#25678=AXIS2_PLACEMENT_3D('',#35246,#28692,#28693); -#25679=AXIS2_PLACEMENT_3D('',#35252,#28697,#28698); -#25680=AXIS2_PLACEMENT_3D('',#35258,#28702,#28703); -#25681=AXIS2_PLACEMENT_3D('',#35264,#28707,#28708); -#25682=AXIS2_PLACEMENT_3D('',#35267,#28711,#28712); -#25683=AXIS2_PLACEMENT_3D('',#35268,#28713,#28714); -#25684=AXIS2_PLACEMENT_3D('',#35269,#28715,#28716); -#25685=AXIS2_PLACEMENT_3D('',#35272,#28717,#28718); -#25686=AXIS2_PLACEMENT_3D('',#35276,#28720,#28721); -#25687=AXIS2_PLACEMENT_3D('',#35278,#28723,#28724); -#25688=AXIS2_PLACEMENT_3D('',#35284,#28728,#28729); -#25689=AXIS2_PLACEMENT_3D('',#35286,#28730,#28731); -#25690=AXIS2_PLACEMENT_3D('',#35289,#28733,#28734); -#25691=AXIS2_PLACEMENT_3D('',#35290,#28735,#28736); -#25692=AXIS2_PLACEMENT_3D('',#35296,#28740,#28741); -#25693=AXIS2_PLACEMENT_3D('',#35298,#28742,#28743); -#25694=AXIS2_PLACEMENT_3D('',#35301,#28745,#28746); -#25695=AXIS2_PLACEMENT_3D('',#35302,#28747,#28748); -#25696=AXIS2_PLACEMENT_3D('',#35304,#28749,#28750); -#25697=AXIS2_PLACEMENT_3D('',#35307,#28752,#28753); -#25698=AXIS2_PLACEMENT_3D('',#35308,#28754,#28755); -#25699=AXIS2_PLACEMENT_3D('',#35314,#28759,#28760); -#25700=AXIS2_PLACEMENT_3D('',#35316,#28761,#28762); -#25701=AXIS2_PLACEMENT_3D('',#35319,#28764,#28765); -#25702=AXIS2_PLACEMENT_3D('',#35320,#28766,#28767); -#25703=AXIS2_PLACEMENT_3D('',#35326,#28771,#28772); -#25704=AXIS2_PLACEMENT_3D('',#35332,#28776,#28777); -#25705=AXIS2_PLACEMENT_3D('',#35338,#28781,#28782); -#25706=AXIS2_PLACEMENT_3D('',#35344,#28786,#28787); -#25707=AXIS2_PLACEMENT_3D('',#35347,#28790,#28791); -#25708=AXIS2_PLACEMENT_3D('',#35348,#28792,#28793); -#25709=AXIS2_PLACEMENT_3D('',#35349,#28794,#28795); -#25710=AXIS2_PLACEMENT_3D('',#35366,#28804,#28805); -#25711=AXIS2_PLACEMENT_3D('',#35383,#28814,#28815); -#25712=AXIS2_PLACEMENT_3D('',#35385,#28816,#28817); -#25713=AXIS2_PLACEMENT_3D('',#35388,#28819,#28820); -#25714=AXIS2_PLACEMENT_3D('',#35389,#28821,#28822); -#25715=AXIS2_PLACEMENT_3D('',#35406,#28831,#28832); -#25716=AXIS2_PLACEMENT_3D('',#35412,#28836,#28837); -#25717=AXIS2_PLACEMENT_3D('',#35413,#28838,#28839); -#25718=AXIS2_PLACEMENT_3D('',#35414,#28840,#28841); -#25719=AXIS2_PLACEMENT_3D('',#35415,#28842,#28843); -#25720=AXIS2_PLACEMENT_3D('',#35417,#28844,#28845); -#25721=AXIS2_PLACEMENT_3D('',#35419,#28846,#28847); -#25722=AXIS2_PLACEMENT_3D('',#35421,#28849,#28850); -#25723=AXIS2_PLACEMENT_3D('',#35423,#28851,#28852); -#25724=AXIS2_PLACEMENT_3D('',#35425,#28853,#28854); -#25725=AXIS2_PLACEMENT_3D('',#35427,#28856,#28857); -#25726=AXIS2_PLACEMENT_3D('',#35433,#28861,#28862); -#25727=AXIS2_PLACEMENT_3D('',#35447,#28870,#28871); -#25728=AXIS2_PLACEMENT_3D('',#35452,#28873,#28874); -#25729=AXIS2_PLACEMENT_3D('',#35455,#28876,#28877); -#25730=AXIS2_PLACEMENT_3D('',#35456,#28878,#28879); -#25731=AXIS2_PLACEMENT_3D('',#35461,#28881,#28882); -#25732=AXIS2_PLACEMENT_3D('',#35464,#28884,#28885); -#25733=AXIS2_PLACEMENT_3D('',#35465,#28886,#28887); -#25734=AXIS2_PLACEMENT_3D('',#35492,#28890,#28891); -#25735=AXIS2_PLACEMENT_3D('',#35519,#28894,#28895); -#25736=AXIS2_PLACEMENT_3D('',#35540,#28906,#28907); -#25737=AXIS2_PLACEMENT_3D('',#35542,#28908,#28909); -#25738=AXIS2_PLACEMENT_3D('',#35548,#28910,#28911); -#25739=AXIS2_PLACEMENT_3D('',#35550,#28912,#28913); -#25740=AXIS2_PLACEMENT_3D('',#35556,#28914,#28915); -#25741=AXIS2_PLACEMENT_3D('',#35699,#28926,#28927); -#25742=AXIS2_PLACEMENT_3D('',#35710,#28932,#28933); -#25743=AXIS2_PLACEMENT_3D('',#35732,#28945,#28946); -#25744=AXIS2_PLACEMENT_3D('',#35746,#28953,#28954); -#25745=AXIS2_PLACEMENT_3D('',#35750,#28956,#28957); -#25746=AXIS2_PLACEMENT_3D('',#35754,#28959,#28960); -#25747=AXIS2_PLACEMENT_3D('',#35757,#28962,#28963); -#25748=AXIS2_PLACEMENT_3D('',#35758,#28964,#28965); -#25749=AXIS2_PLACEMENT_3D('',#35767,#28969,#28970); -#25750=AXIS2_PLACEMENT_3D('',#35769,#28972,#28973); -#25751=AXIS2_PLACEMENT_3D('',#35773,#28975,#28976); -#25752=AXIS2_PLACEMENT_3D('',#35775,#28978,#28979); -#25753=AXIS2_PLACEMENT_3D('',#35810,#28999,#29000); -#25754=AXIS2_PLACEMENT_3D('',#35812,#29002,#29003); -#25755=AXIS2_PLACEMENT_3D('',#35816,#29006,#29007); -#25756=AXIS2_PLACEMENT_3D('',#35839,#29021,#29022); -#25757=AXIS2_PLACEMENT_3D('',#35842,#29025,#29026); -#25758=AXIS2_PLACEMENT_3D('',#35844,#29028,#29029); -#25759=AXIS2_PLACEMENT_3D('',#35846,#29031,#29032); -#25760=AXIS2_PLACEMENT_3D('',#35848,#29034,#29035); -#25761=AXIS2_PLACEMENT_3D('',#35859,#29042,#29043); -#25762=AXIS2_PLACEMENT_3D('',#35863,#29046,#29047); -#25763=AXIS2_PLACEMENT_3D('',#35867,#29050,#29051); -#25764=AXIS2_PLACEMENT_3D('',#35869,#29053,#29054); -#25765=AXIS2_PLACEMENT_3D('',#35870,#29055,#29056); -#25766=AXIS2_PLACEMENT_3D('',#35874,#29059,#29060); -#25767=AXIS2_PLACEMENT_3D('',#35878,#29063,#29064); -#25768=AXIS2_PLACEMENT_3D('',#35880,#29066,#29067); -#25769=AXIS2_PLACEMENT_3D('',#35881,#29068,#29069); -#25770=AXIS2_PLACEMENT_3D('',#35885,#29072,#29073); -#25771=AXIS2_PLACEMENT_3D('',#35889,#29076,#29077); -#25772=AXIS2_PLACEMENT_3D('',#35891,#29079,#29080); -#25773=AXIS2_PLACEMENT_3D('',#35892,#29081,#29082); -#25774=AXIS2_PLACEMENT_3D('',#35896,#29085,#29086); -#25775=AXIS2_PLACEMENT_3D('',#35900,#29089,#29090); -#25776=AXIS2_PLACEMENT_3D('',#35902,#29092,#29093); -#25777=AXIS2_PLACEMENT_3D('',#35903,#29094,#29095); -#25778=AXIS2_PLACEMENT_3D('',#35907,#29098,#29099); -#25779=AXIS2_PLACEMENT_3D('',#35911,#29102,#29103); -#25780=AXIS2_PLACEMENT_3D('',#35913,#29105,#29106); -#25781=AXIS2_PLACEMENT_3D('',#35914,#29107,#29108); -#25782=AXIS2_PLACEMENT_3D('',#35920,#29112,#29113); -#25783=AXIS2_PLACEMENT_3D('',#35922,#29115,#29116); -#25784=AXIS2_PLACEMENT_3D('',#35924,#29118,#29119); -#25785=AXIS2_PLACEMENT_3D('',#35925,#29120,#29121); -#25786=AXIS2_PLACEMENT_3D('',#35928,#29124,#29125); -#25787=AXIS2_PLACEMENT_3D('',#35930,#29127,#29128); -#25788=AXIS2_PLACEMENT_3D('',#35932,#29130,#29131); -#25789=AXIS2_PLACEMENT_3D('',#35939,#29136,#29137); -#25790=AXIS2_PLACEMENT_3D('',#35941,#29139,#29140); -#25791=AXIS2_PLACEMENT_3D('',#35943,#29142,#29143); -#25792=AXIS2_PLACEMENT_3D('',#35945,#29145,#29146); -#25793=AXIS2_PLACEMENT_3D('',#35948,#29149,#29150); -#25794=AXIS2_PLACEMENT_3D('',#35967,#29163,#29164); -#25795=AXIS2_PLACEMENT_3D('',#35977,#29170,#29171); -#25796=AXIS2_PLACEMENT_3D('',#35978,#29172,#29173); -#25797=AXIS2_PLACEMENT_3D('',#35982,#29176,#29177); -#25798=AXIS2_PLACEMENT_3D('',#35984,#29178,#29179); -#25799=AXIS2_PLACEMENT_3D('',#35996,#29187,#29188); -#25800=AXIS2_PLACEMENT_3D('',#35999,#29191,#29192); -#25801=AXIS2_PLACEMENT_3D('',#36004,#29193,#29194); -#25802=AXIS2_PLACEMENT_3D('',#36009,#29195,#29196); -#25803=AXIS2_PLACEMENT_3D('',#36012,#29198,#29199); -#25804=AXIS2_PLACEMENT_3D('',#36013,#29200,#29201); -#25805=AXIS2_PLACEMENT_3D('',#36017,#29204,#29205); -#25806=AXIS2_PLACEMENT_3D('',#36018,#29206,#29207); -#25807=AXIS2_PLACEMENT_3D('',#36019,#29208,#29209); -#25808=AXIS2_PLACEMENT_3D('',#36021,#29210,#29211); -#25809=AXIS2_PLACEMENT_3D('',#36023,#29213,#29214); -#25810=AXIS2_PLACEMENT_3D('',#36025,#29215,#29216); -#25811=AXIS2_PLACEMENT_3D('',#36027,#29218,#29219); -#25812=AXIS2_PLACEMENT_3D('',#36029,#29221,#29222); -#25813=AXIS2_PLACEMENT_3D('',#36042,#29223,#29224); -#25814=AXIS2_PLACEMENT_3D('',#36043,#29225,#29226); -#25815=AXIS2_PLACEMENT_3D('',#36044,#29227,#29228); -#25816=AXIS2_PLACEMENT_3D('',#36050,#29232,#29233); -#25817=AXIS2_PLACEMENT_3D('',#36056,#29237,#29238); -#25818=AXIS2_PLACEMENT_3D('',#36058,#29240,#29241); -#25819=AXIS2_PLACEMENT_3D('',#36060,#29243,#29244); -#25820=AXIS2_PLACEMENT_3D('',#36061,#29245,#29246); -#25821=AXIS2_PLACEMENT_3D('',#36067,#29250,#29251); -#25822=AXIS2_PLACEMENT_3D('',#36073,#29255,#29256); -#25823=AXIS2_PLACEMENT_3D('',#36075,#29258,#29259); -#25824=AXIS2_PLACEMENT_3D('',#36077,#29261,#29262); -#25825=AXIS2_PLACEMENT_3D('',#36078,#29263,#29264); -#25826=AXIS2_PLACEMENT_3D('',#36084,#29268,#29269); -#25827=AXIS2_PLACEMENT_3D('',#36088,#29272,#29273); -#25828=AXIS2_PLACEMENT_3D('',#36092,#29276,#29277); -#25829=AXIS2_PLACEMENT_3D('',#36094,#29279,#29280); -#25830=AXIS2_PLACEMENT_3D('',#36095,#29281,#29282); -#25831=AXIS2_PLACEMENT_3D('',#36101,#29286,#29287); -#25832=AXIS2_PLACEMENT_3D('',#36105,#29290,#29291); -#25833=AXIS2_PLACEMENT_3D('',#36109,#29294,#29295); -#25834=AXIS2_PLACEMENT_3D('',#36111,#29297,#29298); -#25835=AXIS2_PLACEMENT_3D('',#36112,#29299,#29300); -#25836=AXIS2_PLACEMENT_3D('',#36118,#29304,#29305); -#25837=AXIS2_PLACEMENT_3D('',#36122,#29308,#29309); -#25838=AXIS2_PLACEMENT_3D('',#36126,#29312,#29313); -#25839=AXIS2_PLACEMENT_3D('',#36128,#29315,#29316); -#25840=AXIS2_PLACEMENT_3D('',#36129,#29317,#29318); -#25841=AXIS2_PLACEMENT_3D('',#36135,#29322,#29323); -#25842=AXIS2_PLACEMENT_3D('',#36139,#29326,#29327); -#25843=AXIS2_PLACEMENT_3D('',#36143,#29330,#29331); -#25844=AXIS2_PLACEMENT_3D('',#36145,#29333,#29334); -#25845=AXIS2_PLACEMENT_3D('',#36146,#29335,#29336); -#25846=AXIS2_PLACEMENT_3D('',#36152,#29340,#29341); -#25847=AXIS2_PLACEMENT_3D('',#36156,#29344,#29345); -#25848=AXIS2_PLACEMENT_3D('',#36160,#29348,#29349); -#25849=AXIS2_PLACEMENT_3D('',#36162,#29351,#29352); -#25850=AXIS2_PLACEMENT_3D('',#36163,#29353,#29354); -#25851=AXIS2_PLACEMENT_3D('',#36169,#29358,#29359); -#25852=AXIS2_PLACEMENT_3D('',#36173,#29362,#29363); -#25853=AXIS2_PLACEMENT_3D('',#36177,#29366,#29367); -#25854=AXIS2_PLACEMENT_3D('',#36179,#29369,#29370); -#25855=AXIS2_PLACEMENT_3D('',#36180,#29371,#29372); -#25856=AXIS2_PLACEMENT_3D('',#36189,#29377,#29378); -#25857=AXIS2_PLACEMENT_3D('',#36195,#29382,#29383); -#25858=AXIS2_PLACEMENT_3D('',#36201,#29387,#29388); -#25859=AXIS2_PLACEMENT_3D('',#36204,#29391,#29392); -#25860=AXIS2_PLACEMENT_3D('',#36205,#29393,#29394); -#25861=AXIS2_PLACEMENT_3D('',#36206,#29395,#29396); -#25862=AXIS2_PLACEMENT_3D('',#36215,#29401,#29402); -#25863=AXIS2_PLACEMENT_3D('',#36221,#29406,#29407); -#25864=AXIS2_PLACEMENT_3D('',#36227,#29411,#29412); -#25865=AXIS2_PLACEMENT_3D('',#36230,#29415,#29416); -#25866=AXIS2_PLACEMENT_3D('',#36231,#29417,#29418); -#25867=AXIS2_PLACEMENT_3D('',#36232,#29419,#29420); -#25868=AXIS2_PLACEMENT_3D('',#36241,#29425,#29426); -#25869=AXIS2_PLACEMENT_3D('',#36247,#29430,#29431); -#25870=AXIS2_PLACEMENT_3D('',#36253,#29435,#29436); -#25871=AXIS2_PLACEMENT_3D('',#36256,#29439,#29440); -#25872=AXIS2_PLACEMENT_3D('',#36257,#29441,#29442); -#25873=AXIS2_PLACEMENT_3D('',#36258,#29443,#29444); -#25874=AXIS2_PLACEMENT_3D('',#36267,#29449,#29450); -#25875=AXIS2_PLACEMENT_3D('',#36273,#29454,#29455); -#25876=AXIS2_PLACEMENT_3D('',#36279,#29459,#29460); -#25877=AXIS2_PLACEMENT_3D('',#36282,#29463,#29464); -#25878=AXIS2_PLACEMENT_3D('',#36283,#29465,#29466); -#25879=AXIS2_PLACEMENT_3D('',#36284,#29467,#29468); -#25880=AXIS2_PLACEMENT_3D('',#36293,#29473,#29474); -#25881=AXIS2_PLACEMENT_3D('',#36299,#29478,#29479); -#25882=AXIS2_PLACEMENT_3D('',#36305,#29483,#29484); -#25883=AXIS2_PLACEMENT_3D('',#36308,#29487,#29488); -#25884=AXIS2_PLACEMENT_3D('',#36309,#29489,#29490); -#25885=AXIS2_PLACEMENT_3D('',#36310,#29491,#29492); -#25886=AXIS2_PLACEMENT_3D('',#36319,#29497,#29498); -#25887=AXIS2_PLACEMENT_3D('',#36325,#29502,#29503); -#25888=AXIS2_PLACEMENT_3D('',#36331,#29507,#29508); -#25889=AXIS2_PLACEMENT_3D('',#36334,#29511,#29512); -#25890=AXIS2_PLACEMENT_3D('',#36335,#29513,#29514); -#25891=AXIS2_PLACEMENT_3D('',#36336,#29515,#29516); -#25892=AXIS2_PLACEMENT_3D('',#36337,#29517,#29518); -#25893=AXIS2_PLACEMENT_3D('',#36346,#29523,#29524); -#25894=AXIS2_PLACEMENT_3D('',#36352,#29528,#29529); -#25895=AXIS2_PLACEMENT_3D('',#36358,#29533,#29534); -#25896=AXIS2_PLACEMENT_3D('',#36361,#29537,#29538); -#25897=AXIS2_PLACEMENT_3D('',#36362,#29539,#29540); -#25898=AXIS2_PLACEMENT_3D('',#36371,#29545,#29546); -#25899=AXIS2_PLACEMENT_3D('',#36377,#29550,#29551); -#25900=AXIS2_PLACEMENT_3D('',#36383,#29555,#29556); -#25901=AXIS2_PLACEMENT_3D('',#36386,#29559,#29560); -#25902=AXIS2_PLACEMENT_3D('',#36387,#29561,#29562); -#25903=AXIS2_PLACEMENT_3D('',#36388,#29563,#29564); -#25904=AXIS2_PLACEMENT_3D('',#36390,#29565,#29566); -#25905=AXIS2_PLACEMENT_3D('',#36391,#29567,#29568); -#25906=AXIS2_PLACEMENT_3D('',#36393,#29569,#29570); -#25907=AXIS2_PLACEMENT_3D('',#36394,#29571,#29572); -#25908=AXIS2_PLACEMENT_3D('',#36403,#29577,#29578); -#25909=AXIS2_PLACEMENT_3D('',#36409,#29582,#29583); -#25910=AXIS2_PLACEMENT_3D('',#36415,#29587,#29588); -#25911=AXIS2_PLACEMENT_3D('',#36421,#29592,#29593); -#25912=AXIS2_PLACEMENT_3D('',#36427,#29597,#29598); -#25913=AXIS2_PLACEMENT_3D('',#36436,#29603,#29604); -#25914=AXIS2_PLACEMENT_3D('',#36451,#29613,#29614); -#25915=AXIS2_PLACEMENT_3D('',#36460,#29619,#29620); -#25916=AXIS2_PLACEMENT_3D('',#36466,#29624,#29625); -#25917=AXIS2_PLACEMENT_3D('',#36472,#29629,#29630); -#25918=AXIS2_PLACEMENT_3D('',#36478,#29634,#29635); -#25919=AXIS2_PLACEMENT_3D('',#36481,#29638,#29639); -#25920=AXIS2_PLACEMENT_3D('',#36483,#29641,#29642); -#25921=AXIS2_PLACEMENT_3D('',#36489,#29646,#29647); -#25922=AXIS2_PLACEMENT_3D('',#36491,#29648,#29649); -#25923=AXIS2_PLACEMENT_3D('',#36495,#29651,#29652); -#25924=AXIS2_PLACEMENT_3D('',#36497,#29654,#29655); -#25925=AXIS2_PLACEMENT_3D('',#36503,#29659,#29660); -#25926=AXIS2_PLACEMENT_3D('',#36504,#29661,#29662); -#25927=AXIS2_PLACEMENT_3D('',#36505,#29663,#29664); -#25928=AXIS2_PLACEMENT_3D('',#36506,#29665,#29666); -#25929=AXIS2_PLACEMENT_3D('',#36507,#29667,#29668); -#25930=AXIS2_PLACEMENT_3D('',#36509,#29670,#29671); -#25931=AXIS2_PLACEMENT_3D('',#36513,#29674,#29675); -#25932=AXIS2_PLACEMENT_3D('',#36515,#29676,#29677); -#25933=AXIS2_PLACEMENT_3D('',#36517,#29679,#29680); -#25934=AXIS2_PLACEMENT_3D('',#36519,#29681,#29682); -#25935=AXIS2_PLACEMENT_3D('',#36521,#29684,#29685); -#25936=AXIS2_PLACEMENT_3D('',#36523,#29686,#29687); -#25937=AXIS2_PLACEMENT_3D('',#36525,#29688,#29689); -#25938=AXIS2_PLACEMENT_3D('',#36527,#29691,#29692); -#25939=AXIS2_PLACEMENT_3D('',#36533,#29696,#29697); -#25940=AXIS2_PLACEMENT_3D('',#36535,#29698,#29699); -#25941=AXIS2_PLACEMENT_3D('',#36537,#29700,#29701); -#25942=AXIS2_PLACEMENT_3D('',#36539,#29703,#29704); -#25943=AXIS2_PLACEMENT_3D('',#36542,#29707,#29708); -#25944=AXIS2_PLACEMENT_3D('',#36543,#29709,#29710); -#25945=AXIS2_PLACEMENT_3D('',#36544,#29711,#29712); -#25946=AXIS2_PLACEMENT_3D('',#36555,#29718,#29719); -#25947=AXIS2_PLACEMENT_3D('',#36561,#29723,#29724); -#25948=AXIS2_PLACEMENT_3D('',#36567,#29728,#29729); -#25949=AXIS2_PLACEMENT_3D('',#36571,#29731,#29732); -#25950=AXIS2_PLACEMENT_3D('',#36575,#29734,#29735); -#25951=AXIS2_PLACEMENT_3D('',#36579,#29737,#29738); -#25952=AXIS2_PLACEMENT_3D('',#36583,#29740,#29741); -#25953=AXIS2_PLACEMENT_3D('',#36589,#29745,#29746); -#25954=AXIS2_PLACEMENT_3D('',#36591,#29747,#29748); -#25955=AXIS2_PLACEMENT_3D('',#36593,#29749,#29750); -#25956=AXIS2_PLACEMENT_3D('',#36595,#29751,#29752); -#25957=AXIS2_PLACEMENT_3D('',#36597,#29753,#29754); -#25958=AXIS2_PLACEMENT_3D('',#36599,#29755,#29756); -#25959=AXIS2_PLACEMENT_3D('',#36601,#29757,#29758); -#25960=AXIS2_PLACEMENT_3D('',#36603,#29759,#29760); -#25961=AXIS2_PLACEMENT_3D('',#36605,#29761,#29762); -#25962=AXIS2_PLACEMENT_3D('',#36607,#29763,#29764); -#25963=AXIS2_PLACEMENT_3D('',#36609,#29765,#29766); -#25964=AXIS2_PLACEMENT_3D('',#36611,#29767,#29768); -#25965=AXIS2_PLACEMENT_3D('',#36613,#29769,#29770); -#25966=AXIS2_PLACEMENT_3D('',#36615,#29771,#29772); -#25967=AXIS2_PLACEMENT_3D('',#36617,#29773,#29774); -#25968=AXIS2_PLACEMENT_3D('',#36619,#29775,#29776); -#25969=AXIS2_PLACEMENT_3D('',#36621,#29777,#29778); -#25970=AXIS2_PLACEMENT_3D('',#36623,#29779,#29780); -#25971=AXIS2_PLACEMENT_3D('',#36625,#29781,#29782); -#25972=AXIS2_PLACEMENT_3D('',#36627,#29783,#29784); -#25973=AXIS2_PLACEMENT_3D('',#36629,#29785,#29786); -#25974=AXIS2_PLACEMENT_3D('',#36631,#29787,#29788); -#25975=AXIS2_PLACEMENT_3D('',#36633,#29789,#29790); -#25976=AXIS2_PLACEMENT_3D('',#36635,#29791,#29792); -#25977=AXIS2_PLACEMENT_3D('',#36637,#29793,#29794); -#25978=AXIS2_PLACEMENT_3D('',#36663,#29807,#29808); -#25979=AXIS2_PLACEMENT_3D('',#36680,#29817,#29818); -#25980=AXIS2_PLACEMENT_3D('',#36683,#29821,#29822); -#25981=AXIS2_PLACEMENT_3D('',#36684,#29823,#29824); -#25982=AXIS2_PLACEMENT_3D('',#36687,#29825,#29826); -#25983=AXIS2_PLACEMENT_3D('',#36691,#29828,#29829); -#25984=AXIS2_PLACEMENT_3D('',#36695,#29831,#29832); -#25985=AXIS2_PLACEMENT_3D('',#36699,#29834,#29835); -#25986=AXIS2_PLACEMENT_3D('',#36702,#29837,#29838); -#25987=AXIS2_PLACEMENT_3D('',#36704,#29839,#29840); -#25988=AXIS2_PLACEMENT_3D('',#36783,#29879,#29880); -#25989=AXIS2_PLACEMENT_3D('',#36787,#29882,#29883); -#25990=AXIS2_PLACEMENT_3D('',#36793,#29886,#29887); -#25991=AXIS2_PLACEMENT_3D('',#36797,#29889,#29890); -#25992=AXIS2_PLACEMENT_3D('',#37738,#30361,#30362); -#25993=AXIS2_PLACEMENT_3D('',#37740,#30363,#30364); -#25994=AXIS2_PLACEMENT_3D('',#37742,#30365,#30366); -#25995=AXIS2_PLACEMENT_3D('',#37744,#30367,#30368); -#25996=AXIS2_PLACEMENT_3D('',#37746,#30369,#30370); -#25997=AXIS2_PLACEMENT_3D('',#37748,#30371,#30372); -#25998=AXIS2_PLACEMENT_3D('',#37750,#30373,#30374); -#25999=AXIS2_PLACEMENT_3D('',#37752,#30375,#30376); -#26000=AXIS2_PLACEMENT_3D('',#37754,#30377,#30378); -#26001=AXIS2_PLACEMENT_3D('',#37756,#30379,#30380); -#26002=AXIS2_PLACEMENT_3D('',#37758,#30381,#30382); -#26003=AXIS2_PLACEMENT_3D('',#37760,#30383,#30384); -#26004=AXIS2_PLACEMENT_3D('',#37762,#30385,#30386); -#26005=AXIS2_PLACEMENT_3D('',#37764,#30387,#30388); -#26006=AXIS2_PLACEMENT_3D('',#37766,#30389,#30390); -#26007=AXIS2_PLACEMENT_3D('',#37768,#30391,#30392); -#26008=AXIS2_PLACEMENT_3D('',#37770,#30393,#30394); -#26009=AXIS2_PLACEMENT_3D('',#37772,#30395,#30396); -#26010=AXIS2_PLACEMENT_3D('',#37774,#30397,#30398); -#26011=AXIS2_PLACEMENT_3D('',#37776,#30399,#30400); -#26012=AXIS2_PLACEMENT_3D('',#37778,#30401,#30402); -#26013=AXIS2_PLACEMENT_3D('',#37780,#30403,#30404); -#26014=AXIS2_PLACEMENT_3D('',#37782,#30405,#30406); -#26015=AXIS2_PLACEMENT_3D('',#37784,#30407,#30408); -#26016=AXIS2_PLACEMENT_3D('',#37785,#30409,#30410); -#26017=AXIS2_PLACEMENT_3D('',#37788,#30413,#30414); -#26018=AXIS2_PLACEMENT_3D('',#37790,#30416,#30417); -#26019=AXIS2_PLACEMENT_3D('',#37792,#30419,#30420); -#26020=AXIS2_PLACEMENT_3D('',#37794,#30422,#30423); -#26021=AXIS2_PLACEMENT_3D('',#37796,#30425,#30426); -#26022=AXIS2_PLACEMENT_3D('',#37798,#30428,#30429); -#26023=AXIS2_PLACEMENT_3D('',#37800,#30431,#30432); -#26024=AXIS2_PLACEMENT_3D('',#37802,#30434,#30435); -#26025=AXIS2_PLACEMENT_3D('',#37804,#30437,#30438); -#26026=AXIS2_PLACEMENT_3D('',#37806,#30440,#30441); -#26027=AXIS2_PLACEMENT_3D('',#37808,#30443,#30444); -#26028=AXIS2_PLACEMENT_3D('',#37810,#30446,#30447); -#26029=AXIS2_PLACEMENT_3D('',#37812,#30449,#30450); -#26030=AXIS2_PLACEMENT_3D('',#37814,#30452,#30453); -#26031=AXIS2_PLACEMENT_3D('',#37816,#30455,#30456); -#26032=AXIS2_PLACEMENT_3D('',#37818,#30458,#30459); -#26033=AXIS2_PLACEMENT_3D('',#37820,#30461,#30462); -#26034=AXIS2_PLACEMENT_3D('',#37822,#30464,#30465); -#26035=AXIS2_PLACEMENT_3D('',#37824,#30467,#30468); -#26036=AXIS2_PLACEMENT_3D('',#37826,#30470,#30471); -#26037=AXIS2_PLACEMENT_3D('',#37828,#30473,#30474); -#26038=AXIS2_PLACEMENT_3D('',#37830,#30476,#30477); -#26039=AXIS2_PLACEMENT_3D('',#37832,#30479,#30480); -#26040=AXIS2_PLACEMENT_3D('',#37834,#30482,#30483); -#26041=AXIS2_PLACEMENT_3D('',#37836,#30485,#30486); -#26042=AXIS2_PLACEMENT_3D('',#37838,#30488,#30489); -#26043=AXIS2_PLACEMENT_3D('',#37840,#30491,#30492); -#26044=AXIS2_PLACEMENT_3D('',#37846,#30496,#30497); -#26045=AXIS2_PLACEMENT_3D('',#37850,#30500,#30501); -#26046=AXIS2_PLACEMENT_3D('',#37854,#30504,#30505); -#26047=AXIS2_PLACEMENT_3D('',#37869,#30514,#30515); -#26048=AXIS2_PLACEMENT_3D('',#37883,#30523,#30524); -#26049=AXIS2_PLACEMENT_3D('',#37899,#30534,#30535); -#26050=AXIS2_PLACEMENT_3D('',#37900,#30536,#30537); -#26051=AXIS2_PLACEMENT_3D('',#37903,#30540,#30541); -#26052=AXIS2_PLACEMENT_3D('',#37913,#30547,#30548); -#26053=AXIS2_PLACEMENT_3D('',#37915,#30550,#30551); -#26054=AXIS2_PLACEMENT_3D('',#37917,#30553,#30554); -#26055=AXIS2_PLACEMENT_3D('',#37919,#30556,#30557); -#26056=AXIS2_PLACEMENT_3D('',#37921,#30559,#30560); -#26057=AXIS2_PLACEMENT_3D('',#37922,#30561,#30562); -#26058=AXIS2_PLACEMENT_3D('',#37924,#30564,#30565); -#26059=AXIS2_PLACEMENT_3D('',#37925,#30566,#30567); -#26060=AXIS2_PLACEMENT_3D('',#37929,#30570,#30571); -#26061=AXIS2_PLACEMENT_3D('',#37933,#30574,#30575); -#26062=AXIS2_PLACEMENT_3D('',#37935,#30577,#30578); -#26063=AXIS2_PLACEMENT_3D('',#37936,#30579,#30580); -#26064=AXIS2_PLACEMENT_3D('',#37937,#30581,#30582); -#26065=AXIS2_PLACEMENT_3D('',#37938,#30583,#30584); -#26066=AXIS2_PLACEMENT_3D('',#37941,#30587,#30588); -#26067=AXIS2_PLACEMENT_3D('',#37943,#30590,#30591); -#26068=AXIS2_PLACEMENT_3D('',#37944,#30592,#30593); -#26069=AXIS2_PLACEMENT_3D('',#37946,#30595,#30596); -#26070=AXIS2_PLACEMENT_3D('',#37948,#30598,#30599); -#26071=AXIS2_PLACEMENT_3D('',#37950,#30601,#30602); -#26072=AXIS2_PLACEMENT_3D('',#37951,#30603,#30604); -#26073=AXIS2_PLACEMENT_3D('',#37957,#30608,#30609); -#26074=AXIS2_PLACEMENT_3D('',#37965,#30613,#30614); -#26075=AXIS2_PLACEMENT_3D('',#37969,#30616,#30617); -#26076=AXIS2_PLACEMENT_3D('',#37971,#30619,#30620); -#26077=AXIS2_PLACEMENT_3D('',#37982,#30625,#30626); -#26078=AXIS2_PLACEMENT_3D('',#37986,#30628,#30629); -#26079=AXIS2_PLACEMENT_3D('',#37988,#30631,#30632); -#26080=AXIS2_PLACEMENT_3D('',#37991,#30633,#30634); -#26081=AXIS2_PLACEMENT_3D('',#37994,#30637,#30638); -#26082=AXIS2_PLACEMENT_3D('',#37997,#30639,#30640); -#26083=AXIS2_PLACEMENT_3D('',#37998,#30641,#30642); -#26084=AXIS2_PLACEMENT_3D('',#37999,#30643,#30644); -#26085=AXIS2_PLACEMENT_3D('',#38000,#30645,#30646); -#26086=AXIS2_PLACEMENT_3D('',#38005,#30648,#30649); -#26087=AXIS2_PLACEMENT_3D('',#38008,#30651,#30652); -#26088=AXIS2_PLACEMENT_3D('',#38009,#30653,#30654); -#26089=AXIS2_PLACEMENT_3D('',#38014,#30657,#30658); -#26090=AXIS2_PLACEMENT_3D('',#38015,#30659,#30660); -#26091=AXIS2_PLACEMENT_3D('',#38017,#30661,#30662); -#26092=AXIS2_PLACEMENT_3D('',#38020,#30664,#30665); -#26093=AXIS2_PLACEMENT_3D('',#38021,#30666,#30667); -#26094=AXIS2_PLACEMENT_3D('',#38023,#30668,#30669); -#26095=AXIS2_PLACEMENT_3D('',#38024,#30670,#30671); -#26096=AXIS2_PLACEMENT_3D('',#38025,#30672,#30673); -#26097=AXIS2_PLACEMENT_3D('',#38028,#30675,#30676); -#26098=AXIS2_PLACEMENT_3D('',#38029,#30677,#30678); -#26099=AXIS2_PLACEMENT_3D('',#38030,#30679,#30680); -#26100=AXIS2_PLACEMENT_3D('',#38031,#30681,#30682); -#26101=AXIS2_PLACEMENT_3D('',#38034,#30685,#30686); -#26102=AXIS2_PLACEMENT_3D('',#38036,#30688,#30689); -#26103=AXIS2_PLACEMENT_3D('',#38038,#30691,#30692); -#26104=AXIS2_PLACEMENT_3D('',#38039,#30693,#30694); -#26105=AXIS2_PLACEMENT_3D('',#38041,#30695,#30696); -#26106=AXIS2_PLACEMENT_3D('',#38043,#30698,#30699); -#26107=AXIS2_PLACEMENT_3D('',#38045,#30701,#30702); -#26108=AXIS2_PLACEMENT_3D('',#38047,#30703,#30704); -#26109=AXIS2_PLACEMENT_3D('',#38049,#30706,#30707); -#26110=AXIS2_PLACEMENT_3D('',#38050,#30708,#30709); -#26111=AXIS2_PLACEMENT_3D('',#38051,#30710,#30711); -#26112=AXIS2_PLACEMENT_3D('',#38053,#30713,#30714); -#26113=AXIS2_PLACEMENT_3D('',#38054,#30715,#30716); -#26114=AXIS2_PLACEMENT_3D('',#38056,#30718,#30719); -#26115=AXIS2_PLACEMENT_3D('',#38058,#30721,#30722); -#26116=AXIS2_PLACEMENT_3D('',#38059,#30723,#30724); -#26117=AXIS2_PLACEMENT_3D('',#38062,#30727,#30728); -#26118=AXIS2_PLACEMENT_3D('',#38064,#30730,#30731); -#26119=AXIS2_PLACEMENT_3D('',#38066,#30733,#30734); -#26120=AXIS2_PLACEMENT_3D('',#38067,#30735,#30736); -#26121=AXIS2_PLACEMENT_3D('',#38073,#30740,#30741); -#26122=AXIS2_PLACEMENT_3D('',#38077,#30744,#30745); -#26123=AXIS2_PLACEMENT_3D('',#38101,#30760,#30761); -#26124=AXIS2_PLACEMENT_3D('',#38107,#30765,#30766); -#26125=AXIS2_PLACEMENT_3D('',#38113,#30770,#30771); -#26126=AXIS2_PLACEMENT_3D('',#38119,#30775,#30776); -#26127=AXIS2_PLACEMENT_3D('',#38121,#30778,#30779); -#26128=AXIS2_PLACEMENT_3D('',#38123,#30781,#30782); -#26129=AXIS2_PLACEMENT_3D('',#38125,#30784,#30785); -#26130=AXIS2_PLACEMENT_3D('',#38126,#30786,#30787); -#26131=AXIS2_PLACEMENT_3D('',#38128,#30789,#30790); -#26132=AXIS2_PLACEMENT_3D('',#38130,#30792,#30793); -#26133=AXIS2_PLACEMENT_3D('',#38131,#30794,#30795); -#26134=AXIS2_PLACEMENT_3D('',#38133,#30797,#30798); -#26135=AXIS2_PLACEMENT_3D('',#38135,#30800,#30801); -#26136=AXIS2_PLACEMENT_3D('',#38136,#30802,#30803); -#26137=AXIS2_PLACEMENT_3D('',#38137,#30804,#30805); -#26138=AXIS2_PLACEMENT_3D('',#38141,#30808,#30809); -#26139=AXIS2_PLACEMENT_3D('',#38169,#30824,#30825); -#26140=AXIS2_PLACEMENT_3D('',#38171,#30827,#30828); -#26141=AXIS2_PLACEMENT_3D('',#38172,#30829,#30830); -#26142=AXIS2_PLACEMENT_3D('',#38178,#30834,#30835); -#26143=AXIS2_PLACEMENT_3D('',#38184,#30839,#30840); -#26144=AXIS2_PLACEMENT_3D('',#38188,#30843,#30844); -#26145=AXIS2_PLACEMENT_3D('',#38192,#30847,#30848); -#26146=AXIS2_PLACEMENT_3D('',#38196,#30851,#30852); -#26147=AXIS2_PLACEMENT_3D('',#38200,#30855,#30856); -#26148=AXIS2_PLACEMENT_3D('',#38211,#30863,#30864); -#26149=AXIS2_PLACEMENT_3D('',#38213,#30866,#30867); -#26150=AXIS2_PLACEMENT_3D('',#38215,#30869,#30870); -#26151=AXIS2_PLACEMENT_3D('',#38217,#30872,#30873); -#26152=AXIS2_PLACEMENT_3D('',#38218,#30874,#30875); -#26153=AXIS2_PLACEMENT_3D('',#38220,#30877,#30878); -#26154=AXIS2_PLACEMENT_3D('',#38221,#30879,#30880); -#26155=AXIS2_PLACEMENT_3D('',#38227,#30884,#30885); -#26156=AXIS2_PLACEMENT_3D('',#38231,#30888,#30889); -#26157=AXIS2_PLACEMENT_3D('',#38235,#30892,#30893); -#26158=AXIS2_PLACEMENT_3D('',#38255,#30905,#30906); -#26159=AXIS2_PLACEMENT_3D('',#38263,#30911,#30912); -#26160=AXIS2_PLACEMENT_3D('',#38271,#30917,#30918); -#26161=AXIS2_PLACEMENT_3D('',#38274,#30921,#30922); -#26162=AXIS2_PLACEMENT_3D('',#38276,#30924,#30925); -#26163=AXIS2_PLACEMENT_3D('',#38277,#30926,#30927); -#26164=AXIS2_PLACEMENT_3D('',#38285,#30932,#30933); -#26165=AXIS2_PLACEMENT_3D('',#38294,#30938,#30939); -#26166=AXIS2_PLACEMENT_3D('',#38300,#30943,#30944); -#26167=AXIS2_PLACEMENT_3D('',#38308,#30949,#30950); -#26168=AXIS2_PLACEMENT_3D('',#38313,#30954,#30955); -#26169=AXIS2_PLACEMENT_3D('',#38317,#30958,#30959); -#26170=AXIS2_PLACEMENT_3D('',#38321,#30962,#30963); -#26171=AXIS2_PLACEMENT_3D('',#38324,#30966,#30967); -#26172=AXIS2_PLACEMENT_3D('',#38328,#30970,#30971); -#26173=AXIS2_PLACEMENT_3D('',#38331,#30974,#30975); -#26174=AXIS2_PLACEMENT_3D('',#38333,#30977,#30978); -#26175=AXIS2_PLACEMENT_3D('',#38335,#30980,#30981); -#26176=AXIS2_PLACEMENT_3D('',#38336,#30982,#30983); -#26177=AXIS2_PLACEMENT_3D('',#38337,#30984,#30985); -#26178=AXIS2_PLACEMENT_3D('',#38338,#30986,#30987); -#26179=AXIS2_PLACEMENT_3D('',#38344,#30991,#30992); -#26180=AXIS2_PLACEMENT_3D('',#38345,#30993,#30994); -#26181=AXIS2_PLACEMENT_3D('',#38347,#30996,#30997); -#26182=AXIS2_PLACEMENT_3D('',#38349,#30999,#31000); -#26183=AXIS2_PLACEMENT_3D('',#38350,#31001,#31002); -#26184=AXIS2_PLACEMENT_3D('',#38354,#31004,#31005); -#26185=AXIS2_PLACEMENT_3D('',#38356,#31007,#31008); -#26186=AXIS2_PLACEMENT_3D('',#38376,#31019,#31020); -#26187=AXIS2_PLACEMENT_3D('',#38382,#31024,#31025); -#26188=AXIS2_PLACEMENT_3D('',#38386,#31028,#31029); -#26189=AXIS2_PLACEMENT_3D('',#38390,#31032,#31033); -#26190=AXIS2_PLACEMENT_3D('',#38392,#31035,#31036); -#26191=AXIS2_PLACEMENT_3D('',#38393,#31037,#31038); -#26192=AXIS2_PLACEMENT_3D('',#38396,#31040,#31041); -#26193=AXIS2_PLACEMENT_3D('',#38397,#31042,#31043); -#26194=AXIS2_PLACEMENT_3D('',#38399,#31045,#31046); -#26195=AXIS2_PLACEMENT_3D('',#38403,#31048,#31049); -#26196=AXIS2_PLACEMENT_3D('',#38404,#31050,#31051); -#26197=AXIS2_PLACEMENT_3D('',#38408,#31053,#31054); -#26198=AXIS2_PLACEMENT_3D('',#38409,#31055,#31056); -#26199=AXIS2_PLACEMENT_3D('',#38414,#31060,#31061); -#26200=AXIS2_PLACEMENT_3D('',#38415,#31062,#31063); -#26201=AXIS2_PLACEMENT_3D('',#38423,#31070,#31071); -#26202=AXIS2_PLACEMENT_3D('',#38424,#31072,#31073); -#26203=AXIS2_PLACEMENT_3D('',#38426,#31075,#31076); -#26204=AXIS2_PLACEMENT_3D('',#38427,#31077,#31078); -#26205=AXIS2_PLACEMENT_3D('',#38428,#31079,#31080); -#26206=AXIS2_PLACEMENT_3D('',#38434,#31084,#31085); -#26207=AXIS2_PLACEMENT_3D('',#38470,#31104,#31105); -#26208=AXIS2_PLACEMENT_3D('',#38474,#31108,#31109); -#26209=AXIS2_PLACEMENT_3D('',#38508,#31127,#31128); -#26210=AXIS2_PLACEMENT_3D('',#38509,#31129,#31130); -#26211=AXIS2_PLACEMENT_3D('',#38515,#31134,#31135); -#26212=AXIS2_PLACEMENT_3D('',#38521,#31139,#31140); -#26213=AXIS2_PLACEMENT_3D('',#38527,#31144,#31145); -#26214=AXIS2_PLACEMENT_3D('',#38533,#31149,#31150); -#26215=AXIS2_PLACEMENT_3D('',#38539,#31154,#31155); -#26216=AXIS2_PLACEMENT_3D('',#38545,#31159,#31160); -#26217=AXIS2_PLACEMENT_3D('',#38551,#31164,#31165); -#26218=AXIS2_PLACEMENT_3D('',#38557,#31169,#31170); -#26219=AXIS2_PLACEMENT_3D('',#38566,#31176,#31177); -#26220=AXIS2_PLACEMENT_3D('',#38575,#31183,#31184); -#26221=AXIS2_PLACEMENT_3D('',#38581,#31188,#31189); -#26222=AXIS2_PLACEMENT_3D('',#38583,#31191,#31192); -#26223=AXIS2_PLACEMENT_3D('',#38589,#31196,#31197); -#26224=AXIS2_PLACEMENT_3D('',#38595,#31201,#31202); -#26225=AXIS2_PLACEMENT_3D('',#38601,#31206,#31207); -#26226=AXIS2_PLACEMENT_3D('',#38605,#31210,#31211); -#26227=AXIS2_PLACEMENT_3D('',#38611,#31215,#31216); -#26228=AXIS2_PLACEMENT_3D('',#38617,#31220,#31221); -#26229=AXIS2_PLACEMENT_3D('',#38623,#31225,#31226); -#26230=AXIS2_PLACEMENT_3D('',#38628,#31230,#31231); -#26231=AXIS2_PLACEMENT_3D('',#38635,#31236,#31237); -#26232=AXIS2_PLACEMENT_3D('',#38636,#31238,#31239); -#26233=AXIS2_PLACEMENT_3D('',#38638,#31241,#31242); -#26234=AXIS2_PLACEMENT_3D('',#38639,#31243,#31244); -#26235=AXIS2_PLACEMENT_3D('',#38648,#31249,#31250); -#26236=AXIS2_PLACEMENT_3D('',#38657,#31255,#31256); -#26237=AXIS2_PLACEMENT_3D('',#38666,#31261,#31262); -#26238=AXIS2_PLACEMENT_3D('',#38669,#31265,#31266); -#26239=AXIS2_PLACEMENT_3D('',#38678,#31271,#31272); -#26240=AXIS2_PLACEMENT_3D('',#38687,#31277,#31278); -#26241=AXIS2_PLACEMENT_3D('',#38696,#31283,#31284); -#26242=AXIS2_PLACEMENT_3D('',#38699,#31287,#31288); -#26243=AXIS2_PLACEMENT_3D('',#38702,#31291,#31292); -#26244=AXIS2_PLACEMENT_3D('',#38706,#31296,#31297); -#26245=AXIS2_PLACEMENT_3D('',#38708,#31299,#31300); -#26246=AXIS2_PLACEMENT_3D('',#38710,#31302,#31303); -#26247=AXIS2_PLACEMENT_3D('',#38714,#31307,#31308); -#26248=AXIS2_PLACEMENT_3D('',#38715,#31309,#31310); -#26249=AXIS2_PLACEMENT_3D('',#38716,#31311,#31312); -#26250=AXIS2_PLACEMENT_3D('',#38717,#31313,#31314); -#26251=AXIS2_PLACEMENT_3D('',#38718,#31315,#31316); -#26252=AXIS2_PLACEMENT_3D('',#38721,#31319,#31320); -#26253=AXIS2_PLACEMENT_3D('',#38724,#31323,#31324); -#26254=AXIS2_PLACEMENT_3D('',#38728,#31328,#31329); -#26255=AXIS2_PLACEMENT_3D('',#38730,#31331,#31332); -#26256=AXIS2_PLACEMENT_3D('',#38732,#31334,#31335); -#26257=AXIS2_PLACEMENT_3D('',#38736,#31339,#31340); -#26258=AXIS2_PLACEMENT_3D('',#38737,#31341,#31342); -#26259=AXIS2_PLACEMENT_3D('',#38738,#31343,#31344); -#26260=AXIS2_PLACEMENT_3D('',#38739,#31345,#31346); -#26261=AXIS2_PLACEMENT_3D('',#38740,#31347,#31348); -#26262=AXIS2_PLACEMENT_3D('',#38743,#31351,#31352); -#26263=AXIS2_PLACEMENT_3D('',#38746,#31355,#31356); -#26264=AXIS2_PLACEMENT_3D('',#38750,#31360,#31361); -#26265=AXIS2_PLACEMENT_3D('',#38752,#31363,#31364); -#26266=AXIS2_PLACEMENT_3D('',#38754,#31366,#31367); -#26267=AXIS2_PLACEMENT_3D('',#38758,#31371,#31372); -#26268=AXIS2_PLACEMENT_3D('',#38759,#31373,#31374); -#26269=AXIS2_PLACEMENT_3D('',#38760,#31375,#31376); -#26270=AXIS2_PLACEMENT_3D('',#38761,#31377,#31378); -#26271=AXIS2_PLACEMENT_3D('',#38762,#31379,#31380); -#26272=AXIS2_PLACEMENT_3D('',#38763,#31381,#31382); -#26273=AXIS2_PLACEMENT_3D('',#38764,#31383,#31384); -#26274=AXIS2_PLACEMENT_3D('',#38765,#31385,#31386); -#26275=AXIS2_PLACEMENT_3D('',#38771,#31390,#31391); -#26276=AXIS2_PLACEMENT_3D('',#38775,#31394,#31395); -#26277=AXIS2_PLACEMENT_3D('',#38779,#31398,#31399); -#26278=AXIS2_PLACEMENT_3D('',#38786,#31404,#31405); -#26279=AXIS2_PLACEMENT_3D('',#38795,#31410,#31411); -#26280=AXIS2_PLACEMENT_3D('',#38804,#31416,#31417); -#26281=AXIS2_PLACEMENT_3D('',#38805,#31418,#31419); -#26282=AXIS2_PLACEMENT_3D('',#38814,#31424,#31425); -#26283=AXIS2_PLACEMENT_3D('',#38822,#31431,#31432); -#26284=AXIS2_PLACEMENT_3D('',#38824,#31434,#31435); -#26285=AXIS2_PLACEMENT_3D('',#38826,#31437,#31438); -#26286=AXIS2_PLACEMENT_3D('',#38827,#31439,#31440); -#26287=AXIS2_PLACEMENT_3D('',#38831,#31444,#31445); -#26288=AXIS2_PLACEMENT_3D('',#38833,#31447,#31448); -#26289=AXIS2_PLACEMENT_3D('',#38835,#31450,#31451); -#26290=AXIS2_PLACEMENT_3D('',#38836,#31452,#31453); -#26291=AXIS2_PLACEMENT_3D('',#38838,#31455,#31456); -#26292=AXIS2_PLACEMENT_3D('',#38841,#31459,#31460); -#26293=AXIS2_PLACEMENT_3D('',#38843,#31462,#31463); -#26294=AXIS2_PLACEMENT_3D('',#38845,#31465,#31466); -#26295=AXIS2_PLACEMENT_3D('',#38846,#31467,#31468); -#26296=AXIS2_PLACEMENT_3D('',#38852,#31472,#31473); -#26297=AXIS2_PLACEMENT_3D('',#38858,#31477,#31478); -#26298=AXIS2_PLACEMENT_3D('',#38862,#31481,#31482); -#26299=AXIS2_PLACEMENT_3D('',#38866,#31485,#31486); -#26300=AXIS2_PLACEMENT_3D('',#38870,#31489,#31490); -#26301=AXIS2_PLACEMENT_3D('',#38874,#31493,#31494); -#26302=AXIS2_PLACEMENT_3D('',#38876,#31496,#31497); -#26303=AXIS2_PLACEMENT_3D('',#38878,#31499,#31500); -#26304=AXIS2_PLACEMENT_3D('',#38884,#31504,#31505); -#26305=AXIS2_PLACEMENT_3D('',#38888,#31508,#31509); -#26306=AXIS2_PLACEMENT_3D('',#38892,#31512,#31513); -#26307=AXIS2_PLACEMENT_3D('',#38894,#31515,#31516); -#26308=AXIS2_PLACEMENT_3D('',#38895,#31517,#31518); -#26309=AXIS2_PLACEMENT_3D('',#38901,#31522,#31523); -#26310=AXIS2_PLACEMENT_3D('',#38905,#31526,#31527); -#26311=AXIS2_PLACEMENT_3D('',#38909,#31530,#31531); -#26312=AXIS2_PLACEMENT_3D('',#38911,#31533,#31534); -#26313=AXIS2_PLACEMENT_3D('',#38912,#31535,#31536); -#26314=AXIS2_PLACEMENT_3D('',#38918,#31540,#31541); -#26315=AXIS2_PLACEMENT_3D('',#38927,#31547,#31548); -#26316=AXIS2_PLACEMENT_3D('',#38931,#31551,#31552); -#26317=AXIS2_PLACEMENT_3D('',#38940,#31557,#31558); -#26318=AXIS2_PLACEMENT_3D('',#38949,#31563,#31564); -#26319=AXIS2_PLACEMENT_3D('',#38951,#31566,#31567); -#26320=AXIS2_PLACEMENT_3D('',#38952,#31568,#31569); -#26321=AXIS2_PLACEMENT_3D('',#38958,#31574,#31575); -#26322=AXIS2_PLACEMENT_3D('',#38960,#31577,#31578); -#26323=AXIS2_PLACEMENT_3D('',#38962,#31580,#31581); -#26324=AXIS2_PLACEMENT_3D('',#38963,#31582,#31583); -#26325=AXIS2_PLACEMENT_3D('',#38968,#31585,#31586); -#26326=AXIS2_PLACEMENT_3D('',#38969,#31587,#31588); -#26327=AXIS2_PLACEMENT_3D('',#38972,#31591,#31592); -#26328=AXIS2_PLACEMENT_3D('',#38974,#31594,#31595); -#26329=AXIS2_PLACEMENT_3D('',#38977,#31598,#31599); -#26330=AXIS2_PLACEMENT_3D('',#38979,#31601,#31602); -#26331=AXIS2_PLACEMENT_3D('',#38981,#31604,#31605); -#26332=AXIS2_PLACEMENT_3D('',#38982,#31606,#31607); -#26333=AXIS2_PLACEMENT_3D('',#38984,#31608,#31609); -#26334=AXIS2_PLACEMENT_3D('',#38986,#31611,#31612); -#26335=AXIS2_PLACEMENT_3D('',#38988,#31613,#31614); -#26336=AXIS2_PLACEMENT_3D('',#38989,#31615,#31616); -#26337=AXIS2_PLACEMENT_3D('',#38991,#31617,#31618); -#26338=AXIS2_PLACEMENT_3D('',#38992,#31619,#31620); -#26339=AXIS2_PLACEMENT_3D('',#38994,#31621,#31622); -#26340=AXIS2_PLACEMENT_3D('',#38996,#31624,#31625); -#26341=AXIS2_PLACEMENT_3D('',#38998,#31626,#31627); -#26342=AXIS2_PLACEMENT_3D('',#38999,#31628,#31629); -#26343=AXIS2_PLACEMENT_3D('',#39001,#31631,#31632); -#26344=AXIS2_PLACEMENT_3D('',#39007,#31636,#31637); -#26345=AXIS2_PLACEMENT_3D('',#39011,#31640,#31641); -#26346=AXIS2_PLACEMENT_3D('',#39015,#31644,#31645); -#26347=AXIS2_PLACEMENT_3D('',#39017,#31647,#31648); -#26348=AXIS2_PLACEMENT_3D('',#39018,#31649,#31650); -#26349=AXIS2_PLACEMENT_3D('',#39027,#31655,#31656); -#26350=AXIS2_PLACEMENT_3D('',#39030,#31659,#31660); -#26351=AXIS2_PLACEMENT_3D('',#39032,#31662,#31663); -#26352=AXIS2_PLACEMENT_3D('',#39034,#31665,#31666); -#26353=AXIS2_PLACEMENT_3D('',#39035,#31667,#31668); -#26354=AXIS2_PLACEMENT_3D('',#39041,#31672,#31673); -#26355=AXIS2_PLACEMENT_3D('',#39045,#31676,#31677); -#26356=AXIS2_PLACEMENT_3D('',#39049,#31680,#31681); -#26357=AXIS2_PLACEMENT_3D('',#39051,#31683,#31684); -#26358=AXIS2_PLACEMENT_3D('',#39052,#31685,#31686); -#26359=AXIS2_PLACEMENT_3D('',#39061,#31691,#31692); -#26360=AXIS2_PLACEMENT_3D('',#39070,#31697,#31698); -#26361=AXIS2_PLACEMENT_3D('',#39079,#31703,#31704); -#26362=AXIS2_PLACEMENT_3D('',#39088,#31709,#31710); -#26363=AXIS2_PLACEMENT_3D('',#39097,#31715,#31716); -#26364=AXIS2_PLACEMENT_3D('',#39106,#31721,#31722); -#26365=AXIS2_PLACEMENT_3D('',#39115,#31727,#31728); -#26366=AXIS2_PLACEMENT_3D('',#39124,#31733,#31734); -#26367=AXIS2_PLACEMENT_3D('',#39133,#31739,#31740); -#26368=AXIS2_PLACEMENT_3D('',#39142,#31745,#31746); -#26369=AXIS2_PLACEMENT_3D('',#39151,#31751,#31752); -#26370=AXIS2_PLACEMENT_3D('',#39160,#31757,#31758); -#26371=AXIS2_PLACEMENT_3D('',#39169,#31763,#31764); -#26372=AXIS2_PLACEMENT_3D('',#39178,#31769,#31770); -#26373=AXIS2_PLACEMENT_3D('',#39187,#31775,#31776); -#26374=AXIS2_PLACEMENT_3D('',#39196,#31781,#31782); -#26375=AXIS2_PLACEMENT_3D('',#39205,#31787,#31788); -#26376=AXIS2_PLACEMENT_3D('',#39214,#31793,#31794); -#26377=AXIS2_PLACEMENT_3D('',#39223,#31799,#31800); -#26378=AXIS2_PLACEMENT_3D('',#39226,#31803,#31804); -#26379=AXIS2_PLACEMENT_3D('',#39228,#31806,#31807); -#26380=AXIS2_PLACEMENT_3D('',#39230,#31809,#31810); -#26381=AXIS2_PLACEMENT_3D('',#39231,#31811,#31812); -#26382=AXIS2_PLACEMENT_3D('',#39234,#31815,#31816); -#26383=AXIS2_PLACEMENT_3D('',#39236,#31818,#31819); -#26384=AXIS2_PLACEMENT_3D('',#39238,#31821,#31822); -#26385=AXIS2_PLACEMENT_3D('',#39239,#31823,#31824); -#26386=AXIS2_PLACEMENT_3D('',#39242,#31827,#31828); -#26387=AXIS2_PLACEMENT_3D('',#39244,#31830,#31831); -#26388=AXIS2_PLACEMENT_3D('',#39246,#31833,#31834); -#26389=AXIS2_PLACEMENT_3D('',#39247,#31835,#31836); -#26390=AXIS2_PLACEMENT_3D('',#39250,#31839,#31840); -#26391=AXIS2_PLACEMENT_3D('',#39252,#31842,#31843); -#26392=AXIS2_PLACEMENT_3D('',#39254,#31845,#31846); -#26393=AXIS2_PLACEMENT_3D('',#39255,#31847,#31848); -#26394=AXIS2_PLACEMENT_3D('',#39258,#31851,#31852); -#26395=AXIS2_PLACEMENT_3D('',#39260,#31854,#31855); -#26396=AXIS2_PLACEMENT_3D('',#39262,#31857,#31858); -#26397=AXIS2_PLACEMENT_3D('',#39263,#31859,#31860); -#26398=AXIS2_PLACEMENT_3D('',#39266,#31863,#31864); -#26399=AXIS2_PLACEMENT_3D('',#39268,#31866,#31867); -#26400=AXIS2_PLACEMENT_3D('',#39270,#31869,#31870); -#26401=AXIS2_PLACEMENT_3D('',#39271,#31871,#31872); -#26402=AXIS2_PLACEMENT_3D('',#39274,#31875,#31876); -#26403=AXIS2_PLACEMENT_3D('',#39276,#31878,#31879); -#26404=AXIS2_PLACEMENT_3D('',#39278,#31881,#31882); -#26405=AXIS2_PLACEMENT_3D('',#39279,#31883,#31884); -#26406=AXIS2_PLACEMENT_3D('',#39282,#31887,#31888); -#26407=AXIS2_PLACEMENT_3D('',#39284,#31890,#31891); -#26408=AXIS2_PLACEMENT_3D('',#39286,#31893,#31894); -#26409=AXIS2_PLACEMENT_3D('',#39287,#31895,#31896); -#26410=AXIS2_PLACEMENT_3D('',#39290,#31899,#31900); -#26411=AXIS2_PLACEMENT_3D('',#39292,#31902,#31903); -#26412=AXIS2_PLACEMENT_3D('',#39294,#31905,#31906); -#26413=AXIS2_PLACEMENT_3D('',#39295,#31907,#31908); -#26414=AXIS2_PLACEMENT_3D('',#39298,#31911,#31912); -#26415=AXIS2_PLACEMENT_3D('',#39300,#31914,#31915); -#26416=AXIS2_PLACEMENT_3D('',#39302,#31917,#31918); -#26417=AXIS2_PLACEMENT_3D('',#39303,#31919,#31920); -#26418=AXIS2_PLACEMENT_3D('',#39306,#31923,#31924); -#26419=AXIS2_PLACEMENT_3D('',#39308,#31926,#31927); -#26420=AXIS2_PLACEMENT_3D('',#39310,#31929,#31930); -#26421=AXIS2_PLACEMENT_3D('',#39311,#31931,#31932); -#26422=AXIS2_PLACEMENT_3D('',#39314,#31935,#31936); -#26423=AXIS2_PLACEMENT_3D('',#39316,#31938,#31939); -#26424=AXIS2_PLACEMENT_3D('',#39318,#31941,#31942); -#26425=AXIS2_PLACEMENT_3D('',#39319,#31943,#31944); -#26426=AXIS2_PLACEMENT_3D('',#39322,#31947,#31948); -#26427=AXIS2_PLACEMENT_3D('',#39324,#31950,#31951); -#26428=AXIS2_PLACEMENT_3D('',#39326,#31953,#31954); -#26429=AXIS2_PLACEMENT_3D('',#39327,#31955,#31956); -#26430=AXIS2_PLACEMENT_3D('',#39330,#31959,#31960); -#26431=AXIS2_PLACEMENT_3D('',#39332,#31962,#31963); -#26432=AXIS2_PLACEMENT_3D('',#39334,#31965,#31966); -#26433=AXIS2_PLACEMENT_3D('',#39335,#31967,#31968); -#26434=AXIS2_PLACEMENT_3D('',#39338,#31971,#31972); -#26435=AXIS2_PLACEMENT_3D('',#39340,#31974,#31975); -#26436=AXIS2_PLACEMENT_3D('',#39342,#31977,#31978); -#26437=AXIS2_PLACEMENT_3D('',#39343,#31979,#31980); -#26438=AXIS2_PLACEMENT_3D('',#39346,#31983,#31984); -#26439=AXIS2_PLACEMENT_3D('',#39348,#31986,#31987); -#26440=AXIS2_PLACEMENT_3D('',#39350,#31989,#31990); -#26441=AXIS2_PLACEMENT_3D('',#39351,#31991,#31992); -#26442=AXIS2_PLACEMENT_3D('',#39354,#31995,#31996); -#26443=AXIS2_PLACEMENT_3D('',#39356,#31998,#31999); -#26444=AXIS2_PLACEMENT_3D('',#39358,#32001,#32002); -#26445=AXIS2_PLACEMENT_3D('',#39359,#32003,#32004); -#26446=AXIS2_PLACEMENT_3D('',#39362,#32007,#32008); -#26447=AXIS2_PLACEMENT_3D('',#39364,#32010,#32011); -#26448=AXIS2_PLACEMENT_3D('',#39366,#32013,#32014); -#26449=AXIS2_PLACEMENT_3D('',#39367,#32015,#32016); -#26450=AXIS2_PLACEMENT_3D('',#39370,#32019,#32020); -#26451=AXIS2_PLACEMENT_3D('',#39372,#32022,#32023); -#26452=AXIS2_PLACEMENT_3D('',#39374,#32025,#32026); -#26453=AXIS2_PLACEMENT_3D('',#39375,#32027,#32028); -#26454=AXIS2_PLACEMENT_3D('',#39381,#32032,#32033); -#26455=AXIS2_PLACEMENT_3D('',#39385,#32036,#32037); -#26456=AXIS2_PLACEMENT_3D('',#39389,#32040,#32041); -#26457=AXIS2_PLACEMENT_3D('',#39391,#32043,#32044); -#26458=AXIS2_PLACEMENT_3D('',#39392,#32045,#32046); -#26459=AXIS2_PLACEMENT_3D('',#39401,#32051,#32052); -#26460=AXIS2_PLACEMENT_3D('',#39410,#32057,#32058); -#26461=AXIS2_PLACEMENT_3D('',#39419,#32063,#32064); -#26462=AXIS2_PLACEMENT_3D('',#39428,#32069,#32070); -#26463=AXIS2_PLACEMENT_3D('',#39437,#32075,#32076); -#26464=AXIS2_PLACEMENT_3D('',#39446,#32081,#32082); -#26465=AXIS2_PLACEMENT_3D('',#39455,#32087,#32088); -#26466=AXIS2_PLACEMENT_3D('',#39464,#32093,#32094); -#26467=AXIS2_PLACEMENT_3D('',#39473,#32099,#32100); -#26468=AXIS2_PLACEMENT_3D('',#39482,#32105,#32106); -#26469=AXIS2_PLACEMENT_3D('',#39491,#32111,#32112); -#26470=AXIS2_PLACEMENT_3D('',#39500,#32117,#32118); -#26471=AXIS2_PLACEMENT_3D('',#39509,#32123,#32124); -#26472=AXIS2_PLACEMENT_3D('',#39518,#32129,#32130); -#26473=AXIS2_PLACEMENT_3D('',#39527,#32135,#32136); -#26474=AXIS2_PLACEMENT_3D('',#39536,#32141,#32142); -#26475=AXIS2_PLACEMENT_3D('',#39545,#32147,#32148); -#26476=AXIS2_PLACEMENT_3D('',#39554,#32153,#32154); -#26477=AXIS2_PLACEMENT_3D('',#39557,#32157,#32158); -#26478=AXIS2_PLACEMENT_3D('',#39559,#32160,#32161); -#26479=AXIS2_PLACEMENT_3D('',#39561,#32163,#32164); -#26480=AXIS2_PLACEMENT_3D('',#39562,#32165,#32166); -#26481=AXIS2_PLACEMENT_3D('',#39565,#32169,#32170); -#26482=AXIS2_PLACEMENT_3D('',#39567,#32172,#32173); -#26483=AXIS2_PLACEMENT_3D('',#39569,#32175,#32176); -#26484=AXIS2_PLACEMENT_3D('',#39570,#32177,#32178); -#26485=AXIS2_PLACEMENT_3D('',#39573,#32181,#32182); -#26486=AXIS2_PLACEMENT_3D('',#39575,#32184,#32185); -#26487=AXIS2_PLACEMENT_3D('',#39577,#32187,#32188); -#26488=AXIS2_PLACEMENT_3D('',#39578,#32189,#32190); -#26489=AXIS2_PLACEMENT_3D('',#39581,#32193,#32194); -#26490=AXIS2_PLACEMENT_3D('',#39583,#32196,#32197); -#26491=AXIS2_PLACEMENT_3D('',#39585,#32199,#32200); -#26492=AXIS2_PLACEMENT_3D('',#39586,#32201,#32202); -#26493=AXIS2_PLACEMENT_3D('',#39589,#32205,#32206); -#26494=AXIS2_PLACEMENT_3D('',#39591,#32208,#32209); -#26495=AXIS2_PLACEMENT_3D('',#39593,#32211,#32212); -#26496=AXIS2_PLACEMENT_3D('',#39594,#32213,#32214); -#26497=AXIS2_PLACEMENT_3D('',#39597,#32217,#32218); -#26498=AXIS2_PLACEMENT_3D('',#39599,#32220,#32221); -#26499=AXIS2_PLACEMENT_3D('',#39601,#32223,#32224); -#26500=AXIS2_PLACEMENT_3D('',#39602,#32225,#32226); -#26501=AXIS2_PLACEMENT_3D('',#39605,#32229,#32230); -#26502=AXIS2_PLACEMENT_3D('',#39607,#32232,#32233); -#26503=AXIS2_PLACEMENT_3D('',#39609,#32235,#32236); -#26504=AXIS2_PLACEMENT_3D('',#39610,#32237,#32238); -#26505=AXIS2_PLACEMENT_3D('',#39613,#32241,#32242); -#26506=AXIS2_PLACEMENT_3D('',#39615,#32244,#32245); -#26507=AXIS2_PLACEMENT_3D('',#39617,#32247,#32248); -#26508=AXIS2_PLACEMENT_3D('',#39618,#32249,#32250); -#26509=AXIS2_PLACEMENT_3D('',#39621,#32253,#32254); -#26510=AXIS2_PLACEMENT_3D('',#39623,#32256,#32257); -#26511=AXIS2_PLACEMENT_3D('',#39625,#32259,#32260); -#26512=AXIS2_PLACEMENT_3D('',#39626,#32261,#32262); -#26513=AXIS2_PLACEMENT_3D('',#39629,#32265,#32266); -#26514=AXIS2_PLACEMENT_3D('',#39631,#32268,#32269); -#26515=AXIS2_PLACEMENT_3D('',#39633,#32271,#32272); -#26516=AXIS2_PLACEMENT_3D('',#39634,#32273,#32274); -#26517=AXIS2_PLACEMENT_3D('',#39637,#32277,#32278); -#26518=AXIS2_PLACEMENT_3D('',#39639,#32280,#32281); -#26519=AXIS2_PLACEMENT_3D('',#39641,#32283,#32284); -#26520=AXIS2_PLACEMENT_3D('',#39642,#32285,#32286); -#26521=AXIS2_PLACEMENT_3D('',#39645,#32289,#32290); -#26522=AXIS2_PLACEMENT_3D('',#39647,#32292,#32293); -#26523=AXIS2_PLACEMENT_3D('',#39649,#32295,#32296); -#26524=AXIS2_PLACEMENT_3D('',#39650,#32297,#32298); -#26525=AXIS2_PLACEMENT_3D('',#39653,#32301,#32302); -#26526=AXIS2_PLACEMENT_3D('',#39655,#32304,#32305); -#26527=AXIS2_PLACEMENT_3D('',#39657,#32307,#32308); -#26528=AXIS2_PLACEMENT_3D('',#39658,#32309,#32310); -#26529=AXIS2_PLACEMENT_3D('',#39661,#32313,#32314); -#26530=AXIS2_PLACEMENT_3D('',#39663,#32316,#32317); -#26531=AXIS2_PLACEMENT_3D('',#39665,#32319,#32320); -#26532=AXIS2_PLACEMENT_3D('',#39666,#32321,#32322); -#26533=AXIS2_PLACEMENT_3D('',#39669,#32325,#32326); -#26534=AXIS2_PLACEMENT_3D('',#39671,#32328,#32329); -#26535=AXIS2_PLACEMENT_3D('',#39673,#32331,#32332); -#26536=AXIS2_PLACEMENT_3D('',#39674,#32333,#32334); -#26537=AXIS2_PLACEMENT_3D('',#39677,#32337,#32338); -#26538=AXIS2_PLACEMENT_3D('',#39679,#32340,#32341); -#26539=AXIS2_PLACEMENT_3D('',#39681,#32343,#32344); -#26540=AXIS2_PLACEMENT_3D('',#39682,#32345,#32346); -#26541=AXIS2_PLACEMENT_3D('',#39685,#32349,#32350); -#26542=AXIS2_PLACEMENT_3D('',#39687,#32352,#32353); -#26543=AXIS2_PLACEMENT_3D('',#39689,#32355,#32356); -#26544=AXIS2_PLACEMENT_3D('',#39690,#32357,#32358); -#26545=AXIS2_PLACEMENT_3D('',#39693,#32361,#32362); -#26546=AXIS2_PLACEMENT_3D('',#39695,#32364,#32365); -#26547=AXIS2_PLACEMENT_3D('',#39697,#32367,#32368); -#26548=AXIS2_PLACEMENT_3D('',#39698,#32369,#32370); -#26549=AXIS2_PLACEMENT_3D('',#39704,#32374,#32375); -#26550=AXIS2_PLACEMENT_3D('',#39708,#32378,#32379); -#26551=AXIS2_PLACEMENT_3D('',#39712,#32382,#32383); -#26552=AXIS2_PLACEMENT_3D('',#39714,#32385,#32386); -#26553=AXIS2_PLACEMENT_3D('',#39715,#32387,#32388); -#26554=AXIS2_PLACEMENT_3D('',#39724,#32393,#32394); -#26555=AXIS2_PLACEMENT_3D('',#39733,#32399,#32400); -#26556=AXIS2_PLACEMENT_3D('',#39742,#32405,#32406); -#26557=AXIS2_PLACEMENT_3D('',#39751,#32411,#32412); -#26558=AXIS2_PLACEMENT_3D('',#39760,#32417,#32418); -#26559=AXIS2_PLACEMENT_3D('',#39769,#32423,#32424); -#26560=AXIS2_PLACEMENT_3D('',#39778,#32429,#32430); -#26561=AXIS2_PLACEMENT_3D('',#39781,#32433,#32434); -#26562=AXIS2_PLACEMENT_3D('',#39783,#32436,#32437); -#26563=AXIS2_PLACEMENT_3D('',#39785,#32439,#32440); -#26564=AXIS2_PLACEMENT_3D('',#39786,#32441,#32442); -#26565=AXIS2_PLACEMENT_3D('',#39789,#32445,#32446); -#26566=AXIS2_PLACEMENT_3D('',#39791,#32448,#32449); -#26567=AXIS2_PLACEMENT_3D('',#39793,#32451,#32452); -#26568=AXIS2_PLACEMENT_3D('',#39794,#32453,#32454); -#26569=AXIS2_PLACEMENT_3D('',#39797,#32457,#32458); -#26570=AXIS2_PLACEMENT_3D('',#39799,#32460,#32461); -#26571=AXIS2_PLACEMENT_3D('',#39801,#32463,#32464); -#26572=AXIS2_PLACEMENT_3D('',#39802,#32465,#32466); -#26573=AXIS2_PLACEMENT_3D('',#39805,#32469,#32470); -#26574=AXIS2_PLACEMENT_3D('',#39807,#32472,#32473); -#26575=AXIS2_PLACEMENT_3D('',#39809,#32475,#32476); -#26576=AXIS2_PLACEMENT_3D('',#39810,#32477,#32478); -#26577=AXIS2_PLACEMENT_3D('',#39813,#32481,#32482); -#26578=AXIS2_PLACEMENT_3D('',#39815,#32484,#32485); -#26579=AXIS2_PLACEMENT_3D('',#39817,#32487,#32488); -#26580=AXIS2_PLACEMENT_3D('',#39818,#32489,#32490); -#26581=AXIS2_PLACEMENT_3D('',#39821,#32493,#32494); -#26582=AXIS2_PLACEMENT_3D('',#39823,#32496,#32497); -#26583=AXIS2_PLACEMENT_3D('',#39825,#32499,#32500); -#26584=AXIS2_PLACEMENT_3D('',#39826,#32501,#32502); -#26585=AXIS2_PLACEMENT_3D('',#39829,#32505,#32506); -#26586=AXIS2_PLACEMENT_3D('',#39831,#32508,#32509); -#26587=AXIS2_PLACEMENT_3D('',#39833,#32511,#32512); -#26588=AXIS2_PLACEMENT_3D('',#39834,#32513,#32514); -#26589=AXIS2_PLACEMENT_3D('',#39840,#32518,#32519); -#26590=AXIS2_PLACEMENT_3D('',#39844,#32522,#32523); -#26591=AXIS2_PLACEMENT_3D('',#39848,#32526,#32527); -#26592=AXIS2_PLACEMENT_3D('',#39850,#32529,#32530); -#26593=AXIS2_PLACEMENT_3D('',#39851,#32531,#32532); -#26594=AXIS2_PLACEMENT_3D('',#39860,#32537,#32538); -#26595=AXIS2_PLACEMENT_3D('',#39869,#32543,#32544); -#26596=AXIS2_PLACEMENT_3D('',#39878,#32549,#32550); -#26597=AXIS2_PLACEMENT_3D('',#39887,#32555,#32556); -#26598=AXIS2_PLACEMENT_3D('',#39890,#32559,#32560); -#26599=AXIS2_PLACEMENT_3D('',#39892,#32562,#32563); -#26600=AXIS2_PLACEMENT_3D('',#39894,#32565,#32566); -#26601=AXIS2_PLACEMENT_3D('',#39895,#32567,#32568); -#26602=AXIS2_PLACEMENT_3D('',#39898,#32571,#32572); -#26603=AXIS2_PLACEMENT_3D('',#39900,#32574,#32575); -#26604=AXIS2_PLACEMENT_3D('',#39902,#32577,#32578); -#26605=AXIS2_PLACEMENT_3D('',#39903,#32579,#32580); -#26606=AXIS2_PLACEMENT_3D('',#39906,#32583,#32584); -#26607=AXIS2_PLACEMENT_3D('',#39908,#32586,#32587); -#26608=AXIS2_PLACEMENT_3D('',#39910,#32589,#32590); -#26609=AXIS2_PLACEMENT_3D('',#39911,#32591,#32592); -#26610=AXIS2_PLACEMENT_3D('',#39914,#32595,#32596); -#26611=AXIS2_PLACEMENT_3D('',#39916,#32598,#32599); -#26612=AXIS2_PLACEMENT_3D('',#39918,#32601,#32602); -#26613=AXIS2_PLACEMENT_3D('',#39919,#32603,#32604); -#26614=AXIS2_PLACEMENT_3D('',#39925,#32608,#32609); -#26615=AXIS2_PLACEMENT_3D('',#39929,#32612,#32613); -#26616=AXIS2_PLACEMENT_3D('',#39933,#32616,#32617); -#26617=AXIS2_PLACEMENT_3D('',#39935,#32619,#32620); -#26618=AXIS2_PLACEMENT_3D('',#39936,#32621,#32622); -#26619=AXIS2_PLACEMENT_3D('',#39945,#32627,#32628); -#26620=AXIS2_PLACEMENT_3D('',#39948,#32631,#32632); -#26621=AXIS2_PLACEMENT_3D('',#39950,#32634,#32635); -#26622=AXIS2_PLACEMENT_3D('',#39952,#32637,#32638); -#26623=AXIS2_PLACEMENT_3D('',#39953,#32639,#32640); -#26624=AXIS2_PLACEMENT_3D('',#39959,#32644,#32645); -#26625=AXIS2_PLACEMENT_3D('',#39965,#32649,#32650); -#26626=AXIS2_PLACEMENT_3D('',#39969,#32653,#32654); -#26627=AXIS2_PLACEMENT_3D('',#39973,#32657,#32658); -#26628=AXIS2_PLACEMENT_3D('',#39977,#32661,#32662); -#26629=AXIS2_PLACEMENT_3D('',#39981,#32665,#32666); -#26630=AXIS2_PLACEMENT_3D('',#39983,#32668,#32669); -#26631=AXIS2_PLACEMENT_3D('',#39985,#32671,#32672); -#26632=AXIS2_PLACEMENT_3D('',#39994,#32677,#32678); -#26633=AXIS2_PLACEMENT_3D('',#40003,#32683,#32684); -#26634=AXIS2_PLACEMENT_3D('',#40009,#32688,#32689); -#26635=AXIS2_PLACEMENT_3D('',#40013,#32692,#32693); -#26636=AXIS2_PLACEMENT_3D('',#40017,#32696,#32697); -#26637=AXIS2_PLACEMENT_3D('',#40019,#32699,#32700); -#26638=AXIS2_PLACEMENT_3D('',#40020,#32701,#32702); -#26639=AXIS2_PLACEMENT_3D('',#40029,#32707,#32708); -#26640=AXIS2_PLACEMENT_3D('',#40034,#32713,#32714); -#26641=AXIS2_PLACEMENT_3D('',#40037,#32717,#32718); -#26642=AXIS2_PLACEMENT_3D('',#40039,#32720,#32721); -#26643=AXIS2_PLACEMENT_3D('',#40041,#32723,#32724); -#26644=AXIS2_PLACEMENT_3D('',#40043,#32726,#32727); -#26645=AXIS2_PLACEMENT_3D('',#40045,#32729,#32730); -#26646=AXIS2_PLACEMENT_3D('',#40046,#32731,#32732); -#26647=AXIS2_PLACEMENT_3D('',#40049,#32735,#32736); -#26648=AXIS2_PLACEMENT_3D('',#40050,#32737,#32738); -#26649=AXIS2_PLACEMENT_3D('',#40051,#32739,#32740); -#26650=AXIS2_PLACEMENT_3D('',#40057,#32744,#32745); -#26651=AXIS2_PLACEMENT_3D('',#40061,#32748,#32749); -#26652=AXIS2_PLACEMENT_3D('',#40075,#32758,#32759); -#26653=AXIS2_PLACEMENT_3D('',#40084,#32764,#32765); -#26654=AXIS2_PLACEMENT_3D('',#40093,#32770,#32771); -#26655=AXIS2_PLACEMENT_3D('',#40102,#32776,#32777); -#26656=AXIS2_PLACEMENT_3D('',#40111,#32782,#32783); -#26657=AXIS2_PLACEMENT_3D('',#40120,#32788,#32789); -#26658=AXIS2_PLACEMENT_3D('',#40129,#32794,#32795); -#26659=AXIS2_PLACEMENT_3D('',#40138,#32800,#32801); -#26660=AXIS2_PLACEMENT_3D('',#40144,#32805,#32806); -#26661=AXIS2_PLACEMENT_3D('',#40146,#32808,#32809); -#26662=AXIS2_PLACEMENT_3D('',#40155,#32814,#32815); -#26663=AXIS2_PLACEMENT_3D('',#40164,#32820,#32821); -#26664=AXIS2_PLACEMENT_3D('',#40173,#32826,#32827); -#26665=AXIS2_PLACEMENT_3D('',#40183,#32835,#32836); -#26666=AXIS2_PLACEMENT_3D('',#40185,#32838,#32839); -#26667=AXIS2_PLACEMENT_3D('',#40187,#32841,#32842); -#26668=AXIS2_PLACEMENT_3D('',#40188,#32843,#32844); -#26669=AXIS2_PLACEMENT_3D('',#40192,#32847,#32848); -#26670=AXIS2_PLACEMENT_3D('',#40198,#32853,#32854); -#26671=AXIS2_PLACEMENT_3D('',#40200,#32856,#32857); -#26672=AXIS2_PLACEMENT_3D('',#40202,#32859,#32860); -#26673=AXIS2_PLACEMENT_3D('',#40203,#32861,#32862); -#26674=AXIS2_PLACEMENT_3D('',#40205,#32864,#32865); -#26675=AXIS2_PLACEMENT_3D('',#40206,#32866,#32867); -#26676=AXIS2_PLACEMENT_3D('',#40215,#32872,#32873); -#26677=AXIS2_PLACEMENT_3D('',#40221,#32877,#32878); -#26678=AXIS2_PLACEMENT_3D('',#40230,#32883,#32884); -#26679=AXIS2_PLACEMENT_3D('',#40233,#32887,#32888); -#26680=AXIS2_PLACEMENT_3D('',#40239,#32894,#32895); -#26681=AXIS2_PLACEMENT_3D('',#40241,#32897,#32898); -#26682=AXIS2_PLACEMENT_3D('',#40243,#32900,#32901); -#26683=AXIS2_PLACEMENT_3D('',#40244,#32902,#32903); -#26684=AXIS2_PLACEMENT_3D('',#40246,#32905,#32906); -#26685=AXIS2_PLACEMENT_3D('',#40248,#32908,#32909); -#26686=AXIS2_PLACEMENT_3D('',#40249,#32910,#32911); -#26687=AXIS2_PLACEMENT_3D('',#40251,#32913,#32914); -#26688=AXIS2_PLACEMENT_3D('',#40254,#32917,#32918); -#26689=AXIS2_PLACEMENT_3D('',#40256,#32920,#32921); -#26690=AXIS2_PLACEMENT_3D('',#40258,#32923,#32924); -#26691=AXIS2_PLACEMENT_3D('',#40259,#32925,#32926); -#26692=AXIS2_PLACEMENT_3D('',#40261,#32928,#32929); -#26693=AXIS2_PLACEMENT_3D('',#40265,#32933,#32934); -#26694=AXIS2_PLACEMENT_3D('',#40267,#32936,#32937); -#26695=AXIS2_PLACEMENT_3D('',#40269,#32939,#32940); -#26696=AXIS2_PLACEMENT_3D('',#40270,#32941,#32942); -#26697=AXIS2_PLACEMENT_3D('',#40271,#32943,#32944); -#26698=AXIS2_PLACEMENT_3D('',#40274,#32947,#32948); -#26699=AXIS2_PLACEMENT_3D('',#40280,#32954,#32955); -#26700=AXIS2_PLACEMENT_3D('',#40282,#32957,#32958); -#26701=AXIS2_PLACEMENT_3D('',#40284,#32960,#32961); -#26702=AXIS2_PLACEMENT_3D('',#40285,#32962,#32963); -#26703=AXIS2_PLACEMENT_3D('',#40287,#32965,#32966); -#26704=AXIS2_PLACEMENT_3D('',#40290,#32969,#32970); -#26705=AXIS2_PLACEMENT_3D('',#40292,#32972,#32973); -#26706=AXIS2_PLACEMENT_3D('',#40294,#32975,#32976); -#26707=AXIS2_PLACEMENT_3D('',#40295,#32977,#32978); -#26708=AXIS2_PLACEMENT_3D('',#40297,#32980,#32981); -#26709=AXIS2_PLACEMENT_3D('',#40299,#32983,#32984); -#26710=AXIS2_PLACEMENT_3D('',#40300,#32985,#32986); -#26711=AXIS2_PLACEMENT_3D('',#40302,#32988,#32989); -#26712=AXIS2_PLACEMENT_3D('',#40304,#32991,#32992); -#26713=AXIS2_PLACEMENT_3D('',#40305,#32993,#32994); -#26714=AXIS2_PLACEMENT_3D('',#40307,#32996,#32997); -#26715=AXIS2_PLACEMENT_3D('',#40309,#32999,#33000); -#26716=AXIS2_PLACEMENT_3D('',#40310,#33001,#33002); -#26717=AXIS2_PLACEMENT_3D('',#40311,#33003,#33004); -#26718=AXIS2_PLACEMENT_3D('',#40317,#33008,#33009); -#26719=AXIS2_PLACEMENT_3D('',#40323,#33013,#33014); -#26720=AXIS2_PLACEMENT_3D('',#40327,#33017,#33018); -#26721=AXIS2_PLACEMENT_3D('',#40333,#33022,#33023); -#26722=AXIS2_PLACEMENT_3D('',#40337,#33026,#33027); -#26723=AXIS2_PLACEMENT_3D('',#40339,#33029,#33030); -#26724=AXIS2_PLACEMENT_3D('',#40341,#33032,#33033); -#26725=AXIS2_PLACEMENT_3D('',#40343,#33035,#33036); -#26726=AXIS2_PLACEMENT_3D('',#40349,#33040,#33041); -#26727=AXIS2_PLACEMENT_3D('',#40353,#33044,#33045); -#26728=AXIS2_PLACEMENT_3D('',#40357,#33048,#33049); -#26729=AXIS2_PLACEMENT_3D('',#40359,#33051,#33052); -#26730=AXIS2_PLACEMENT_3D('',#40360,#33053,#33054); -#26731=AXIS2_PLACEMENT_3D('',#40366,#33058,#33059); -#26732=AXIS2_PLACEMENT_3D('',#40372,#33063,#33064); -#26733=AXIS2_PLACEMENT_3D('',#40376,#33067,#33068); -#26734=AXIS2_PLACEMENT_3D('',#40382,#33072,#33073); -#26735=AXIS2_PLACEMENT_3D('',#40386,#33076,#33077); -#26736=AXIS2_PLACEMENT_3D('',#40388,#33079,#33080); -#26737=AXIS2_PLACEMENT_3D('',#40390,#33082,#33083); -#26738=AXIS2_PLACEMENT_3D('',#40399,#33088,#33089); -#26739=AXIS2_PLACEMENT_3D('',#40408,#33094,#33095); -#26740=AXIS2_PLACEMENT_3D('',#40410,#33097,#33098); -#26741=AXIS2_PLACEMENT_3D('',#40419,#33103,#33104); -#26742=AXIS2_PLACEMENT_3D('',#40429,#33112,#33113); -#26743=AXIS2_PLACEMENT_3D('',#40433,#33116,#33117); -#26744=AXIS2_PLACEMENT_3D('',#40439,#33122,#33123); -#26745=AXIS2_PLACEMENT_3D('',#40441,#33125,#33126); -#26746=AXIS2_PLACEMENT_3D('',#40443,#33128,#33129); -#26747=AXIS2_PLACEMENT_3D('',#40445,#33131,#33132); -#26748=AXIS2_PLACEMENT_3D('',#40447,#33134,#33135); -#26749=AXIS2_PLACEMENT_3D('',#40448,#33136,#33137); -#26750=AXIS2_PLACEMENT_3D('',#40449,#33138,#33139); -#26751=AXIS2_PLACEMENT_3D('',#40451,#33141,#33142); -#26752=AXIS2_PLACEMENT_3D('',#40452,#33143,#33144); -#26753=AXIS2_PLACEMENT_3D('',#40454,#33146,#33147); -#26754=AXIS2_PLACEMENT_3D('',#40456,#33149,#33150); -#26755=AXIS2_PLACEMENT_3D('',#40457,#33151,#33152); -#26756=AXIS2_PLACEMENT_3D('',#40463,#33156,#33157); -#26757=AXIS2_PLACEMENT_3D('',#40467,#33160,#33161); -#26758=AXIS2_PLACEMENT_3D('',#40471,#33164,#33165); -#26759=AXIS2_PLACEMENT_3D('',#40473,#33167,#33168); -#26760=AXIS2_PLACEMENT_3D('',#40474,#33169,#33170); -#26761=AXIS2_PLACEMENT_3D('',#40480,#33174,#33175); -#26762=AXIS2_PLACEMENT_3D('',#40484,#33178,#33179); -#26763=AXIS2_PLACEMENT_3D('',#40488,#33182,#33183); -#26764=AXIS2_PLACEMENT_3D('',#40490,#33185,#33186); -#26765=AXIS2_PLACEMENT_3D('',#40491,#33187,#33188); -#26766=AXIS2_PLACEMENT_3D('',#40497,#33192,#33193); -#26767=AXIS2_PLACEMENT_3D('',#40503,#33197,#33198); -#26768=AXIS2_PLACEMENT_3D('',#40507,#33201,#33202); -#26769=AXIS2_PLACEMENT_3D('',#40511,#33205,#33206); -#26770=AXIS2_PLACEMENT_3D('',#40515,#33209,#33210); -#26771=AXIS2_PLACEMENT_3D('',#40519,#33213,#33214); -#26772=AXIS2_PLACEMENT_3D('',#40528,#33219,#33220); -#26773=AXIS2_PLACEMENT_3D('',#40530,#33222,#33223); -#26774=AXIS2_PLACEMENT_3D('',#40539,#33228,#33229); -#26775=AXIS2_PLACEMENT_3D('',#40548,#33234,#33235); -#26776=AXIS2_PLACEMENT_3D('',#40550,#33237,#33238); -#26777=AXIS2_PLACEMENT_3D('',#40558,#33244,#33245); -#26778=AXIS2_PLACEMENT_3D('',#40561,#33248,#33249); -#26779=AXIS2_PLACEMENT_3D('',#40563,#33251,#33252); -#26780=AXIS2_PLACEMENT_3D('',#40565,#33254,#33255); -#26781=AXIS2_PLACEMENT_3D('',#40567,#33257,#33258); -#26782=AXIS2_PLACEMENT_3D('',#40569,#33260,#33261); -#26783=AXIS2_PLACEMENT_3D('',#40570,#33262,#33263); -#26784=AXIS2_PLACEMENT_3D('',#40578,#33269,#33270); -#26785=AXIS2_PLACEMENT_3D('',#40580,#33272,#33273); -#26786=AXIS2_PLACEMENT_3D('',#40582,#33275,#33276); -#26787=AXIS2_PLACEMENT_3D('',#40583,#33277,#33278); -#26788=AXIS2_PLACEMENT_3D('',#40585,#33280,#33281); -#26789=AXIS2_PLACEMENT_3D('',#40587,#33283,#33284); -#26790=AXIS2_PLACEMENT_3D('',#40588,#33285,#33286); -#26791=AXIS2_PLACEMENT_3D('',#40589,#33287,#33288); -#26792=DIRECTION('axis',(0.,0.,1.)); -#26793=DIRECTION('refdir',(1.,0.,0.)); -#26794=DIRECTION('axis',(0.,0.,1.)); -#26795=DIRECTION('refdir',(1.,0.,0.)); -#26796=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#26797=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#26798=DIRECTION('center_axis',(1.,1.43365576527009E-15,0.)); -#26799=DIRECTION('ref_axis',(-1.43365576527009E-15,1.,0.)); -#26800=DIRECTION('center_axis',(1.,1.43365576527009E-15,0.)); -#26801=DIRECTION('ref_axis',(-1.43365576527009E-15,1.,0.)); -#26802=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#26803=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#26804=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#26805=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#26806=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#26807=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#26808=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#26809=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#26810=DIRECTION('center_axis',(1.,1.43365576527009E-15,0.)); -#26811=DIRECTION('ref_axis',(-1.43365576527009E-15,1.,0.)); -#26812=DIRECTION('center_axis',(1.,1.43365576527009E-15,0.)); -#26813=DIRECTION('ref_axis',(-1.43365576527009E-15,1.,0.)); -#26814=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#26815=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#26816=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#26817=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#26818=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#26819=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#26820=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#26821=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#26822=DIRECTION('center_axis',(1.,1.43365576527009E-15,0.)); -#26823=DIRECTION('ref_axis',(-1.43365576527009E-15,1.,0.)); -#26824=DIRECTION('center_axis',(1.,1.43365576527009E-15,0.)); -#26825=DIRECTION('ref_axis',(-1.43365576527009E-15,1.,0.)); -#26826=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#26827=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#26828=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#26829=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#26830=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#26831=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#26832=DIRECTION('center_axis',(-1.,-3.04337451925756E-15,0.)); -#26833=DIRECTION('ref_axis',(3.04337451925756E-15,-1.,0.)); -#26834=DIRECTION('',(0.,0.,-1.)); -#26835=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#26836=DIRECTION('',(0.,0.,-1.)); -#26837=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#26838=DIRECTION('center_axis',(1.24980077519943E-16,1.,0.)); -#26839=DIRECTION('ref_axis',(-1.,1.24980077519943E-16,0.)); -#26840=DIRECTION('',(-1.,-4.33680868994204E-15,0.)); -#26841=DIRECTION('',(0.,0.,-1.)); -#26842=DIRECTION('',(-1.,1.24980077519943E-16,0.)); -#26843=DIRECTION('',(0.,0.,1.)); -#26844=DIRECTION('',(-1.,-4.33680868994201E-15,0.)); -#26845=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26846=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26847=DIRECTION('',(0.,0.,1.)); -#26848=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26849=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26850=DIRECTION('',(1.,3.50280701879932E-15,0.)); -#26851=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26852=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26853=DIRECTION('',(0.,0.,-1.)); -#26854=DIRECTION('',(-1.,1.24980077519943E-16,0.)); -#26855=DIRECTION('',(0.,0.,1.)); -#26856=DIRECTION('',(-1.,1.24980077519943E-16,0.)); -#26857=DIRECTION('',(0.,0.,1.)); -#26858=DIRECTION('',(-1.,1.24980077519943E-16,0.)); -#26859=DIRECTION('',(0.,0.,1.)); -#26860=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26861=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26862=DIRECTION('',(1.,3.50280701879932E-15,0.)); -#26863=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26864=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26865=DIRECTION('',(0.,0.,-1.)); -#26866=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26867=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26868=DIRECTION('',(0.,0.,1.)); -#26869=DIRECTION('',(0.,0.,-1.)); -#26870=DIRECTION('',(-1.,-3.54829801904347E-15,0.)); -#26871=DIRECTION('',(0.,0.,1.)); -#26872=DIRECTION('',(1.,0.,0.)); -#26873=DIRECTION('',(0.,0.,1.)); -#26874=DIRECTION('',(-1.,0.,0.)); -#26875=DIRECTION('',(0.,0.,1.)); -#26876=DIRECTION('',(1.,3.54829801904347E-15,0.)); -#26877=DIRECTION('',(0.,0.,-1.)); -#26878=DIRECTION('',(0.,0.,1.)); -#26879=DIRECTION('',(0.,0.,1.)); -#26880=DIRECTION('',(0.,0.,1.)); -#26881=DIRECTION('',(1.,3.54829801904347E-15,0.)); -#26882=DIRECTION('',(0.,0.,-1.)); -#26883=DIRECTION('',(-1.,0.,0.)); -#26884=DIRECTION('',(0.,0.,1.)); -#26885=DIRECTION('',(1.,0.,0.)); -#26886=DIRECTION('',(0.,0.,-1.)); -#26887=DIRECTION('',(-1.,-3.54829801904347E-15,0.)); -#26888=DIRECTION('',(-1.,-3.52365706057788E-15,0.)); -#26889=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26890=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26891=DIRECTION('',(0.,0.,1.)); -#26892=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26893=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26894=DIRECTION('',(1.,2.168404344971E-15,0.)); -#26895=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26896=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26897=DIRECTION('',(0.,0.,-1.)); -#26898=DIRECTION('',(1.,3.4074925420973E-15,0.)); -#26899=DIRECTION('',(0.,0.,1.)); -#26900=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26901=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26902=DIRECTION('',(1.,4.33680868994204E-15,0.)); -#26903=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26904=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26905=DIRECTION('',(0.,0.,-1.)); -#26906=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26907=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#26908=DIRECTION('',(0.,0.,-1.)); -#26909=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26910=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#26911=DIRECTION('',(-1.,-3.52365706057788E-15,0.)); -#26912=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26913=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#26914=DIRECTION('',(0.,0.,1.)); -#26915=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26916=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#26917=DIRECTION('',(1.,4.33680868994204E-15,0.)); -#26918=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26919=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#26920=DIRECTION('',(0.,0.,-1.)); -#26921=DIRECTION('',(1.,3.4074925420973E-15,0.)); -#26922=DIRECTION('',(0.,0.,1.)); -#26923=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26924=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#26925=DIRECTION('',(1.,2.168404344971E-15,0.)); -#26926=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#26927=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#26928=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#26929=DIRECTION('ref_axis',(-3.4994421705584E-15,1.,0.)); -#26930=DIRECTION('',(0.,0.,-1.)); -#26931=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#26932=DIRECTION('',(0.,0.,-1.)); -#26933=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#26934=DIRECTION('center_axis',(0.,0.,1.)); -#26935=DIRECTION('ref_axis',(1.,0.,0.)); -#26936=DIRECTION('',(0.984807753012213,-0.173648177666903,0.)); -#26937=DIRECTION('',(-0.173648177666917,-0.98480775301221,0.)); -#26938=DIRECTION('',(-0.984807753012209,0.173648177666926,0.)); -#26939=DIRECTION('center_axis',(0.,0.,1.)); -#26940=DIRECTION('ref_axis',(-1.,0.,0.)); -#26941=DIRECTION('center_axis',(0.,0.,1.)); -#26942=DIRECTION('ref_axis',(-1.,0.,0.)); -#26943=DIRECTION('',(-0.984807753012208,-0.173648177666929,0.)); -#26944=DIRECTION('',(-0.173648177666917,0.98480775301221,0.)); -#26945=DIRECTION('',(0.984807753012209,0.173648177666926,0.)); -#26946=DIRECTION('center_axis',(0.,0.,-1.)); -#26947=DIRECTION('ref_axis',(-1.,0.,0.)); -#26948=DIRECTION('',(-1.,-3.4994421705584E-15,0.)); -#26949=DIRECTION('center_axis',(0.,0.,-1.)); -#26950=DIRECTION('ref_axis',(-1.,0.,0.)); -#26951=DIRECTION('center_axis',(0.,0.,1.)); -#26952=DIRECTION('ref_axis',(1.,0.,0.)); -#26953=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#26954=DIRECTION('',(-1.,-3.4994421705584E-15,0.)); -#26955=DIRECTION('center_axis',(0.,0.,1.)); -#26956=DIRECTION('ref_axis',(1.,0.,0.)); -#26957=DIRECTION('',(-2.86731153054018E-15,1.,0.)); -#26958=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#26959=DIRECTION('',(-1.43365576527009E-15,1.,0.)); -#26960=DIRECTION('center_axis',(0.,0.,1.)); -#26961=DIRECTION('ref_axis',(1.,0.,0.)); -#26962=DIRECTION('center_axis',(3.04337451925756E-15,-1.,0.)); -#26963=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#26964=DIRECTION('',(0.,0.,1.)); -#26965=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#26966=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#26967=DIRECTION('',(0.707106781186548,1.93379559857741E-15,-0.707106781186547)); -#26968=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#26969=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#26970=DIRECTION('',(0.,0.,1.)); -#26971=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#26972=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#26973=DIRECTION('',(-0.707106781186548,-1.93379559857744E-15,-0.707106781186547)); -#26974=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#26975=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#26976=DIRECTION('',(4.41206203261547E-16,0.,1.)); -#26977=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#26978=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#26979=DIRECTION('',(0.707106781186548,1.93379559858837E-15,-0.707106781186547)); -#26980=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#26981=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#26982=DIRECTION('',(0.,0.,1.)); -#26983=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#26984=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#26985=DIRECTION('',(-0.70710678118655,-1.93379559857744E-15,-0.707106781186545)); -#26986=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#26987=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#26988=DIRECTION('',(-4.85326823587706E-15,0.,1.)); -#26989=DIRECTION('',(-1.,-3.09446718535639E-15,0.)); -#26990=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#26991=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#26992=DIRECTION('',(-0.707106781186547,-2.16840434497108E-15,-0.707106781186548)); -#26993=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#26994=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#26995=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#26996=DIRECTION('',(0.,0.,1.)); -#26997=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#26998=DIRECTION('',(0.,0.,-1.)); -#26999=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27000=DIRECTION('',(0.,0.,1.)); -#27001=DIRECTION('',(1.,3.04337451925756E-15,0.)); -#27002=DIRECTION('',(1.,0.,0.)); -#27003=DIRECTION('',(0.,0.,-1.)); -#27004=DIRECTION('',(-1.,-3.1540426835942E-15,0.)); -#27005=DIRECTION('',(0.,0.,1.)); -#27006=DIRECTION('',(1.,0.,0.)); -#27007=DIRECTION('',(0.,0.,-1.)); -#27008=DIRECTION('',(-1.,0.,0.)); -#27009=DIRECTION('',(0.,0.,1.)); -#27010=DIRECTION('',(1.,3.1540426835942E-15,0.)); -#27011=DIRECTION('',(0.,0.,-1.)); -#27012=DIRECTION('',(-1.,0.,0.)); -#27013=DIRECTION('center_axis',(1.01445817308585E-15,-1.,0.)); -#27014=DIRECTION('ref_axis',(1.,1.01445817308585E-15,0.)); -#27015=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27016=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27017=DIRECTION('',(0.,0.,1.)); -#27018=DIRECTION('',(1.,1.01445817308585E-15,0.)); -#27019=DIRECTION('',(0.,0.,1.)); -#27020=DIRECTION('',(-1.,-1.01445817308585E-15,0.)); -#27021=DIRECTION('',(0.,0.,1.)); -#27022=DIRECTION('',(1.,1.01445817308585E-15,0.)); -#27023=DIRECTION('',(0.,0.,1.)); -#27024=DIRECTION('',(-1.,-1.01445817308585E-15,0.)); -#27025=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27026=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27027=DIRECTION('',(-0.707106781186547,-2.16840434497108E-15,-0.707106781186548)); -#27028=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27029=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27030=DIRECTION('',(-1.,-3.09446718535639E-15,0.)); -#27031=DIRECTION('',(-5.51818342414364E-15,0.,1.)); -#27032=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27033=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27034=DIRECTION('',(-0.70710678118655,-1.93379559857744E-15,-0.707106781186545)); -#27035=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27036=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27037=DIRECTION('',(0.,0.,1.)); -#27038=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27039=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27040=DIRECTION('',(0.707106781186548,1.93379559858837E-15,-0.707106781186547)); -#27041=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27042=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27043=DIRECTION('',(0.,0.,1.)); -#27044=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27045=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27046=DIRECTION('',(-0.707106781186548,-1.93379559857744E-15,-0.707106781186547)); -#27047=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27048=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27049=DIRECTION('',(0.,0.,1.)); -#27050=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27051=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27052=DIRECTION('',(0.707106781186548,1.93379559857741E-15,-0.707106781186547)); -#27053=DIRECTION('',(0.,0.,-1.)); -#27054=DIRECTION('',(0.,0.,-1.)); -#27055=DIRECTION('',(0.,0.,-1.)); -#27056=DIRECTION('',(1.,3.1540426835942E-15,0.)); -#27057=DIRECTION('',(0.,0.,1.)); -#27058=DIRECTION('',(-1.,0.,0.)); -#27059=DIRECTION('',(0.,0.,-1.)); -#27060=DIRECTION('',(1.,0.,0.)); -#27061=DIRECTION('',(0.,0.,1.)); -#27062=DIRECTION('',(-1.,-3.1540426835942E-15,0.)); -#27063=DIRECTION('center_axis',(0.,0.,1.)); -#27064=DIRECTION('ref_axis',(1.,0.,0.)); -#27065=DIRECTION('',(-3.04337451925756E-15,1.,0.)); -#27066=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27067=DIRECTION('center_axis',(3.04337451925756E-15,-1.,0.)); -#27068=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27069=DIRECTION('',(6.11880202813206E-15,0.,-1.)); -#27070=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27071=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27072=DIRECTION('',(0.707106781186546,2.57839413143658E-15,0.707106781186549)); -#27073=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27074=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27075=DIRECTION('',(0.,0.,-1.)); -#27076=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27077=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27078=DIRECTION('',(-0.707106781186547,-2.57839413145119E-15,0.707106781186548)); -#27079=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27080=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27081=DIRECTION('',(0.,0.,-1.)); -#27082=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27083=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27084=DIRECTION('',(0.707106781186548,2.57839413143657E-15,0.707106781186547)); -#27085=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27086=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27087=DIRECTION('',(0.,0.,-1.)); -#27088=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27089=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27090=DIRECTION('',(-0.707106781186548,-2.57839413143656E-15,0.707106781186547)); -#27091=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27092=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27093=DIRECTION('',(0.,0.,-1.)); -#27094=DIRECTION('',(1.,3.04337451925756E-15,0.)); -#27095=DIRECTION('',(0.,0.,1.)); -#27096=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27097=DIRECTION('',(0.,0.,-1.)); -#27098=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27099=DIRECTION('',(0.,0.,1.)); -#27100=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27101=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27102=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27103=DIRECTION('',(-0.707106781186547,-2.16840434497108E-15,0.707106781186548)); -#27104=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27105=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27106=DIRECTION('',(-1.,-3.09446718535639E-15,0.)); -#27107=DIRECTION('',(-1.,0.,0.)); -#27108=DIRECTION('',(0.,0.,1.)); -#27109=DIRECTION('',(1.,3.1540426835942E-15,0.)); -#27110=DIRECTION('',(0.,0.,-1.)); -#27111=DIRECTION('',(-1.,0.,0.)); -#27112=DIRECTION('',(0.,0.,-1.)); -#27113=DIRECTION('',(1.,0.,0.)); -#27114=DIRECTION('',(0.,0.,-1.)); -#27115=DIRECTION('',(-1.,-3.1540426835942E-15,0.)); -#27116=DIRECTION('',(0.,0.,1.)); -#27117=DIRECTION('',(1.,0.,0.)); -#27118=DIRECTION('',(0.,0.,1.)); -#27119=DIRECTION('center_axis',(0.,0.,1.)); -#27120=DIRECTION('ref_axis',(1.,0.,0.)); -#27121=DIRECTION('',(0.,0.,1.)); -#27122=DIRECTION('center_axis',(0.,0.,1.)); -#27123=DIRECTION('ref_axis',(-1.,0.,0.)); -#27124=DIRECTION('center_axis',(0.,0.,-1.)); -#27125=DIRECTION('ref_axis',(1.,8.67361737988403E-16,0.)); -#27126=DIRECTION('center_axis',(0.,0.,1.)); -#27127=DIRECTION('ref_axis',(1.,0.,0.)); -#27128=DIRECTION('',(0.,0.,1.)); -#27129=DIRECTION('center_axis',(4.03296699321652E-29,1.4065325480893E-14, -1.)); -#27130=DIRECTION('ref_axis',(8.67361737988403E-16,1.,-1.4065325480893E-14)); -#27131=DIRECTION('center_axis',(0.,0.,1.)); -#27132=DIRECTION('ref_axis',(1.,0.,0.)); -#27133=DIRECTION('center_axis',(-1.,-2.86731153054021E-15,0.)); -#27134=DIRECTION('ref_axis',(2.86731153054021E-15,-1.,0.)); -#27135=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27136=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27137=DIRECTION('',(-3.1256278846429E-15,-1.,0.)); -#27138=DIRECTION('',(0.,1.19262274294191E-14,1.)); -#27139=DIRECTION('',(2.60208521396558E-15,1.,0.)); -#27140=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27141=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27142=DIRECTION('',(0.,0.,1.)); -#27143=DIRECTION('center_axis',(-1.,-2.86731153054021E-15,0.)); -#27144=DIRECTION('ref_axis',(2.86731153054021E-15,-1.,0.)); -#27145=DIRECTION('',(2.86731153054021E-15,-1.,0.)); -#27146=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27147=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27148=DIRECTION('',(0.,4.33680868994197E-15,-1.)); -#27149=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27150=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27151=DIRECTION('',(-2.81509399274996E-15,-1.,0.)); -#27152=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27153=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27154=DIRECTION('',(0.,0.,1.)); -#27155=DIRECTION('center_axis',(-1.,-2.86731153054021E-15,0.)); -#27156=DIRECTION('ref_axis',(2.86731153054021E-15,-1.,0.)); -#27157=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27158=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27159=DIRECTION('',(-3.1256278846429E-15,-1.,1.4065325480893E-14)); -#27160=DIRECTION('',(2.86731153054021E-15,-1.,0.)); -#27161=DIRECTION('',(0.,0.,1.)); -#27162=DIRECTION('center_axis',(-1.,-2.86731153054021E-15,0.)); -#27163=DIRECTION('ref_axis',(2.86731153054021E-15,-1.,0.)); -#27164=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27165=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27166=DIRECTION('',(3.04325238049675E-15,1.,-2.53604365041396E-16)); -#27167=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27168=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27169=DIRECTION('',(0.,0.,-1.)); -#27170=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27171=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27172=DIRECTION('',(4.39170522613043E-15,1.,0.)); -#27173=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27174=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27175=DIRECTION('',(0.,0.,-1.)); -#27176=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27177=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27178=DIRECTION('',(-3.0921230769443E-15,-1.,0.)); -#27179=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27180=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27181=DIRECTION('',(0.,0.,1.)); -#27182=DIRECTION('center_axis',(0.,0.,1.)); -#27183=DIRECTION('ref_axis',(1.,0.,0.)); -#27184=DIRECTION('',(-1.,-3.4994421705584E-15,0.)); -#27185=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27186=DIRECTION('center_axis',(0.,0.,1.)); -#27187=DIRECTION('ref_axis',(1.,0.,0.)); -#27188=DIRECTION('',(1.4336557652701E-15,-1.,0.)); -#27189=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27190=DIRECTION('center_axis',(0.,0.,1.)); -#27191=DIRECTION('ref_axis',(1.,0.,0.)); -#27192=DIRECTION('center_axis',(1.01445817308585E-15,-1.,0.)); -#27193=DIRECTION('ref_axis',(1.,1.01445817308585E-15,0.)); -#27194=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27195=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27196=DIRECTION('',(-3.5296496260924E-15,0.,-1.)); -#27197=DIRECTION('',(-1.,-3.09446718535639E-15,0.)); -#27198=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27199=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27200=DIRECTION('',(-0.707106781186547,-2.16840434497108E-15,0.707106781186548)); -#27201=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27202=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27203=DIRECTION('',(1.,1.01445817308585E-15,0.)); -#27204=DIRECTION('',(0.,0.,1.)); -#27205=DIRECTION('',(-1.,-1.01445817308585E-15,0.)); -#27206=DIRECTION('',(0.,0.,-1.)); -#27207=DIRECTION('',(1.,1.01445817308585E-15,-5.45983543233698E-29)); -#27208=DIRECTION('',(0.,0.,1.)); -#27209=DIRECTION('',(1.,1.01445817308585E-15,0.)); -#27210=DIRECTION('',(0.,0.,-1.)); -#27211=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27212=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27213=DIRECTION('',(-0.707106781186548,-2.57839413143656E-15,0.707106781186547)); -#27214=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27215=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27216=DIRECTION('',(0.,0.,-1.)); -#27217=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27218=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27219=DIRECTION('',(0.707106781186548,2.57839413143657E-15,0.707106781186547)); -#27220=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27221=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27222=DIRECTION('',(0.,0.,-1.)); -#27223=DIRECTION('center_axis',(-3.04337451925755E-15,1.,0.)); -#27224=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27225=DIRECTION('',(-0.707106781186547,-2.57839413145119E-15,0.707106781186548)); -#27226=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27227=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27228=DIRECTION('',(0.,0.,-1.)); -#27229=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27230=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27231=DIRECTION('',(0.707106781186546,2.57839413143658E-15,0.707106781186549)); -#27232=DIRECTION('',(0.,0.,-1.)); -#27233=DIRECTION('',(0.,0.,1.)); -#27234=DIRECTION('',(-1.,-3.1540426835942E-15,0.)); -#27235=DIRECTION('',(0.,0.,-1.)); -#27236=DIRECTION('',(1.,0.,0.)); -#27237=DIRECTION('',(0.,0.,-1.)); -#27238=DIRECTION('',(-1.,0.,0.)); -#27239=DIRECTION('',(0.,0.,-1.)); -#27240=DIRECTION('',(1.,3.1540426835942E-15,0.)); -#27241=DIRECTION('',(0.,0.,1.)); -#27242=DIRECTION('center_axis',(0.,0.,1.)); -#27243=DIRECTION('ref_axis',(1.,0.,0.)); -#27244=DIRECTION('center_axis',(0.,0.,1.)); -#27245=DIRECTION('ref_axis',(-1.,0.,0.)); -#27246=DIRECTION('',(0.,0.,1.)); -#27247=DIRECTION('center_axis',(0.,0.,-1.)); -#27248=DIRECTION('ref_axis',(1.,0.,0.)); -#27249=DIRECTION('center_axis',(0.,0.,1.)); -#27250=DIRECTION('ref_axis',(1.,0.,0.)); -#27251=DIRECTION('center_axis',(4.03296699321652E-29,1.4065325480893E-14, -1.)); -#27252=DIRECTION('ref_axis',(7.42827294697465E-45,1.,-1.4065325480893E-14)); -#27253=DIRECTION('',(0.,0.,1.)); -#27254=DIRECTION('center_axis',(0.,0.,1.)); -#27255=DIRECTION('ref_axis',(1.,0.,0.)); -#27256=DIRECTION('center_axis',(-1.,-1.4336557652701E-15,0.)); -#27257=DIRECTION('ref_axis',(1.4336557652701E-15,-1.,0.)); -#27258=DIRECTION('',(1.4336557652701E-15,-1.,0.)); -#27259=DIRECTION('center_axis',(1.,1.4336557652701E-15,0.)); -#27260=DIRECTION('ref_axis',(1.4336557652701E-15,-1.,0.)); -#27261=DIRECTION('',(0.,0.,1.)); -#27262=DIRECTION('center_axis',(1.,1.4336557652701E-15,0.)); -#27263=DIRECTION('ref_axis',(1.4336557652701E-15,-1.,0.)); -#27264=DIRECTION('',(-1.4336557652701E-15,1.,0.)); -#27265=DIRECTION('',(0.,0.,1.)); -#27266=DIRECTION('center_axis',(-1.,-1.4336557652701E-15,0.)); -#27267=DIRECTION('ref_axis',(1.4336557652701E-15,-1.,0.)); -#27268=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27269=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27270=DIRECTION('',(0.,0.,1.)); -#27271=DIRECTION('center_axis',(1.,1.4336557652701E-15,0.)); -#27272=DIRECTION('ref_axis',(1.4336557652701E-15,-1.,0.)); -#27273=DIRECTION('',(-2.81509399274996E-15,-1.,0.)); -#27274=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27275=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27276=DIRECTION('',(0.,4.33680868994197E-15,-1.)); -#27277=DIRECTION('center_axis',(-1.,-1.4336557652701E-15,0.)); -#27278=DIRECTION('ref_axis',(1.4336557652701E-15,-1.,0.)); -#27279=DIRECTION('',(1.4336557652701E-15,-1.,1.4065325480893E-14)); -#27280=DIRECTION('center_axis',(1.,1.4336557652701E-15,0.)); -#27281=DIRECTION('ref_axis',(1.4336557652701E-15,-1.,0.)); -#27282=DIRECTION('',(0.,0.,1.)); -#27283=DIRECTION('',(1.4336557652701E-15,-1.,0.)); -#27284=DIRECTION('center_axis',(-1.,-1.4336557652701E-15,0.)); -#27285=DIRECTION('ref_axis',(1.4336557652701E-15,-1.,0.)); -#27286=DIRECTION('center_axis',(1.,1.4336557652701E-15,0.)); -#27287=DIRECTION('ref_axis',(1.4336557652701E-15,-1.,0.)); -#27288=DIRECTION('',(-3.0921230769443E-15,-1.,0.)); -#27289=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27290=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27291=DIRECTION('',(0.,0.,-1.)); -#27292=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27293=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27294=DIRECTION('',(4.39170522613043E-15,1.,0.)); -#27295=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27296=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27297=DIRECTION('',(0.,0.,-1.)); -#27298=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#27299=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27300=DIRECTION('',(3.04325238049675E-15,1.,-2.53604365041396E-16)); -#27301=DIRECTION('center_axis',(1.,1.4336557652701E-15,0.)); -#27302=DIRECTION('ref_axis',(1.4336557652701E-15,-1.,0.)); -#27303=DIRECTION('',(0.,0.,1.)); -#27304=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27305=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27306=DIRECTION('',(2.81509399274996E-15,-1.,0.)); -#27307=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27308=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27309=DIRECTION('',(0.,4.33680868994197E-15,-1.)); -#27310=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27311=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27312=DIRECTION('',(0.,0.,1.)); -#27313=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27314=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27315=DIRECTION('',(2.60208521396522E-15,-1.,0.)); -#27316=DIRECTION('',(0.,0.,1.)); -#27317=DIRECTION('',(-2.86731153054018E-15,1.,0.)); -#27318=DIRECTION('',(0.,0.,1.)); -#27319=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27320=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27321=DIRECTION('',(3.1256278846429E-15,-1.,0.)); -#27322=DIRECTION('',(0.,0.,1.)); -#27323=DIRECTION('',(-2.60208521396558E-15,1.,0.)); -#27324=DIRECTION('',(0.,0.,1.)); -#27325=DIRECTION('',(0.,1.19262274294191E-14,1.)); -#27326=DIRECTION('center_axis',(0.,0.,1.)); -#27327=DIRECTION('ref_axis',(1.,0.,0.)); -#27328=DIRECTION('center_axis',(0.,0.,-1.)); -#27329=DIRECTION('ref_axis',(1.,0.,0.)); -#27330=DIRECTION('center_axis',(0.,0.,1.)); -#27331=DIRECTION('ref_axis',(-1.,8.67361737988404E-16,0.)); -#27332=DIRECTION('center_axis',(1.,1.43365576527009E-15,0.)); -#27333=DIRECTION('ref_axis',(-1.43365576527009E-15,1.,0.)); -#27334=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27335=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27336=DIRECTION('',(2.81509399274996E-15,-1.,0.)); -#27337=DIRECTION('',(0.,0.,1.)); -#27338=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27339=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27340=DIRECTION('',(0.,4.33680868994197E-15,-1.)); -#27341=DIRECTION('center_axis',(1.,1.43365576527009E-15,0.)); -#27342=DIRECTION('ref_axis',(-1.43365576527009E-15,1.,0.)); -#27343=DIRECTION('',(1.43365576527009E-15,-1.,0.)); -#27344=DIRECTION('',(0.,0.,1.)); -#27345=DIRECTION('',(-1.43365576527009E-15,1.,0.)); -#27346=DIRECTION('',(0.,0.,1.)); -#27347=DIRECTION('center_axis',(1.,1.43365576527009E-15,0.)); -#27348=DIRECTION('ref_axis',(-1.43365576527009E-15,1.,0.)); -#27349=DIRECTION('',(1.43365576527009E-15,-1.,0.)); -#27350=DIRECTION('',(0.,0.,1.)); -#27351=DIRECTION('',(0.,0.,1.)); -#27352=DIRECTION('',(-1.43365576527009E-15,1.,0.)); -#27353=DIRECTION('',(0.,0.,1.)); -#27354=DIRECTION('center_axis',(0.,0.,1.)); -#27355=DIRECTION('ref_axis',(1.,0.,0.)); -#27356=DIRECTION('center_axis',(0.,0.,-1.)); -#27357=DIRECTION('ref_axis',(1.,0.,0.)); -#27358=DIRECTION('center_axis',(0.,0.,1.)); -#27359=DIRECTION('ref_axis',(-1.,9.29316147844711E-16,0.)); -#27360=DIRECTION('center_axis',(0.,0.,1.)); -#27361=DIRECTION('ref_axis',(1.,0.,0.)); -#27362=DIRECTION('center_axis',(0.,0.,1.)); -#27363=DIRECTION('ref_axis',(1.,0.,0.)); -#27364=DIRECTION('',(0.,0.,1.)); -#27365=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27366=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27367=DIRECTION('',(0.,0.,-1.)); -#27368=DIRECTION('',(-1.,-4.33680868994204E-15,0.)); -#27369=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27370=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27371=DIRECTION('',(0.,0.,-1.)); -#27372=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27373=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27374=DIRECTION('',(1.,3.50280701879932E-15,0.)); -#27375=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27376=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27377=DIRECTION('',(0.,0.,1.)); -#27378=DIRECTION('',(-1.,-3.4994421705584E-15,0.)); -#27379=DIRECTION('',(0.,0.,1.)); -#27380=DIRECTION('',(0.,0.,-1.)); -#27381=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27382=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27383=DIRECTION('',(1.,3.50280701879932E-15,0.)); -#27384=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27385=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27386=DIRECTION('',(0.,0.,1.)); -#27387=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27388=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27389=DIRECTION('',(-1.,-4.33680868994201E-15,0.)); -#27390=DIRECTION('',(0.,0.,1.)); -#27391=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27392=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#27393=DIRECTION('',(0.,0.,-1.)); -#27394=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27395=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#27396=DIRECTION('',(1.,2.168404344971E-15,0.)); -#27397=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27398=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#27399=DIRECTION('',(0.,0.,1.)); -#27400=DIRECTION('',(1.,3.4074925420973E-15,0.)); -#27401=DIRECTION('',(0.,0.,-1.)); -#27402=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27403=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#27404=DIRECTION('',(1.,4.33680868994204E-15,0.)); -#27405=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27406=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#27407=DIRECTION('',(0.,0.,1.)); -#27408=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27409=DIRECTION('ref_axis',(1.,3.4994421705584E-15,0.)); -#27410=DIRECTION('',(-1.,-3.52365706057788E-15,0.)); -#27411=DIRECTION('',(0.,0.,-1.)); -#27412=DIRECTION('',(1.,0.,0.)); -#27413=DIRECTION('',(-1.,0.,0.)); -#27414=DIRECTION('',(0.,0.,-1.)); -#27415=DIRECTION('',(1.,3.54829801904347E-15,0.)); -#27416=DIRECTION('',(0.,0.,1.)); -#27417=DIRECTION('',(-1.,0.,0.)); -#27418=DIRECTION('',(0.,0.,1.)); -#27419=DIRECTION('',(1.,0.,0.)); -#27420=DIRECTION('',(0.,0.,1.)); -#27421=DIRECTION('',(-1.,-3.54829801904347E-15,0.)); -#27422=DIRECTION('',(0.,0.,1.)); -#27423=DIRECTION('',(-1.,0.,0.)); -#27424=DIRECTION('',(0.,0.,1.)); -#27425=DIRECTION('',(1.,0.,0.)); -#27426=DIRECTION('',(0.,0.,1.)); -#27427=DIRECTION('',(-1.,-3.54829801904347E-15,0.)); -#27428=DIRECTION('',(0.,0.,-1.)); -#27429=DIRECTION('',(1.,0.,0.)); -#27430=DIRECTION('',(0.,0.,1.)); -#27431=DIRECTION('',(-1.,0.,0.)); -#27432=DIRECTION('',(0.,0.,-1.)); -#27433=DIRECTION('',(1.,3.54829801904347E-15,0.)); -#27434=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27435=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27436=DIRECTION('',(-1.,-3.52365706057788E-15,0.)); -#27437=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27438=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27439=DIRECTION('',(0.,0.,-1.)); -#27440=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27441=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27442=DIRECTION('',(1.,4.33680868994204E-15,0.)); -#27443=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27444=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27445=DIRECTION('',(0.,0.,1.)); -#27446=DIRECTION('',(1.,3.4074925420973E-15,0.)); -#27447=DIRECTION('',(0.,0.,-1.)); -#27448=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27449=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27450=DIRECTION('',(1.,2.168404344971E-15,0.)); -#27451=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27452=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27453=DIRECTION('',(0.,0.,1.)); -#27454=DIRECTION('center_axis',(0.,0.,1.)); -#27455=DIRECTION('ref_axis',(1.,0.,0.)); -#27456=DIRECTION('center_axis',(0.,0.,1.)); -#27457=DIRECTION('ref_axis',(1.,0.,0.)); -#27458=DIRECTION('',(0.,0.,1.)); -#27459=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27460=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27461=DIRECTION('',(3.0921230769443E-15,-1.,0.)); -#27462=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27463=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27464=DIRECTION('',(0.,0.,-1.)); -#27465=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27466=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27467=DIRECTION('',(-4.39170522613043E-15,1.,0.)); -#27468=DIRECTION('center_axis',(-1.,-2.86731153054018E-15,0.)); -#27469=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27470=DIRECTION('',(0.,0.,-1.)); -#27471=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27472=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27473=DIRECTION('',(-3.04325238049675E-15,1.,-2.53604365041396E-16)); -#27474=DIRECTION('center_axis',(0.,0.,1.)); -#27475=DIRECTION('ref_axis',(1.,0.,0.)); -#27476=DIRECTION('center_axis',(0.,0.,-1.)); -#27477=DIRECTION('ref_axis',(1.,0.,0.)); -#27478=DIRECTION('center_axis',(0.,0.,1.)); -#27479=DIRECTION('ref_axis',(1.,0.,0.)); -#27480=DIRECTION('center_axis',(0.,0.,1.)); -#27481=DIRECTION('ref_axis',(1.,0.,0.)); -#27482=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27483=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27484=DIRECTION('center_axis',(0.,0.,1.)); -#27485=DIRECTION('ref_axis',(1.,0.,0.)); -#27486=DIRECTION('center_axis',(0.,0.,1.)); -#27487=DIRECTION('ref_axis',(1.,0.,0.)); -#27488=DIRECTION('center_axis',(0.,0.,1.)); -#27489=DIRECTION('ref_axis',(1.,0.,0.)); -#27490=DIRECTION('center_axis',(0.,0.,1.)); -#27491=DIRECTION('ref_axis',(1.,0.,0.)); -#27492=DIRECTION('center_axis',(0.,0.,1.)); -#27493=DIRECTION('ref_axis',(1.,0.,0.)); -#27494=DIRECTION('',(0.,0.,1.)); -#27495=DIRECTION('center_axis',(1.,1.43365576527009E-15,0.)); -#27496=DIRECTION('ref_axis',(-1.43365576527009E-15,1.,0.)); -#27497=DIRECTION('',(-3.04325238049675E-15,1.,-2.53604365041396E-16)); -#27498=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27499=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27500=DIRECTION('',(0.,0.,-1.)); -#27501=DIRECTION('center_axis',(-1.,-2.86731153054018E-15,0.)); -#27502=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27503=DIRECTION('',(-4.39170522613043E-15,1.,0.)); -#27504=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27505=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27506=DIRECTION('',(0.,0.,-1.)); -#27507=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27508=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27509=DIRECTION('',(3.0921230769443E-15,-1.,0.)); -#27510=DIRECTION('center_axis',(0.,0.,1.)); -#27511=DIRECTION('ref_axis',(1.,0.,0.)); -#27512=DIRECTION('center_axis',(0.,0.,-1.)); -#27513=DIRECTION('ref_axis',(1.,0.,0.)); -#27514=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27515=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27516=DIRECTION('',(3.1256278846429E-15,-1.,1.4065325480893E-14)); -#27517=DIRECTION('',(-3.1256278846429E-15,1.,0.)); -#27518=DIRECTION('center_axis',(0.,0.,-1.)); -#27519=DIRECTION('ref_axis',(-1.,0.,0.)); -#27520=DIRECTION('center_axis',(0.,0.,1.)); -#27521=DIRECTION('ref_axis',(1.,0.,0.)); -#27522=DIRECTION('center_axis',(0.,0.,1.)); -#27523=DIRECTION('ref_axis',(1.,0.,0.)); -#27524=DIRECTION('',(2.60208521396521E-15,-1.,0.)); -#27525=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27526=DIRECTION('center_axis',(-2.86731153054018E-15,1.,-1.19262274294191E-14)); -#27527=DIRECTION('ref_axis',(0.,1.19262274294191E-14,1.)); -#27528=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27529=DIRECTION('center_axis',(0.,0.,1.)); -#27530=DIRECTION('ref_axis',(1.,0.,0.)); -#27531=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27532=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27533=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27534=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27535=DIRECTION('center_axis',(0.,0.,1.)); -#27536=DIRECTION('ref_axis',(1.,0.,0.)); -#27537=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27538=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27539=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27540=DIRECTION('center_axis',(2.86731153054018E-15,-1.,-4.33680868994197E-15)); -#27541=DIRECTION('ref_axis',(0.,4.33680868994197E-15,-1.)); -#27542=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27543=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27544=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27545=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27546=DIRECTION('center_axis',(0.,0.,-1.)); -#27547=DIRECTION('ref_axis',(-1.,0.,0.)); -#27548=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27549=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27550=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27551=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27552=DIRECTION('center_axis',(2.86731153054018E-15,-1.,0.)); -#27553=DIRECTION('ref_axis',(1.,2.86731153054018E-15,0.)); -#27554=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27555=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27556=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27557=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27558=DIRECTION('center_axis',(0.,0.,1.)); -#27559=DIRECTION('ref_axis',(1.,0.,0.)); -#27560=DIRECTION('center_axis',(7.27162720078515E-31,-2.53604365041396E-16, --1.)); -#27561=DIRECTION('ref_axis',(0.,1.,-2.53604365041396E-16)); -#27562=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27563=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#27564=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#27565=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#27566=DIRECTION('center_axis',(2.86731153054018E-15,-1.,0.)); -#27567=DIRECTION('ref_axis',(1.,2.86731153054018E-15,0.)); -#27568=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27569=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#27570=DIRECTION('',(3.1256278846429E-15,1.,0.)); -#27571=DIRECTION('',(-2.60208521396522E-15,-1.,0.)); -#27572=DIRECTION('center_axis',(-4.03296699321652E-29,-1.4065325480893E-14, --1.)); -#27573=DIRECTION('ref_axis',(0.,1.,-1.4065325480893E-14)); -#27574=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27575=DIRECTION('center_axis',(0.,0.,1.)); -#27576=DIRECTION('ref_axis',(1.,0.,0.)); -#27577=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27578=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27579=DIRECTION('ref_axis',(2.86731153054018E-15,1.,-4.79738811317982E-13)); -#27580=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27581=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27582=DIRECTION('ref_axis',(2.86731153054018E-15,1.,-2.20390712765546E-13)); -#27583=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27584=DIRECTION('center_axis',(0.,0.,-1.)); -#27585=DIRECTION('ref_axis',(-1.,0.,0.)); -#27586=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27587=DIRECTION('',(-2.60208521396521E-15,-1.,0.)); -#27588=DIRECTION('center_axis',(0.,0.,-1.)); -#27589=DIRECTION('ref_axis',(-1.,0.,0.)); -#27590=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27591=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27592=DIRECTION('center_axis',(-2.86731153054018E-15,-1.,1.19262274294191E-14)); -#27593=DIRECTION('ref_axis',(0.,-1.19262274294191E-14,-1.)); -#27594=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27595=DIRECTION('ref_axis',(2.86731153054018E-15,1.,-1.89882151931499E-15)); -#27596=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27597=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27598=DIRECTION('ref_axis',(-2.86731153054018E-15,-1.,0.)); -#27599=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27600=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27601=DIRECTION('center_axis',(2.86731153054018E-15,1.,4.33680868994197E-15)); -#27602=DIRECTION('ref_axis',(0.,-4.33680868994197E-15,1.)); -#27603=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27604=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27605=DIRECTION('ref_axis',(-2.86731153054018E-15,-1.,0.)); -#27606=DIRECTION('center_axis',(0.,0.,-1.)); -#27607=DIRECTION('ref_axis',(-1.,0.,0.)); -#27608=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27609=DIRECTION('ref_axis',(-2.86731153054018E-15,-1.,0.)); -#27610=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27611=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27612=DIRECTION('center_axis',(2.86731153054018E-15,1.,0.)); -#27613=DIRECTION('ref_axis',(-1.,2.86731153054018E-15,0.)); -#27614=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27615=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27616=DIRECTION('ref_axis',(-2.86731153054018E-15,-1.,0.)); -#27617=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27618=DIRECTION('center_axis',(7.27162720078515E-31,2.53604365041396E-16, -1.)); -#27619=DIRECTION('ref_axis',(0.,-1.,2.53604365041396E-16)); -#27620=DIRECTION('center_axis',(0.,0.,-1.)); -#27621=DIRECTION('ref_axis',(-1.,0.,0.)); -#27622=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27623=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27624=DIRECTION('ref_axis',(-2.86731153054018E-15,-1.,0.)); -#27625=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27626=DIRECTION('center_axis',(2.86731153054018E-15,1.,0.)); -#27627=DIRECTION('ref_axis',(-1.,2.86731153054018E-15,0.)); -#27628=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27629=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#27630=DIRECTION('ref_axis',(-2.86731153054018E-15,-1.,0.)); -#27631=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#27632=DIRECTION('center_axis',(0.,0.,1.)); -#27633=DIRECTION('ref_axis',(1.,0.,0.)); -#27634=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27635=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27636=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27637=DIRECTION('center_axis',(0.707106781186548,2.15199076025737E-15, -0.707106781186547)); -#27638=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); -#27639=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27640=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27641=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27642=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27643=DIRECTION('center_axis',(0.,0.,1.)); -#27644=DIRECTION('ref_axis',(1.,0.,0.)); -#27645=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27646=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27647=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27648=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27649=DIRECTION('center_axis',(-0.707106781186548,-2.15199076025737E-15, -0.707106781186547)); -#27650=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); -#27651=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27652=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27653=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27654=DIRECTION('center_axis',(-1.,-3.04337451925756E-15,-6.11880202813206E-15)); -#27655=DIRECTION('ref_axis',(-6.11880202813206E-15,0.,1.)); -#27656=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27657=DIRECTION('center_axis',(-1.,-3.04337451925756E-15,0.)); -#27658=DIRECTION('ref_axis',(3.04337451925756E-15,-1.,0.)); -#27659=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27660=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27661=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27662=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27663=DIRECTION('center_axis',(0.707106781186547,2.15199076025737E-15, -0.707106781186548)); -#27664=DIRECTION('ref_axis',(0.707106781186548,1.97215226305253E-31,-0.707106781186547)); -#27665=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27666=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27667=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27668=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27669=DIRECTION('center_axis',(-1.,-3.04337451925756E-15,0.)); -#27670=DIRECTION('ref_axis',(3.04337451925756E-15,-1.,0.)); -#27671=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27672=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27673=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27674=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27675=DIRECTION('center_axis',(0.707106781186547,2.15199076025736E-15, --0.707106781186548)); -#27676=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); -#27677=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27678=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27679=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27680=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27681=DIRECTION('center_axis',(-1.,-3.04337451925756E-15,0.)); -#27682=DIRECTION('ref_axis',(3.04337451925756E-15,-1.,0.)); -#27683=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27684=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27685=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27686=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27687=DIRECTION('center_axis',(0.707106781186548,2.15199076025737E-15, -0.707106781186547)); -#27688=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); -#27689=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27690=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27691=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27692=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27693=DIRECTION('center_axis',(-1.,-3.04337451925756E-15,0.)); -#27694=DIRECTION('ref_axis',(3.04337451925756E-15,-1.,0.)); -#27695=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27696=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27697=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27698=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27699=DIRECTION('center_axis',(0.707106781186549,2.15199076025737E-15, --0.707106781186546)); -#27700=DIRECTION('ref_axis',(-0.707106781186546,0.,-0.707106781186549)); -#27701=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27702=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27703=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27704=DIRECTION('center_axis',(1.,3.04337451925756E-15,4.85326823587706E-15)); -#27705=DIRECTION('ref_axis',(4.85326823587706E-15,0.,-1.)); -#27706=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27707=DIRECTION('center_axis',(1.,3.04337451925756E-15,0.)); -#27708=DIRECTION('ref_axis',(-3.04337451925756E-15,1.,0.)); -#27709=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27710=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27711=DIRECTION('ref_axis',(1.,3.04337451925756E-15,0.)); -#27712=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27713=DIRECTION('center_axis',(-0.707106781186547,-2.15199076025736E-15, --0.707106781186548)); -#27714=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); -#27715=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27716=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27717=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27718=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27719=DIRECTION('center_axis',(1.,3.04337451925756E-15,0.)); -#27720=DIRECTION('ref_axis',(-3.04337451925756E-15,1.,0.)); -#27721=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27722=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27723=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27724=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27725=DIRECTION('center_axis',(-0.707106781186547,-2.15199076025736E-15, -0.707106781186548)); -#27726=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); -#27727=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27728=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27729=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27730=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27731=DIRECTION('center_axis',(1.,3.04337451925756E-15,-4.41206203261547E-16)); -#27732=DIRECTION('ref_axis',(-3.04337451925756E-15,1.,0.)); -#27733=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27734=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27735=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27736=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27737=DIRECTION('center_axis',(-0.707106781186547,-2.15199076025737E-15, --0.707106781186548)); -#27738=DIRECTION('ref_axis',(-0.707106781186548,-1.97215226305253E-31,0.707106781186547)); -#27739=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27740=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27741=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27742=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27743=DIRECTION('center_axis',(1.,3.04337451925756E-15,0.)); -#27744=DIRECTION('ref_axis',(-3.04337451925756E-15,1.,0.)); -#27745=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27746=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27747=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27748=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27749=DIRECTION('center_axis',(-0.707106781186545,-2.15199076025736E-15, -0.70710678118655)); -#27750=DIRECTION('ref_axis',(0.70710678118655,0.,0.707106781186545)); -#27751=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27752=DIRECTION('center_axis',(3.04337451925755E-15,-1.,0.)); -#27753=DIRECTION('ref_axis',(1.,3.04337451925755E-15,0.)); -#27754=DIRECTION('center_axis',(1.,3.04337451925756E-15,0.)); -#27755=DIRECTION('ref_axis',(-3.04337451925756E-15,1.,0.)); -#27756=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27757=DIRECTION('',(0.,0.,-1.)); -#27758=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27759=DIRECTION('center_axis',(0.,0.,1.)); -#27760=DIRECTION('ref_axis',(1.,0.,0.)); -#27761=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27762=DIRECTION('center_axis',(0.,0.,-1.)); -#27763=DIRECTION('ref_axis',(-1.,0.,0.)); -#27764=DIRECTION('',(-0.573576436351043,0.819152044288994,0.)); -#27765=DIRECTION('center_axis',(0.,0.,1.)); -#27766=DIRECTION('ref_axis',(-1.,0.,0.)); -#27767=DIRECTION('',(-0.573576436351017,-0.819152044289012,0.)); -#27768=DIRECTION('center_axis',(0.,0.,-1.)); -#27769=DIRECTION('ref_axis',(-1.,0.,0.)); -#27770=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27771=DIRECTION('center_axis',(0.,0.,1.)); -#27772=DIRECTION('ref_axis',(-1.,0.,0.)); -#27773=DIRECTION('',(0.573576436351058,0.819152044288983,0.)); -#27774=DIRECTION('center_axis',(0.,0.,-1.)); -#27775=DIRECTION('ref_axis',(-1.,0.,0.)); -#27776=DIRECTION('',(0.573576436351042,-0.819152044288995,0.)); -#27777=DIRECTION('center_axis',(0.,0.,1.)); -#27778=DIRECTION('ref_axis',(-1.,0.,0.)); -#27779=DIRECTION('center_axis',(1.,3.04337451925756E-15,0.)); -#27780=DIRECTION('ref_axis',(-3.04337451925756E-15,1.,0.)); -#27781=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27782=DIRECTION('center_axis',(0.,0.,-1.)); -#27783=DIRECTION('ref_axis',(-1.,0.,0.)); -#27784=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27785=DIRECTION('center_axis',(-1.,-3.04337451925756E-15,0.)); -#27786=DIRECTION('ref_axis',(3.04337451925756E-15,-1.,0.)); -#27787=DIRECTION('center_axis',(0.,0.,-1.)); -#27788=DIRECTION('ref_axis',(-1.,0.,0.)); -#27789=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27790=DIRECTION('center_axis',(0.,0.,1.)); -#27791=DIRECTION('ref_axis',(-1.,0.,0.)); -#27792=DIRECTION('',(0.573576436351042,-0.819152044288995,0.)); -#27793=DIRECTION('center_axis',(0.,0.,-1.)); -#27794=DIRECTION('ref_axis',(-1.,0.,0.)); -#27795=DIRECTION('',(0.573576436351058,0.819152044288983,0.)); -#27796=DIRECTION('center_axis',(0.,0.,1.)); -#27797=DIRECTION('ref_axis',(-1.,0.,0.)); -#27798=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27799=DIRECTION('center_axis',(0.,0.,-1.)); -#27800=DIRECTION('ref_axis',(-1.,0.,0.)); -#27801=DIRECTION('',(-0.573576436351017,-0.819152044289012,0.)); -#27802=DIRECTION('center_axis',(0.,0.,1.)); -#27803=DIRECTION('ref_axis',(-1.,0.,0.)); -#27804=DIRECTION('',(-0.573576436351043,0.819152044288994,0.)); -#27805=DIRECTION('center_axis',(0.,0.,-1.)); -#27806=DIRECTION('ref_axis',(-1.,0.,0.)); -#27807=DIRECTION('center_axis',(-1.,-3.04337451925756E-15,0.)); -#27808=DIRECTION('ref_axis',(3.04337451925756E-15,-1.,0.)); -#27809=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27810=DIRECTION('center_axis',(0.,0.,1.)); -#27811=DIRECTION('ref_axis',(1.,0.,0.)); -#27812=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27813=DIRECTION('center_axis',(1.,3.04337451925756E-15,0.)); -#27814=DIRECTION('ref_axis',(-3.04337451925756E-15,1.,0.)); -#27815=DIRECTION('center_axis',(1.,3.04337451925756E-15,0.)); -#27816=DIRECTION('ref_axis',(-3.04337451925756E-15,1.,0.)); -#27817=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27818=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27819=DIRECTION('center_axis',(0.,0.,-1.)); -#27820=DIRECTION('ref_axis',(-1.,0.,0.)); -#27821=DIRECTION('center_axis',(0.,0.,-1.)); -#27822=DIRECTION('ref_axis',(1.,0.,0.)); -#27823=DIRECTION('',(-0.573576436351058,0.819152044288983,0.)); -#27824=DIRECTION('center_axis',(0.,0.,1.)); -#27825=DIRECTION('ref_axis',(1.,0.,0.)); -#27826=DIRECTION('',(-0.573576436351042,-0.819152044288995,0.)); -#27827=DIRECTION('center_axis',(0.,0.,-1.)); -#27828=DIRECTION('ref_axis',(1.,0.,0.)); -#27829=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27830=DIRECTION('center_axis',(0.,0.,1.)); -#27831=DIRECTION('ref_axis',(1.,0.,0.)); -#27832=DIRECTION('',(0.573576436351043,0.819152044288994,0.)); -#27833=DIRECTION('center_axis',(0.,0.,-1.)); -#27834=DIRECTION('ref_axis',(1.,0.,0.)); -#27835=DIRECTION('',(0.573576436351017,-0.819152044289012,0.)); -#27836=DIRECTION('center_axis',(0.,0.,1.)); -#27837=DIRECTION('ref_axis',(1.,0.,0.)); -#27838=DIRECTION('center_axis',(0.,0.,1.)); -#27839=DIRECTION('ref_axis',(1.,0.,0.)); -#27840=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27841=DIRECTION('center_axis',(0.,0.,-1.)); -#27842=DIRECTION('ref_axis',(1.,0.,0.)); -#27843=DIRECTION('',(-0.573576436351042,-0.819152044288995,0.)); -#27844=DIRECTION('center_axis',(0.,0.,1.)); -#27845=DIRECTION('ref_axis',(1.,0.,0.)); -#27846=DIRECTION('',(-0.573576436351058,0.819152044288983,0.)); -#27847=DIRECTION('center_axis',(0.,0.,-1.)); -#27848=DIRECTION('ref_axis',(1.,0.,0.)); -#27849=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27850=DIRECTION('center_axis',(0.,0.,1.)); -#27851=DIRECTION('ref_axis',(1.,0.,0.)); -#27852=DIRECTION('',(0.573576436351017,-0.819152044289012,0.)); -#27853=DIRECTION('center_axis',(0.,0.,-1.)); -#27854=DIRECTION('ref_axis',(1.,0.,0.)); -#27855=DIRECTION('',(0.573576436351043,0.819152044288994,0.)); -#27856=DIRECTION('center_axis',(0.,0.,1.)); -#27857=DIRECTION('ref_axis',(1.,0.,0.)); -#27858=DIRECTION('center_axis',(1.,3.04337451925756E-15,0.)); -#27859=DIRECTION('ref_axis',(-3.04337451925756E-15,1.,0.)); -#27860=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27861=DIRECTION('center_axis',(0.,0.,-1.)); -#27862=DIRECTION('ref_axis',(-1.,0.,0.)); -#27863=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27864=DIRECTION('center_axis',(-1.,-3.04337451925756E-15,0.)); -#27865=DIRECTION('ref_axis',(3.04337451925756E-15,-1.,0.)); -#27866=DIRECTION('center_axis',(-1.,-3.04337451925756E-15,0.)); -#27867=DIRECTION('ref_axis',(3.04337451925756E-15,-1.,0.)); -#27868=DIRECTION('',(3.04337451925756E-15,-1.,0.)); -#27869=DIRECTION('center_axis',(0.,0.,1.)); -#27870=DIRECTION('ref_axis',(1.,0.,0.)); -#27871=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#27872=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#27873=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27874=DIRECTION('',(0.,0.,-1.)); -#27875=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27876=DIRECTION('center_axis',(0.,0.,1.)); -#27877=DIRECTION('ref_axis',(1.,0.,0.)); -#27878=DIRECTION('center_axis',(0.,0.,1.)); -#27879=DIRECTION('ref_axis',(1.,0.,0.)); -#27880=DIRECTION('',(-0.573576436351014,0.819152044289014,0.)); -#27881=DIRECTION('center_axis',(0.,0.,-1.)); -#27882=DIRECTION('ref_axis',(1.,0.,0.)); -#27883=DIRECTION('',(-0.573576436351049,-0.81915204428899,0.)); -#27884=DIRECTION('center_axis',(0.,0.,1.)); -#27885=DIRECTION('ref_axis',(1.,0.,0.)); -#27886=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27887=DIRECTION('center_axis',(0.,0.,-1.)); -#27888=DIRECTION('ref_axis',(1.,0.,0.)); -#27889=DIRECTION('',(0.573576436351047,0.819152044288991,0.)); -#27890=DIRECTION('center_axis',(0.,0.,1.)); -#27891=DIRECTION('ref_axis',(1.,0.,0.)); -#27892=DIRECTION('',(0.573576436351053,-0.819152044288987,0.)); -#27893=DIRECTION('center_axis',(0.,0.,-1.)); -#27894=DIRECTION('ref_axis',(1.,0.,0.)); -#27895=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27896=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#27897=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#27898=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27899=DIRECTION('center_axis',(0.,0.,-1.)); -#27900=DIRECTION('ref_axis',(-1.,0.,0.)); -#27901=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27902=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#27903=DIRECTION('ref_axis',(-3.4994421705584E-15,1.,0.)); -#27904=DIRECTION('center_axis',(0.,0.,-1.)); -#27905=DIRECTION('ref_axis',(-1.,0.,0.)); -#27906=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27907=DIRECTION('center_axis',(0.,0.,-1.)); -#27908=DIRECTION('ref_axis',(1.,0.,0.)); -#27909=DIRECTION('',(0.573576436351053,-0.819152044288987,0.)); -#27910=DIRECTION('center_axis',(0.,0.,1.)); -#27911=DIRECTION('ref_axis',(1.,0.,0.)); -#27912=DIRECTION('',(0.573576436351047,0.819152044288991,0.)); -#27913=DIRECTION('center_axis',(0.,0.,-1.)); -#27914=DIRECTION('ref_axis',(1.,0.,0.)); -#27915=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27916=DIRECTION('center_axis',(0.,0.,1.)); -#27917=DIRECTION('ref_axis',(1.,0.,0.)); -#27918=DIRECTION('',(-0.573576436351049,-0.81915204428899,0.)); -#27919=DIRECTION('center_axis',(0.,0.,-1.)); -#27920=DIRECTION('ref_axis',(1.,0.,0.)); -#27921=DIRECTION('',(-0.573576436351014,0.819152044289014,0.)); -#27922=DIRECTION('center_axis',(0.,0.,1.)); -#27923=DIRECTION('ref_axis',(1.,0.,0.)); -#27924=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#27925=DIRECTION('ref_axis',(-3.4994421705584E-15,1.,0.)); -#27926=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27927=DIRECTION('center_axis',(0.,0.,1.)); -#27928=DIRECTION('ref_axis',(1.,0.,0.)); -#27929=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27930=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#27931=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#27932=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#27933=DIRECTION('ref_axis',(-3.4994421705584E-15,1.,0.)); -#27934=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27935=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27936=DIRECTION('center_axis',(0.,0.,1.)); -#27937=DIRECTION('ref_axis',(1.,0.,0.)); -#27938=DIRECTION('center_axis',(0.,0.,1.)); -#27939=DIRECTION('ref_axis',(-1.,0.,0.)); -#27940=DIRECTION('',(-0.573576436351053,-0.819152044288987,0.)); -#27941=DIRECTION('center_axis',(0.,0.,-1.)); -#27942=DIRECTION('ref_axis',(-1.,0.,0.)); -#27943=DIRECTION('',(-0.573576436351047,0.819152044288991,0.)); -#27944=DIRECTION('center_axis',(0.,0.,1.)); -#27945=DIRECTION('ref_axis',(-1.,0.,0.)); -#27946=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27947=DIRECTION('center_axis',(0.,0.,-1.)); -#27948=DIRECTION('ref_axis',(-1.,0.,0.)); -#27949=DIRECTION('',(0.573576436351049,-0.81915204428899,0.)); -#27950=DIRECTION('center_axis',(0.,0.,1.)); -#27951=DIRECTION('ref_axis',(-1.,0.,0.)); -#27952=DIRECTION('',(0.573576436351014,0.819152044289014,0.)); -#27953=DIRECTION('center_axis',(0.,0.,-1.)); -#27954=DIRECTION('ref_axis',(-1.,0.,0.)); -#27955=DIRECTION('center_axis',(0.,0.,-1.)); -#27956=DIRECTION('ref_axis',(-1.,0.,0.)); -#27957=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27958=DIRECTION('center_axis',(0.,0.,-1.)); -#27959=DIRECTION('ref_axis',(-1.,0.,0.)); -#27960=DIRECTION('',(0.573576436351014,0.819152044289014,0.)); -#27961=DIRECTION('center_axis',(0.,0.,1.)); -#27962=DIRECTION('ref_axis',(-1.,0.,0.)); -#27963=DIRECTION('',(0.573576436351049,-0.81915204428899,0.)); -#27964=DIRECTION('center_axis',(0.,0.,-1.)); -#27965=DIRECTION('ref_axis',(-1.,0.,0.)); -#27966=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27967=DIRECTION('center_axis',(0.,0.,1.)); -#27968=DIRECTION('ref_axis',(-1.,0.,0.)); -#27969=DIRECTION('',(-0.573576436351047,0.819152044288991,0.)); -#27970=DIRECTION('center_axis',(0.,0.,-1.)); -#27971=DIRECTION('ref_axis',(-1.,0.,0.)); -#27972=DIRECTION('',(-0.573576436351053,-0.819152044288987,0.)); -#27973=DIRECTION('center_axis',(0.,0.,1.)); -#27974=DIRECTION('ref_axis',(-1.,0.,0.)); -#27975=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#27976=DIRECTION('ref_axis',(-3.4994421705584E-15,1.,0.)); -#27977=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27978=DIRECTION('center_axis',(0.,0.,1.)); -#27979=DIRECTION('ref_axis',(1.,0.,0.)); -#27980=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27981=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#27982=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#27983=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#27984=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#27985=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27986=DIRECTION('center_axis',(0.,0.,-1.)); -#27987=DIRECTION('ref_axis',(-1.,0.,0.)); -#27988=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#27989=DIRECTION('ref_axis',(-3.4994421705584E-15,1.,0.)); -#27990=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27991=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27992=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27993=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27994=DIRECTION('center_axis',(0.,0.,1.)); -#27995=DIRECTION('ref_axis',(1.,0.,0.)); -#27996=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#27997=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#27998=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#27999=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28000=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#28001=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28002=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28003=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28004=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28005=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28006=DIRECTION('center_axis',(0.,0.,-1.)); -#28007=DIRECTION('ref_axis',(-1.,0.,0.)); -#28008=DIRECTION('',(-0.984807753012209,0.173648177666928,0.)); -#28009=DIRECTION('',(0.173648177666917,0.98480775301221,0.)); -#28010=DIRECTION('',(0.984807753012209,-0.173648177666925,0.)); -#28011=DIRECTION('center_axis',(0.,0.,-1.)); -#28012=DIRECTION('ref_axis',(-1.,0.,0.)); -#28013=DIRECTION('center_axis',(0.,0.,1.)); -#28014=DIRECTION('ref_axis',(-1.,0.,0.)); -#28015=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28016=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28017=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28018=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28019=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#28020=DIRECTION('ref_axis',(-3.4994421705584E-15,1.,0.)); -#28021=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28022=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28023=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28024=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28025=DIRECTION('center_axis',(0.,0.,1.)); -#28026=DIRECTION('ref_axis',(1.,0.,0.)); -#28027=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28028=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28029=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28030=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28031=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#28032=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28033=DIRECTION('',(0.,0.069756473744111,0.997564050259825)); -#28034=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28035=DIRECTION('',(0.,-0.0697564737441144,-0.997564050259825)); -#28036=DIRECTION('center_axis',(-1.,3.4994421705584E-15,0.)); -#28037=DIRECTION('ref_axis',(-3.4994421705584E-15,-1.,0.)); -#28038=DIRECTION('center_axis',(1.,-3.4994421705584E-15,0.)); -#28039=DIRECTION('ref_axis',(-3.4994421705584E-15,-1.,0.)); -#28040=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#28041=DIRECTION('ref_axis',(-3.4994421705584E-15,1.,0.)); -#28042=DIRECTION('',(0.,-0.0697564737441158,-0.997564050259825)); -#28043=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28044=DIRECTION('',(2.1674778759457E-15,0.0697564737440941,0.997564050259826)); -#28045=DIRECTION('center_axis',(1.,-3.4994421705584E-15,0.)); -#28046=DIRECTION('ref_axis',(-3.4994421705584E-15,-1.,0.)); -#28047=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28048=DIRECTION('center_axis',(-1.,3.4994421705584E-15,0.)); -#28049=DIRECTION('ref_axis',(-3.4994421705584E-15,-1.,0.)); -#28050=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28051=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28052=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28053=DIRECTION('center_axis',(0.,0.,1.)); -#28054=DIRECTION('ref_axis',(1.,0.,0.)); -#28055=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28056=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28057=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28058=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28059=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#28060=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28061=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28062=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28063=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28064=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28065=DIRECTION('center_axis',(0.,0.,-1.)); -#28066=DIRECTION('ref_axis',(-1.,0.,0.)); -#28067=DIRECTION('center_axis',(0.,0.,-1.)); -#28068=DIRECTION('ref_axis',(-1.,0.,0.)); -#28069=DIRECTION('',(0.984807753012213,0.173648177666903,0.)); -#28070=DIRECTION('',(0.173648177666917,-0.98480775301221,0.)); -#28071=DIRECTION('',(-0.984807753012209,-0.173648177666926,0.)); -#28072=DIRECTION('center_axis',(0.,0.,1.)); -#28073=DIRECTION('ref_axis',(-1.,0.,0.)); -#28074=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28075=DIRECTION('center_axis',(0.,0.,-1.)); -#28076=DIRECTION('ref_axis',(-1.,0.,0.)); -#28077=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28078=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28079=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28080=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#28081=DIRECTION('ref_axis',(-3.4994421705584E-15,1.,0.)); -#28082=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28083=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28084=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28085=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28086=DIRECTION('center_axis',(0.,0.,1.)); -#28087=DIRECTION('ref_axis',(1.,0.,0.)); -#28088=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28089=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28090=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28091=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28092=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#28093=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28094=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28095=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28096=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28097=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28098=DIRECTION('center_axis',(0.,0.,-1.)); -#28099=DIRECTION('ref_axis',(-1.,0.,0.)); -#28100=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28101=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28102=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28103=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28104=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#28105=DIRECTION('ref_axis',(-3.4994421705584E-15,1.,0.)); -#28106=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28107=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28108=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28109=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28110=DIRECTION('center_axis',(0.,0.,1.)); -#28111=DIRECTION('ref_axis',(1.,0.,0.)); -#28112=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28113=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28114=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28115=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28116=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#28117=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28118=DIRECTION('',(0.,0.069756473744111,0.997564050259825)); -#28119=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28120=DIRECTION('',(0.,-0.0697564737441158,-0.997564050259825)); -#28121=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#28122=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28123=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#28124=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28125=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#28126=DIRECTION('ref_axis',(-3.4994421705584E-15,1.,0.)); -#28127=DIRECTION('',(6.62407831130044E-16,-0.0697564737441215,-0.997564050259825)); -#28128=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28129=DIRECTION('',(-2.1674778759457E-15,0.0697564737440941,0.997564050259826)); -#28130=DIRECTION('center_axis',(1.,3.4994421705584E-15,0.)); -#28131=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28132=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28133=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#28134=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28135=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28136=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28137=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28138=DIRECTION('center_axis',(0.,0.,1.)); -#28139=DIRECTION('ref_axis',(1.,0.,0.)); -#28140=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28141=DIRECTION('center_axis',(-3.4994421705584E-15,1.,0.)); -#28142=DIRECTION('ref_axis',(-1.,-3.4994421705584E-15,0.)); -#28143=DIRECTION('',(-3.4994421705584E-15,1.,0.)); -#28144=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#28145=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28146=DIRECTION('center_axis',(-0.98480775301221,-0.173648177666917,0.)); -#28147=DIRECTION('ref_axis',(0.173648177666917,-0.984807753012211,0.)); -#28148=DIRECTION('',(0.,0.,-1.)); -#28149=DIRECTION('',(-0.173648177666917,0.98480775301221,0.)); -#28150=DIRECTION('',(0.,0.,-1.)); -#28151=DIRECTION('',(0.173648177666917,-0.98480775301221,0.)); -#28152=DIRECTION('center_axis',(0.173648177666929,-0.984807753012208,0.)); -#28153=DIRECTION('ref_axis',(0.984807753012208,0.173648177666929,0.)); -#28154=DIRECTION('center_axis',(0.173648177666929,-0.984807753012208,0.)); -#28155=DIRECTION('ref_axis',(0.984807753012208,0.173648177666929,0.)); -#28156=DIRECTION('center_axis',(0.173648177666929,-0.984807753012208,0.)); -#28157=DIRECTION('ref_axis',(0.984807753012208,0.173648177666929,0.)); -#28158=DIRECTION('',(0.,0.,-1.)); -#28159=DIRECTION('center_axis',(0.,0.,-1.)); -#28160=DIRECTION('ref_axis',(-1.,0.,0.)); -#28161=DIRECTION('center_axis',(0.,0.,-1.)); -#28162=DIRECTION('ref_axis',(-1.,0.,0.)); -#28163=DIRECTION('',(0.,0.,-1.)); -#28164=DIRECTION('center_axis',(-0.173648177666926,0.984807753012209,0.)); -#28165=DIRECTION('ref_axis',(-0.984807753012209,-0.173648177666926,0.)); -#28166=DIRECTION('center_axis',(-0.173648177666926,0.984807753012209,0.)); -#28167=DIRECTION('ref_axis',(-0.984807753012209,-0.173648177666926,0.)); -#28168=DIRECTION('center_axis',(-0.173648177666926,0.984807753012209,0.)); -#28169=DIRECTION('ref_axis',(-0.984807753012209,-0.173648177666926,0.)); -#28170=DIRECTION('center_axis',(0.,0.,-1.)); -#28171=DIRECTION('ref_axis',(-1.,0.,0.)); -#28172=DIRECTION('',(0.,0.,-1.)); -#28173=DIRECTION('center_axis',(0.,0.,-1.)); -#28174=DIRECTION('ref_axis',(-1.,0.,0.)); -#28175=DIRECTION('',(0.,0.,-1.)); -#28176=DIRECTION('center_axis',(-0.173648177666926,-0.984807753012209,0.)); -#28177=DIRECTION('ref_axis',(0.984807753012209,-0.173648177666926,0.)); -#28178=DIRECTION('',(0.,0.,-1.)); -#28179=DIRECTION('center_axis',(-0.173648177666926,-0.984807753012209,0.)); -#28180=DIRECTION('ref_axis',(0.984807753012209,-0.173648177666926,0.)); -#28181=DIRECTION('center_axis',(-0.173648177666926,-0.984807753012209,0.)); -#28182=DIRECTION('ref_axis',(0.984807753012209,-0.173648177666926,0.)); -#28183=DIRECTION('center_axis',(0.98480775301221,-0.173648177666917,0.)); -#28184=DIRECTION('ref_axis',(0.173648177666917,0.984807753012211,0.)); -#28185=DIRECTION('',(0.,0.,-1.)); -#28186=DIRECTION('',(-0.173648177666917,-0.98480775301221,0.)); -#28187=DIRECTION('',(0.173648177666917,0.98480775301221,0.)); -#28188=DIRECTION('center_axis',(0.173648177666903,0.984807753012213,0.)); -#28189=DIRECTION('ref_axis',(-0.984807753012213,0.173648177666903,0.)); -#28190=DIRECTION('center_axis',(0.173648177666903,0.984807753012213,0.)); -#28191=DIRECTION('ref_axis',(-0.984807753012213,0.173648177666903,0.)); -#28192=DIRECTION('center_axis',(0.173648177666903,0.984807753012213,0.)); -#28193=DIRECTION('ref_axis',(-0.984807753012213,0.173648177666903,0.)); -#28194=DIRECTION('center_axis',(-3.49091770531227E-15,0.997564050259825, --0.0697564737441215)); -#28195=DIRECTION('ref_axis',(0.,0.0697564737441215,0.997564050259825)); -#28196=DIRECTION('',(0.0939468147302621,0.0694479560326794,0.993152041434201)); -#28197=DIRECTION('center_axis',(7.28699634262863E-14,0.997564050259822, --0.0697564737441531)); -#28198=DIRECTION('ref_axis',(-9.46026123999684E-6,-0.0697564737410318,-0.997564050215183)); -#28199=DIRECTION('',(-1.,-3.4994421705584E-15,0.)); -#28200=DIRECTION('center_axis',(-7.08028446582991E-14,0.997564050259823, --0.0697564737441406)); -#28201=DIRECTION('ref_axis',(-0.995576261307571,-0.00655410531816589,-0.0937280727654076)); -#28202=DIRECTION('',(0.0939468147302626,-0.0694479560326787,-0.993152041434201)); -#28203=DIRECTION('',(-1.,-3.4994421705584E-15,0.)); -#28204=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#28205=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28206=DIRECTION('center_axis',(-1.,-3.4994421705584E-15,0.)); -#28207=DIRECTION('ref_axis',(3.4994421705584E-15,-1.,0.)); -#28208=DIRECTION('',(-1.,-3.4994421705584E-15,0.)); -#28209=DIRECTION('center_axis',(3.49091770531228E-15,-0.997564050259826, -0.0697564737440941)); -#28210=DIRECTION('ref_axis',(0.,-0.0697564737440941,-0.997564050259827)); -#28211=DIRECTION('',(-1.,-3.4994421705584E-15,0.)); -#28212=DIRECTION('center_axis',(4.86256133046099E-13,-0.997564050259838, -0.0697564737439229)); -#28213=DIRECTION('ref_axis',(0.995576261307571,-0.00655410531759316,-0.0937280727654476)); -#28214=DIRECTION('',(-0.0939468147302623,-0.0694479560326521,-0.993152041434203)); -#28215=DIRECTION('',(-0.0939468147302628,0.0694479560326514,0.993152041434203)); -#28216=DIRECTION('center_axis',(-4.73150063143096E-13,-0.997564050259838, -0.0697564737439285)); -#28217=DIRECTION('ref_axis',(9.46026123999685E-6,-0.069756473740807,-0.997564050215199)); -#28218=DIRECTION('center_axis',(2.44108745889625E-16,-0.0697564737441204, --0.997564050259825)); -#28219=DIRECTION('ref_axis',(3.08148791101958E-33,0.997564050259825,-0.0697564737441204)); -#28220=DIRECTION('',(0.00659844170328125,-0.997542333336916,0.0697549551489362)); -#28221=DIRECTION('',(0.00659844170327427,0.997542333336916,-0.0697549551489362)); -#28222=DIRECTION('center_axis',(-3.49091770531227E-15,-0.997564050259825, -0.0697564737441144)); -#28223=DIRECTION('ref_axis',(0.,-0.0697564737441144,-0.997564050259825)); -#28224=DIRECTION('',(-0.0939468147302622,-0.0694479560326716,-0.993152041434201)); -#28225=DIRECTION('center_axis',(3.5221556150637E-15,0.997564050259825,-0.0697564737441157)); -#28226=DIRECTION('ref_axis',(-9.46026122704426E-6,-0.0697564737409937,-0.997564050215186)); -#28227=DIRECTION('',(1.,-3.4994421705584E-15,0.)); -#28228=DIRECTION('center_axis',(-7.8335747597224E-15,0.997564050259824, --0.0697564737441268)); -#28229=DIRECTION('ref_axis',(-0.995576261307571,-0.00655410531810294,-0.0937280727654144)); -#28230=DIRECTION('',(-0.0939468147302627,0.0694479560326723,0.993152041434201)); -#28231=DIRECTION('',(1.,-3.4994421705584E-15,0.)); -#28232=DIRECTION('center_axis',(2.44108745889625E-16,0.0697564737441204, -0.997564050259825)); -#28233=DIRECTION('ref_axis',(3.08148791101958E-33,-0.997564050259825,0.0697564737441204)); -#28234=DIRECTION('',(0.00659844170328125,-0.997542333336916,0.0697549551489362)); -#28235=DIRECTION('',(1.,-3.4994421705584E-15,0.)); -#28236=DIRECTION('',(0.00659844170327427,0.997542333336916,-0.0697549551489362)); -#28237=DIRECTION('center_axis',(3.49091770531227E-15,0.997564050259825, --0.069756473744111)); -#28238=DIRECTION('ref_axis',(4.93038065763132E-32,0.069756473744111,0.997564050259825)); -#28239=DIRECTION('center_axis',(-3.53289389437784E-15,-0.997564050259825, -0.0697564737441106)); -#28240=DIRECTION('ref_axis',(0.995576261307572,-0.00655410531809652,-0.0937280727654041)); -#28241=DIRECTION('',(0.0939468147302622,0.0694479560326683,0.993152041434202)); -#28242=DIRECTION('',(1.,-3.4994421705584E-15,0.)); -#28243=DIRECTION('',(0.0939468147302627,-0.0694479560326689,-0.993152041434202)); -#28244=DIRECTION('center_axis',(-3.97316334626092E-15,-0.997564050259825, -0.0697564737441095)); -#28245=DIRECTION('ref_axis',(9.46026121964281E-6,-0.0697564737409876,-0.997564050215186)); -#28246=DIRECTION('center_axis',(1.,-3.4994421705584E-15,0.)); -#28247=DIRECTION('ref_axis',(3.4994421705584E-15,1.,0.)); -#28248=DIRECTION('center_axis',(1.,-3.4994421705584E-15,0.)); -#28249=DIRECTION('ref_axis',(3.4994421705584E-15,1.,0.)); -#28250=DIRECTION('center_axis',(-0.995555735114542,-3.4838897226011E-15, -0.0941741911594839)); -#28251=DIRECTION('ref_axis',(0.0941741911594839,0.,0.995555735114543)); -#28252=DIRECTION('',(-0.00659844170328125,0.997542333336916,-0.0697549551489362)); -#28253=DIRECTION('center_axis',(0.995555735114542,3.4838897226011E-15,0.0941741911594839)); -#28254=DIRECTION('ref_axis',(0.0941741911594839,-4.93038065763133E-32,-0.995555735114543)); -#28255=DIRECTION('',(-0.00659844170327426,-0.997542333336916,0.0697549551489362)); -#28256=DIRECTION('center_axis',(-0.995555735114542,-3.4838897226011E-15, -0.0941741911594839)); -#28257=DIRECTION('ref_axis',(0.0941741911594839,-4.93038065763133E-32,0.995555735114543)); -#28258=DIRECTION('',(-0.00659844170328125,0.997542333336916,-0.0697549551489362)); -#28259=DIRECTION('center_axis',(0.995555735114542,3.4838897226011E-15,0.0941741911594839)); -#28260=DIRECTION('ref_axis',(0.0941741911594839,0.,-0.995555735114543)); -#28261=DIRECTION('',(-0.00659844170327426,-0.997542333336916,0.0697549551489362)); -#28262=DIRECTION('center_axis',(0.173648177666917,0.98480775301221,0.)); -#28263=DIRECTION('ref_axis',(-0.984807753012211,0.173648177666917,0.)); -#28264=DIRECTION('center_axis',(0.173648177666917,0.98480775301221,0.)); -#28265=DIRECTION('ref_axis',(-0.98480775301221,0.173648177666917,0.)); -#28266=DIRECTION('center_axis',(0.173648177666917,-0.98480775301221,0.)); -#28267=DIRECTION('ref_axis',(0.984807753012211,0.173648177666917,0.)); -#28268=DIRECTION('center_axis',(0.173648177666917,-0.98480775301221,0.)); -#28269=DIRECTION('ref_axis',(0.984807753012211,0.173648177666917,0.)); -#28270=DIRECTION('center_axis',(0.00659844170328125,-0.997542333336916, -0.0697549551489362)); -#28271=DIRECTION('ref_axis',(-4.06575814682064E-18,-0.0697564737441204, --0.997564050259825)); -#28272=DIRECTION('center_axis',(0.00659844170327427,0.997542333336916,-0.0697549551489362)); -#28273=DIRECTION('ref_axis',(-4.01154803819637E-18,0.0697564737441204,0.997564050259825)); -#28274=DIRECTION('center_axis',(0.00659844170328125,-0.997542333336916, -0.0697549551489362)); -#28275=DIRECTION('ref_axis',(7.04731412115577E-19,-0.0697564737441204,-0.997564050259825)); -#28276=DIRECTION('center_axis',(0.00659844170327427,0.997542333336916,-0.0697549551489362)); -#28277=DIRECTION('ref_axis',(7.58941520739853E-19,0.0697564737441204,0.997564050259825)); -#28278=DIRECTION('center_axis',(0.,0.,1.)); -#28279=DIRECTION('ref_axis',(1.,0.,0.)); -#28280=DIRECTION('',(0.,0.,1.)); -#28281=DIRECTION('center_axis',(0.,0.,1.)); -#28282=DIRECTION('ref_axis',(1.,0.,0.)); -#28283=DIRECTION('',(0.,0.,1.)); -#28284=DIRECTION('center_axis',(0.,0.,1.)); -#28285=DIRECTION('ref_axis',(1.,0.,0.)); -#28286=DIRECTION('',(0.,0.,1.)); -#28287=DIRECTION('center_axis',(0.819152044288991,-0.573576436351047,0.)); -#28288=DIRECTION('ref_axis',(0.573576436351047,0.819152044288991,0.)); -#28289=DIRECTION('',(0.,0.,1.)); -#28290=DIRECTION('center_axis',(0.,0.,1.)); -#28291=DIRECTION('ref_axis',(1.,0.,0.)); -#28292=DIRECTION('',(0.,0.,1.)); -#28293=DIRECTION('center_axis',(-0.819152044288987,-0.573576436351054,0.)); -#28294=DIRECTION('ref_axis',(0.573576436351054,-0.819152044288987,0.)); -#28295=DIRECTION('',(0.,0.,1.)); -#28296=DIRECTION('center_axis',(0.,0.,1.)); -#28297=DIRECTION('ref_axis',(1.,0.,0.)); -#28298=DIRECTION('center_axis',(0.819152044289014,0.573576436351014,0.)); -#28299=DIRECTION('ref_axis',(-0.573576436351014,0.819152044289014,0.)); -#28300=DIRECTION('',(0.,0.,1.)); -#28301=DIRECTION('center_axis',(0.,0.,1.)); -#28302=DIRECTION('ref_axis',(1.,0.,0.)); -#28303=DIRECTION('',(0.,0.,1.)); -#28304=DIRECTION('center_axis',(-0.81915204428899,0.573576436351049,0.)); -#28305=DIRECTION('ref_axis',(-0.573576436351049,-0.81915204428899,0.)); -#28306=DIRECTION('center_axis',(0.,0.,-1.)); -#28307=DIRECTION('ref_axis',(-1.,0.,0.)); -#28308=DIRECTION('',(0.,0.,-1.)); -#28309=DIRECTION('center_axis',(0.,0.,-1.)); -#28310=DIRECTION('ref_axis',(-1.,0.,0.)); -#28311=DIRECTION('',(0.,0.,-1.)); -#28312=DIRECTION('center_axis',(0.819152044288995,0.573576436351042,0.)); -#28313=DIRECTION('ref_axis',(-0.573576436351042,0.819152044288995,0.)); -#28314=DIRECTION('',(0.,0.,-1.)); -#28315=DIRECTION('center_axis',(0.,0.,-1.)); -#28316=DIRECTION('ref_axis',(-1.,0.,0.)); -#28317=DIRECTION('',(0.,0.,-1.)); -#28318=DIRECTION('center_axis',(-0.819152044288983,0.573576436351058,0.)); -#28319=DIRECTION('ref_axis',(-0.573576436351058,-0.819152044288983,0.)); -#28320=DIRECTION('',(0.,0.,-1.)); -#28321=DIRECTION('center_axis',(0.,0.,-1.)); -#28322=DIRECTION('ref_axis',(-1.,0.,0.)); -#28323=DIRECTION('center_axis',(0.,0.,-1.)); -#28324=DIRECTION('ref_axis',(-1.,0.,0.)); -#28325=DIRECTION('',(0.,0.,-1.)); -#28326=DIRECTION('center_axis',(0.819152044289012,-0.573576436351017,0.)); -#28327=DIRECTION('ref_axis',(0.573576436351017,0.819152044289012,0.)); -#28328=DIRECTION('',(0.,0.,-1.)); -#28329=DIRECTION('center_axis',(0.,0.,-1.)); -#28330=DIRECTION('ref_axis',(-1.,0.,0.)); -#28331=DIRECTION('',(0.,0.,-1.)); -#28332=DIRECTION('center_axis',(-0.819152044288994,-0.573576436351043,0.)); -#28333=DIRECTION('ref_axis',(0.573576436351043,-0.819152044288994,0.)); -#28334=DIRECTION('center_axis',(0.,0.,1.)); -#28335=DIRECTION('ref_axis',(1.,0.,0.)); -#28336=DIRECTION('',(0.,0.,1.)); -#28337=DIRECTION('center_axis',(0.,0.,1.)); -#28338=DIRECTION('ref_axis',(1.,0.,0.)); -#28339=DIRECTION('',(0.,0.,1.)); -#28340=DIRECTION('center_axis',(-0.81915204428899,-0.573576436351049,0.)); -#28341=DIRECTION('ref_axis',(0.573576436351049,-0.81915204428899,0.)); -#28342=DIRECTION('',(0.,0.,1.)); -#28343=DIRECTION('center_axis',(0.,0.,1.)); -#28344=DIRECTION('ref_axis',(1.,0.,0.)); -#28345=DIRECTION('',(0.,0.,1.)); -#28346=DIRECTION('center_axis',(0.819152044289014,-0.573576436351014,0.)); -#28347=DIRECTION('ref_axis',(0.573576436351014,0.819152044289014,0.)); -#28348=DIRECTION('center_axis',(0.,0.,1.)); -#28349=DIRECTION('ref_axis',(1.,0.,0.)); -#28350=DIRECTION('',(0.,0.,1.)); -#28351=DIRECTION('center_axis',(-0.819152044288987,0.573576436351054,0.)); -#28352=DIRECTION('ref_axis',(-0.573576436351054,-0.819152044288987,0.)); -#28353=DIRECTION('',(0.,0.,1.)); -#28354=DIRECTION('center_axis',(0.,0.,1.)); -#28355=DIRECTION('ref_axis',(1.,0.,0.)); -#28356=DIRECTION('',(0.,0.,1.)); -#28357=DIRECTION('center_axis',(0.819152044288991,0.573576436351047,0.)); -#28358=DIRECTION('ref_axis',(-0.573576436351047,0.819152044288991,0.)); -#28359=DIRECTION('',(0.,0.,1.)); -#28360=DIRECTION('center_axis',(0.,0.,1.)); -#28361=DIRECTION('ref_axis',(1.,0.,0.)); -#28362=DIRECTION('center_axis',(0.,0.,-1.)); -#28363=DIRECTION('ref_axis',(-1.,0.,0.)); -#28364=DIRECTION('',(0.,0.,-1.)); -#28365=DIRECTION('center_axis',(-0.819152044288994,0.573576436351043,0.)); -#28366=DIRECTION('ref_axis',(-0.573576436351043,-0.819152044288994,0.)); -#28367=DIRECTION('',(0.,0.,-1.)); -#28368=DIRECTION('center_axis',(0.,0.,-1.)); -#28369=DIRECTION('ref_axis',(-1.,0.,0.)); -#28370=DIRECTION('',(0.,0.,-1.)); -#28371=DIRECTION('center_axis',(0.819152044289012,0.573576436351017,0.)); -#28372=DIRECTION('ref_axis',(-0.573576436351017,0.819152044289012,0.)); -#28373=DIRECTION('',(0.,0.,-1.)); -#28374=DIRECTION('center_axis',(0.,0.,-1.)); -#28375=DIRECTION('ref_axis',(-1.,0.,0.)); -#28376=DIRECTION('center_axis',(0.,0.,-1.)); -#28377=DIRECTION('ref_axis',(-1.,0.,0.)); -#28378=DIRECTION('',(0.,0.,-1.)); -#28379=DIRECTION('center_axis',(-0.819152044288983,-0.573576436351058,0.)); -#28380=DIRECTION('ref_axis',(0.573576436351058,-0.819152044288983,0.)); -#28381=DIRECTION('',(0.,0.,-1.)); -#28382=DIRECTION('center_axis',(0.,0.,-1.)); -#28383=DIRECTION('ref_axis',(-1.,0.,0.)); -#28384=DIRECTION('',(0.,0.,-1.)); -#28385=DIRECTION('center_axis',(0.819152044288995,-0.573576436351042,0.)); -#28386=DIRECTION('ref_axis',(0.573576436351042,0.819152044288995,0.)); -#28387=DIRECTION('',(0.,0.,-1.)); -#28388=DIRECTION('center_axis',(0.,0.,-1.)); -#28389=DIRECTION('ref_axis',(-1.,0.,0.)); -#28390=DIRECTION('center_axis',(0.,0.,-1.)); -#28391=DIRECTION('ref_axis',(-1.,0.,0.)); -#28392=DIRECTION('',(-2.168404344971E-15,1.,0.)); -#28393=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28394=DIRECTION('',(-2.168404344971E-15,1.,0.)); -#28395=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28396=DIRECTION('center_axis',(2.86731153054018E-15,-1.,-1.92747052886312E-15)); -#28397=DIRECTION('ref_axis',(1.,2.86731153054018E-15,0.)); -#28398=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28399=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28400=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28401=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28402=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28403=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28404=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28405=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28406=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28407=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28408=DIRECTION('center_axis',(0.,0.,1.)); -#28409=DIRECTION('ref_axis',(1.,0.,0.)); -#28410=DIRECTION('',(2.0651469952105E-15,-1.,0.)); -#28411=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28412=DIRECTION('',(2.0651469952105E-15,-1.,0.)); -#28413=DIRECTION('center_axis',(-2.86731136182525E-15,0.999999941159191, -0.000343047539710149)); -#28414=DIRECTION('ref_axis',(0.,-0.000343047539710149,0.999999941159191)); -#28415=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28416=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28417=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28418=DIRECTION('center_axis',(0.,0.,-1.)); -#28419=DIRECTION('ref_axis',(-1.,0.,0.)); -#28420=DIRECTION('',(-2.06447250734752E-15,1.,0.)); -#28421=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28422=DIRECTION('',(-2.06447250734752E-15,1.,0.)); -#28423=DIRECTION('center_axis',(-2.86731153054018E-15,1.,3.85494105772623E-15)); -#28424=DIRECTION('ref_axis',(0.,-3.85494105772623E-15,1.)); -#28425=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28426=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28427=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28428=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28429=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28430=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28431=DIRECTION('center_axis',(0.,0.,-1.)); -#28432=DIRECTION('ref_axis',(-1.,0.,0.)); -#28433=DIRECTION('',(-2.168404344971E-15,1.,0.)); -#28434=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28435=DIRECTION('',(-2.168404344971E-15,1.,0.)); -#28436=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28437=DIRECTION('center_axis',(2.86731153054018E-15,-1.,-1.92747052886312E-15)); -#28438=DIRECTION('ref_axis',(1.,2.86731153054018E-15,0.)); -#28439=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28440=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28441=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28442=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28443=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28444=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28445=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28446=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28447=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28448=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28449=DIRECTION('center_axis',(0.,0.,1.)); -#28450=DIRECTION('ref_axis',(1.,0.,0.)); -#28451=DIRECTION('',(2.0651469952105E-15,-1.,0.)); -#28452=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28453=DIRECTION('',(2.0651469952105E-15,-1.,0.)); -#28454=DIRECTION('center_axis',(-2.86731136182525E-15,0.999999941159191, -0.000343047539710149)); -#28455=DIRECTION('ref_axis',(0.,-0.000343047539710149,0.999999941159191)); -#28456=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28457=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28458=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28459=DIRECTION('center_axis',(0.,0.,-1.)); -#28460=DIRECTION('ref_axis',(-1.,0.,0.)); -#28461=DIRECTION('',(-2.06447250734752E-15,1.,0.)); -#28462=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28463=DIRECTION('',(-2.06447250734752E-15,1.,0.)); -#28464=DIRECTION('center_axis',(-2.86731153054018E-15,1.,3.85494105772623E-15)); -#28465=DIRECTION('ref_axis',(0.,-3.85494105772623E-15,1.)); -#28466=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28467=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28468=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28469=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28470=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28471=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28472=DIRECTION('center_axis',(0.,0.,-1.)); -#28473=DIRECTION('ref_axis',(-1.,0.,0.)); -#28474=DIRECTION('',(-2.168404344971E-15,1.,0.)); -#28475=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28476=DIRECTION('',(-2.168404344971E-15,1.,0.)); -#28477=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28478=DIRECTION('center_axis',(2.86731153054018E-15,-1.,-1.92747052886312E-15)); -#28479=DIRECTION('ref_axis',(1.,2.86731153054018E-15,0.)); -#28480=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28481=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28482=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28483=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28484=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28485=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28486=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28487=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28488=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28489=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28490=DIRECTION('center_axis',(0.,0.,1.)); -#28491=DIRECTION('ref_axis',(1.,0.,0.)); -#28492=DIRECTION('',(2.0651469952105E-15,-1.,0.)); -#28493=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28494=DIRECTION('',(2.0651469952105E-15,-1.,0.)); -#28495=DIRECTION('center_axis',(-2.86731136182525E-15,0.999999941159191, -0.000343047539710149)); -#28496=DIRECTION('ref_axis',(0.,-0.000343047539710149,0.999999941159191)); -#28497=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28498=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28499=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28500=DIRECTION('center_axis',(0.,0.,-1.)); -#28501=DIRECTION('ref_axis',(-1.,0.,0.)); -#28502=DIRECTION('',(-2.06447250734752E-15,1.,0.)); -#28503=DIRECTION('',(1.,2.86731153054018E-15,0.)); -#28504=DIRECTION('',(-2.06447250734752E-15,1.,0.)); -#28505=DIRECTION('center_axis',(-2.86731153054018E-15,1.,3.85494105772623E-15)); -#28506=DIRECTION('ref_axis',(0.,-3.85494105772623E-15,1.)); -#28507=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28508=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28509=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28510=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28511=DIRECTION('center_axis',(1.,2.86731153054018E-15,0.)); -#28512=DIRECTION('ref_axis',(-2.86731153054018E-15,1.,0.)); -#28513=DIRECTION('center_axis',(0.,0.,-1.)); -#28514=DIRECTION('ref_axis',(1.,0.,0.)); -#28515=DIRECTION('',(2.168404344971E-15,1.,0.)); -#28516=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28517=DIRECTION('',(2.168404344971E-15,1.,0.)); -#28518=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28519=DIRECTION('center_axis',(-2.86731153054018E-15,-1.,-1.92747052886312E-15)); -#28520=DIRECTION('ref_axis',(-1.,2.86731153054018E-15,0.)); -#28521=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28522=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28523=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28524=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#28525=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28526=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#28527=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28528=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#28529=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28530=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28531=DIRECTION('center_axis',(0.,0.,1.)); -#28532=DIRECTION('ref_axis',(-1.,0.,0.)); -#28533=DIRECTION('',(-2.0651469952105E-15,-1.,0.)); -#28534=DIRECTION('',(-2.0651469952105E-15,-1.,0.)); -#28535=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28536=DIRECTION('center_axis',(2.86731136182525E-15,0.999999941159191, -0.000343047539710149)); -#28537=DIRECTION('ref_axis',(0.,-0.000343047539710149,0.999999941159191)); -#28538=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28539=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28540=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28541=DIRECTION('center_axis',(0.,0.,-1.)); -#28542=DIRECTION('ref_axis',(1.,0.,0.)); -#28543=DIRECTION('',(2.06447250734752E-15,1.,0.)); -#28544=DIRECTION('',(2.06447250734752E-15,1.,0.)); -#28545=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28546=DIRECTION('center_axis',(2.86731153054018E-15,1.,3.85494105772623E-15)); -#28547=DIRECTION('ref_axis',(0.,-3.85494105772623E-15,1.)); -#28548=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28549=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28550=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#28551=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28552=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#28553=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28554=DIRECTION('center_axis',(0.,0.,-1.)); -#28555=DIRECTION('ref_axis',(1.,0.,0.)); -#28556=DIRECTION('',(2.168404344971E-15,1.,0.)); -#28557=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28558=DIRECTION('',(2.168404344971E-15,1.,0.)); -#28559=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28560=DIRECTION('center_axis',(-2.86731153054018E-15,-1.,-1.92747052886312E-15)); -#28561=DIRECTION('ref_axis',(-1.,2.86731153054018E-15,0.)); -#28562=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28563=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28564=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28565=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#28566=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28567=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#28568=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28569=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#28570=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28571=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28572=DIRECTION('center_axis',(0.,0.,1.)); -#28573=DIRECTION('ref_axis',(-1.,0.,0.)); -#28574=DIRECTION('',(-2.0651469952105E-15,-1.,0.)); -#28575=DIRECTION('',(-2.0651469952105E-15,-1.,0.)); -#28576=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28577=DIRECTION('center_axis',(2.86731136182525E-15,0.999999941159191, -0.000343047539710149)); -#28578=DIRECTION('ref_axis',(0.,-0.000343047539710149,0.999999941159191)); -#28579=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28580=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28581=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28582=DIRECTION('center_axis',(0.,0.,-1.)); -#28583=DIRECTION('ref_axis',(1.,0.,0.)); -#28584=DIRECTION('',(2.06447250734752E-15,1.,0.)); -#28585=DIRECTION('',(2.06447250734752E-15,1.,0.)); -#28586=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28587=DIRECTION('center_axis',(2.86731153054018E-15,1.,3.85494105772623E-15)); -#28588=DIRECTION('ref_axis',(0.,-3.85494105772623E-15,1.)); -#28589=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28590=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28591=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#28592=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28593=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#28594=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28595=DIRECTION('center_axis',(0.,0.,-1.)); -#28596=DIRECTION('ref_axis',(1.,0.,0.)); -#28597=DIRECTION('',(2.168404344971E-15,1.,0.)); -#28598=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28599=DIRECTION('',(2.168404344971E-15,1.,0.)); -#28600=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28601=DIRECTION('center_axis',(-2.86731153054018E-15,-1.,-1.92747052886312E-15)); -#28602=DIRECTION('ref_axis',(-1.,2.86731153054018E-15,0.)); -#28603=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28604=DIRECTION('',(0.,1.92747052886312E-15,-1.)); -#28605=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28606=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#28607=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28608=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#28609=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28610=DIRECTION('center_axis',(1.,-2.86731153054018E-15,0.)); -#28611=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28612=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28613=DIRECTION('center_axis',(0.,0.,1.)); -#28614=DIRECTION('ref_axis',(-1.,0.,0.)); -#28615=DIRECTION('',(-2.0651469952105E-15,-1.,0.)); -#28616=DIRECTION('',(-2.0651469952105E-15,-1.,0.)); -#28617=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28618=DIRECTION('center_axis',(2.86731136182525E-15,0.999999941159191, -0.000343047539710149)); -#28619=DIRECTION('ref_axis',(0.,-0.000343047539710149,0.999999941159191)); -#28620=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28621=DIRECTION('',(0.,-0.000343047539710149,0.999999941159191)); -#28622=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28623=DIRECTION('center_axis',(0.,0.,-1.)); -#28624=DIRECTION('ref_axis',(1.,0.,0.)); -#28625=DIRECTION('',(2.06447250734752E-15,1.,0.)); -#28626=DIRECTION('',(2.06447250734752E-15,1.,0.)); -#28627=DIRECTION('',(-1.,2.86731153054018E-15,0.)); -#28628=DIRECTION('center_axis',(2.86731153054018E-15,1.,3.85494105772623E-15)); -#28629=DIRECTION('ref_axis',(0.,-3.85494105772623E-15,1.)); -#28630=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28631=DIRECTION('',(0.,-3.85494105772623E-15,1.)); -#28632=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#28633=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28634=DIRECTION('center_axis',(-1.,2.86731153054018E-15,0.)); -#28635=DIRECTION('ref_axis',(2.86731153054018E-15,1.,0.)); -#28636=DIRECTION('center_axis',(0.,-1.,0.)); -#28637=DIRECTION('ref_axis',(0.,0.,-1.)); -#28638=DIRECTION('center_axis',(0.,1.,0.)); -#28639=DIRECTION('ref_axis',(0.,0.,1.)); -#28640=DIRECTION('',(0.,-1.,0.)); -#28641=DIRECTION('center_axis',(0.,1.,0.)); -#28642=DIRECTION('ref_axis',(0.,0.,1.)); -#28643=DIRECTION('',(0.,-1.,0.)); -#28644=DIRECTION('center_axis',(-1.,0.,0.)); -#28645=DIRECTION('ref_axis',(0.,0.,1.)); -#28646=DIRECTION('',(0.,0.,-1.)); -#28647=DIRECTION('',(0.,-1.,0.)); -#28648=DIRECTION('',(0.,0.,-1.)); -#28649=DIRECTION('center_axis',(0.,-1.,0.)); -#28650=DIRECTION('ref_axis',(0.,0.,-1.)); -#28651=DIRECTION('center_axis',(0.,1.,0.)); -#28652=DIRECTION('ref_axis',(0.,0.,1.)); -#28653=DIRECTION('',(0.,-1.,0.)); -#28654=DIRECTION('center_axis',(0.,1.,0.)); -#28655=DIRECTION('ref_axis',(0.,0.,1.)); -#28656=DIRECTION('center_axis',(0.,-1.,0.)); -#28657=DIRECTION('ref_axis',(0.,0.,-1.)); -#28658=DIRECTION('center_axis',(0.,-1.,0.)); -#28659=DIRECTION('ref_axis',(0.,0.,1.)); -#28660=DIRECTION('',(0.,-1.,0.)); -#28661=DIRECTION('center_axis',(0.,-1.,0.)); -#28662=DIRECTION('ref_axis',(0.,0.,1.)); -#28663=DIRECTION('center_axis',(-1.,0.,0.)); -#28664=DIRECTION('ref_axis',(0.,0.,1.)); -#28665=DIRECTION('',(0.,0.,-1.)); -#28666=DIRECTION('',(0.,-1.,0.)); -#28667=DIRECTION('',(0.,0.,-1.)); -#28668=DIRECTION('center_axis',(0.,-1.,0.)); -#28669=DIRECTION('ref_axis',(0.,0.,-1.)); -#28670=DIRECTION('center_axis',(0.,-1.,0.)); -#28671=DIRECTION('ref_axis',(0.,0.,1.)); -#28672=DIRECTION('',(0.,-1.,0.)); -#28673=DIRECTION('center_axis',(0.,-1.,0.)); -#28674=DIRECTION('ref_axis',(0.,0.,1.)); -#28675=DIRECTION('center_axis',(-1.,0.,0.)); -#28676=DIRECTION('ref_axis',(0.,0.,1.)); -#28677=DIRECTION('',(0.,0.,-1.)); -#28678=DIRECTION('',(0.,-1.,0.)); -#28679=DIRECTION('',(0.,0.,-1.)); -#28680=DIRECTION('center_axis',(0.,-1.,0.)); -#28681=DIRECTION('ref_axis',(0.,0.,-1.)); -#28682=DIRECTION('center_axis',(0.,-1.,0.)); -#28683=DIRECTION('ref_axis',(0.,0.,1.)); -#28684=DIRECTION('',(0.,-1.,0.)); -#28685=DIRECTION('center_axis',(0.,-1.,0.)); -#28686=DIRECTION('ref_axis',(0.,0.,1.)); -#28687=DIRECTION('center_axis',(-1.,0.,0.)); -#28688=DIRECTION('ref_axis',(0.,0.,1.)); -#28689=DIRECTION('',(0.,0.,-1.)); -#28690=DIRECTION('',(0.,-1.,0.)); -#28691=DIRECTION('',(0.,0.,-1.)); -#28692=DIRECTION('center_axis',(1.65211759616839E-15,0.,1.)); -#28693=DIRECTION('ref_axis',(1.,0.,-1.65211759616839E-15)); -#28694=DIRECTION('',(-1.,0.,1.65211759616839E-15)); -#28695=DIRECTION('',(0.,-1.,0.)); -#28696=DIRECTION('',(-1.,0.,1.65211759616839E-15)); -#28697=DIRECTION('center_axis',(1.,0.,0.)); -#28698=DIRECTION('ref_axis',(0.,0.,-1.)); -#28699=DIRECTION('',(0.,0.,1.)); -#28700=DIRECTION('',(0.,-1.,0.)); -#28701=DIRECTION('',(0.,0.,1.)); -#28702=DIRECTION('center_axis',(0.,0.,-1.)); -#28703=DIRECTION('ref_axis',(-1.,0.,0.)); -#28704=DIRECTION('',(1.,0.,0.)); -#28705=DIRECTION('',(0.,-1.,0.)); -#28706=DIRECTION('',(1.,0.,0.)); -#28707=DIRECTION('center_axis',(-0.919135339255233,0.,-0.393941909590953)); -#28708=DIRECTION('ref_axis',(-0.393941909590954,0.,0.919135339255233)); -#28709=DIRECTION('',(0.393941909590953,0.,-0.919135339255233)); -#28710=DIRECTION('',(0.393941909590953,0.,-0.919135339255233)); -#28711=DIRECTION('center_axis',(0.,1.,0.)); -#28712=DIRECTION('ref_axis',(0.,0.,1.)); -#28713=DIRECTION('center_axis',(0.,1.,0.)); -#28714=DIRECTION('ref_axis',(0.,0.,1.)); -#28715=DIRECTION('center_axis',(0.,-1.,0.)); -#28716=DIRECTION('ref_axis',(0.,0.,-1.)); -#28717=DIRECTION('center_axis',(0.,-1.,0.)); -#28718=DIRECTION('ref_axis',(0.,0.,1.)); -#28719=DIRECTION('',(0.,-1.,0.)); -#28720=DIRECTION('center_axis',(0.,-1.,0.)); -#28721=DIRECTION('ref_axis',(0.,0.,1.)); -#28722=DIRECTION('',(0.,-1.,0.)); -#28723=DIRECTION('center_axis',(1.,0.,0.)); -#28724=DIRECTION('ref_axis',(0.,0.,-1.)); -#28725=DIRECTION('',(0.,0.,1.)); -#28726=DIRECTION('',(0.,-1.,0.)); -#28727=DIRECTION('',(0.,0.,1.)); -#28728=DIRECTION('center_axis',(0.,-1.,0.)); -#28729=DIRECTION('ref_axis',(0.,0.,-1.)); -#28730=DIRECTION('center_axis',(0.,-1.,0.)); -#28731=DIRECTION('ref_axis',(0.,0.,1.)); -#28732=DIRECTION('',(0.,-1.,0.)); -#28733=DIRECTION('center_axis',(0.,-1.,0.)); -#28734=DIRECTION('ref_axis',(0.,0.,1.)); -#28735=DIRECTION('center_axis',(1.,0.,0.)); -#28736=DIRECTION('ref_axis',(0.,0.,-1.)); -#28737=DIRECTION('',(0.,0.,1.)); -#28738=DIRECTION('',(0.,-1.,0.)); -#28739=DIRECTION('',(0.,0.,1.)); -#28740=DIRECTION('center_axis',(0.,-1.,0.)); -#28741=DIRECTION('ref_axis',(0.,0.,-1.)); -#28742=DIRECTION('center_axis',(0.,-1.,0.)); -#28743=DIRECTION('ref_axis',(0.,0.,1.)); -#28744=DIRECTION('',(0.,-1.,0.)); -#28745=DIRECTION('center_axis',(0.,-1.,0.)); -#28746=DIRECTION('ref_axis',(0.,0.,1.)); -#28747=DIRECTION('center_axis',(0.,-1.,0.)); -#28748=DIRECTION('ref_axis',(0.,0.,-1.)); -#28749=DIRECTION('center_axis',(0.,1.,0.)); -#28750=DIRECTION('ref_axis',(0.,0.,1.)); -#28751=DIRECTION('',(0.,-1.,0.)); -#28752=DIRECTION('center_axis',(0.,1.,0.)); -#28753=DIRECTION('ref_axis',(0.,0.,1.)); -#28754=DIRECTION('center_axis',(1.,0.,0.)); -#28755=DIRECTION('ref_axis',(0.,0.,-1.)); -#28756=DIRECTION('',(0.,0.,1.)); -#28757=DIRECTION('',(0.,-1.,0.)); -#28758=DIRECTION('',(0.,0.,1.)); -#28759=DIRECTION('center_axis',(0.,-1.,0.)); -#28760=DIRECTION('ref_axis',(0.,0.,-1.)); -#28761=DIRECTION('center_axis',(0.,1.,0.)); -#28762=DIRECTION('ref_axis',(0.,0.,1.)); -#28763=DIRECTION('',(0.,-1.,0.)); -#28764=DIRECTION('center_axis',(0.,1.,0.)); -#28765=DIRECTION('ref_axis',(0.,0.,1.)); -#28766=DIRECTION('center_axis',(0.919135339255233,0.,-0.393941909590953)); -#28767=DIRECTION('ref_axis',(-0.393941909590954,0.,-0.919135339255233)); -#28768=DIRECTION('',(0.393941909590953,0.,0.919135339255233)); -#28769=DIRECTION('',(0.,-1.,0.)); -#28770=DIRECTION('',(0.393941909590953,0.,0.919135339255233)); -#28771=DIRECTION('center_axis',(0.,0.,-1.)); -#28772=DIRECTION('ref_axis',(-1.,0.,0.)); -#28773=DIRECTION('',(1.,0.,0.)); -#28774=DIRECTION('',(0.,-1.,0.)); -#28775=DIRECTION('',(1.,0.,0.)); -#28776=DIRECTION('center_axis',(-1.,0.,0.)); -#28777=DIRECTION('ref_axis',(0.,0.,1.)); -#28778=DIRECTION('',(0.,0.,-1.)); -#28779=DIRECTION('',(0.,-1.,0.)); -#28780=DIRECTION('',(0.,0.,-1.)); -#28781=DIRECTION('center_axis',(0.,0.,1.)); -#28782=DIRECTION('ref_axis',(1.,0.,0.)); -#28783=DIRECTION('',(-1.,0.,0.)); -#28784=DIRECTION('',(0.,-1.,0.)); -#28785=DIRECTION('',(-1.,0.,0.)); -#28786=DIRECTION('center_axis',(1.,0.,0.)); -#28787=DIRECTION('ref_axis',(0.,0.,-1.)); -#28788=DIRECTION('',(0.,0.,1.)); -#28789=DIRECTION('',(0.,0.,1.)); -#28790=DIRECTION('center_axis',(0.,1.,0.)); -#28791=DIRECTION('ref_axis',(0.,0.,1.)); -#28792=DIRECTION('center_axis',(0.,1.,0.)); -#28793=DIRECTION('ref_axis',(0.,0.,1.)); -#28794=DIRECTION('center_axis',(1.,0.,0.)); -#28795=DIRECTION('ref_axis',(0.,0.,-1.)); -#28796=DIRECTION('',(0.,0.,1.)); -#28797=DIRECTION('',(0.,-1.,0.)); -#28798=DIRECTION('',(0.,0.,-1.)); -#28799=DIRECTION('',(0.,1.,0.)); -#28800=DIRECTION('',(0.,0.,-1.)); -#28801=DIRECTION('',(0.,-1.,0.)); -#28802=DIRECTION('',(0.,0.,1.)); -#28803=DIRECTION('',(0.,-1.,0.)); -#28804=DIRECTION('center_axis',(-1.,0.,0.)); -#28805=DIRECTION('ref_axis',(0.,0.,1.)); -#28806=DIRECTION('',(0.,0.,-1.)); -#28807=DIRECTION('',(0.,1.,0.)); -#28808=DIRECTION('',(0.,0.,-1.)); -#28809=DIRECTION('',(0.,1.,0.)); -#28810=DIRECTION('',(0.,0.,-1.)); -#28811=DIRECTION('',(0.,1.,0.)); -#28812=DIRECTION('',(0.,0.,-1.)); -#28813=DIRECTION('',(0.,1.,0.)); -#28814=DIRECTION('center_axis',(0.,1.,0.)); -#28815=DIRECTION('ref_axis',(0.,0.,1.)); -#28816=DIRECTION('center_axis',(0.,1.,0.)); -#28817=DIRECTION('ref_axis',(0.,0.,1.)); -#28818=DIRECTION('',(0.,1.,0.)); -#28819=DIRECTION('center_axis',(0.,-1.,0.)); -#28820=DIRECTION('ref_axis',(0.,0.,1.)); -#28821=DIRECTION('center_axis',(0.707106781186556,0.,-0.707106781186539)); -#28822=DIRECTION('ref_axis',(-0.707106781186539,0.,-0.707106781186556)); -#28823=DIRECTION('',(0.707106781186539,0.,0.707106781186556)); -#28824=DIRECTION('',(0.,1.,0.)); -#28825=DIRECTION('',(0.707106781186539,0.,0.707106781186556)); -#28826=DIRECTION('',(0.,1.,0.)); -#28827=DIRECTION('',(-0.707106781186539,0.,-0.707106781186556)); -#28828=DIRECTION('',(0.,1.,0.)); -#28829=DIRECTION('',(-0.707106781186539,0.,-0.707106781186556)); -#28830=DIRECTION('',(0.,1.,0.)); -#28831=DIRECTION('center_axis',(1.,0.,0.)); -#28832=DIRECTION('ref_axis',(0.,0.,-1.)); -#28833=DIRECTION('',(0.,0.,1.)); -#28834=DIRECTION('',(0.,0.,-1.)); -#28835=DIRECTION('',(0.,1.,0.)); -#28836=DIRECTION('center_axis',(0.,1.,0.)); -#28837=DIRECTION('ref_axis',(0.,0.,1.)); -#28838=DIRECTION('center_axis',(0.,-1.,0.)); -#28839=DIRECTION('ref_axis',(1.29044040459782E-15,0.,1.)); -#28840=DIRECTION('center_axis',(0.,1.,0.)); -#28841=DIRECTION('ref_axis',(0.,0.,1.)); -#28842=DIRECTION('center_axis',(0.,1.,0.)); -#28843=DIRECTION('ref_axis',(0.,0.,1.)); -#28844=DIRECTION('center_axis',(0.,1.,0.)); -#28845=DIRECTION('ref_axis',(0.,0.,1.)); -#28846=DIRECTION('center_axis',(0.,-1.,0.)); -#28847=DIRECTION('ref_axis',(0.,0.,1.)); -#28848=DIRECTION('',(0.,1.,0.)); -#28849=DIRECTION('center_axis',(0.,1.,0.)); -#28850=DIRECTION('ref_axis',(0.,0.,1.)); -#28851=DIRECTION('center_axis',(0.,-1.,0.)); -#28852=DIRECTION('ref_axis',(0.,0.,1.)); -#28853=DIRECTION('center_axis',(0.,1.,0.)); -#28854=DIRECTION('ref_axis',(0.,0.,1.)); -#28855=DIRECTION('',(0.,1.,0.)); -#28856=DIRECTION('center_axis',(-1.,0.,0.)); -#28857=DIRECTION('ref_axis',(0.,0.,1.)); -#28858=DIRECTION('',(0.,0.,-1.)); -#28859=DIRECTION('',(0.,0.,1.)); -#28860=DIRECTION('',(0.,1.,0.)); -#28861=DIRECTION('center_axis',(-0.707106781186551,0.,-0.707106781186544)); -#28862=DIRECTION('ref_axis',(-0.707106781186544,0.,0.707106781186551)); -#28863=DIRECTION('',(0.707106781186544,0.,-0.707106781186551)); -#28864=DIRECTION('',(-0.707106781186544,0.,0.707106781186551)); -#28865=DIRECTION('',(0.,1.,0.)); -#28866=DIRECTION('',(-0.707106781186544,0.,0.707106781186551)); -#28867=DIRECTION('',(0.,1.,0.)); -#28868=DIRECTION('',(0.707106781186544,0.,-0.707106781186551)); -#28869=DIRECTION('',(0.,-1.,0.)); -#28870=DIRECTION('center_axis',(0.,0.,1.)); -#28871=DIRECTION('ref_axis',(1.,0.,0.)); -#28872=DIRECTION('',(0.,0.,1.)); -#28873=DIRECTION('center_axis',(0.,0.,1.)); -#28874=DIRECTION('ref_axis',(-1.,0.,0.)); -#28875=DIRECTION('',(0.,0.,1.)); -#28876=DIRECTION('center_axis',(0.,0.,1.)); -#28877=DIRECTION('ref_axis',(1.,0.,0.)); -#28878=DIRECTION('center_axis',(0.,0.,1.)); -#28879=DIRECTION('ref_axis',(1.,0.,0.)); -#28880=DIRECTION('',(0.,0.,1.)); -#28881=DIRECTION('center_axis',(0.,0.,1.)); -#28882=DIRECTION('ref_axis',(-1.,0.,0.)); -#28883=DIRECTION('',(0.,0.,1.)); -#28884=DIRECTION('center_axis',(0.,0.,1.)); -#28885=DIRECTION('ref_axis',(1.,0.,0.)); -#28886=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547)); -#28887=DIRECTION('ref_axis',(1.,0.,0.)); -#28888=DIRECTION('',(1.,0.,0.)); -#28889=DIRECTION('',(-1.,0.,0.)); -#28890=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547)); -#28891=DIRECTION('ref_axis',(-1.,0.,0.)); -#28892=DIRECTION('',(1.,0.,0.)); -#28893=DIRECTION('',(-1.,0.,0.)); -#28894=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547)); -#28895=DIRECTION('ref_axis',(1.,0.,0.)); -#28896=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); -#28897=DIRECTION('',(-1.,0.,0.)); -#28898=DIRECTION('',(0.,0.707106781186547,0.707106781186548)); -#28899=DIRECTION('',(1.,0.,0.)); -#28900=DIRECTION('',(1.,0.,6.93889390390725E-15)); -#28901=DIRECTION('',(1.,0.,0.)); -#28902=DIRECTION('',(1.,0.,6.93889390390723E-15)); -#28903=DIRECTION('',(1.,0.,0.)); -#28904=DIRECTION('',(1.,0.,6.93889390390723E-15)); -#28905=DIRECTION('',(1.,0.,0.)); -#28906=DIRECTION('center_axis',(0.,0.,-1.)); -#28907=DIRECTION('ref_axis',(-1.,0.,0.)); -#28908=DIRECTION('center_axis',(0.,0.,-1.)); -#28909=DIRECTION('ref_axis',(1.,0.,0.)); -#28910=DIRECTION('center_axis',(0.,0.,-1.)); -#28911=DIRECTION('ref_axis',(-1.,0.,0.)); -#28912=DIRECTION('center_axis',(0.,0.,-1.)); -#28913=DIRECTION('ref_axis',(1.,0.,0.)); -#28914=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547)); -#28915=DIRECTION('ref_axis',(1.,0.,0.)); -#28916=DIRECTION('',(-4.27313616335591E-5,-0.70710678054097,0.70710678054097)); -#28917=DIRECTION('',(1.,1.13752359080446E-16,0.)); -#28918=DIRECTION('',(-6.2803698347351E-15,-0.707106781186546,0.707106781186549)); -#28919=DIRECTION('',(-1.,-1.76806031857393E-14,0.)); -#28920=DIRECTION('',(-1.,1.86795023893182E-13,0.)); -#28921=DIRECTION('',(-1.,-1.60982338570648E-14,-3.1720657846433E-16)); -#28922=DIRECTION('',(-1.,8.99280649946377E-14,2.22044604925031E-15)); -#28923=DIRECTION('',(-1.,-4.82947015711943E-15,0.)); -#28924=DIRECTION('',(-1.,6.93889390390722E-15,0.)); -#28925=DIRECTION('',(-1.,0.,0.)); -#28926=DIRECTION('center_axis',(0.,0.,1.)); -#28927=DIRECTION('ref_axis',(1.,0.,0.)); -#28928=DIRECTION('',(0.,1.,0.)); -#28929=DIRECTION('',(1.,0.,0.)); -#28930=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); -#28931=DIRECTION('',(-1.,-9.37350581399571E-17,0.)); -#28932=DIRECTION('center_axis',(0.,0.,1.)); -#28933=DIRECTION('ref_axis',(1.,0.,0.)); -#28934=DIRECTION('',(1.21860740047958E-14,-1.,0.)); -#28935=DIRECTION('',(1.,3.62442224807834E-15,0.)); -#28936=DIRECTION('',(0.,-1.,0.)); -#28937=DIRECTION('',(-1.,-3.94255335449274E-16,0.)); -#28938=DIRECTION('',(0.,1.,0.)); -#28939=DIRECTION('',(1.,3.62442224807834E-15,0.)); -#28940=DIRECTION('',(0.,-1.,0.)); -#28941=DIRECTION('',(-1.,3.94255335449274E-16,0.)); -#28942=DIRECTION('',(0.,1.,0.)); -#28943=DIRECTION('',(1.,3.62442224807834E-15,0.)); -#28944=DIRECTION('',(-7.16827882635043E-15,1.,0.)); -#28945=DIRECTION('center_axis',(0.,0.,1.)); -#28946=DIRECTION('ref_axis',(1.,0.,0.)); -#28947=DIRECTION('',(-1.,-9.37350581399571E-17,0.)); -#28948=DIRECTION('',(-2.47817639425258E-15,1.,0.)); -#28949=DIRECTION('',(1.,0.,0.)); -#28950=DIRECTION('',(0.,-1.,0.)); -#28951=DIRECTION('',(-1.,-9.37350581399571E-17,0.)); -#28952=DIRECTION('',(-1.,0.,0.)); -#28953=DIRECTION('center_axis',(0.,0.,1.)); -#28954=DIRECTION('ref_axis',(1.,0.,0.)); -#28955=DIRECTION('',(0.,1.,0.)); -#28956=DIRECTION('center_axis',(0.,0.,1.)); -#28957=DIRECTION('ref_axis',(1.,0.,0.)); -#28958=DIRECTION('',(1.,0.,0.)); -#28959=DIRECTION('center_axis',(0.,0.,1.)); -#28960=DIRECTION('ref_axis',(1.,0.,0.)); -#28961=DIRECTION('',(0.,-1.,0.)); -#28962=DIRECTION('center_axis',(0.,0.,1.)); -#28963=DIRECTION('ref_axis',(1.,0.,0.)); -#28964=DIRECTION('center_axis',(0.,0.,1.)); -#28965=DIRECTION('ref_axis',(1.,0.,0.)); -#28966=DIRECTION('',(1.,3.62442224807834E-15,0.)); -#28967=DIRECTION('',(0.,-1.,0.)); -#28968=DIRECTION('',(-1.,-9.37350581399571E-17,0.)); -#28969=DIRECTION('center_axis',(0.,0.,1.)); -#28970=DIRECTION('ref_axis',(1.,0.,0.)); -#28971=DIRECTION('',(-7.16827882635043E-15,1.,0.)); -#28972=DIRECTION('center_axis',(0.,0.,1.)); -#28973=DIRECTION('ref_axis',(1.,0.,0.)); -#28974=DIRECTION('',(0.,0.,1.)); -#28975=DIRECTION('center_axis',(0.,0.,1.)); -#28976=DIRECTION('ref_axis',(1.,0.,0.)); -#28977=DIRECTION('',(0.,0.,1.)); -#28978=DIRECTION('center_axis',(-9.37350581399571E-17,1.,0.)); -#28979=DIRECTION('ref_axis',(-1.,-9.37350581399572E-17,0.)); -#28980=DIRECTION('',(0.,0.,-1.)); -#28981=DIRECTION('',(1.,0.,0.)); -#28982=DIRECTION('',(0.,0.,-1.)); -#28983=DIRECTION('',(1.,6.82961998416066E-17,0.)); -#28984=DIRECTION('',(0.,0.,-1.)); -#28985=DIRECTION('',(1.,0.,0.)); -#28986=DIRECTION('',(0.,0.,-1.)); -#28987=DIRECTION('',(1.,6.82961998416066E-17,0.)); -#28988=DIRECTION('',(0.,0.,-1.)); -#28989=DIRECTION('',(-1.,-9.37350581399571E-17,0.)); -#28990=DIRECTION('',(0.,0.,1.)); -#28991=DIRECTION('',(-1.,8.27936204443476E-15,0.)); -#28992=DIRECTION('',(0.,0.,1.)); -#28993=DIRECTION('',(0.,0.,1.)); -#28994=DIRECTION('',(-1.,-8.27936204443476E-15,0.)); -#28995=DIRECTION('',(0.,0.,1.)); -#28996=DIRECTION('',(0.,0.,1.)); -#28997=DIRECTION('',(0.,0.,-1.)); -#28998=DIRECTION('',(1.,6.82961998416066E-17,0.)); -#28999=DIRECTION('center_axis',(0.,0.,1.)); -#29000=DIRECTION('ref_axis',(1.,0.,0.)); -#29001=DIRECTION('',(0.,0.,1.)); -#29002=DIRECTION('center_axis',(1.,7.16827882635043E-15,0.)); -#29003=DIRECTION('ref_axis',(-7.16827882635043E-15,1.,0.)); -#29004=DIRECTION('',(0.,-1.,0.)); -#29005=DIRECTION('',(0.,0.,-1.)); -#29006=DIRECTION('center_axis',(3.62442224807834E-15,-1.,0.)); -#29007=DIRECTION('ref_axis',(1.,3.62442224807834E-15,0.)); -#29008=DIRECTION('',(0.,0.,1.)); -#29009=DIRECTION('',(0.,0.,1.)); -#29010=DIRECTION('',(1.,0.,0.)); -#29011=DIRECTION('',(0.,0.,1.)); -#29012=DIRECTION('',(-1.,3.67685954147255E-14,0.)); -#29013=DIRECTION('',(0.,0.,-1.)); -#29014=DIRECTION('',(1.,3.62442224807834E-15,0.)); -#29015=DIRECTION('',(0.,0.,-1.)); -#29016=DIRECTION('',(-1.,-3.41480999208033E-17,0.)); -#29017=DIRECTION('',(0.,0.,-1.)); -#29018=DIRECTION('',(-1.,-3.14261499271159E-16,0.)); -#29019=DIRECTION('',(0.,0.,1.)); -#29020=DIRECTION('',(1.,0.,0.)); -#29021=DIRECTION('center_axis',(-1.,-1.21860740047958E-14,0.)); -#29022=DIRECTION('ref_axis',(1.21860740047958E-14,-1.,0.)); -#29023=DIRECTION('',(1.21860740047958E-14,-1.,0.)); -#29024=DIRECTION('',(0.,1.,0.)); -#29025=DIRECTION('center_axis',(0.,0.,1.)); -#29026=DIRECTION('ref_axis',(1.,0.,0.)); -#29027=DIRECTION('',(0.,1.,0.)); -#29028=DIRECTION('center_axis',(1.,0.,0.)); -#29029=DIRECTION('ref_axis',(0.,0.,-1.)); -#29030=DIRECTION('',(0.,-1.,0.)); -#29031=DIRECTION('center_axis',(-1.,0.,0.)); -#29032=DIRECTION('ref_axis',(0.,0.,1.)); -#29033=DIRECTION('',(0.,1.,0.)); -#29034=DIRECTION('center_axis',(0.,0.,-1.)); -#29035=DIRECTION('ref_axis',(-1.,0.,0.)); -#29036=DIRECTION('',(0.,-1.,0.)); -#29037=DIRECTION('',(0.,1.,0.)); -#29038=DIRECTION('',(-1.,0.,0.)); -#29039=DIRECTION('',(0.,-1.,0.)); -#29040=DIRECTION('',(-1.51369680318725E-15,1.,0.)); -#29041=DIRECTION('',(-1.,0.,0.)); -#29042=DIRECTION('center_axis',(1.,2.47817639425258E-15,0.)); -#29043=DIRECTION('ref_axis',(-2.47817639425259E-15,1.,0.)); -#29044=DIRECTION('',(-2.47817639425258E-15,1.,0.)); -#29045=DIRECTION('',(0.,0.,1.)); -#29046=DIRECTION('center_axis',(0.,-1.,0.)); -#29047=DIRECTION('ref_axis',(0.,0.,-1.)); -#29048=DIRECTION('',(1.,0.,0.)); -#29049=DIRECTION('',(0.,0.,1.)); -#29050=DIRECTION('center_axis',(-1.,0.,0.)); -#29051=DIRECTION('ref_axis',(0.,0.,1.)); -#29052=DIRECTION('',(0.,-1.,0.)); -#29053=DIRECTION('center_axis',(0.,0.,-1.)); -#29054=DIRECTION('ref_axis',(-1.,0.,0.)); -#29055=DIRECTION('center_axis',(-1.,0.,0.)); -#29056=DIRECTION('ref_axis',(0.,0.,1.)); -#29057=DIRECTION('',(0.,-1.,0.)); -#29058=DIRECTION('',(0.,0.,1.)); -#29059=DIRECTION('center_axis',(-3.94255335449274E-16,1.,0.)); -#29060=DIRECTION('ref_axis',(-1.,-3.94255335449274E-16,0.)); -#29061=DIRECTION('',(-1.,-3.94255335449274E-16,0.)); -#29062=DIRECTION('',(0.,0.,1.)); -#29063=DIRECTION('center_axis',(1.,0.,0.)); -#29064=DIRECTION('ref_axis',(0.,0.,-1.)); -#29065=DIRECTION('',(0.,1.,0.)); -#29066=DIRECTION('center_axis',(0.,0.,-1.)); -#29067=DIRECTION('ref_axis',(-1.,0.,0.)); -#29068=DIRECTION('center_axis',(-1.,0.,0.)); -#29069=DIRECTION('ref_axis',(0.,0.,1.)); -#29070=DIRECTION('',(0.,-1.,0.)); -#29071=DIRECTION('',(0.,0.,1.)); -#29072=DIRECTION('center_axis',(3.94255335449274E-16,1.,0.)); -#29073=DIRECTION('ref_axis',(-1.,3.94255335449274E-16,0.)); -#29074=DIRECTION('',(-1.,3.94255335449274E-16,0.)); -#29075=DIRECTION('',(0.,0.,1.)); -#29076=DIRECTION('center_axis',(1.,0.,0.)); -#29077=DIRECTION('ref_axis',(0.,0.,-1.)); -#29078=DIRECTION('',(0.,1.,0.)); -#29079=DIRECTION('center_axis',(0.,0.,-1.)); -#29080=DIRECTION('ref_axis',(-1.,0.,0.)); -#29081=DIRECTION('center_axis',(1.,0.,0.)); -#29082=DIRECTION('ref_axis',(0.,0.,-1.)); -#29083=DIRECTION('',(0.,1.,0.)); -#29084=DIRECTION('',(0.,0.,1.)); -#29085=DIRECTION('center_axis',(0.,-1.,0.)); -#29086=DIRECTION('ref_axis',(0.,0.,-1.)); -#29087=DIRECTION('',(1.,0.,0.)); -#29088=DIRECTION('',(0.,0.,1.)); -#29089=DIRECTION('center_axis',(-1.,2.47817639425258E-15,0.)); -#29090=DIRECTION('ref_axis',(-2.47817639425259E-15,-1.,0.)); -#29091=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); -#29092=DIRECTION('center_axis',(0.,0.,-1.)); -#29093=DIRECTION('ref_axis',(-1.,0.,0.)); -#29094=DIRECTION('center_axis',(-1.,0.,0.)); -#29095=DIRECTION('ref_axis',(0.,0.,1.)); -#29096=DIRECTION('',(0.,1.,0.)); -#29097=DIRECTION('',(0.,0.,-1.)); -#29098=DIRECTION('center_axis',(0.,-1.,0.)); -#29099=DIRECTION('ref_axis',(0.,0.,-1.)); -#29100=DIRECTION('',(-1.,0.,0.)); -#29101=DIRECTION('',(0.,0.,-1.)); -#29102=DIRECTION('center_axis',(1.,0.,0.)); -#29103=DIRECTION('ref_axis',(0.,0.,-1.)); -#29104=DIRECTION('',(0.,-1.,0.)); -#29105=DIRECTION('center_axis',(0.,0.,1.)); -#29106=DIRECTION('ref_axis',(1.,0.,0.)); -#29107=DIRECTION('center_axis',(0.,-1.,0.)); -#29108=DIRECTION('ref_axis',(0.,0.,-1.)); -#29109=DIRECTION('',(0.,0.,-1.)); -#29110=DIRECTION('',(-1.,0.,0.)); -#29111=DIRECTION('',(0.,0.,-1.)); -#29112=DIRECTION('center_axis',(1.,0.,0.)); -#29113=DIRECTION('ref_axis',(0.,0.,-1.)); -#29114=DIRECTION('',(0.,-1.,0.)); -#29115=DIRECTION('center_axis',(-1.,-1.51369680318725E-15,0.)); -#29116=DIRECTION('ref_axis',(1.51369680318725E-15,-1.,0.)); -#29117=DIRECTION('',(0.,1.,0.)); -#29118=DIRECTION('center_axis',(0.,0.,-1.)); -#29119=DIRECTION('ref_axis',(-1.,0.,0.)); -#29120=DIRECTION('center_axis',(0.,0.,-1.)); -#29121=DIRECTION('ref_axis',(-1.,0.,0.)); -#29122=DIRECTION('',(0.,0.,-1.)); -#29123=DIRECTION('',(0.,0.,-1.)); -#29124=DIRECTION('center_axis',(0.,-1.,0.)); -#29125=DIRECTION('ref_axis',(0.,0.,-1.)); -#29126=DIRECTION('',(0.,0.,-1.)); -#29127=DIRECTION('center_axis',(0.,0.,-1.)); -#29128=DIRECTION('ref_axis',(-1.,0.,0.)); -#29129=DIRECTION('',(0.,0.,-1.)); -#29130=DIRECTION('center_axis',(1.,0.,0.)); -#29131=DIRECTION('ref_axis',(0.,0.,-1.)); -#29132=DIRECTION('',(0.,0.,-1.)); -#29133=DIRECTION('',(0.,1.,0.)); -#29134=DIRECTION('',(0.,0.,-1.)); -#29135=DIRECTION('',(0.,0.,-1.)); -#29136=DIRECTION('center_axis',(0.,0.,-1.)); -#29137=DIRECTION('ref_axis',(-1.,0.,0.)); -#29138=DIRECTION('',(0.,0.,-1.)); -#29139=DIRECTION('center_axis',(0.,1.,0.)); -#29140=DIRECTION('ref_axis',(0.,0.,1.)); -#29141=DIRECTION('',(0.,0.,-1.)); -#29142=DIRECTION('center_axis',(0.,0.,-1.)); -#29143=DIRECTION('ref_axis',(-1.,0.,0.)); -#29144=DIRECTION('',(0.,0.,-1.)); -#29145=DIRECTION('center_axis',(-1.,0.,0.)); -#29146=DIRECTION('ref_axis',(0.,0.,1.)); -#29147=DIRECTION('',(0.,0.,-1.)); -#29148=DIRECTION('',(0.,0.,-1.)); -#29149=DIRECTION('center_axis',(0.,1.,0.)); -#29150=DIRECTION('ref_axis',(0.,0.,1.)); -#29151=DIRECTION('',(7.13650808705843E-15,0.,-1.)); -#29152=DIRECTION('',(-1.,0.,-6.93889390390722E-15)); -#29153=DIRECTION('',(-6.77968268270551E-15,0.,1.)); -#29154=DIRECTION('',(-0.342020143325669,0.,0.939692620785908)); -#29155=DIRECTION('',(-0.342020143325669,0.,-0.939692620785908)); -#29156=DIRECTION('',(-0.694934829058951,0.,-0.719072724667545)); -#29157=DIRECTION('',(7.13650808705843E-15,0.,-1.)); -#29158=DIRECTION('',(-1.,0.,-6.93889390390722E-15)); -#29159=DIRECTION('',(-6.95809538488197E-15,0.,1.)); -#29160=DIRECTION('',(6.95809538488197E-15,0.,-1.)); -#29161=DIRECTION('',(-1.,0.,-6.93889390390725E-15)); -#29162=DIRECTION('',(-7.13650808705843E-15,0.,1.)); -#29163=DIRECTION('center_axis',(0.,-1.,0.)); -#29164=DIRECTION('ref_axis',(0.,0.,-1.)); -#29165=DIRECTION('',(0.,0.,-1.)); -#29166=DIRECTION('',(1.,0.,0.)); -#29167=DIRECTION('',(0.,0.,1.)); -#29168=DIRECTION('',(-0.694934829058951,0.,-0.719072724667545)); -#29169=DIRECTION('',(0.,0.,-1.)); -#29170=DIRECTION('center_axis',(0.,1.,0.)); -#29171=DIRECTION('ref_axis',(0.,0.,1.)); -#29172=DIRECTION('center_axis',(0.,-1.,0.)); -#29173=DIRECTION('ref_axis',(0.,0.,1.)); -#29174=DIRECTION('',(-0.342020143325669,0.,-0.939692620785908)); -#29175=DIRECTION('',(-0.342020143325669,0.,0.939692620785908)); -#29176=DIRECTION('center_axis',(0.,-1.,0.)); -#29177=DIRECTION('ref_axis',(0.,0.,1.)); -#29178=DIRECTION('center_axis',(0.,1.,0.)); -#29179=DIRECTION('ref_axis',(0.,0.,1.)); -#29180=DIRECTION('',(0.,0.,1.)); -#29181=DIRECTION('',(0.,0.,-1.)); -#29182=DIRECTION('',(1.,0.,0.)); -#29183=DIRECTION('',(0.,0.,1.)); -#29184=DIRECTION('',(0.,0.,-1.)); -#29185=DIRECTION('',(1.,0.,0.)); -#29186=DIRECTION('',(0.,0.,1.)); -#29187=DIRECTION('center_axis',(0.,0.,1.)); -#29188=DIRECTION('ref_axis',(1.,0.,0.)); -#29189=DIRECTION('',(-1.98254111540207E-15,-1.,0.)); -#29190=DIRECTION('',(0.,1.,0.)); -#29191=DIRECTION('center_axis',(0.939692620785908,0.,-0.342020143325669)); -#29192=DIRECTION('ref_axis',(-0.342020143325669,0.,-0.939692620785908)); -#29193=DIRECTION('center_axis',(-0.939692620785908,0.,-0.342020143325669)); -#29194=DIRECTION('ref_axis',(-0.342020143325669,0.,0.939692620785909)); -#29195=DIRECTION('center_axis',(0.,1.,0.)); -#29196=DIRECTION('ref_axis',(0.,0.,1.)); -#29197=DIRECTION('',(0.,1.,0.)); -#29198=DIRECTION('center_axis',(0.,1.,0.)); -#29199=DIRECTION('ref_axis',(0.,0.,1.)); -#29200=DIRECTION('center_axis',(1.,0.,0.)); -#29201=DIRECTION('ref_axis',(0.,0.,-1.)); -#29202=DIRECTION('',(0.,0.,1.)); -#29203=DIRECTION('',(0.,1.,0.)); -#29204=DIRECTION('center_axis',(0.,1.,0.)); -#29205=DIRECTION('ref_axis',(0.,0.,1.)); -#29206=DIRECTION('center_axis',(0.,-1.,0.)); -#29207=DIRECTION('ref_axis',(1.29044040459782E-15,0.,1.)); -#29208=DIRECTION('center_axis',(0.,1.,0.)); -#29209=DIRECTION('ref_axis',(0.,0.,1.)); -#29210=DIRECTION('center_axis',(0.,1.,0.)); -#29211=DIRECTION('ref_axis',(0.,0.,1.)); -#29212=DIRECTION('',(0.,1.,0.)); -#29213=DIRECTION('center_axis',(0.,1.,0.)); -#29214=DIRECTION('ref_axis',(0.,0.,1.)); -#29215=DIRECTION('center_axis',(0.,-1.,0.)); -#29216=DIRECTION('ref_axis',(0.,0.,1.)); -#29217=DIRECTION('',(0.,1.,0.)); -#29218=DIRECTION('center_axis',(-1.,0.,0.)); -#29219=DIRECTION('ref_axis',(0.,0.,1.)); -#29220=DIRECTION('',(0.,0.,-1.)); -#29221=DIRECTION('center_axis',(0.719072724667545,0.,-0.694934829058951)); -#29222=DIRECTION('ref_axis',(-0.694934829058951,0.,-0.719072724667545)); -#29223=DIRECTION('center_axis',(0.,0.,-1.)); -#29224=DIRECTION('ref_axis',(-1.,0.,0.)); -#29225=DIRECTION('center_axis',(0.,0.,-1.)); -#29226=DIRECTION('ref_axis',(-1.,0.,0.)); -#29227=DIRECTION('center_axis',(0.,0.,1.)); -#29228=DIRECTION('ref_axis',(1.,0.,0.)); -#29229=DIRECTION('',(1.,0.,0.)); -#29230=DIRECTION('',(0.,1.,0.)); -#29231=DIRECTION('',(1.,0.,0.)); -#29232=DIRECTION('center_axis',(0.,0.,-1.)); -#29233=DIRECTION('ref_axis',(-1.,0.,0.)); -#29234=DIRECTION('',(-1.,0.,0.)); -#29235=DIRECTION('',(0.,1.,0.)); -#29236=DIRECTION('',(-1.,0.,0.)); -#29237=DIRECTION('center_axis',(0.,1.,0.)); -#29238=DIRECTION('ref_axis',(0.,0.,1.)); -#29239=DIRECTION('',(0.,0.,1.)); -#29240=DIRECTION('center_axis',(0.,1.,0.)); -#29241=DIRECTION('ref_axis',(0.,0.,1.)); -#29242=DIRECTION('',(0.,0.,1.)); -#29243=DIRECTION('center_axis',(-1.,0.,0.)); -#29244=DIRECTION('ref_axis',(0.,0.,1.)); -#29245=DIRECTION('center_axis',(0.,0.,1.)); -#29246=DIRECTION('ref_axis',(1.,0.,0.)); -#29247=DIRECTION('',(1.,0.,0.)); -#29248=DIRECTION('',(0.,1.,0.)); -#29249=DIRECTION('',(1.,0.,0.)); -#29250=DIRECTION('center_axis',(0.,0.,-1.)); -#29251=DIRECTION('ref_axis',(-1.,0.,0.)); -#29252=DIRECTION('',(-1.,0.,0.)); -#29253=DIRECTION('',(0.,1.,0.)); -#29254=DIRECTION('',(-1.,0.,0.)); -#29255=DIRECTION('center_axis',(0.,1.,0.)); -#29256=DIRECTION('ref_axis',(0.,0.,1.)); -#29257=DIRECTION('',(0.,0.,-1.)); -#29258=DIRECTION('center_axis',(0.,1.,0.)); -#29259=DIRECTION('ref_axis',(0.,0.,1.)); -#29260=DIRECTION('',(0.,0.,-1.)); -#29261=DIRECTION('center_axis',(1.,0.,0.)); -#29262=DIRECTION('ref_axis',(0.,0.,-1.)); -#29263=DIRECTION('center_axis',(0.,0.,-1.)); -#29264=DIRECTION('ref_axis',(-1.,0.,0.)); -#29265=DIRECTION('',(0.,1.,0.)); -#29266=DIRECTION('',(-1.,0.,0.)); -#29267=DIRECTION('',(0.,1.,0.)); -#29268=DIRECTION('center_axis',(1.,0.,0.)); -#29269=DIRECTION('ref_axis',(0.,0.,-1.)); -#29270=DIRECTION('',(0.,0.,-1.)); -#29271=DIRECTION('',(0.,1.,0.)); -#29272=DIRECTION('center_axis',(0.,0.,1.)); -#29273=DIRECTION('ref_axis',(1.,0.,0.)); -#29274=DIRECTION('',(1.,0.,0.)); -#29275=DIRECTION('',(0.,1.,0.)); -#29276=DIRECTION('center_axis',(-1.,0.,0.)); -#29277=DIRECTION('ref_axis',(0.,0.,1.)); -#29278=DIRECTION('',(0.,0.,1.)); -#29279=DIRECTION('center_axis',(0.,1.,0.)); -#29280=DIRECTION('ref_axis',(0.,0.,1.)); -#29281=DIRECTION('center_axis',(0.,0.,-1.)); -#29282=DIRECTION('ref_axis',(-1.,0.,0.)); -#29283=DIRECTION('',(0.,1.,0.)); -#29284=DIRECTION('',(-1.,0.,0.)); -#29285=DIRECTION('',(0.,1.,0.)); -#29286=DIRECTION('center_axis',(1.,0.,0.)); -#29287=DIRECTION('ref_axis',(0.,0.,-1.)); -#29288=DIRECTION('',(0.,0.,-1.)); -#29289=DIRECTION('',(0.,1.,0.)); -#29290=DIRECTION('center_axis',(0.,0.,1.)); -#29291=DIRECTION('ref_axis',(1.,0.,0.)); -#29292=DIRECTION('',(1.,0.,0.)); -#29293=DIRECTION('',(0.,1.,0.)); -#29294=DIRECTION('center_axis',(-1.,0.,0.)); -#29295=DIRECTION('ref_axis',(0.,0.,1.)); -#29296=DIRECTION('',(0.,0.,1.)); -#29297=DIRECTION('center_axis',(0.,1.,0.)); -#29298=DIRECTION('ref_axis',(0.,0.,1.)); -#29299=DIRECTION('center_axis',(0.,0.,-1.)); -#29300=DIRECTION('ref_axis',(-1.,0.,0.)); -#29301=DIRECTION('',(0.,1.,0.)); -#29302=DIRECTION('',(-1.,0.,0.)); -#29303=DIRECTION('',(0.,1.,0.)); -#29304=DIRECTION('center_axis',(1.,0.,0.)); -#29305=DIRECTION('ref_axis',(0.,0.,-1.)); -#29306=DIRECTION('',(0.,0.,-1.)); -#29307=DIRECTION('',(0.,1.,0.)); -#29308=DIRECTION('center_axis',(0.,0.,1.)); -#29309=DIRECTION('ref_axis',(1.,0.,0.)); -#29310=DIRECTION('',(1.,0.,0.)); -#29311=DIRECTION('',(0.,1.,0.)); -#29312=DIRECTION('center_axis',(-1.,0.,0.)); -#29313=DIRECTION('ref_axis',(0.,0.,1.)); -#29314=DIRECTION('',(0.,0.,1.)); -#29315=DIRECTION('center_axis',(0.,1.,0.)); -#29316=DIRECTION('ref_axis',(0.,0.,1.)); -#29317=DIRECTION('center_axis',(-1.,0.,-7.13650808705843E-15)); -#29318=DIRECTION('ref_axis',(-7.13650808705843E-15,0.,1.)); -#29319=DIRECTION('',(0.,-1.,0.)); -#29320=DIRECTION('',(7.13650808705843E-15,0.,-1.)); -#29321=DIRECTION('',(0.,-1.,0.)); -#29322=DIRECTION('center_axis',(-6.93889390390722E-15,0.,1.)); -#29323=DIRECTION('ref_axis',(1.,0.,6.93889390390722E-15)); -#29324=DIRECTION('',(-1.,0.,-6.93889390390722E-15)); -#29325=DIRECTION('',(0.,-1.,0.)); -#29326=DIRECTION('center_axis',(1.,0.,6.95809538488197E-15)); -#29327=DIRECTION('ref_axis',(6.95809538488197E-15,0.,-1.)); -#29328=DIRECTION('',(-6.95809538488197E-15,0.,1.)); -#29329=DIRECTION('',(0.,-1.,0.)); -#29330=DIRECTION('center_axis',(6.93889390390723E-15,0.,-1.)); -#29331=DIRECTION('ref_axis',(-1.,0.,-6.93889390390724E-15)); -#29332=DIRECTION('',(1.,0.,6.93889390390723E-15)); -#29333=DIRECTION('center_axis',(0.,-1.,0.)); -#29334=DIRECTION('ref_axis',(0.,0.,-1.)); -#29335=DIRECTION('center_axis',(-1.,0.,-6.95809538488197E-15)); -#29336=DIRECTION('ref_axis',(-6.95809538488197E-15,0.,1.)); -#29337=DIRECTION('',(0.,-1.,0.)); -#29338=DIRECTION('',(6.95809538488197E-15,0.,-1.)); -#29339=DIRECTION('',(0.,-1.,0.)); -#29340=DIRECTION('center_axis',(-6.93889390390725E-15,0.,1.)); -#29341=DIRECTION('ref_axis',(1.,0.,6.93889390390725E-15)); -#29342=DIRECTION('',(-1.,0.,-6.93889390390725E-15)); -#29343=DIRECTION('',(0.,-1.,0.)); -#29344=DIRECTION('center_axis',(1.,0.,7.13650808705843E-15)); -#29345=DIRECTION('ref_axis',(7.13650808705843E-15,0.,-1.)); -#29346=DIRECTION('',(-7.13650808705843E-15,0.,1.)); -#29347=DIRECTION('',(0.,-1.,0.)); -#29348=DIRECTION('center_axis',(6.93889390390723E-15,0.,-1.)); -#29349=DIRECTION('ref_axis',(-1.,0.,-6.93889390390724E-15)); -#29350=DIRECTION('',(1.,0.,6.93889390390723E-15)); -#29351=DIRECTION('center_axis',(0.,-1.,0.)); -#29352=DIRECTION('ref_axis',(0.,0.,-1.)); -#29353=DIRECTION('center_axis',(-1.,0.,-7.13650808705843E-15)); -#29354=DIRECTION('ref_axis',(-7.13650808705843E-15,0.,1.)); -#29355=DIRECTION('',(0.,-1.,0.)); -#29356=DIRECTION('',(7.13650808705843E-15,0.,-1.)); -#29357=DIRECTION('',(0.,-1.,0.)); -#29358=DIRECTION('center_axis',(-6.93889390390722E-15,0.,1.)); -#29359=DIRECTION('ref_axis',(1.,0.,6.93889390390722E-15)); -#29360=DIRECTION('',(-1.,0.,-6.93889390390722E-15)); -#29361=DIRECTION('',(0.,-1.,0.)); -#29362=DIRECTION('center_axis',(1.,0.,6.77968268270551E-15)); -#29363=DIRECTION('ref_axis',(6.77968268270551E-15,0.,-1.)); -#29364=DIRECTION('',(-6.77968268270551E-15,0.,1.)); -#29365=DIRECTION('',(0.,-1.,0.)); -#29366=DIRECTION('center_axis',(6.93889390390725E-15,0.,-1.)); -#29367=DIRECTION('ref_axis',(-1.,0.,-6.93889390390725E-15)); -#29368=DIRECTION('',(1.,0.,6.93889390390725E-15)); -#29369=DIRECTION('center_axis',(0.,-1.,0.)); -#29370=DIRECTION('ref_axis',(0.,0.,-1.)); -#29371=DIRECTION('center_axis',(-1.,0.,0.)); -#29372=DIRECTION('ref_axis',(0.,0.,1.)); -#29373=DIRECTION('',(0.,0.,-1.)); -#29374=DIRECTION('',(0.,-1.,0.)); -#29375=DIRECTION('',(0.,0.,-1.)); -#29376=DIRECTION('',(0.,-1.,0.)); -#29377=DIRECTION('center_axis',(0.,0.,1.)); -#29378=DIRECTION('ref_axis',(1.,0.,0.)); -#29379=DIRECTION('',(-1.,0.,0.)); -#29380=DIRECTION('',(0.,-1.,0.)); -#29381=DIRECTION('',(-1.,0.,0.)); -#29382=DIRECTION('center_axis',(1.,0.,0.)); -#29383=DIRECTION('ref_axis',(0.,0.,-1.)); -#29384=DIRECTION('',(0.,0.,1.)); -#29385=DIRECTION('',(0.,-1.,0.)); -#29386=DIRECTION('',(0.,0.,1.)); -#29387=DIRECTION('center_axis',(0.,0.,-1.)); -#29388=DIRECTION('ref_axis',(-1.,0.,0.)); -#29389=DIRECTION('',(1.,0.,0.)); -#29390=DIRECTION('',(1.,0.,0.)); -#29391=DIRECTION('center_axis',(0.,1.,0.)); -#29392=DIRECTION('ref_axis',(0.,0.,1.)); -#29393=DIRECTION('center_axis',(0.,1.,0.)); -#29394=DIRECTION('ref_axis',(0.,0.,1.)); -#29395=DIRECTION('center_axis',(-1.,0.,0.)); -#29396=DIRECTION('ref_axis',(0.,0.,1.)); -#29397=DIRECTION('',(0.,0.,-1.)); -#29398=DIRECTION('',(0.,-1.,0.)); -#29399=DIRECTION('',(0.,0.,-1.)); -#29400=DIRECTION('',(0.,-1.,0.)); -#29401=DIRECTION('center_axis',(0.,0.,1.)); -#29402=DIRECTION('ref_axis',(1.,0.,0.)); -#29403=DIRECTION('',(-1.,0.,0.)); -#29404=DIRECTION('',(0.,-1.,0.)); -#29405=DIRECTION('',(-1.,0.,0.)); -#29406=DIRECTION('center_axis',(1.,0.,0.)); -#29407=DIRECTION('ref_axis',(0.,0.,-1.)); -#29408=DIRECTION('',(0.,0.,1.)); -#29409=DIRECTION('',(0.,-1.,0.)); -#29410=DIRECTION('',(0.,0.,1.)); -#29411=DIRECTION('center_axis',(0.,0.,-1.)); -#29412=DIRECTION('ref_axis',(-1.,0.,0.)); -#29413=DIRECTION('',(1.,0.,0.)); -#29414=DIRECTION('',(1.,0.,0.)); -#29415=DIRECTION('center_axis',(0.,1.,0.)); -#29416=DIRECTION('ref_axis',(0.,0.,1.)); -#29417=DIRECTION('center_axis',(0.,1.,0.)); -#29418=DIRECTION('ref_axis',(0.,0.,1.)); -#29419=DIRECTION('center_axis',(-1.,0.,0.)); -#29420=DIRECTION('ref_axis',(0.,0.,1.)); -#29421=DIRECTION('',(0.,0.,-1.)); -#29422=DIRECTION('',(0.,-1.,0.)); -#29423=DIRECTION('',(0.,0.,-1.)); -#29424=DIRECTION('',(0.,-1.,0.)); -#29425=DIRECTION('center_axis',(0.,0.,1.)); -#29426=DIRECTION('ref_axis',(1.,0.,0.)); -#29427=DIRECTION('',(-1.,0.,0.)); -#29428=DIRECTION('',(0.,-1.,0.)); -#29429=DIRECTION('',(-1.,0.,0.)); -#29430=DIRECTION('center_axis',(1.,0.,0.)); -#29431=DIRECTION('ref_axis',(0.,0.,-1.)); -#29432=DIRECTION('',(0.,0.,1.)); -#29433=DIRECTION('',(0.,-1.,0.)); -#29434=DIRECTION('',(0.,0.,1.)); -#29435=DIRECTION('center_axis',(0.,0.,-1.)); -#29436=DIRECTION('ref_axis',(-1.,0.,0.)); -#29437=DIRECTION('',(1.,0.,0.)); -#29438=DIRECTION('',(1.,0.,0.)); -#29439=DIRECTION('center_axis',(0.,1.,0.)); -#29440=DIRECTION('ref_axis',(0.,0.,1.)); -#29441=DIRECTION('center_axis',(0.,1.,0.)); -#29442=DIRECTION('ref_axis',(0.,0.,1.)); -#29443=DIRECTION('center_axis',(6.59949148469437E-15,0.,-1.)); -#29444=DIRECTION('ref_axis',(-1.,0.,-6.59949148469437E-15)); -#29445=DIRECTION('',(-1.,0.,-6.59949148469437E-15)); -#29446=DIRECTION('',(0.,1.,0.)); -#29447=DIRECTION('',(-1.,0.,-6.59949148469437E-15)); -#29448=DIRECTION('',(0.,1.,0.)); -#29449=DIRECTION('center_axis',(1.,0.,7.10576680312065E-15)); -#29450=DIRECTION('ref_axis',(7.10576680312065E-15,0.,-1.)); -#29451=DIRECTION('',(7.10576680312065E-15,0.,-1.)); -#29452=DIRECTION('',(0.,1.,0.)); -#29453=DIRECTION('',(7.10576680312065E-15,0.,-1.)); -#29454=DIRECTION('center_axis',(-7.54227598250788E-15,0.,1.)); -#29455=DIRECTION('ref_axis',(1.,0.,7.54227598250788E-15)); -#29456=DIRECTION('',(1.,0.,7.54227598250788E-15)); -#29457=DIRECTION('',(0.,1.,0.)); -#29458=DIRECTION('',(1.,0.,7.54227598250788E-15)); -#29459=DIRECTION('center_axis',(-1.,0.,-6.74598114220315E-15)); -#29460=DIRECTION('ref_axis',(-6.74598114220315E-15,0.,1.)); -#29461=DIRECTION('',(-6.74598114220315E-15,0.,1.)); -#29462=DIRECTION('',(-6.74598114220315E-15,0.,1.)); -#29463=DIRECTION('center_axis',(0.,-1.,0.)); -#29464=DIRECTION('ref_axis',(0.,0.,-1.)); -#29465=DIRECTION('center_axis',(0.,-1.,0.)); -#29466=DIRECTION('ref_axis',(0.,0.,-1.)); -#29467=DIRECTION('center_axis',(6.59949148469439E-15,0.,-1.)); -#29468=DIRECTION('ref_axis',(-1.,0.,-6.5994914846944E-15)); -#29469=DIRECTION('',(-1.,0.,-6.59949148469439E-15)); -#29470=DIRECTION('',(0.,1.,0.)); -#29471=DIRECTION('',(-1.,0.,-6.59949148469439E-15)); -#29472=DIRECTION('',(0.,1.,0.)); -#29473=DIRECTION('center_axis',(1.,0.,7.01582038789127E-15)); -#29474=DIRECTION('ref_axis',(7.01582038789127E-15,0.,-1.)); -#29475=DIRECTION('',(7.01582038789127E-15,0.,-1.)); -#29476=DIRECTION('',(0.,1.,0.)); -#29477=DIRECTION('',(7.01582038789127E-15,0.,-1.)); -#29478=DIRECTION('center_axis',(-7.54227598250787E-15,0.,1.)); -#29479=DIRECTION('ref_axis',(1.,0.,7.54227598250787E-15)); -#29480=DIRECTION('',(1.,0.,7.54227598250787E-15)); -#29481=DIRECTION('',(0.,1.,0.)); -#29482=DIRECTION('',(1.,0.,7.54227598250787E-15)); -#29483=DIRECTION('center_axis',(-1.,0.,-7.19571321835003E-15)); -#29484=DIRECTION('ref_axis',(-7.19571321835003E-15,0.,1.)); -#29485=DIRECTION('',(-7.19571321835003E-15,0.,1.)); -#29486=DIRECTION('',(-7.19571321835003E-15,0.,1.)); -#29487=DIRECTION('center_axis',(0.,-1.,0.)); -#29488=DIRECTION('ref_axis',(0.,0.,-1.)); -#29489=DIRECTION('center_axis',(0.,-1.,0.)); -#29490=DIRECTION('ref_axis',(0.,0.,-1.)); -#29491=DIRECTION('center_axis',(6.59949148469437E-15,0.,-1.)); -#29492=DIRECTION('ref_axis',(-1.,0.,-6.59949148469437E-15)); -#29493=DIRECTION('',(-1.,0.,-6.59949148469437E-15)); -#29494=DIRECTION('',(0.,1.,0.)); -#29495=DIRECTION('',(-1.,0.,-6.59949148469437E-15)); -#29496=DIRECTION('',(0.,1.,0.)); -#29497=DIRECTION('center_axis',(1.,0.,7.19571321835002E-15)); -#29498=DIRECTION('ref_axis',(7.19571321835002E-15,0.,-1.)); -#29499=DIRECTION('',(7.19571321835003E-15,0.,-1.)); -#29500=DIRECTION('',(0.,1.,0.)); -#29501=DIRECTION('',(7.19571321835003E-15,0.,-1.)); -#29502=DIRECTION('center_axis',(-7.54227598250787E-15,0.,1.)); -#29503=DIRECTION('ref_axis',(1.,0.,7.54227598250787E-15)); -#29504=DIRECTION('',(1.,0.,7.54227598250787E-15)); -#29505=DIRECTION('',(0.,1.,0.)); -#29506=DIRECTION('',(1.,0.,7.54227598250787E-15)); -#29507=DIRECTION('center_axis',(-1.,0.,-7.01582038789127E-15)); -#29508=DIRECTION('ref_axis',(-7.01582038789127E-15,0.,1.)); -#29509=DIRECTION('',(-7.01582038789127E-15,0.,1.)); -#29510=DIRECTION('',(-7.01582038789127E-15,0.,1.)); -#29511=DIRECTION('center_axis',(0.,-1.,0.)); -#29512=DIRECTION('ref_axis',(0.,0.,-1.)); -#29513=DIRECTION('center_axis',(0.,-1.,0.)); -#29514=DIRECTION('ref_axis',(0.,0.,-1.)); -#29515=DIRECTION('axis',(0.,0.,1.)); -#29516=DIRECTION('refdir',(1.,0.,0.)); -#29517=DIRECTION('center_axis',(1.56541691068539E-15,1.,3.01488330373916E-49)); -#29518=DIRECTION('ref_axis',(-1.,1.56541691068539E-15,-8.67361737988792E-19)); -#29519=DIRECTION('',(-1.,1.56541691068539E-15,-8.67361737988792E-19)); -#29520=DIRECTION('',(-1.92592994438724E-34,-7.59645419660784E-65,1.)); -#29521=DIRECTION('',(-1.,1.56541691068539E-15,-8.67361737988792E-19)); -#29522=DIRECTION('',(-1.92592994438724E-34,-7.59645419660784E-65,1.)); -#29523=DIRECTION('center_axis',(1.,-1.66325546760323E-15,1.92592994438724E-34)); -#29524=DIRECTION('ref_axis',(1.66325546760323E-15,1.,-2.77555756156288E-16)); -#29525=DIRECTION('',(1.66325546760323E-15,1.,-2.77555756156288E-16)); -#29526=DIRECTION('',(-1.92592994438724E-34,-7.59645419660784E-65,1.)); -#29527=DIRECTION('',(1.66325546760323E-15,1.,-2.77555756156288E-16)); -#29528=DIRECTION('center_axis',(-2.34812536602809E-15,-1.,-4.52232495560874E-49)); -#29529=DIRECTION('ref_axis',(1.,-2.34812536602809E-15,8.67361737989008E-19)); -#29530=DIRECTION('',(1.,-2.34812536602809E-15,8.67361737989009E-19)); -#29531=DIRECTION('',(-1.92592994438724E-34,-7.59645419660784E-65,1.)); -#29532=DIRECTION('',(1.,-2.34812536602809E-15,8.67361737989008E-19)); -#29533=DIRECTION('center_axis',(-1.,2.07906933450404E-15,-1.92592994438724E-34)); -#29534=DIRECTION('ref_axis',(-2.07906933450404E-15,-1.,2.77555756156288E-16)); -#29535=DIRECTION('',(-2.07906933450404E-15,-1.,2.77555756156288E-16)); -#29536=DIRECTION('',(-2.07906933450404E-15,-1.,2.77555756156288E-16)); -#29537=DIRECTION('center_axis',(-1.92592994438724E-34,-7.59645419660784E-65, -1.)); -#29538=DIRECTION('ref_axis',(1.,0.,1.92592994438724E-34)); -#29539=DIRECTION('center_axis',(1.,-1.78098740665756E-15,1.92592994438724E-34)); -#29540=DIRECTION('ref_axis',(1.78098740665756E-15,1.,-2.77555756156288E-16)); -#29541=DIRECTION('',(-1.78098740665756E-15,-1.,2.77555756156288E-16)); -#29542=DIRECTION('',(-1.92592994438724E-34,-7.59645419660784E-65,1.)); -#29543=DIRECTION('',(1.78098740665756E-15,1.,-2.77555756156288E-16)); -#29544=DIRECTION('',(-1.92592994438724E-34,-7.59645419660784E-65,1.)); -#29545=DIRECTION('center_axis',(-1.3690611479E-15,-1.,-2.63671586043777E-49)); -#29546=DIRECTION('ref_axis',(1.,-1.3690611479E-15,8.67361737988737E-19)); -#29547=DIRECTION('',(-1.,1.3690611479E-15,-8.67361737988737E-19)); -#29548=DIRECTION('',(1.,-1.3690611479E-15,8.67361737988737E-19)); -#29549=DIRECTION('',(-1.92592994438724E-34,-7.59645419660784E-65,1.)); -#29550=DIRECTION('center_axis',(-1.,1.6028886659918E-15,-1.92592994438724E-34)); -#29551=DIRECTION('ref_axis',(-1.6028886659918E-15,-1.,2.77555756156288E-16)); -#29552=DIRECTION('',(1.6028886659918E-15,1.,-2.77555756156288E-16)); -#29553=DIRECTION('',(-1.6028886659918E-15,-1.,2.77555756156288E-16)); -#29554=DIRECTION('',(-1.92592994438724E-34,-7.59645419660784E-65,1.)); -#29555=DIRECTION('center_axis',(1.3690611479E-15,1.,2.63671586043777E-49)); -#29556=DIRECTION('ref_axis',(-1.,1.3690611479E-15,-8.67361737988737E-19)); -#29557=DIRECTION('',(1.,-1.3690611479E-15,8.67361737988737E-19)); -#29558=DIRECTION('',(-1.,1.3690611479E-15,-8.67361737988737E-19)); -#29559=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29560=DIRECTION('ref_axis',(1.,-4.81482486096778E-34,8.67361737988357E-19)); -#29561=DIRECTION('center_axis',(-1.92592994438724E-34,-7.59645419660784E-65, -1.)); -#29562=DIRECTION('ref_axis',(1.,0.,1.92592994438724E-34)); -#29563=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29564=DIRECTION('ref_axis',(1.,0.,8.67361737988357E-19)); -#29565=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29566=DIRECTION('ref_axis',(1.,0.,0.)); -#29567=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29568=DIRECTION('ref_axis',(1.,0.,8.67361737988357E-19)); -#29569=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29570=DIRECTION('ref_axis',(1.,0.,0.)); -#29571=DIRECTION('center_axis',(1.49034199141602E-15,1.,0.)); -#29572=DIRECTION('ref_axis',(-1.,1.49034199141602E-15,0.)); -#29573=DIRECTION('',(1.,-1.49034199141602E-15,0.)); -#29574=DIRECTION('',(0.,0.,1.)); -#29575=DIRECTION('',(-1.,1.49034199141602E-15,0.)); -#29576=DIRECTION('',(0.,0.,1.)); -#29577=DIRECTION('center_axis',(-1.,1.4542283659067E-15,0.)); -#29578=DIRECTION('ref_axis',(-1.4542283659067E-15,-1.,0.)); -#29579=DIRECTION('',(1.4542283659067E-15,1.,0.)); -#29580=DIRECTION('',(0.,0.,1.)); -#29581=DIRECTION('',(-1.4542283659067E-15,-1.,0.)); -#29582=DIRECTION('center_axis',(1.44024662070968E-15,1.,0.)); -#29583=DIRECTION('ref_axis',(-1.,1.44024662070968E-15,0.)); -#29584=DIRECTION('',(1.,-1.44024662070968E-15,0.)); -#29585=DIRECTION('',(0.,0.,1.)); -#29586=DIRECTION('',(-1.,1.44024662070968E-15,0.)); -#29587=DIRECTION('center_axis',(1.,-7.2711418295335E-16,0.)); -#29588=DIRECTION('ref_axis',(7.2711418295335E-16,1.,0.)); -#29589=DIRECTION('',(-7.2711418295335E-16,-1.,0.)); -#29590=DIRECTION('',(0.,0.,1.)); -#29591=DIRECTION('',(7.2711418295335E-16,1.,0.)); -#29592=DIRECTION('center_axis',(1.49034199141602E-15,1.,0.)); -#29593=DIRECTION('ref_axis',(-1.,1.49034199141602E-15,0.)); -#29594=DIRECTION('',(1.,-1.49034199141602E-15,0.)); -#29595=DIRECTION('',(0.,0.,1.)); -#29596=DIRECTION('',(-1.,1.49034199141602E-15,0.)); -#29597=DIRECTION('center_axis',(1.92592994438724E-34,1.0021632656276E-34, -1.)); -#29598=DIRECTION('ref_axis',(1.,0.,-1.92592994438724E-34)); -#29599=DIRECTION('',(-1.78098740665756E-15,-1.,1.00216326562761E-34)); -#29600=DIRECTION('',(1.,-1.3690611479E-15,-1.92592994438724E-34)); -#29601=DIRECTION('',(-1.6028886659918E-15,-1.,1.0021632656276E-34)); -#29602=DIRECTION('',(-1.,1.3690611479E-15,1.92592994438724E-34)); -#29603=DIRECTION('center_axis',(-1.,2.40741243048391E-34,0.)); -#29604=DIRECTION('ref_axis',(-2.40741243048391E-34,-1.,2.77555756156288E-16)); -#29605=DIRECTION('',(-1.6028886659918E-15,-1.,1.0021632656276E-34)); -#29606=DIRECTION('',(0.,0.,1.)); -#29607=DIRECTION('',(-2.40741243048391E-34,-1.,2.77555756156288E-16)); -#29608=DIRECTION('',(0.,0.,1.)); -#29609=DIRECTION('',(2.40741243048391E-34,1.,-2.77555756156288E-16)); -#29610=DIRECTION('',(0.,0.,1.)); -#29611=DIRECTION('',(0.,0.,1.)); -#29612=DIRECTION('',(-1.6028886659918E-15,-1.,1.0021632656276E-34)); -#29613=DIRECTION('center_axis',(-1.6065242733594E-15,-1.,0.)); -#29614=DIRECTION('ref_axis',(1.,-1.6065242733594E-15,0.)); -#29615=DIRECTION('',(-1.,1.6065242733594E-15,0.)); -#29616=DIRECTION('',(0.,0.,1.)); -#29617=DIRECTION('',(1.,-1.6065242733594E-15,0.)); -#29618=DIRECTION('',(0.,0.,1.)); -#29619=DIRECTION('center_axis',(1.,-1.28623399194377E-15,0.)); -#29620=DIRECTION('ref_axis',(1.28623399194377E-15,1.,0.)); -#29621=DIRECTION('',(-1.28623399194377E-15,-1.,0.)); -#29622=DIRECTION('',(0.,0.,-1.)); -#29623=DIRECTION('',(1.28623399194377E-15,1.,0.)); -#29624=DIRECTION('center_axis',(-1.,1.4893235696191E-15,0.)); -#29625=DIRECTION('ref_axis',(-1.4893235696191E-15,-1.,0.)); -#29626=DIRECTION('',(1.4893235696191E-15,1.,0.)); -#29627=DIRECTION('',(-1.4893235696191E-15,-1.,0.)); -#29628=DIRECTION('',(0.,0.,1.)); -#29629=DIRECTION('center_axis',(-1.3690611479E-15,-1.,0.)); -#29630=DIRECTION('ref_axis',(1.,-1.3690611479E-15,8.67361737988737E-19)); -#29631=DIRECTION('',(1.,-1.3690611479E-15,0.)); -#29632=DIRECTION('',(0.,0.,1.)); -#29633=DIRECTION('',(1.,-1.3690611479E-15,8.67361737988737E-19)); -#29634=DIRECTION('center_axis',(-1.3690611479E-15,-1.,0.)); -#29635=DIRECTION('ref_axis',(1.,-1.3690611479E-15,8.67361737988737E-19)); -#29636=DIRECTION('',(1.,-1.3690611479E-15,8.67361737988737E-19)); -#29637=DIRECTION('',(1.,-1.3690611479E-15,0.)); -#29638=DIRECTION('center_axis',(0.,0.,1.)); -#29639=DIRECTION('ref_axis',(1.,0.,0.)); -#29640=DIRECTION('',(1.78098740665756E-15,1.,-1.00216326562761E-34)); -#29641=DIRECTION('center_axis',(1.3690611479E-15,1.,0.)); -#29642=DIRECTION('ref_axis',(-1.,1.3690611479E-15,-8.67361737988737E-19)); -#29643=DIRECTION('',(0.,0.,1.)); -#29644=DIRECTION('',(-1.,1.3690611479E-15,-8.67361737988737E-19)); -#29645=DIRECTION('',(0.,0.,1.)); -#29646=DIRECTION('center_axis',(0.,0.,1.)); -#29647=DIRECTION('ref_axis',(1.,0.,0.)); -#29648=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29649=DIRECTION('ref_axis',(1.,0.,8.67361737988357E-19)); -#29650=DIRECTION('',(0.,0.,1.)); -#29651=DIRECTION('center_axis',(-8.67361737988357E-19,2.77555756156288E-16, -1.)); -#29652=DIRECTION('ref_axis',(1.,0.,8.67361737988357E-19)); -#29653=DIRECTION('',(0.,0.,1.)); -#29654=DIRECTION('center_axis',(0.,-1.,0.)); -#29655=DIRECTION('ref_axis',(1.,0.,8.67361737988357E-19)); -#29656=DIRECTION('',(1.,0.,8.67361737988357E-19)); -#29657=DIRECTION('',(1.,0.,8.67361737988357E-19)); -#29658=DIRECTION('',(0.,0.,1.)); -#29659=DIRECTION('center_axis',(0.,0.,1.)); -#29660=DIRECTION('ref_axis',(-2.40741243048391E-34,-1.,0.)); -#29661=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29662=DIRECTION('ref_axis',(-2.40741243048391E-34,-1.,2.77555756156288E-16)); -#29663=DIRECTION('center_axis',(-8.67361737988357E-19,2.77555756156288E-16, -1.)); -#29664=DIRECTION('ref_axis',(-2.40741243048391E-34,-1.,2.77555756156288E-16)); -#29665=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29666=DIRECTION('ref_axis',(1.,0.,8.67361737988357E-19)); -#29667=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29668=DIRECTION('ref_axis',(1.,0.,8.67361737988357E-19)); -#29669=DIRECTION('',(1.78098740665756E-15,1.,-1.00216326562761E-34)); -#29670=DIRECTION('center_axis',(-1.3690611479E-15,-1.,0.)); -#29671=DIRECTION('ref_axis',(1.,-1.3690611479E-15,8.67361737988737E-19)); -#29672=DIRECTION('',(1.,-1.3690611479E-15,8.67361737988737E-19)); -#29673=DIRECTION('',(0.,0.,1.)); -#29674=DIRECTION('center_axis',(0.,0.,1.)); -#29675=DIRECTION('ref_axis',(1.,0.,0.)); -#29676=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29677=DIRECTION('ref_axis',(1.,0.,8.67361737988357E-19)); -#29678=DIRECTION('',(0.,0.,-1.)); -#29679=DIRECTION('center_axis',(0.,0.,1.)); -#29680=DIRECTION('ref_axis',(1.,0.,0.)); -#29681=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29682=DIRECTION('ref_axis',(1.,0.,8.67361737988357E-19)); -#29683=DIRECTION('',(0.,0.,-1.)); -#29684=DIRECTION('center_axis',(0.,0.,1.)); -#29685=DIRECTION('ref_axis',(-1.,0.,0.)); -#29686=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29687=DIRECTION('ref_axis',(-1.,0.,-8.67361737988357E-19)); -#29688=DIRECTION('center_axis',(-8.67361737988357E-19,2.77555756156288E-16, -1.)); -#29689=DIRECTION('ref_axis',(-1.,0.,-8.67361737988357E-19)); -#29690=DIRECTION('',(0.,0.,1.)); -#29691=DIRECTION('center_axis',(2.31630400196134E-12,1.,0.)); -#29692=DIRECTION('ref_axis',(-1.,2.31630400196134E-12,-8.6736173863126E-19)); -#29693=DIRECTION('',(1.,-2.31630400196134E-12,8.6736173863126E-19)); -#29694=DIRECTION('',(-1.,2.31630400196134E-12,-8.6736173863126E-19)); -#29695=DIRECTION('',(0.,0.,1.)); -#29696=DIRECTION('center_axis',(0.,0.,1.)); -#29697=DIRECTION('ref_axis',(5.15143483426065E-13,1.,0.)); -#29698=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29699=DIRECTION('ref_axis',(5.15143483426065E-13,1.,-2.77555756156288E-16)); -#29700=DIRECTION('center_axis',(-8.67361737988357E-19,2.77555756156288E-16, -1.)); -#29701=DIRECTION('ref_axis',(5.15143483426065E-13,1.,-2.77555756156288E-16)); -#29702=DIRECTION('',(0.,0.,1.)); -#29703=DIRECTION('center_axis',(1.,-2.40741243048391E-34,0.)); -#29704=DIRECTION('ref_axis',(2.40741243048391E-34,1.,-2.77555756156288E-16)); -#29705=DIRECTION('',(2.40741243048391E-34,1.,-2.77555756156288E-16)); -#29706=DIRECTION('',(2.40741243048391E-34,1.,-2.77555756156288E-16)); -#29707=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29708=DIRECTION('ref_axis',(1.,0.,8.67361737988357E-19)); -#29709=DIRECTION('center_axis',(8.67361737988357E-19,-2.77555756156288E-16, --1.)); -#29710=DIRECTION('ref_axis',(1.,0.,8.67361737988357E-19)); -#29711=DIRECTION('center_axis',(-1.,0.,0.)); -#29712=DIRECTION('ref_axis',(0.,1.,0.)); -#29713=DIRECTION('',(0.,1.,0.)); -#29714=DIRECTION('',(0.,0.,-1.)); -#29715=DIRECTION('',(0.,1.,0.)); -#29716=DIRECTION('',(0.,1.,0.)); -#29717=DIRECTION('',(0.,0.,-1.)); -#29718=DIRECTION('center_axis',(0.,0.,-1.)); -#29719=DIRECTION('ref_axis',(0.,-1.,0.)); -#29720=DIRECTION('',(1.,0.,0.)); -#29721=DIRECTION('',(0.,-1.,0.)); -#29722=DIRECTION('',(1.,0.,0.)); -#29723=DIRECTION('center_axis',(0.,0.,-1.)); -#29724=DIRECTION('ref_axis',(1.,0.,0.)); -#29725=DIRECTION('',(1.,0.,0.)); -#29726=DIRECTION('',(1.,0.,0.)); -#29727=DIRECTION('',(0.,-1.,0.)); -#29728=DIRECTION('center_axis',(0.,0.,1.)); -#29729=DIRECTION('ref_axis',(1.,0.,0.)); -#29730=DIRECTION('',(1.,0.,0.)); -#29731=DIRECTION('center_axis',(0.,0.,-1.)); -#29732=DIRECTION('ref_axis',(0.,-1.,0.)); -#29733=DIRECTION('',(0.000401142605226301,-0.999999919542302,0.)); -#29734=DIRECTION('center_axis',(0.,0.,-1.)); -#29735=DIRECTION('ref_axis',(-0.999999919542302,-0.000401142605227301,0.)); -#29736=DIRECTION('',(-1.,0.,0.)); -#29737=DIRECTION('center_axis',(0.,0.,-1.)); -#29738=DIRECTION('ref_axis',(0.,1.,0.)); -#29739=DIRECTION('',(0.0002164513699637,0.999999976574402,0.)); -#29740=DIRECTION('center_axis',(0.,0.,-1.)); -#29741=DIRECTION('ref_axis',(0.999999976574402,-0.0002164513699654,0.)); -#29742=DIRECTION('',(1.,0.,0.)); -#29743=DIRECTION('',(0.,-1.,0.)); -#29744=DIRECTION('',(1.,0.,0.)); -#29745=DIRECTION('center_axis',(0.,0.,1.)); -#29746=DIRECTION('ref_axis',(1.,0.,0.)); -#29747=DIRECTION('center_axis',(0.,0.,-1.)); -#29748=DIRECTION('ref_axis',(-1.,0.,0.)); -#29749=DIRECTION('center_axis',(0.,0.,-1.)); -#29750=DIRECTION('ref_axis',(-1.,0.,0.)); -#29751=DIRECTION('center_axis',(0.,0.,-1.)); -#29752=DIRECTION('ref_axis',(-1.,0.,0.)); -#29753=DIRECTION('center_axis',(0.,0.,-1.)); -#29754=DIRECTION('ref_axis',(-1.,0.,0.)); -#29755=DIRECTION('center_axis',(0.,0.,-1.)); -#29756=DIRECTION('ref_axis',(-1.,0.,0.)); -#29757=DIRECTION('center_axis',(0.,0.,-1.)); -#29758=DIRECTION('ref_axis',(-1.,0.,0.)); -#29759=DIRECTION('center_axis',(0.,0.,-1.)); -#29760=DIRECTION('ref_axis',(-1.,0.,0.)); -#29761=DIRECTION('center_axis',(0.,0.,-1.)); -#29762=DIRECTION('ref_axis',(-1.,0.,0.)); -#29763=DIRECTION('center_axis',(0.,0.,-1.)); -#29764=DIRECTION('ref_axis',(-1.,0.,0.)); -#29765=DIRECTION('center_axis',(0.,0.,-1.)); -#29766=DIRECTION('ref_axis',(-1.,0.,0.)); -#29767=DIRECTION('center_axis',(0.,0.,-1.)); -#29768=DIRECTION('ref_axis',(-1.,0.,0.)); -#29769=DIRECTION('center_axis',(0.,0.,-1.)); -#29770=DIRECTION('ref_axis',(-1.,0.,0.)); -#29771=DIRECTION('center_axis',(0.,0.,-1.)); -#29772=DIRECTION('ref_axis',(-1.,0.,0.)); -#29773=DIRECTION('center_axis',(0.,0.,-1.)); -#29774=DIRECTION('ref_axis',(-1.,0.,0.)); -#29775=DIRECTION('center_axis',(0.,0.,-1.)); -#29776=DIRECTION('ref_axis',(-1.,0.,0.)); -#29777=DIRECTION('center_axis',(0.,0.,-1.)); -#29778=DIRECTION('ref_axis',(-1.,0.,0.)); -#29779=DIRECTION('center_axis',(0.,0.,-1.)); -#29780=DIRECTION('ref_axis',(-1.,0.,0.)); -#29781=DIRECTION('center_axis',(0.,0.,-1.)); -#29782=DIRECTION('ref_axis',(-1.,0.,0.)); -#29783=DIRECTION('center_axis',(0.,0.,-1.)); -#29784=DIRECTION('ref_axis',(-1.,0.,0.)); -#29785=DIRECTION('center_axis',(0.,0.,-1.)); -#29786=DIRECTION('ref_axis',(-1.,0.,0.)); -#29787=DIRECTION('center_axis',(0.,0.,-1.)); -#29788=DIRECTION('ref_axis',(-1.,0.,0.)); -#29789=DIRECTION('center_axis',(0.,0.,-1.)); -#29790=DIRECTION('ref_axis',(-1.,0.,0.)); -#29791=DIRECTION('center_axis',(0.,0.,-1.)); -#29792=DIRECTION('ref_axis',(-1.,0.,0.)); -#29793=DIRECTION('center_axis',(0.,0.,-1.)); -#29794=DIRECTION('ref_axis',(-1.,0.,0.)); -#29795=DIRECTION('',(1.,0.,0.)); -#29796=DIRECTION('',(0.,1.,0.)); -#29797=DIRECTION('',(-1.,0.,0.)); -#29798=DIRECTION('',(0.,1.,0.)); -#29799=DIRECTION('',(-1.,0.,0.)); -#29800=DIRECTION('',(0.,-1.,0.)); -#29801=DIRECTION('',(-1.,0.,0.)); -#29802=DIRECTION('',(0.,-1.,0.)); -#29803=DIRECTION('',(1.,0.,0.)); -#29804=DIRECTION('',(0.,-1.,0.)); -#29805=DIRECTION('',(1.,0.,0.)); -#29806=DIRECTION('',(0.,1.,0.)); -#29807=DIRECTION('center_axis',(0.,0.,1.)); -#29808=DIRECTION('ref_axis',(1.,0.,0.)); -#29809=DIRECTION('',(0.,1.,0.)); -#29810=DIRECTION('',(-1.,0.,0.)); -#29811=DIRECTION('',(0.,-1.,0.)); -#29812=DIRECTION('',(1.,0.,0.)); -#29813=DIRECTION('',(0.,1.,0.)); -#29814=DIRECTION('',(-1.,0.,0.)); -#29815=DIRECTION('',(0.,-1.,0.)); -#29816=DIRECTION('',(1.,0.,0.)); -#29817=DIRECTION('center_axis',(1.,0.,0.)); -#29818=DIRECTION('ref_axis',(0.,-1.,0.)); -#29819=DIRECTION('',(0.,0.,-1.)); -#29820=DIRECTION('',(0.,0.,1.)); -#29821=DIRECTION('center_axis',(0.,-1.,0.)); -#29822=DIRECTION('ref_axis',(1.,0.,0.)); -#29823=DIRECTION('center_axis',(0.,0.,1.)); -#29824=DIRECTION('ref_axis',(1.,0.,0.)); -#29825=DIRECTION('center_axis',(0.,0.,1.)); -#29826=DIRECTION('ref_axis',(0.,1.,0.)); -#29827=DIRECTION('',(0.000401142605226301,-0.999999919542302,0.)); -#29828=DIRECTION('center_axis',(0.,0.,1.)); -#29829=DIRECTION('ref_axis',(-0.999999919542302,-0.000401142605227301,0.)); -#29830=DIRECTION('',(1.,0.,0.)); -#29831=DIRECTION('center_axis',(0.,0.,1.)); -#29832=DIRECTION('ref_axis',(0.,-1.,0.)); -#29833=DIRECTION('',(0.0002164513699637,0.999999976574402,0.)); -#29834=DIRECTION('center_axis',(0.,0.,1.)); -#29835=DIRECTION('ref_axis',(0.999999976574402,-0.0002164513699654,0.)); -#29836=DIRECTION('',(-1.,0.,0.)); -#29837=DIRECTION('center_axis',(0.,0.,1.)); -#29838=DIRECTION('ref_axis',(1.,0.,0.)); -#29839=DIRECTION('center_axis',(0.,0.,1.)); -#29840=DIRECTION('ref_axis',(1.,0.,0.)); -#29841=DIRECTION('',(-1.,0.,0.)); -#29842=DIRECTION('',(0.,1.,0.)); -#29843=DIRECTION('',(-1.,0.,0.)); -#29844=DIRECTION('',(0.,1.,0.)); -#29845=DIRECTION('',(1.,0.,0.)); -#29846=DIRECTION('',(0.,-1.,0.)); -#29847=DIRECTION('',(-1.,0.,0.)); -#29848=DIRECTION('',(0.,-1.,0.)); -#29849=DIRECTION('',(-1.,0.,0.)); -#29850=DIRECTION('',(0.,1.,0.)); -#29851=DIRECTION('',(-1.,0.,0.)); -#29852=DIRECTION('',(0.,-1.,0.)); -#29853=DIRECTION('',(-1.,0.,0.)); -#29854=DIRECTION('',(0.,1.,0.)); -#29855=DIRECTION('',(-1.,0.,0.)); -#29856=DIRECTION('',(0.,-1.,0.)); -#29857=DIRECTION('',(-1.,0.,0.)); -#29858=DIRECTION('',(0.,1.,0.)); -#29859=DIRECTION('',(-1.,0.,0.)); -#29860=DIRECTION('',(0.,-1.,0.)); -#29861=DIRECTION('',(0.,1.,0.)); -#29862=DIRECTION('',(1.,0.,0.)); -#29863=DIRECTION('',(0.,-1.,0.)); -#29864=DIRECTION('',(0.999969480175978,0.00781272785808483,0.)); -#29865=DIRECTION('',(0.,1.,0.)); -#29866=DIRECTION('',(1.,0.,0.)); -#29867=DIRECTION('',(0.,-1.,0.)); -#29868=DIRECTION('',(0.999969480175978,0.00781272785809083,0.)); -#29869=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); -#29870=DIRECTION('',(0.,-1.,0.)); -#29871=DIRECTION('',(-1.,-2.639156219341E-13,0.)); -#29872=DIRECTION('',(0.,-1.,0.)); -#29873=DIRECTION('',(-1.,0.,0.)); -#29874=DIRECTION('',(0.,1.,0.)); -#29875=DIRECTION('',(-1.,0.,0.)); -#29876=DIRECTION('',(-1.08813967376301E-6,0.999999999999408,0.)); -#29877=DIRECTION('',(0.707101730398559,0.707111831938459,0.)); -#29878=DIRECTION('',(0.999969480175978,0.00781272785809583,0.)); -#29879=DIRECTION('center_axis',(0.,0.,-1.)); -#29880=DIRECTION('ref_axis',(-0.813340157700093,-0.581788439101695,0.)); -#29881=DIRECTION('',(-4.34782985522902E-7,0.999999999999906,0.)); -#29882=DIRECTION('center_axis',(0.,0.,-1.)); -#29883=DIRECTION('ref_axis',(0.498673411119392,0.866789956703785,0.)); -#29884=DIRECTION('',(0.999999999999906,4.34782982898202E-7,0.)); -#29885=DIRECTION('',(4.34783045555002E-7,-0.999999999999906,0.)); -#29886=DIRECTION('center_axis',(0.,0.,-1.)); -#29887=DIRECTION('ref_axis',(0.453233731495902,-0.891391712231104,0.)); -#29888=DIRECTION('',(4.34782984359902E-7,-0.999999999999905,0.)); -#29889=DIRECTION('center_axis',(0.,0.,-1.)); -#29890=DIRECTION('ref_axis',(0.453235994650291,-0.891390561512383,0.)); -#29891=DIRECTION('',(4.34783098693403E-7,-0.999999999999906,0.)); -#29892=DIRECTION('',(-0.999999999999906,-4.34782978749202E-7,0.)); -#29893=DIRECTION('',(-1.,0.,0.)); -#29894=DIRECTION('',(0.,1.,0.)); -#29895=DIRECTION('',(1.,0.,0.)); -#29896=DIRECTION('',(0.,-1.,0.)); -#29897=DIRECTION('',(-1.,0.,0.)); -#29898=DIRECTION('',(0.,-1.,0.)); -#29899=DIRECTION('',(1.,0.,0.)); -#29900=DIRECTION('',(0.,1.,0.)); -#29901=DIRECTION('',(-1.,0.,0.)); -#29902=DIRECTION('',(0.,-1.,0.)); -#29903=DIRECTION('',(1.,0.,0.)); -#29904=DIRECTION('',(0.,1.,0.)); -#29905=DIRECTION('',(-1.,0.,0.)); -#29906=DIRECTION('',(0.,-1.,0.)); -#29907=DIRECTION('',(1.,0.,0.)); -#29908=DIRECTION('',(3.49676543189E-14,1.,0.)); -#29909=DIRECTION('',(-1.,0.,0.)); -#29910=DIRECTION('',(0.,-1.,0.)); -#29911=DIRECTION('',(1.,0.,0.)); -#29912=DIRECTION('',(0.,1.,0.)); -#29913=DIRECTION('',(1.,0.,0.)); -#29914=DIRECTION('',(0.,1.,0.)); -#29915=DIRECTION('',(-1.,0.,0.)); -#29916=DIRECTION('',(0.,-1.,0.)); -#29917=DIRECTION('',(1.,0.,0.)); -#29918=DIRECTION('',(0.,1.,0.)); -#29919=DIRECTION('',(-1.,0.,0.)); -#29920=DIRECTION('',(0.,-1.,0.)); -#29921=DIRECTION('',(1.,0.,0.)); -#29922=DIRECTION('',(0.,1.,0.)); -#29923=DIRECTION('',(-1.,0.,0.)); -#29924=DIRECTION('',(0.,-1.,0.)); -#29925=DIRECTION('',(1.,0.,0.)); -#29926=DIRECTION('',(0.,1.,0.)); -#29927=DIRECTION('',(-1.,0.,0.)); -#29928=DIRECTION('',(0.,-1.,0.)); -#29929=DIRECTION('',(-1.,0.,0.)); -#29930=DIRECTION('',(0.,1.,0.)); -#29931=DIRECTION('',(1.,0.,0.)); -#29932=DIRECTION('',(0.,1.,0.)); -#29933=DIRECTION('',(1.,0.,0.)); -#29934=DIRECTION('',(0.,1.,0.)); -#29935=DIRECTION('',(1.,0.,0.)); -#29936=DIRECTION('',(0.,-1.,0.)); -#29937=DIRECTION('',(1.,0.,0.)); -#29938=DIRECTION('',(0.,-1.,0.)); -#29939=DIRECTION('',(1.,0.,0.)); -#29940=DIRECTION('',(0.,-1.,0.)); -#29941=DIRECTION('',(-1.,0.,0.)); -#29942=DIRECTION('',(0.,-1.,0.)); -#29943=DIRECTION('',(-1.,0.,0.)); -#29944=DIRECTION('',(0.,-1.,0.)); -#29945=DIRECTION('',(-1.,0.,0.)); -#29946=DIRECTION('',(0.,1.,0.)); -#29947=DIRECTION('',(-1.,0.,0.)); -#29948=DIRECTION('',(0.,1.,0.)); -#29949=DIRECTION('',(-1.,0.,0.)); -#29950=DIRECTION('',(0.,1.,0.)); -#29951=DIRECTION('',(-1.,0.,0.)); -#29952=DIRECTION('',(0.,1.,0.)); -#29953=DIRECTION('',(1.,0.,0.)); -#29954=DIRECTION('',(0.,1.,0.)); -#29955=DIRECTION('',(1.,0.,0.)); -#29956=DIRECTION('',(0.,-1.,0.)); -#29957=DIRECTION('',(1.,0.,0.)); -#29958=DIRECTION('',(0.,-1.,0.)); -#29959=DIRECTION('',(-1.,0.,0.)); -#29960=DIRECTION('',(0.,-1.,0.)); -#29961=DIRECTION('',(-1.,0.,0.)); -#29962=DIRECTION('',(0.,1.,0.)); -#29963=DIRECTION('',(1.,0.,0.)); -#29964=DIRECTION('',(0.,-1.,0.)); -#29965=DIRECTION('',(0.,1.,0.)); -#29966=DIRECTION('',(-1.,0.,0.)); -#29967=DIRECTION('',(0.,1.,0.)); -#29968=DIRECTION('',(1.,0.,0.)); -#29969=DIRECTION('',(0.,1.,0.)); -#29970=DIRECTION('',(1.,0.,0.)); -#29971=DIRECTION('',(0.,-1.,0.)); -#29972=DIRECTION('',(1.,0.,0.)); -#29973=DIRECTION('',(0.,-1.,0.)); -#29974=DIRECTION('',(1.,0.,0.)); -#29975=DIRECTION('',(0.,-1.,0.)); -#29976=DIRECTION('',(-1.,0.,0.)); -#29977=DIRECTION('',(0.,-1.,0.)); -#29978=DIRECTION('',(-1.,0.,0.)); -#29979=DIRECTION('',(0.,-1.,0.)); -#29980=DIRECTION('',(-1.,0.,0.)); -#29981=DIRECTION('',(-1.,0.,0.)); -#29982=DIRECTION('',(0.,1.,0.)); -#29983=DIRECTION('',(1.,0.,0.)); -#29984=DIRECTION('',(0.,-1.,0.)); -#29985=DIRECTION('',(-1.,0.,0.)); -#29986=DIRECTION('',(0.,1.,0.)); -#29987=DIRECTION('',(1.,0.,0.)); -#29988=DIRECTION('',(0.,-1.,0.)); -#29989=DIRECTION('',(-1.,0.,0.)); -#29990=DIRECTION('',(0.,1.,0.)); -#29991=DIRECTION('',(1.,0.,0.)); -#29992=DIRECTION('',(0.,-1.,0.)); -#29993=DIRECTION('',(0.,-1.,0.)); -#29994=DIRECTION('',(-1.,0.,0.)); -#29995=DIRECTION('',(0.,1.,0.)); -#29996=DIRECTION('',(1.,0.,0.)); -#29997=DIRECTION('',(-1.,0.,0.)); -#29998=DIRECTION('',(0.,1.,0.)); -#29999=DIRECTION('',(1.,0.,0.)); -#30000=DIRECTION('',(0.,-1.,0.)); -#30001=DIRECTION('',(0.,1.,0.)); -#30002=DIRECTION('',(1.,0.,0.)); -#30003=DIRECTION('',(0.,-1.,0.)); -#30004=DIRECTION('',(-1.,0.,0.)); -#30005=DIRECTION('',(-1.,0.,0.)); -#30006=DIRECTION('',(0.,1.,0.)); -#30007=DIRECTION('',(1.,0.,0.)); -#30008=DIRECTION('',(0.,-1.,0.)); -#30009=DIRECTION('',(-1.,0.,0.)); -#30010=DIRECTION('',(0.,1.,0.)); -#30011=DIRECTION('',(1.,0.,0.)); -#30012=DIRECTION('',(0.,-1.,0.)); -#30013=DIRECTION('',(1.,0.,0.)); -#30014=DIRECTION('',(0.,-1.,0.)); -#30015=DIRECTION('',(-1.,0.,0.)); -#30016=DIRECTION('',(0.,1.,0.)); -#30017=DIRECTION('',(1.,0.,0.)); -#30018=DIRECTION('',(0.,-1.,0.)); -#30019=DIRECTION('',(-1.,0.,0.)); -#30020=DIRECTION('',(0.,1.,0.)); -#30021=DIRECTION('',(-1.,0.,0.)); -#30022=DIRECTION('',(0.,1.,0.)); -#30023=DIRECTION('',(1.,0.,0.)); -#30024=DIRECTION('',(0.,-1.,0.)); -#30025=DIRECTION('',(-1.,0.,0.)); -#30026=DIRECTION('',(0.,1.,0.)); -#30027=DIRECTION('',(1.,0.,0.)); -#30028=DIRECTION('',(0.,-1.,0.)); -#30029=DIRECTION('',(-1.,0.,0.)); -#30030=DIRECTION('',(0.,1.,0.)); -#30031=DIRECTION('',(1.,0.,0.)); -#30032=DIRECTION('',(0.,-1.,0.)); -#30033=DIRECTION('',(0.,1.,0.)); -#30034=DIRECTION('',(1.,0.,0.)); -#30035=DIRECTION('',(0.,-1.,0.)); -#30036=DIRECTION('',(-1.,0.,0.)); -#30037=DIRECTION('',(1.,0.,0.)); -#30038=DIRECTION('',(0.,-1.,0.)); -#30039=DIRECTION('',(-1.,0.,0.)); -#30040=DIRECTION('',(0.,1.,0.)); -#30041=DIRECTION('',(0.,1.,0.)); -#30042=DIRECTION('',(1.,0.,0.)); -#30043=DIRECTION('',(0.,-1.,0.)); -#30044=DIRECTION('',(-1.,0.,0.)); -#30045=DIRECTION('',(-1.,0.,0.)); -#30046=DIRECTION('',(0.,1.,0.)); -#30047=DIRECTION('',(1.,0.,0.)); -#30048=DIRECTION('',(0.,-1.,0.)); -#30049=DIRECTION('',(0.,-1.,0.)); -#30050=DIRECTION('',(-1.,0.,0.)); -#30051=DIRECTION('',(0.,1.,0.)); -#30052=DIRECTION('',(1.,0.,0.)); -#30053=DIRECTION('',(-1.,0.,0.)); -#30054=DIRECTION('',(0.,1.,0.)); -#30055=DIRECTION('',(1.,0.,0.)); -#30056=DIRECTION('',(0.,-1.,0.)); -#30057=DIRECTION('',(-1.,0.,0.)); -#30058=DIRECTION('',(0.,1.,0.)); -#30059=DIRECTION('',(1.,0.,0.)); -#30060=DIRECTION('',(0.,-1.,0.)); -#30061=DIRECTION('',(-1.,0.,0.)); -#30062=DIRECTION('',(0.,1.,0.)); -#30063=DIRECTION('',(1.,0.,0.)); -#30064=DIRECTION('',(0.,-1.,0.)); -#30065=DIRECTION('',(0.,-1.,0.)); -#30066=DIRECTION('',(-1.,0.,0.)); -#30067=DIRECTION('',(0.,1.,0.)); -#30068=DIRECTION('',(1.,0.,0.)); -#30069=DIRECTION('',(0.,-1.,0.)); -#30070=DIRECTION('',(-1.,0.,0.)); -#30071=DIRECTION('',(0.,1.,0.)); -#30072=DIRECTION('',(1.,0.,0.)); -#30073=DIRECTION('',(-1.,0.,0.)); -#30074=DIRECTION('',(0.,1.,0.)); -#30075=DIRECTION('',(1.,0.,0.)); -#30076=DIRECTION('',(0.,-1.,0.)); -#30077=DIRECTION('',(0.,-1.,0.)); -#30078=DIRECTION('',(-1.,0.,0.)); -#30079=DIRECTION('',(0.,1.,0.)); -#30080=DIRECTION('',(1.,0.,0.)); -#30081=DIRECTION('',(0.,-1.,0.)); -#30082=DIRECTION('',(-1.,0.,0.)); -#30083=DIRECTION('',(0.,1.,0.)); -#30084=DIRECTION('',(1.,0.,0.)); -#30085=DIRECTION('',(-1.,0.,0.)); -#30086=DIRECTION('',(0.,1.,0.)); -#30087=DIRECTION('',(1.,0.,0.)); -#30088=DIRECTION('',(0.,-1.,0.)); -#30089=DIRECTION('',(0.,-1.,0.)); -#30090=DIRECTION('',(-1.,0.,0.)); -#30091=DIRECTION('',(0.,1.,0.)); -#30092=DIRECTION('',(1.,0.,0.)); -#30093=DIRECTION('',(-1.,0.,0.)); -#30094=DIRECTION('',(0.,1.,0.)); -#30095=DIRECTION('',(1.,0.,0.)); -#30096=DIRECTION('',(0.,-1.,0.)); -#30097=DIRECTION('',(-1.,0.,0.)); -#30098=DIRECTION('',(0.,1.,0.)); -#30099=DIRECTION('',(1.,0.,0.)); -#30100=DIRECTION('',(0.,-1.,0.)); -#30101=DIRECTION('',(0.,-1.,0.)); -#30102=DIRECTION('',(-1.,0.,0.)); -#30103=DIRECTION('',(0.,1.,0.)); -#30104=DIRECTION('',(1.,0.,0.)); -#30105=DIRECTION('',(0.,-1.,0.)); -#30106=DIRECTION('',(-1.,0.,0.)); -#30107=DIRECTION('',(0.,1.,0.)); -#30108=DIRECTION('',(1.,0.,0.)); -#30109=DIRECTION('',(0.,-1.,0.)); -#30110=DIRECTION('',(-1.,0.,0.)); -#30111=DIRECTION('',(0.,1.,0.)); -#30112=DIRECTION('',(1.,0.,0.)); -#30113=DIRECTION('',(-1.,0.,0.)); -#30114=DIRECTION('',(0.,1.,0.)); -#30115=DIRECTION('',(1.,0.,0.)); -#30116=DIRECTION('',(0.,-1.,0.)); -#30117=DIRECTION('',(-1.,0.,0.)); -#30118=DIRECTION('',(0.,1.,0.)); -#30119=DIRECTION('',(1.,0.,0.)); -#30120=DIRECTION('',(0.,-1.,0.)); -#30121=DIRECTION('',(-1.,0.,0.)); -#30122=DIRECTION('',(0.,1.,0.)); -#30123=DIRECTION('',(1.,0.,0.)); -#30124=DIRECTION('',(0.,-1.,0.)); -#30125=DIRECTION('',(-1.,0.,0.)); -#30126=DIRECTION('',(0.,1.,0.)); -#30127=DIRECTION('',(1.,0.,0.)); -#30128=DIRECTION('',(0.,-1.,0.)); -#30129=DIRECTION('',(-1.,0.,0.)); -#30130=DIRECTION('',(0.,1.,0.)); -#30131=DIRECTION('',(1.,0.,0.)); -#30132=DIRECTION('',(0.,-1.,0.)); -#30133=DIRECTION('',(-1.,0.,0.)); -#30134=DIRECTION('',(0.,1.,0.)); -#30135=DIRECTION('',(1.,0.,0.)); -#30136=DIRECTION('',(0.,-1.,0.)); -#30137=DIRECTION('',(-1.,0.,0.)); -#30138=DIRECTION('',(0.,1.,0.)); -#30139=DIRECTION('',(1.,0.,0.)); -#30140=DIRECTION('',(0.,-1.,0.)); -#30141=DIRECTION('',(-1.,0.,0.)); -#30142=DIRECTION('',(0.,1.,0.)); -#30143=DIRECTION('',(1.,0.,0.)); -#30144=DIRECTION('',(0.,-1.,0.)); -#30145=DIRECTION('',(0.,1.,0.)); -#30146=DIRECTION('',(1.,0.,0.)); -#30147=DIRECTION('',(0.,-1.,0.)); -#30148=DIRECTION('',(-1.,0.,0.)); -#30149=DIRECTION('',(1.,0.,0.)); -#30150=DIRECTION('',(0.,-1.,0.)); -#30151=DIRECTION('',(-1.,0.,0.)); -#30152=DIRECTION('',(0.,1.,0.)); -#30153=DIRECTION('',(0.,1.,0.)); -#30154=DIRECTION('',(1.,0.,0.)); -#30155=DIRECTION('',(0.,-1.,0.)); -#30156=DIRECTION('',(-1.,0.,0.)); -#30157=DIRECTION('',(-1.,0.,0.)); -#30158=DIRECTION('',(0.,1.,0.)); -#30159=DIRECTION('',(1.,0.,0.)); -#30160=DIRECTION('',(0.,-1.,0.)); -#30161=DIRECTION('',(-1.,0.,0.)); -#30162=DIRECTION('',(0.,1.,0.)); -#30163=DIRECTION('',(1.,0.,0.)); -#30164=DIRECTION('',(0.,-1.,0.)); -#30165=DIRECTION('',(-1.,0.,0.)); -#30166=DIRECTION('',(0.,1.,0.)); -#30167=DIRECTION('',(1.,0.,0.)); -#30168=DIRECTION('',(0.,-1.,0.)); -#30169=DIRECTION('',(0.,-1.,0.)); -#30170=DIRECTION('',(-1.,0.,0.)); -#30171=DIRECTION('',(0.,1.,0.)); -#30172=DIRECTION('',(1.,0.,0.)); -#30173=DIRECTION('',(-1.,0.,0.)); -#30174=DIRECTION('',(0.,1.,0.)); -#30175=DIRECTION('',(1.,0.,0.)); -#30176=DIRECTION('',(0.,-1.,0.)); -#30177=DIRECTION('',(-1.,0.,0.)); -#30178=DIRECTION('',(0.,1.,0.)); -#30179=DIRECTION('',(1.,0.,0.)); -#30180=DIRECTION('',(0.,-1.,0.)); -#30181=DIRECTION('',(-1.,0.,0.)); -#30182=DIRECTION('',(0.,1.,0.)); -#30183=DIRECTION('',(1.,0.,0.)); -#30184=DIRECTION('',(0.,-1.,0.)); -#30185=DIRECTION('',(0.,1.,0.)); -#30186=DIRECTION('',(1.,0.,0.)); -#30187=DIRECTION('',(0.,-1.,0.)); -#30188=DIRECTION('',(-1.,0.,0.)); -#30189=DIRECTION('',(-1.,0.,0.)); -#30190=DIRECTION('',(0.,1.,0.)); -#30191=DIRECTION('',(1.,0.,0.)); -#30192=DIRECTION('',(0.,-1.,0.)); -#30193=DIRECTION('',(-1.,0.,0.)); -#30194=DIRECTION('',(0.,1.,0.)); -#30195=DIRECTION('',(1.,0.,0.)); -#30196=DIRECTION('',(0.,-1.,0.)); -#30197=DIRECTION('',(-1.,0.,0.)); -#30198=DIRECTION('',(0.,1.,0.)); -#30199=DIRECTION('',(1.,0.,0.)); -#30200=DIRECTION('',(0.,-1.,0.)); -#30201=DIRECTION('',(0.,-1.,0.)); -#30202=DIRECTION('',(-1.,0.,0.)); -#30203=DIRECTION('',(0.,1.,0.)); -#30204=DIRECTION('',(1.,0.,0.)); -#30205=DIRECTION('',(-1.,0.,0.)); -#30206=DIRECTION('',(0.,1.,0.)); -#30207=DIRECTION('',(-1.,0.,0.)); -#30208=DIRECTION('',(0.,1.,0.)); -#30209=DIRECTION('',(1.,0.,0.)); -#30210=DIRECTION('',(0.,1.,0.)); -#30211=DIRECTION('',(1.,0.,0.)); -#30212=DIRECTION('',(0.,-1.,0.)); -#30213=DIRECTION('',(1.,0.,0.)); -#30214=DIRECTION('',(0.,-1.,0.)); -#30215=DIRECTION('',(-1.,0.,0.)); -#30216=DIRECTION('',(0.,-1.,0.)); -#30217=DIRECTION('',(0.,1.,0.)); -#30218=DIRECTION('',(1.,0.,0.)); -#30219=DIRECTION('',(0.,-1.,0.)); -#30220=DIRECTION('',(1.,0.,0.)); -#30221=DIRECTION('',(0.,-1.,0.)); -#30222=DIRECTION('',(-1.,0.,0.)); -#30223=DIRECTION('',(0.,-1.,0.)); -#30224=DIRECTION('',(-1.,0.,0.)); -#30225=DIRECTION('',(0.,1.,0.)); -#30226=DIRECTION('',(-1.,0.,0.)); -#30227=DIRECTION('',(0.,1.,0.)); -#30228=DIRECTION('',(1.,0.,0.)); -#30229=DIRECTION('',(0.,1.,0.)); -#30230=DIRECTION('',(1.,0.,0.)); -#30231=DIRECTION('',(0.,1.,0.)); -#30232=DIRECTION('',(-1.,0.,0.)); -#30233=DIRECTION('',(0.,1.,0.)); -#30234=DIRECTION('',(1.,0.,0.)); -#30235=DIRECTION('',(0.,1.,0.)); -#30236=DIRECTION('',(1.,0.,0.)); -#30237=DIRECTION('',(0.,-1.,0.)); -#30238=DIRECTION('',(1.,0.,0.)); -#30239=DIRECTION('',(0.,-1.,0.)); -#30240=DIRECTION('',(-1.,0.,0.)); -#30241=DIRECTION('',(0.,-1.,0.)); -#30242=DIRECTION('',(-1.,0.,0.)); -#30243=DIRECTION('',(0.,1.,0.)); -#30244=DIRECTION('',(-1.,0.,0.)); -#30245=DIRECTION('',(-1.,0.,0.)); -#30246=DIRECTION('',(0.,1.,0.)); -#30247=DIRECTION('',(1.,0.,0.)); -#30248=DIRECTION('',(0.,1.,0.)); -#30249=DIRECTION('',(-1.,0.,0.)); -#30250=DIRECTION('',(0.,1.,0.)); -#30251=DIRECTION('',(1.,0.,0.)); -#30252=DIRECTION('',(0.,1.,0.)); -#30253=DIRECTION('',(1.,0.,0.)); -#30254=DIRECTION('',(0.,-1.,0.)); -#30255=DIRECTION('',(1.,0.,0.)); -#30256=DIRECTION('',(0.,-1.,0.)); -#30257=DIRECTION('',(-1.,0.,0.)); -#30258=DIRECTION('',(0.,-1.,0.)); -#30259=DIRECTION('',(-1.,0.,0.)); -#30260=DIRECTION('',(0.,1.,0.)); -#30261=DIRECTION('',(-1.,0.,0.)); -#30262=DIRECTION('',(0.,1.,0.)); -#30263=DIRECTION('',(-1.,0.,0.)); -#30264=DIRECTION('',(0.,1.,0.)); -#30265=DIRECTION('',(1.,0.,0.)); -#30266=DIRECTION('',(0.,1.,0.)); -#30267=DIRECTION('',(1.,0.,0.)); -#30268=DIRECTION('',(0.,-1.,0.)); -#30269=DIRECTION('',(1.,0.,0.)); -#30270=DIRECTION('',(0.,1.,0.)); -#30271=DIRECTION('',(1.,0.,0.)); -#30272=DIRECTION('',(0.,-1.,0.)); -#30273=DIRECTION('',(1.,0.,0.)); -#30274=DIRECTION('',(0.,-1.,0.)); -#30275=DIRECTION('',(-1.,0.,0.)); -#30276=DIRECTION('',(0.,-1.,0.)); -#30277=DIRECTION('',(-1.,0.,0.)); -#30278=DIRECTION('',(0.,1.,0.)); -#30279=DIRECTION('',(1.,0.,0.)); -#30280=DIRECTION('',(0.,1.,0.)); -#30281=DIRECTION('',(1.,0.,0.)); -#30282=DIRECTION('',(0.,-1.,0.)); -#30283=DIRECTION('',(1.,0.,0.)); -#30284=DIRECTION('',(0.,-1.,0.)); -#30285=DIRECTION('',(-1.,0.,0.)); -#30286=DIRECTION('',(0.,-1.,0.)); -#30287=DIRECTION('',(1.,0.,0.)); -#30288=DIRECTION('',(0.,-1.,0.)); -#30289=DIRECTION('',(-1.,0.,0.)); -#30290=DIRECTION('',(0.,-1.,0.)); -#30291=DIRECTION('',(-1.,0.,0.)); -#30292=DIRECTION('',(0.,1.,0.)); -#30293=DIRECTION('',(-1.,0.,0.)); -#30294=DIRECTION('',(0.,1.,0.)); -#30295=DIRECTION('',(1.,0.,0.)); -#30296=DIRECTION('',(0.,1.,0.)); -#30297=DIRECTION('',(1.,0.,0.)); -#30298=DIRECTION('',(0.,-1.,0.)); -#30299=DIRECTION('',(1.,0.,0.)); -#30300=DIRECTION('',(0.,-1.,0.)); -#30301=DIRECTION('',(-1.,0.,0.)); -#30302=DIRECTION('',(0.,-1.,0.)); -#30303=DIRECTION('',(-1.,0.,0.)); -#30304=DIRECTION('',(0.,1.,0.)); -#30305=DIRECTION('',(-1.,0.,0.)); -#30306=DIRECTION('',(0.,1.,0.)); -#30307=DIRECTION('',(1.,0.,0.)); -#30308=DIRECTION('',(0.,1.,0.)); -#30309=DIRECTION('',(-1.,0.,0.)); -#30310=DIRECTION('',(0.,1.,0.)); -#30311=DIRECTION('',(1.,0.,0.)); -#30312=DIRECTION('',(0.,1.,0.)); -#30313=DIRECTION('',(1.,0.,0.)); -#30314=DIRECTION('',(0.,-1.,0.)); -#30315=DIRECTION('',(1.,0.,0.)); -#30316=DIRECTION('',(0.,-1.,0.)); -#30317=DIRECTION('',(-1.,0.,0.)); -#30318=DIRECTION('',(0.,-1.,0.)); -#30319=DIRECTION('',(1.,0.,0.)); -#30320=DIRECTION('',(0.,-1.,0.)); -#30321=DIRECTION('',(-1.,0.,0.)); -#30322=DIRECTION('',(0.,-1.,0.)); -#30323=DIRECTION('',(1.,0.,0.)); -#30324=DIRECTION('',(0.,-1.,0.)); -#30325=DIRECTION('',(-1.,0.,0.)); -#30326=DIRECTION('',(0.,-1.,0.)); -#30327=DIRECTION('',(-1.,0.,0.)); -#30328=DIRECTION('',(0.,1.,0.)); -#30329=DIRECTION('',(-1.,0.,0.)); -#30330=DIRECTION('',(0.,1.,0.)); -#30331=DIRECTION('',(1.,0.,0.)); -#30332=DIRECTION('',(-3.781484007547E-14,-1.,0.)); -#30333=DIRECTION('',(-1.,0.,0.)); -#30334=DIRECTION('',(0.,1.,0.)); -#30335=DIRECTION('',(1.,0.,0.)); -#30336=DIRECTION('',(0.,-1.,0.)); -#30337=DIRECTION('',(-1.,0.,0.)); -#30338=DIRECTION('',(0.,1.,0.)); -#30339=DIRECTION('',(-1.,0.,0.)); -#30340=DIRECTION('',(0.,1.,0.)); -#30341=DIRECTION('',(1.,0.,0.)); -#30342=DIRECTION('',(0.,1.,0.)); -#30343=DIRECTION('',(1.,0.,0.)); -#30344=DIRECTION('',(0.,-1.,0.)); -#30345=DIRECTION('',(1.,0.,0.)); -#30346=DIRECTION('',(0.,1.,0.)); -#30347=DIRECTION('',(1.,0.,0.)); -#30348=DIRECTION('',(0.,-1.,0.)); -#30349=DIRECTION('',(1.,0.,0.)); -#30350=DIRECTION('',(0.,1.,0.)); -#30351=DIRECTION('',(1.,0.,0.)); -#30352=DIRECTION('',(0.,-1.,0.)); -#30353=DIRECTION('',(1.,0.,0.)); -#30354=DIRECTION('',(0.,-1.,0.)); -#30355=DIRECTION('',(-1.,0.,0.)); -#30356=DIRECTION('',(0.,-1.,0.)); -#30357=DIRECTION('',(-1.,0.,0.)); -#30358=DIRECTION('',(0.,1.,0.)); -#30359=DIRECTION('',(-1.,0.,0.)); -#30360=DIRECTION('',(0.,-1.,0.)); -#30361=DIRECTION('center_axis',(0.,0.,-1.)); -#30362=DIRECTION('ref_axis',(-1.,0.,0.)); -#30363=DIRECTION('center_axis',(0.,0.,-1.)); -#30364=DIRECTION('ref_axis',(-1.,0.,0.)); -#30365=DIRECTION('center_axis',(0.,0.,-1.)); -#30366=DIRECTION('ref_axis',(-1.,0.,0.)); -#30367=DIRECTION('center_axis',(0.,0.,-1.)); -#30368=DIRECTION('ref_axis',(-1.,0.,0.)); -#30369=DIRECTION('center_axis',(0.,0.,-1.)); -#30370=DIRECTION('ref_axis',(-1.,0.,0.)); -#30371=DIRECTION('center_axis',(0.,0.,-1.)); -#30372=DIRECTION('ref_axis',(-1.,0.,0.)); -#30373=DIRECTION('center_axis',(0.,0.,-1.)); -#30374=DIRECTION('ref_axis',(-1.,0.,0.)); -#30375=DIRECTION('center_axis',(0.,0.,-1.)); -#30376=DIRECTION('ref_axis',(-1.,0.,0.)); -#30377=DIRECTION('center_axis',(0.,0.,-1.)); -#30378=DIRECTION('ref_axis',(-1.,0.,0.)); -#30379=DIRECTION('center_axis',(0.,0.,-1.)); -#30380=DIRECTION('ref_axis',(-1.,0.,0.)); -#30381=DIRECTION('center_axis',(0.,0.,-1.)); -#30382=DIRECTION('ref_axis',(-1.,0.,0.)); -#30383=DIRECTION('center_axis',(0.,0.,-1.)); -#30384=DIRECTION('ref_axis',(-1.,0.,0.)); -#30385=DIRECTION('center_axis',(0.,0.,-1.)); -#30386=DIRECTION('ref_axis',(-1.,0.,0.)); -#30387=DIRECTION('center_axis',(0.,0.,-1.)); -#30388=DIRECTION('ref_axis',(-1.,0.,0.)); -#30389=DIRECTION('center_axis',(0.,0.,-1.)); -#30390=DIRECTION('ref_axis',(-1.,0.,0.)); -#30391=DIRECTION('center_axis',(0.,0.,-1.)); -#30392=DIRECTION('ref_axis',(-1.,0.,0.)); -#30393=DIRECTION('center_axis',(0.,0.,-1.)); -#30394=DIRECTION('ref_axis',(-1.,0.,0.)); -#30395=DIRECTION('center_axis',(0.,0.,-1.)); -#30396=DIRECTION('ref_axis',(-1.,0.,0.)); -#30397=DIRECTION('center_axis',(0.,0.,-1.)); -#30398=DIRECTION('ref_axis',(-1.,0.,0.)); -#30399=DIRECTION('center_axis',(0.,0.,-1.)); -#30400=DIRECTION('ref_axis',(-1.,0.,0.)); -#30401=DIRECTION('center_axis',(0.,0.,-1.)); -#30402=DIRECTION('ref_axis',(-1.,0.,0.)); -#30403=DIRECTION('center_axis',(0.,0.,-1.)); -#30404=DIRECTION('ref_axis',(-1.,0.,0.)); -#30405=DIRECTION('center_axis',(0.,0.,-1.)); -#30406=DIRECTION('ref_axis',(-1.,0.,0.)); -#30407=DIRECTION('center_axis',(0.,0.,-1.)); -#30408=DIRECTION('ref_axis',(-1.,0.,0.)); -#30409=DIRECTION('center_axis',(0.,0.,-1.)); -#30410=DIRECTION('ref_axis',(-0.999999919542302,-0.000401142605227301,0.)); -#30411=DIRECTION('',(0.,1.184237892934E-14,-1.)); -#30412=DIRECTION('',(0.,0.,-1.)); -#30413=DIRECTION('center_axis',(0.,0.,1.)); -#30414=DIRECTION('ref_axis',(1.,0.,0.)); -#30415=DIRECTION('',(0.,0.,1.)); -#30416=DIRECTION('center_axis',(0.,0.,1.)); -#30417=DIRECTION('ref_axis',(1.,0.,0.)); -#30418=DIRECTION('',(0.,0.,1.)); -#30419=DIRECTION('center_axis',(0.,0.,-1.)); -#30420=DIRECTION('ref_axis',(-1.,0.,0.)); -#30421=DIRECTION('',(0.,0.,-1.)); -#30422=DIRECTION('center_axis',(0.,0.,-1.)); -#30423=DIRECTION('ref_axis',(-1.,0.,0.)); -#30424=DIRECTION('',(0.,0.,-1.)); -#30425=DIRECTION('center_axis',(0.,0.,-1.)); -#30426=DIRECTION('ref_axis',(-1.,0.,0.)); -#30427=DIRECTION('',(0.,0.,-1.)); -#30428=DIRECTION('center_axis',(0.,0.,-1.)); -#30429=DIRECTION('ref_axis',(-1.,0.,0.)); -#30430=DIRECTION('',(0.,0.,-1.)); -#30431=DIRECTION('center_axis',(0.,0.,-1.)); -#30432=DIRECTION('ref_axis',(-1.,0.,0.)); -#30433=DIRECTION('',(0.,0.,-1.)); -#30434=DIRECTION('center_axis',(0.,0.,-1.)); -#30435=DIRECTION('ref_axis',(-1.,0.,0.)); -#30436=DIRECTION('',(0.,0.,-1.)); -#30437=DIRECTION('center_axis',(0.,0.,-1.)); -#30438=DIRECTION('ref_axis',(-1.,0.,0.)); -#30439=DIRECTION('',(0.,0.,-1.)); -#30440=DIRECTION('center_axis',(0.,0.,-1.)); -#30441=DIRECTION('ref_axis',(-1.,0.,0.)); -#30442=DIRECTION('',(0.,0.,-1.)); -#30443=DIRECTION('center_axis',(0.,0.,-1.)); -#30444=DIRECTION('ref_axis',(-1.,0.,0.)); -#30445=DIRECTION('',(0.,0.,-1.)); -#30446=DIRECTION('center_axis',(0.,0.,-1.)); -#30447=DIRECTION('ref_axis',(-1.,0.,0.)); -#30448=DIRECTION('',(0.,0.,-1.)); -#30449=DIRECTION('center_axis',(0.,0.,-1.)); -#30450=DIRECTION('ref_axis',(-1.,0.,0.)); -#30451=DIRECTION('',(0.,0.,-1.)); -#30452=DIRECTION('center_axis',(0.,0.,-1.)); -#30453=DIRECTION('ref_axis',(-1.,0.,0.)); -#30454=DIRECTION('',(0.,0.,-1.)); -#30455=DIRECTION('center_axis',(0.,0.,-1.)); -#30456=DIRECTION('ref_axis',(-1.,0.,0.)); -#30457=DIRECTION('',(0.,0.,-1.)); -#30458=DIRECTION('center_axis',(0.,0.,-1.)); -#30459=DIRECTION('ref_axis',(-1.,0.,0.)); -#30460=DIRECTION('',(0.,0.,-1.)); -#30461=DIRECTION('center_axis',(0.,0.,-1.)); -#30462=DIRECTION('ref_axis',(-1.,0.,0.)); -#30463=DIRECTION('',(0.,0.,-1.)); -#30464=DIRECTION('center_axis',(0.,0.,-1.)); -#30465=DIRECTION('ref_axis',(-1.,0.,0.)); -#30466=DIRECTION('',(0.,0.,-1.)); -#30467=DIRECTION('center_axis',(0.,0.,-1.)); -#30468=DIRECTION('ref_axis',(-1.,0.,0.)); -#30469=DIRECTION('',(0.,0.,-1.)); -#30470=DIRECTION('center_axis',(0.,0.,-1.)); -#30471=DIRECTION('ref_axis',(-1.,0.,0.)); -#30472=DIRECTION('',(0.,0.,-1.)); -#30473=DIRECTION('center_axis',(0.,0.,-1.)); -#30474=DIRECTION('ref_axis',(-1.,0.,0.)); -#30475=DIRECTION('',(0.,0.,-1.)); -#30476=DIRECTION('center_axis',(0.,0.,-1.)); -#30477=DIRECTION('ref_axis',(-1.,0.,0.)); -#30478=DIRECTION('',(0.,0.,-1.)); -#30479=DIRECTION('center_axis',(0.,0.,-1.)); -#30480=DIRECTION('ref_axis',(-1.,0.,0.)); -#30481=DIRECTION('',(0.,0.,-1.)); -#30482=DIRECTION('center_axis',(0.,0.,-1.)); -#30483=DIRECTION('ref_axis',(-1.,0.,0.)); -#30484=DIRECTION('',(0.,0.,-1.)); -#30485=DIRECTION('center_axis',(0.,0.,-1.)); -#30486=DIRECTION('ref_axis',(-1.,0.,0.)); -#30487=DIRECTION('',(0.,0.,-1.)); -#30488=DIRECTION('center_axis',(0.,0.,-1.)); -#30489=DIRECTION('ref_axis',(-1.,0.,0.)); -#30490=DIRECTION('',(0.,0.,-1.)); -#30491=DIRECTION('center_axis',(0.,1.,0.)); -#30492=DIRECTION('ref_axis',(1.,0.,0.)); -#30493=DIRECTION('',(0.,0.,-1.)); -#30494=DIRECTION('',(1.,0.,0.)); -#30495=DIRECTION('',(0.,0.,-1.)); -#30496=DIRECTION('center_axis',(-1.,0.,0.)); -#30497=DIRECTION('ref_axis',(0.,1.,0.)); -#30498=DIRECTION('',(0.,1.,0.)); -#30499=DIRECTION('',(0.,0.,-1.)); -#30500=DIRECTION('center_axis',(0.,-1.,0.)); -#30501=DIRECTION('ref_axis',(-1.,0.,0.)); -#30502=DIRECTION('',(-1.,0.,0.)); -#30503=DIRECTION('',(0.,0.,-1.)); -#30504=DIRECTION('center_axis',(-1.,0.,0.)); -#30505=DIRECTION('ref_axis',(0.,1.,0.)); -#30506=DIRECTION('',(0.,1.,0.)); -#30507=DIRECTION('',(0.,0.,-1.)); -#30508=DIRECTION('',(0.,1.,0.)); -#30509=DIRECTION('',(0.,0.,-1.)); -#30510=DIRECTION('',(0.,1.,0.)); -#30511=DIRECTION('',(0.,0.,-1.)); -#30512=DIRECTION('',(0.,1.,0.)); -#30513=DIRECTION('',(0.,0.,-1.)); -#30514=DIRECTION('center_axis',(0.,0.,-1.)); -#30515=DIRECTION('ref_axis',(0.,-1.,0.)); -#30516=DIRECTION('',(0.,1.,0.)); -#30517=DIRECTION('',(-1.,0.,0.)); -#30518=DIRECTION('',(1.,0.,0.)); -#30519=DIRECTION('',(0.,1.,0.)); -#30520=DIRECTION('',(-1.,0.,0.)); -#30521=DIRECTION('',(0.,-1.,0.)); -#30522=DIRECTION('',(1.,0.,0.)); -#30523=DIRECTION('center_axis',(1.,0.,0.)); -#30524=DIRECTION('ref_axis',(0.,-1.,0.)); -#30525=DIRECTION('',(0.,0.,-1.)); -#30526=DIRECTION('',(0.,1.,0.)); -#30527=DIRECTION('',(0.,0.,-1.)); -#30528=DIRECTION('',(0.,-1.,0.)); -#30529=DIRECTION('',(0.,0.,-1.)); -#30530=DIRECTION('',(0.,0.,-1.)); -#30531=DIRECTION('',(0.,1.,0.)); -#30532=DIRECTION('',(0.,0.,-1.)); -#30533=DIRECTION('',(0.,0.,-1.)); -#30534=DIRECTION('center_axis',(0.,-1.,0.)); -#30535=DIRECTION('ref_axis',(-1.,0.,0.)); -#30536=DIRECTION('center_axis',(0.,-1.,0.)); -#30537=DIRECTION('ref_axis',(-1.,0.,0.)); -#30538=DIRECTION('',(-1.,0.,0.)); -#30539=DIRECTION('',(-1.,0.,0.)); -#30540=DIRECTION('center_axis',(0.,0.,-1.)); -#30541=DIRECTION('ref_axis',(0.,-1.,0.)); -#30542=DIRECTION('',(1.,0.,0.)); -#30543=DIRECTION('',(0.,-1.,0.)); -#30544=DIRECTION('',(-1.,0.,0.)); -#30545=DIRECTION('',(0.,1.,0.)); -#30546=DIRECTION('',(1.,0.,0.)); -#30547=DIRECTION('center_axis',(0.,1.,0.)); -#30548=DIRECTION('ref_axis',(1.,0.,0.)); -#30549=DIRECTION('',(0.,0.,-1.)); -#30550=DIRECTION('center_axis',(0.,1.,0.)); -#30551=DIRECTION('ref_axis',(1.,0.,0.)); -#30552=DIRECTION('',(0.,0.,-1.)); -#30553=DIRECTION('center_axis',(1.,0.,0.)); -#30554=DIRECTION('ref_axis',(0.,-1.,0.)); -#30555=DIRECTION('',(0.,0.,-1.)); -#30556=DIRECTION('center_axis',(0.,-1.,0.)); -#30557=DIRECTION('ref_axis',(-1.,0.,0.)); -#30558=DIRECTION('',(0.,0.,-1.)); -#30559=DIRECTION('center_axis',(-1.,0.,0.)); -#30560=DIRECTION('ref_axis',(0.,1.,0.)); -#30561=DIRECTION('center_axis',(0.,0.,-1.)); -#30562=DIRECTION('ref_axis',(-1.,0.,0.)); -#30563=DIRECTION('',(1.,0.,0.)); -#30564=DIRECTION('center_axis',(0.,1.,0.)); -#30565=DIRECTION('ref_axis',(1.,0.,0.)); -#30566=DIRECTION('center_axis',(0.,-1.,0.)); -#30567=DIRECTION('ref_axis',(-1.,0.,0.)); -#30568=DIRECTION('',(-1.,0.,0.)); -#30569=DIRECTION('',(0.,0.,-1.)); -#30570=DIRECTION('center_axis',(1.,0.,0.)); -#30571=DIRECTION('ref_axis',(0.,-1.,0.)); -#30572=DIRECTION('',(0.,-1.,0.)); -#30573=DIRECTION('',(0.,0.,-1.)); -#30574=DIRECTION('center_axis',(0.,1.,0.)); -#30575=DIRECTION('ref_axis',(1.,0.,0.)); -#30576=DIRECTION('',(1.,0.,0.)); -#30577=DIRECTION('center_axis',(0.,0.,-1.)); -#30578=DIRECTION('ref_axis',(-1.,0.,0.)); -#30579=DIRECTION('center_axis',(0.,0.,-1.)); -#30580=DIRECTION('ref_axis',(-1.,0.,0.)); -#30581=DIRECTION('center_axis',(0.,1.,0.)); -#30582=DIRECTION('ref_axis',(1.,0.,0.)); -#30583=DIRECTION('center_axis',(0.,-1.,0.)); -#30584=DIRECTION('ref_axis',(1.,0.,0.)); -#30585=DIRECTION('',(0.,0.,-1.)); -#30586=DIRECTION('',(0.,0.,-1.)); -#30587=DIRECTION('center_axis',(0.,0.,-1.)); -#30588=DIRECTION('ref_axis',(0.,-1.,0.)); -#30589=DIRECTION('',(0.,0.,-1.)); -#30590=DIRECTION('center_axis',(-0.999999919542302,-0.000401142605226301, -0.)); -#30591=DIRECTION('ref_axis',(0.000401142605226301,-0.999999919542302,0.)); -#30592=DIRECTION('center_axis',(0.,0.,-1.)); -#30593=DIRECTION('ref_axis',(0.999999976574402,-0.0002164513699654,0.)); -#30594=DIRECTION('',(0.,0.,-1.)); -#30595=DIRECTION('center_axis',(0.999999976574402,-0.0002164513699646,0.)); -#30596=DIRECTION('ref_axis',(0.0002164513699646,0.999999976574402,0.)); -#30597=DIRECTION('',(0.,2.368475785867E-14,-1.)); -#30598=DIRECTION('center_axis',(0.,0.,-1.)); -#30599=DIRECTION('ref_axis',(0.,1.,0.)); -#30600=DIRECTION('',(0.,0.,-1.)); -#30601=DIRECTION('center_axis',(0.,1.,0.)); -#30602=DIRECTION('ref_axis',(-1.,0.,0.)); -#30603=DIRECTION('center_axis',(-1.,0.,0.)); -#30604=DIRECTION('ref_axis',(0.,1.,0.)); -#30605=DIRECTION('',(0.,1.,0.)); -#30606=DIRECTION('',(0.,0.,-1.)); -#30607=DIRECTION('',(0.,0.,-1.)); -#30608=DIRECTION('center_axis',(0.,0.,-1.)); -#30609=DIRECTION('ref_axis',(0.,-1.,0.)); -#30610=DIRECTION('',(1.,0.,0.)); -#30611=DIRECTION('',(0.,-1.,0.)); -#30612=DIRECTION('',(-1.,0.,0.)); -#30613=DIRECTION('center_axis',(0.,0.,1.)); -#30614=DIRECTION('ref_axis',(4.060244204343E-14,1.,0.)); -#30615=DIRECTION('',(1.,0.,0.)); -#30616=DIRECTION('center_axis',(0.,0.,1.)); -#30617=DIRECTION('ref_axis',(0.,-1.,0.)); -#30618=DIRECTION('',(-1.,0.,0.)); -#30619=DIRECTION('center_axis',(0.,0.,-1.)); -#30620=DIRECTION('ref_axis',(0.,-1.,0.)); -#30621=DIRECTION('',(0.,1.,0.)); -#30622=DIRECTION('',(1.,0.,0.)); -#30623=DIRECTION('',(0.,-1.,0.)); -#30624=DIRECTION('',(-1.,0.,0.)); -#30625=DIRECTION('center_axis',(0.,0.,1.)); -#30626=DIRECTION('ref_axis',(0.,1.,0.)); -#30627=DIRECTION('',(1.,0.,0.)); -#30628=DIRECTION('center_axis',(0.,0.,1.)); -#30629=DIRECTION('ref_axis',(0.,-1.,0.)); -#30630=DIRECTION('',(-1.,0.,0.)); -#30631=DIRECTION('center_axis',(0.,0.,-1.)); -#30632=DIRECTION('ref_axis',(0.,-1.,0.)); -#30633=DIRECTION('center_axis',(0.,0.,-1.)); -#30634=DIRECTION('ref_axis',(0.,-1.,0.)); -#30635=DIRECTION('',(-2.876703319998E-9,0.,1.)); -#30636=DIRECTION('',(-3.639602904773E-10,-1.015061051086E-14,1.)); -#30637=DIRECTION('center_axis',(0.,0.,-1.)); -#30638=DIRECTION('ref_axis',(-1.,-7.17857942401594E-10,0.)); -#30639=DIRECTION('center_axis',(0.,0.,-1.)); -#30640=DIRECTION('ref_axis',(0.,-1.,0.)); -#30641=DIRECTION('center_axis',(-1.,0.,0.)); -#30642=DIRECTION('ref_axis',(0.,0.,-1.)); -#30643=DIRECTION('center_axis',(1.,0.,0.)); -#30644=DIRECTION('ref_axis',(0.,-2.368475785867E-14,-1.)); -#30645=DIRECTION('center_axis',(0.,0.,-1.)); -#30646=DIRECTION('ref_axis',(0.,-1.,0.)); -#30647=DIRECTION('',(-1.,-2.030122102172E-14,0.)); -#30648=DIRECTION('center_axis',(0.,0.,-1.)); -#30649=DIRECTION('ref_axis',(0.,-1.,0.)); -#30650=DIRECTION('',(1.,3.045183153258E-14,0.)); -#30651=DIRECTION('center_axis',(0.,0.,-1.)); -#30652=DIRECTION('ref_axis',(0.,1.,0.)); -#30653=DIRECTION('center_axis',(0.,0.,-1.)); -#30654=DIRECTION('ref_axis',(0.,-1.,0.)); -#30655=DIRECTION('',(-1.,-3.045183153258E-14,0.)); -#30656=DIRECTION('',(1.,3.045183153258E-14,0.)); -#30657=DIRECTION('center_axis',(0.,0.,-1.)); -#30658=DIRECTION('ref_axis',(0.,1.,0.)); -#30659=DIRECTION('center_axis',(-1.,0.,0.)); -#30660=DIRECTION('ref_axis',(0.,0.,-1.)); -#30661=DIRECTION('center_axis',(-1.,0.,0.)); -#30662=DIRECTION('ref_axis',(0.,0.,-1.)); -#30663=DIRECTION('',(-1.,0.,0.)); -#30664=DIRECTION('center_axis',(-1.,0.,0.)); -#30665=DIRECTION('ref_axis',(0.,2.368475785867E-14,-1.)); -#30666=DIRECTION('center_axis',(0.,0.,-1.)); -#30667=DIRECTION('ref_axis',(-1.,-7.14284927501772E-10,0.)); -#30668=DIRECTION('center_axis',(0.,0.,-1.)); -#30669=DIRECTION('ref_axis',(0.,-1.,0.)); -#30670=DIRECTION('center_axis',(1.,0.,0.)); -#30671=DIRECTION('ref_axis',(0.,0.,-1.)); -#30672=DIRECTION('center_axis',(1.,0.,0.)); -#30673=DIRECTION('ref_axis',(0.,0.,-1.)); -#30674=DIRECTION('',(1.,0.,0.)); -#30675=DIRECTION('center_axis',(1.,0.,0.)); -#30676=DIRECTION('ref_axis',(0.,0.,-1.)); -#30677=DIRECTION('center_axis',(0.,0.,-1.)); -#30678=DIRECTION('ref_axis',(1.,5.71425235171948E-10,0.)); -#30679=DIRECTION('center_axis',(0.,0.,-1.)); -#30680=DIRECTION('ref_axis',(0.,1.,0.)); -#30681=DIRECTION('center_axis',(0.,0.,-1.)); -#30682=DIRECTION('ref_axis',(1.,8.0952862797586E-10,0.)); -#30683=DIRECTION('',(2.876703319998E-9,0.,1.)); -#30684=DIRECTION('',(3.639602904773E-10,-1.015061051086E-14,1.)); -#30685=DIRECTION('center_axis',(0.,1.,0.)); -#30686=DIRECTION('ref_axis',(1.,0.,0.)); -#30687=DIRECTION('',(-2.876703319998E-9,-1.015061051086E-14,1.)); -#30688=DIRECTION('center_axis',(0.,0.,-1.)); -#30689=DIRECTION('ref_axis',(0.,-1.,0.)); -#30690=DIRECTION('',(-3.639602904773E-10,0.,1.)); -#30691=DIRECTION('center_axis',(0.,-1.,0.)); -#30692=DIRECTION('ref_axis',(-1.,0.,0.)); -#30693=DIRECTION('center_axis',(-1.,0.,0.)); -#30694=DIRECTION('ref_axis',(0.,0.,-1.)); -#30695=DIRECTION('center_axis',(-1.,0.,0.)); -#30696=DIRECTION('ref_axis',(0.,0.,-1.)); -#30697=DIRECTION('',(-1.,0.,0.)); -#30698=DIRECTION('center_axis',(0.,1.,0.)); -#30699=DIRECTION('ref_axis',(1.,0.,0.)); -#30700=DIRECTION('',(3.639602904773E-10,0.,1.)); -#30701=DIRECTION('center_axis',(0.,0.,-1.)); -#30702=DIRECTION('ref_axis',(1.,8.38094222310255E-10,0.)); -#30703=DIRECTION('center_axis',(0.,0.,-1.)); -#30704=DIRECTION('ref_axis',(0.,1.,0.)); -#30705=DIRECTION('',(2.876662717556E-9,-1.015061051086E-14,1.)); -#30706=DIRECTION('center_axis',(0.,0.,-1.)); -#30707=DIRECTION('ref_axis',(1.,5.98811582330245E-10,0.)); -#30708=DIRECTION('center_axis',(1.,0.,0.)); -#30709=DIRECTION('ref_axis',(0.,0.,-1.)); -#30710=DIRECTION('center_axis',(1.,0.,0.)); -#30711=DIRECTION('ref_axis',(0.,0.,-1.)); -#30712=DIRECTION('',(1.,0.,0.)); -#30713=DIRECTION('center_axis',(0.,-1.,0.)); -#30714=DIRECTION('ref_axis',(-1.,0.,0.)); -#30715=DIRECTION('center_axis',(0.,-1.,0.)); -#30716=DIRECTION('ref_axis',(-1.,0.,0.)); -#30717=DIRECTION('',(0.,0.,-1.)); -#30718=DIRECTION('center_axis',(1.,0.,0.)); -#30719=DIRECTION('ref_axis',(0.,-1.,0.)); -#30720=DIRECTION('',(0.,0.,-1.)); -#30721=DIRECTION('center_axis',(0.,1.,0.)); -#30722=DIRECTION('ref_axis',(1.,0.,0.)); -#30723=DIRECTION('center_axis',(-1.,0.,0.)); -#30724=DIRECTION('ref_axis',(0.,1.,0.)); -#30725=DIRECTION('',(0.,0.,-1.)); -#30726=DIRECTION('',(0.,0.,-1.)); -#30727=DIRECTION('center_axis',(0.,1.,0.)); -#30728=DIRECTION('ref_axis',(1.,0.,0.)); -#30729=DIRECTION('',(0.,0.,-1.)); -#30730=DIRECTION('center_axis',(1.,0.,0.)); -#30731=DIRECTION('ref_axis',(0.,-1.,0.)); -#30732=DIRECTION('',(0.,0.,-1.)); -#30733=DIRECTION('center_axis',(0.,-1.,0.)); -#30734=DIRECTION('ref_axis',(-1.,0.,0.)); -#30735=DIRECTION('center_axis',(0.,1.,0.)); -#30736=DIRECTION('ref_axis',(-1.,0.,0.)); -#30737=DIRECTION('',(0.,0.,1.)); -#30738=DIRECTION('',(-1.,0.,0.)); -#30739=DIRECTION('',(0.,0.,1.)); -#30740=DIRECTION('center_axis',(1.,0.,0.)); -#30741=DIRECTION('ref_axis',(0.,1.,0.)); -#30742=DIRECTION('',(0.,1.,0.)); -#30743=DIRECTION('',(0.,0.,1.)); -#30744=DIRECTION('center_axis',(0.,1.,0.)); -#30745=DIRECTION('ref_axis',(-1.,0.,0.)); -#30746=DIRECTION('',(1.,0.,0.)); -#30747=DIRECTION('',(0.,0.,1.)); -#30748=DIRECTION('',(0.,0.,1.)); -#30749=DIRECTION('',(-1.,0.,0.)); -#30750=DIRECTION('',(0.,0.,1.)); -#30751=DIRECTION('',(1.,0.,0.)); -#30752=DIRECTION('',(0.,0.,1.)); -#30753=DIRECTION('',(0.,0.,1.)); -#30754=DIRECTION('',(1.,0.,0.)); -#30755=DIRECTION('',(0.,0.,1.)); -#30756=DIRECTION('',(0.,0.,1.)); -#30757=DIRECTION('',(1.,0.,0.)); -#30758=DIRECTION('',(0.,0.,1.)); -#30759=DIRECTION('',(0.,0.,1.)); -#30760=DIRECTION('center_axis',(0.,0.,1.)); -#30761=DIRECTION('ref_axis',(0.,-1.,0.)); -#30762=DIRECTION('',(0.,1.,0.)); -#30763=DIRECTION('',(-1.,0.,0.)); -#30764=DIRECTION('',(0.,-1.,0.)); -#30765=DIRECTION('center_axis',(0.,0.,1.)); -#30766=DIRECTION('ref_axis',(0.,-1.,0.)); -#30767=DIRECTION('',(0.,1.,0.)); -#30768=DIRECTION('',(-1.,0.,0.)); -#30769=DIRECTION('',(0.,-1.,0.)); -#30770=DIRECTION('center_axis',(0.,0.,1.)); -#30771=DIRECTION('ref_axis',(0.,-1.,0.)); -#30772=DIRECTION('',(0.,1.,0.)); -#30773=DIRECTION('',(-1.,0.,0.)); -#30774=DIRECTION('',(0.,-1.,0.)); -#30775=DIRECTION('center_axis',(0.,0.,1.)); -#30776=DIRECTION('ref_axis',(0.,-1.,0.)); -#30777=DIRECTION('',(0.,-1.,0.)); -#30778=DIRECTION('center_axis',(-1.,0.,0.)); -#30779=DIRECTION('ref_axis',(0.,-1.,0.)); -#30780=DIRECTION('',(0.,0.,1.)); -#30781=DIRECTION('center_axis',(0.,1.,0.)); -#30782=DIRECTION('ref_axis',(-1.,0.,0.)); -#30783=DIRECTION('',(0.,0.,1.)); -#30784=DIRECTION('center_axis',(1.,0.,0.)); -#30785=DIRECTION('ref_axis',(0.,1.,0.)); -#30786=DIRECTION('center_axis',(-1.,0.,0.)); -#30787=DIRECTION('ref_axis',(0.,-1.,0.)); -#30788=DIRECTION('',(0.,0.,1.)); -#30789=DIRECTION('center_axis',(0.,1.,0.)); -#30790=DIRECTION('ref_axis',(-1.,0.,0.)); -#30791=DIRECTION('',(0.,0.,1.)); -#30792=DIRECTION('center_axis',(1.,0.,0.)); -#30793=DIRECTION('ref_axis',(0.,1.,0.)); -#30794=DIRECTION('center_axis',(-1.,0.,0.)); -#30795=DIRECTION('ref_axis',(0.,-1.,0.)); -#30796=DIRECTION('',(0.,0.,1.)); -#30797=DIRECTION('center_axis',(0.,1.,0.)); -#30798=DIRECTION('ref_axis',(-1.,0.,0.)); -#30799=DIRECTION('',(0.,0.,1.)); -#30800=DIRECTION('center_axis',(1.,0.,0.)); -#30801=DIRECTION('ref_axis',(0.,1.,0.)); -#30802=DIRECTION('center_axis',(-1.,0.,0.)); -#30803=DIRECTION('ref_axis',(0.,-1.,0.)); -#30804=DIRECTION('center_axis',(1.,0.,0.)); -#30805=DIRECTION('ref_axis',(0.,1.,0.)); -#30806=DIRECTION('',(0.,1.,0.)); -#30807=DIRECTION('',(0.,0.,1.)); -#30808=DIRECTION('center_axis',(0.,-1.,0.)); -#30809=DIRECTION('ref_axis',(1.,0.,0.)); -#30810=DIRECTION('',(1.,0.,0.)); -#30811=DIRECTION('',(0.,0.,1.)); -#30812=DIRECTION('',(0.,0.,-1.)); -#30813=DIRECTION('',(-1.,0.,0.)); -#30814=DIRECTION('',(0.,0.,-1.)); -#30815=DIRECTION('',(-1.,0.,0.)); -#30816=DIRECTION('',(0.,0.,1.)); -#30817=DIRECTION('',(-1.,0.,0.)); -#30818=DIRECTION('',(0.,0.,1.)); -#30819=DIRECTION('',(1.,0.,0.)); -#30820=DIRECTION('',(0.,0.,1.)); -#30821=DIRECTION('',(1.,0.,0.)); -#30822=DIRECTION('',(0.,0.,-1.)); -#30823=DIRECTION('',(1.,0.,0.)); -#30824=DIRECTION('center_axis',(-1.,0.,0.)); -#30825=DIRECTION('ref_axis',(0.,-1.,0.)); -#30826=DIRECTION('',(0.,-1.,0.)); -#30827=DIRECTION('center_axis',(0.,0.,1.)); -#30828=DIRECTION('ref_axis',(0.,-1.,0.)); -#30829=DIRECTION('center_axis',(-1.,0.,0.)); -#30830=DIRECTION('ref_axis',(0.,0.,-1.)); -#30831=DIRECTION('',(0.,-1.,0.)); -#30832=DIRECTION('',(0.,0.,-1.)); -#30833=DIRECTION('',(0.,-1.,0.)); -#30834=DIRECTION('center_axis',(0.,0.,1.)); -#30835=DIRECTION('ref_axis',(-1.,0.,0.)); -#30836=DIRECTION('',(0.,-1.,0.)); -#30837=DIRECTION('',(-1.,0.,0.)); -#30838=DIRECTION('',(0.,-1.,0.)); -#30839=DIRECTION('center_axis',(0.,0.,1.)); -#30840=DIRECTION('ref_axis',(-1.,0.,0.)); -#30841=DIRECTION('',(-1.,0.,0.)); -#30842=DIRECTION('',(0.,-1.,0.)); -#30843=DIRECTION('center_axis',(1.,0.,0.)); -#30844=DIRECTION('ref_axis',(0.,0.,1.)); -#30845=DIRECTION('',(0.,0.,1.)); -#30846=DIRECTION('',(0.,-1.,0.)); -#30847=DIRECTION('center_axis',(0.,0.,-1.)); -#30848=DIRECTION('ref_axis',(1.,0.,0.)); -#30849=DIRECTION('',(0.,-1.,0.)); -#30850=DIRECTION('',(1.,0.,0.)); -#30851=DIRECTION('center_axis',(0.,0.,-1.)); -#30852=DIRECTION('ref_axis',(1.,0.,0.)); -#30853=DIRECTION('',(1.,0.,0.)); -#30854=DIRECTION('',(0.,-1.,0.)); -#30855=DIRECTION('center_axis',(0.,-1.,0.)); -#30856=DIRECTION('ref_axis',(1.,0.,0.)); -#30857=DIRECTION('',(0.,0.,1.)); -#30858=DIRECTION('',(-1.,0.,0.)); -#30859=DIRECTION('',(0.,0.,-1.)); -#30860=DIRECTION('',(0.,0.,-1.)); -#30861=DIRECTION('',(1.,0.,0.)); -#30862=DIRECTION('',(0.,0.,1.)); -#30863=DIRECTION('center_axis',(1.,0.,0.)); -#30864=DIRECTION('ref_axis',(0.,0.,1.)); -#30865=DIRECTION('',(0.,1.,0.)); -#30866=DIRECTION('center_axis',(1.,0.,0.)); -#30867=DIRECTION('ref_axis',(0.,0.,1.)); -#30868=DIRECTION('',(0.,1.,0.)); -#30869=DIRECTION('center_axis',(0.,0.,-1.)); -#30870=DIRECTION('ref_axis',(1.,0.,0.)); -#30871=DIRECTION('',(0.,1.,0.)); -#30872=DIRECTION('center_axis',(-1.,0.,0.)); -#30873=DIRECTION('ref_axis',(0.,0.,-1.)); -#30874=DIRECTION('center_axis',(-1.,0.,0.)); -#30875=DIRECTION('ref_axis',(0.,0.,-1.)); -#30876=DIRECTION('',(0.,1.,0.)); -#30877=DIRECTION('center_axis',(0.,0.,1.)); -#30878=DIRECTION('ref_axis',(-1.,0.,0.)); -#30879=DIRECTION('center_axis',(1.,0.,0.)); -#30880=DIRECTION('ref_axis',(0.,1.,0.)); -#30881=DIRECTION('',(0.,0.,1.)); -#30882=DIRECTION('',(0.,1.,0.)); -#30883=DIRECTION('',(0.,0.,1.)); -#30884=DIRECTION('center_axis',(0.,-1.,0.)); -#30885=DIRECTION('ref_axis',(1.,0.,0.)); -#30886=DIRECTION('',(1.,0.,0.)); -#30887=DIRECTION('',(0.,0.,1.)); -#30888=DIRECTION('center_axis',(-1.,0.,0.)); -#30889=DIRECTION('ref_axis',(0.,-1.,0.)); -#30890=DIRECTION('',(0.,-1.,0.)); -#30891=DIRECTION('',(0.,0.,1.)); -#30892=DIRECTION('center_axis',(0.00781272785809183,-0.999969480175978, -0.)); -#30893=DIRECTION('ref_axis',(0.999969480175978,0.00781272785809183,0.)); -#30894=DIRECTION('',(0.999969480175978,0.00781272785809583,0.)); -#30895=DIRECTION('',(0.,0.,1.)); -#30896=DIRECTION('',(0.,0.,1.)); -#30897=DIRECTION('',(0.999969480175978,0.00781272785808583,0.)); -#30898=DIRECTION('',(0.,0.,1.)); -#30899=DIRECTION('',(0.999969480175978,0.00781272785810383,0.)); -#30900=DIRECTION('',(0.,0.,1.)); -#30901=DIRECTION('',(0.999969480175978,0.00781272785810283,0.)); -#30902=DIRECTION('',(0.,-1.015061051086E-14,-1.)); -#30903=DIRECTION('',(0.999969480175978,0.00781272785808983,0.)); -#30904=DIRECTION('',(0.,0.,-1.)); -#30905=DIRECTION('center_axis',(0.,0.,1.)); -#30906=DIRECTION('ref_axis',(0.,-1.,0.)); -#30907=DIRECTION('',(-1.,-2.639156219341E-13,0.)); -#30908=DIRECTION('',(0.,-1.,0.)); -#30909=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); -#30910=DIRECTION('',(-1.804121354189E-13,1.,0.)); -#30911=DIRECTION('center_axis',(0.,0.,1.)); -#30912=DIRECTION('ref_axis',(0.,-1.,0.)); -#30913=DIRECTION('',(0.707101730398559,0.707111831938459,0.)); -#30914=DIRECTION('',(-1.08813967376301E-6,0.999999999999408,0.)); -#30915=DIRECTION('',(-1.,0.,0.)); -#30916=DIRECTION('',(0.,-1.,0.)); -#30917=DIRECTION('center_axis',(-2.639156219341E-13,1.,0.)); -#30918=DIRECTION('ref_axis',(-1.,-2.639156219341E-13,0.)); -#30919=DIRECTION('',(0.,0.,1.)); -#30920=DIRECTION('',(0.,0.,1.)); -#30921=DIRECTION('center_axis',(-1.,0.,0.)); -#30922=DIRECTION('ref_axis',(0.,-1.,0.)); -#30923=DIRECTION('',(0.,0.,1.)); -#30924=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.)); -#30925=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); -#30926=DIRECTION('center_axis',(-1.,0.,0.)); -#30927=DIRECTION('ref_axis',(0.,-1.,0.)); -#30928=DIRECTION('',(0.,-1.,0.)); -#30929=DIRECTION('',(0.,0.,1.)); -#30930=DIRECTION('',(1.804121354189E-13,-1.,0.)); -#30931=DIRECTION('',(0.,0.,-1.)); -#30932=DIRECTION('center_axis',(0.,0.,1.)); -#30933=DIRECTION('ref_axis',(0.,-1.,0.)); -#30934=DIRECTION('',(0.,-1.,0.)); -#30935=DIRECTION('',(1.,-1.7763568394E-14,0.)); -#30936=DIRECTION('',(0.,-1.,0.)); -#30937=DIRECTION('',(-1.,0.,0.)); -#30938=DIRECTION('center_axis',(0.,0.,1.)); -#30939=DIRECTION('ref_axis',(0.,-1.,0.)); -#30940=DIRECTION('',(0.,-1.,0.)); -#30941=DIRECTION('',(-1.,0.,0.)); -#30942=DIRECTION('',(1.,1.776356839396E-14,0.)); -#30943=DIRECTION('center_axis',(1.,0.,0.)); -#30944=DIRECTION('ref_axis',(0.,0.,-1.)); -#30945=DIRECTION('',(0.,0.,-1.)); -#30946=DIRECTION('',(0.,-1.,0.)); -#30947=DIRECTION('',(0.,0.,-1.)); -#30948=DIRECTION('',(0.,-1.,0.)); -#30949=DIRECTION('center_axis',(0.,1.,0.)); -#30950=DIRECTION('ref_axis',(-1.,0.,0.)); -#30951=DIRECTION('',(0.,0.,1.)); -#30952=DIRECTION('',(0.,0.,-1.)); -#30953=DIRECTION('',(-1.,0.,0.)); -#30954=DIRECTION('center_axis',(0.,0.,-1.)); -#30955=DIRECTION('ref_axis',(-1.,0.,0.)); -#30956=DIRECTION('',(0.,-1.,0.)); -#30957=DIRECTION('',(-1.,0.,0.)); -#30958=DIRECTION('center_axis',(0.,1.,0.)); -#30959=DIRECTION('ref_axis',(-1.,0.,0.)); -#30960=DIRECTION('',(0.,0.,1.)); -#30961=DIRECTION('',(1.,0.,0.)); -#30962=DIRECTION('center_axis',(0.,0.,1.)); -#30963=DIRECTION('ref_axis',(1.,0.,0.)); -#30964=DIRECTION('',(1.,0.,0.)); -#30965=DIRECTION('',(0.,-1.,0.)); -#30966=DIRECTION('center_axis',(0.,-1.,0.)); -#30967=DIRECTION('ref_axis',(1.,0.,0.)); -#30968=DIRECTION('',(1.,0.,0.)); -#30969=DIRECTION('',(0.,0.,-1.)); -#30970=DIRECTION('center_axis',(1.,0.,0.)); -#30971=DIRECTION('ref_axis',(0.,1.,0.)); -#30972=DIRECTION('',(0.,1.,0.)); -#30973=DIRECTION('',(0.,0.,1.)); -#30974=DIRECTION('center_axis',(0.,1.,0.)); -#30975=DIRECTION('ref_axis',(-1.,0.,0.)); -#30976=DIRECTION('',(0.,0.,1.)); -#30977=DIRECTION('center_axis',(0.999999999999408,1.08813967376301E-6,0.)); -#30978=DIRECTION('ref_axis',(-1.08813967376301E-6,0.999999999999408,0.)); -#30979=DIRECTION('',(0.,0.,1.)); -#30980=DIRECTION('center_axis',(0.707111831938409,-0.707101730398609,0.)); -#30981=DIRECTION('ref_axis',(0.707101730398609,0.707111831938409,0.)); -#30982=DIRECTION('center_axis',(0.,0.,1.)); -#30983=DIRECTION('ref_axis',(0.,-1.,0.)); -#30984=DIRECTION('center_axis',(-1.,0.,0.)); -#30985=DIRECTION('ref_axis',(0.,0.,1.)); -#30986=DIRECTION('center_axis',(0.,0.,1.)); -#30987=DIRECTION('ref_axis',(0.,-1.,0.)); -#30988=DIRECTION('',(0.,-1.,0.)); -#30989=DIRECTION('',(1.,0.,0.)); -#30990=DIRECTION('',(0.,1.,0.)); -#30991=DIRECTION('center_axis',(0.,0.,1.)); -#30992=DIRECTION('ref_axis',(0.,-1.,0.)); -#30993=DIRECTION('center_axis',(1.,0.,0.)); -#30994=DIRECTION('ref_axis',(0.,1.,0.)); -#30995=DIRECTION('',(0.,0.,1.)); -#30996=DIRECTION('center_axis',(0.,-1.,0.)); -#30997=DIRECTION('ref_axis',(1.,0.,0.)); -#30998=DIRECTION('',(0.,0.,1.)); -#30999=DIRECTION('center_axis',(-1.,0.,0.)); -#31000=DIRECTION('ref_axis',(0.,-1.,0.)); -#31001=DIRECTION('center_axis',(0.,0.,1.)); -#31002=DIRECTION('ref_axis',(0.212275747340111,0.977209807099375,0.)); -#31003=DIRECTION('',(0.,0.,1.)); -#31004=DIRECTION('center_axis',(0.,0.,1.)); -#31005=DIRECTION('ref_axis',(0.498670334473295,-0.866791726723491,0.)); -#31006=DIRECTION('',(0.,0.,1.)); -#31007=DIRECTION('center_axis',(0.999999999999906,4.34782985670102E-7,0.)); -#31008=DIRECTION('ref_axis',(-4.34782985670102E-7,0.999999999999906,0.)); -#31009=DIRECTION('',(-4.34783019378102E-7,0.999999999999905,0.)); -#31010=DIRECTION('',(0.,-1.42108547152E-14,1.)); -#31011=DIRECTION('',(-4.34782955615702E-7,0.999999999999905,0.)); -#31012=DIRECTION('',(0.,0.,1.)); -#31013=DIRECTION('',(-4.34782985670102E-7,0.999999999999905,0.)); -#31014=DIRECTION('',(0.,0.,1.)); -#31015=DIRECTION('',(0.,0.,-1.)); -#31016=DIRECTION('',(4.34782981989702E-7,-0.999999999999905,0.)); -#31017=DIRECTION('',(0.,0.,1.)); -#31018=DIRECTION('',(-4.34782981989702E-7,0.999999999999905,0.)); -#31019=DIRECTION('center_axis',(4.34782985670102E-7,-0.999999999999906, -0.)); -#31020=DIRECTION('ref_axis',(0.,0.,-1.)); -#31021=DIRECTION('',(0.999999999999905,4.34782984218702E-7,0.)); -#31022=DIRECTION('',(0.,0.,-1.)); -#31023=DIRECTION('',(0.999999999999905,4.34782977874502E-7,0.)); -#31024=DIRECTION('center_axis',(0.,0.,1.)); -#31025=DIRECTION('ref_axis',(4.34782985670102E-7,-0.999999999999906,0.)); -#31026=DIRECTION('',(4.34782974308102E-7,-0.999999999999905,0.)); -#31027=DIRECTION('',(0.999999999999905,4.34782977874502E-7,0.)); -#31028=DIRECTION('center_axis',(-4.34782985670102E-7,0.999999999999906, -0.)); -#31029=DIRECTION('ref_axis',(0.,0.,1.)); -#31030=DIRECTION('',(0.,0.,1.)); -#31031=DIRECTION('',(0.999999999999905,4.34782977874502E-7,0.)); -#31032=DIRECTION('center_axis',(0.,0.,-1.)); -#31033=DIRECTION('ref_axis',(-4.34782985670102E-7,0.999999999999906,0.)); -#31034=DIRECTION('',(-4.34782974308102E-7,0.999999999999905,0.)); -#31035=DIRECTION('center_axis',(0.999999999999906,4.34782985670102E-7,0.)); -#31036=DIRECTION('ref_axis',(-4.34782985670102E-7,0.999999999999906,0.)); -#31037=DIRECTION('center_axis',(0.,0.,1.)); -#31038=DIRECTION('ref_axis',(1.,0.,0.)); -#31039=DIRECTION('',(0.999999999999906,4.34782996550802E-7,0.)); -#31040=DIRECTION('center_axis',(0.,0.,1.)); -#31041=DIRECTION('ref_axis',(-0.813338595752639,0.581790622697828,0.)); -#31042=DIRECTION('center_axis',(0.,0.,1.)); -#31043=DIRECTION('ref_axis',(1.,0.,0.)); -#31044=DIRECTION('',(-0.999999999999906,-4.34782995489602E-7,0.)); -#31045=DIRECTION('center_axis',(0.,0.,1.)); -#31046=DIRECTION('ref_axis',(1.,0.,0.)); -#31047=DIRECTION('',(4.34782993017502E-7,-0.999999999999906,0.)); -#31048=DIRECTION('center_axis',(0.,0.,1.)); -#31049=DIRECTION('ref_axis',(0.453235219527301,0.891390955630603,0.)); -#31050=DIRECTION('center_axis',(0.,0.,1.)); -#31051=DIRECTION('ref_axis',(1.,0.,0.)); -#31052=DIRECTION('',(4.34782962498302E-7,-0.999999999999906,0.)); -#31053=DIRECTION('center_axis',(0.,0.,1.)); -#31054=DIRECTION('ref_axis',(0.453232956371805,0.891392106347411,0.)); -#31055=DIRECTION('center_axis',(4.34782984494102E-7,-0.999999999999906, -0.)); -#31056=DIRECTION('ref_axis',(0.999999999999906,4.34782984494102E-7,0.)); -#31057=DIRECTION('',(0.999999999999905,4.34782987583502E-7,0.)); -#31058=DIRECTION('',(0.,0.,1.)); -#31059=DIRECTION('',(0.,0.,1.)); -#31060=DIRECTION('center_axis',(0.,0.,1.)); -#31061=DIRECTION('ref_axis',(0.212272701248593,-0.977210468785832,0.)); -#31062=DIRECTION('center_axis',(-0.999999999999906,-4.34782985670102E-7, -0.)); -#31063=DIRECTION('ref_axis',(4.34782985670102E-7,-0.999999999999906,0.)); -#31064=DIRECTION('',(0.,0.,1.)); -#31065=DIRECTION('',(0.,0.,1.)); -#31066=DIRECTION('',(0.,-1.42108547152E-14,1.)); -#31067=DIRECTION('',(4.34782985670102E-7,-0.999999999999905,0.)); -#31068=DIRECTION('',(0.,0.,1.)); -#31069=DIRECTION('',(0.,0.,1.)); -#31070=DIRECTION('center_axis',(0.,0.,1.)); -#31071=DIRECTION('ref_axis',(-0.999999999999905,-4.34738007692649E-7,0.)); -#31072=DIRECTION('center_axis',(-4.34782984494102E-7,0.999999999999906, -0.)); -#31073=DIRECTION('ref_axis',(-0.999999999999906,-4.34782984494102E-7,0.)); -#31074=DIRECTION('',(-0.999999999999905,-4.34782984494102E-7,0.)); -#31075=DIRECTION('center_axis',(0.,0.,1.)); -#31076=DIRECTION('ref_axis',(0.,1.,0.)); -#31077=DIRECTION('center_axis',(0.,0.,1.)); -#31078=DIRECTION('ref_axis',(-0.999999999999906,-4.34737614673698E-7,0.)); -#31079=DIRECTION('center_axis',(0.,1.,0.)); -#31080=DIRECTION('ref_axis',(-1.,0.,0.)); -#31081=DIRECTION('',(0.,0.,1.)); -#31082=DIRECTION('',(-1.,0.,0.)); -#31083=DIRECTION('',(0.,0.,1.)); -#31084=DIRECTION('center_axis',(1.,0.,0.)); -#31085=DIRECTION('ref_axis',(0.,1.,0.)); -#31086=DIRECTION('',(0.,1.,0.)); -#31087=DIRECTION('',(0.,0.,1.)); -#31088=DIRECTION('',(0.,-1.,0.)); -#31089=DIRECTION('',(0.,0.,1.)); -#31090=DIRECTION('',(0.,-1.,0.)); -#31091=DIRECTION('',(0.,0.,1.)); -#31092=DIRECTION('',(0.,-1.,0.)); -#31093=DIRECTION('',(0.,0.,1.)); -#31094=DIRECTION('',(0.,-1.,0.)); -#31095=DIRECTION('',(0.,0.,1.)); -#31096=DIRECTION('',(0.,-1.,0.)); -#31097=DIRECTION('',(0.,0.,1.)); -#31098=DIRECTION('',(0.,-1.,0.)); -#31099=DIRECTION('',(0.,0.,1.)); -#31100=DIRECTION('',(0.,-1.,0.)); -#31101=DIRECTION('',(0.,0.,1.)); -#31102=DIRECTION('',(0.,-1.,0.)); -#31103=DIRECTION('',(0.,0.,1.)); -#31104=DIRECTION('center_axis',(0.,-1.,0.)); -#31105=DIRECTION('ref_axis',(1.,0.,0.)); -#31106=DIRECTION('',(1.,0.,0.)); -#31107=DIRECTION('',(0.,0.,1.)); -#31108=DIRECTION('center_axis',(-1.,0.,0.)); -#31109=DIRECTION('ref_axis',(0.,-1.,0.)); -#31110=DIRECTION('',(0.,-1.,0.)); -#31111=DIRECTION('',(0.,-1.,0.)); -#31112=DIRECTION('',(0.,0.,1.)); -#31113=DIRECTION('',(0.,-1.,0.)); -#31114=DIRECTION('',(0.,0.,1.)); -#31115=DIRECTION('',(0.,-1.,0.)); -#31116=DIRECTION('',(0.,0.,1.)); -#31117=DIRECTION('',(0.,-1.,0.)); -#31118=DIRECTION('',(0.,0.,1.)); -#31119=DIRECTION('',(0.,-1.,0.)); -#31120=DIRECTION('',(0.,0.,1.)); -#31121=DIRECTION('',(0.,-1.,0.)); -#31122=DIRECTION('',(0.,0.,1.)); -#31123=DIRECTION('',(0.,-1.,0.)); -#31124=DIRECTION('',(0.,0.,1.)); -#31125=DIRECTION('',(0.,-1.,0.)); -#31126=DIRECTION('',(0.,0.,1.)); -#31127=DIRECTION('center_axis',(0.,0.,1.)); -#31128=DIRECTION('ref_axis',(0.,-1.,0.)); -#31129=DIRECTION('center_axis',(0.,0.,1.)); -#31130=DIRECTION('ref_axis',(-1.,0.,0.)); -#31131=DIRECTION('',(1.,0.,0.)); -#31132=DIRECTION('',(0.,1.,0.)); -#31133=DIRECTION('',(-1.,0.,0.)); -#31134=DIRECTION('center_axis',(0.,0.,1.)); -#31135=DIRECTION('ref_axis',(-1.,0.,0.)); -#31136=DIRECTION('',(1.,0.,0.)); -#31137=DIRECTION('',(0.,1.,0.)); -#31138=DIRECTION('',(-1.,0.,0.)); -#31139=DIRECTION('center_axis',(0.,0.,1.)); -#31140=DIRECTION('ref_axis',(-1.,0.,0.)); -#31141=DIRECTION('',(1.,0.,0.)); -#31142=DIRECTION('',(3.49676543189E-14,1.,0.)); -#31143=DIRECTION('',(-1.,0.,0.)); -#31144=DIRECTION('center_axis',(0.,0.,1.)); -#31145=DIRECTION('ref_axis',(-1.,0.,0.)); -#31146=DIRECTION('',(1.,0.,0.)); -#31147=DIRECTION('',(0.,1.,0.)); -#31148=DIRECTION('',(-1.,0.,0.)); -#31149=DIRECTION('center_axis',(0.,0.,1.)); -#31150=DIRECTION('ref_axis',(-1.,0.,0.)); -#31151=DIRECTION('',(-1.,0.,0.)); -#31152=DIRECTION('',(0.,-1.,0.)); -#31153=DIRECTION('',(1.,0.,0.)); -#31154=DIRECTION('center_axis',(0.,0.,1.)); -#31155=DIRECTION('ref_axis',(-1.,0.,0.)); -#31156=DIRECTION('',(-1.,0.,0.)); -#31157=DIRECTION('',(0.,-1.,0.)); -#31158=DIRECTION('',(1.,0.,0.)); -#31159=DIRECTION('center_axis',(0.,0.,1.)); -#31160=DIRECTION('ref_axis',(-1.,0.,0.)); -#31161=DIRECTION('',(-1.,0.,0.)); -#31162=DIRECTION('',(0.,-1.,0.)); -#31163=DIRECTION('',(1.,0.,0.)); -#31164=DIRECTION('center_axis',(0.,0.,1.)); -#31165=DIRECTION('ref_axis',(-1.,0.,0.)); -#31166=DIRECTION('',(-1.,0.,0.)); -#31167=DIRECTION('',(0.,-1.,0.)); -#31168=DIRECTION('',(1.,0.,0.)); -#31169=DIRECTION('center_axis',(0.,1.,0.)); -#31170=DIRECTION('ref_axis',(-1.,0.,0.)); -#31171=DIRECTION('',(0.,0.,1.)); -#31172=DIRECTION('',(-1.,0.,0.)); -#31173=DIRECTION('',(0.,0.,1.)); -#31174=DIRECTION('',(0.,0.,1.)); -#31175=DIRECTION('',(-1.,0.,0.)); -#31176=DIRECTION('center_axis',(0.,1.,0.)); -#31177=DIRECTION('ref_axis',(-1.,0.,0.)); -#31178=DIRECTION('',(0.,0.,1.)); -#31179=DIRECTION('',(-1.,0.,0.)); -#31180=DIRECTION('',(0.,0.,1.)); -#31181=DIRECTION('',(0.,0.,1.)); -#31182=DIRECTION('',(-1.,0.,0.)); -#31183=DIRECTION('center_axis',(-1.,0.,0.)); -#31184=DIRECTION('ref_axis',(0.,-1.,0.)); -#31185=DIRECTION('',(0.,-1.,0.)); -#31186=DIRECTION('',(0.,0.,-1.)); -#31187=DIRECTION('',(0.,-1.,0.)); -#31188=DIRECTION('center_axis',(0.,0.,1.)); -#31189=DIRECTION('ref_axis',(-1.,0.,0.)); -#31190=DIRECTION('',(1.,0.,0.)); -#31191=DIRECTION('center_axis',(0.,0.,1.)); -#31192=DIRECTION('ref_axis',(-1.,0.,0.)); -#31193=DIRECTION('',(-1.,0.,0.)); -#31194=DIRECTION('',(0.,-1.,0.)); -#31195=DIRECTION('',(1.,0.,0.)); -#31196=DIRECTION('center_axis',(0.,0.,1.)); -#31197=DIRECTION('ref_axis',(-1.,0.,0.)); -#31198=DIRECTION('',(-1.,0.,0.)); -#31199=DIRECTION('',(-1.748382715945E-14,-1.,0.)); -#31200=DIRECTION('',(1.,0.,0.)); -#31201=DIRECTION('center_axis',(0.,0.,1.)); -#31202=DIRECTION('ref_axis',(-1.,0.,0.)); -#31203=DIRECTION('',(-1.,0.,0.)); -#31204=DIRECTION('',(0.,-1.,0.)); -#31205=DIRECTION('',(1.,0.,0.)); -#31206=DIRECTION('center_axis',(0.,0.,1.)); -#31207=DIRECTION('ref_axis',(-1.,0.,0.)); -#31208=DIRECTION('',(1.,0.,0.)); -#31209=DIRECTION('',(0.,1.,0.)); -#31210=DIRECTION('center_axis',(0.,0.,1.)); -#31211=DIRECTION('ref_axis',(-1.,0.,0.)); -#31212=DIRECTION('',(1.,0.,0.)); -#31213=DIRECTION('',(0.,1.,0.)); -#31214=DIRECTION('',(-1.,0.,0.)); -#31215=DIRECTION('center_axis',(0.,0.,1.)); -#31216=DIRECTION('ref_axis',(-1.,0.,0.)); -#31217=DIRECTION('',(1.,0.,0.)); -#31218=DIRECTION('',(0.,1.,0.)); -#31219=DIRECTION('',(-1.,0.,0.)); -#31220=DIRECTION('center_axis',(0.,0.,1.)); -#31221=DIRECTION('ref_axis',(-1.,0.,0.)); -#31222=DIRECTION('',(1.,0.,0.)); -#31223=DIRECTION('',(0.,1.,0.)); -#31224=DIRECTION('',(-1.,0.,0.)); -#31225=DIRECTION('center_axis',(0.,-1.,0.)); -#31226=DIRECTION('ref_axis',(1.,0.,0.)); -#31227=DIRECTION('',(1.,0.,0.)); -#31228=DIRECTION('',(0.,0.,1.)); -#31229=DIRECTION('',(0.,0.,1.)); -#31230=DIRECTION('center_axis',(0.,-1.,0.)); -#31231=DIRECTION('ref_axis',(1.,0.,0.)); -#31232=DIRECTION('',(0.,0.,1.)); -#31233=DIRECTION('',(0.,0.,1.)); -#31234=DIRECTION('',(1.,0.,0.)); -#31235=DIRECTION('',(0.,0.,1.)); -#31236=DIRECTION('center_axis',(1.,0.,0.)); -#31237=DIRECTION('ref_axis',(0.,1.,0.)); -#31238=DIRECTION('center_axis',(-1.,0.,0.)); -#31239=DIRECTION('ref_axis',(0.,-1.,0.)); -#31240=DIRECTION('',(0.,-1.,0.)); -#31241=DIRECTION('center_axis',(0.,0.,1.)); -#31242=DIRECTION('ref_axis',(0.,-1.,0.)); -#31243=DIRECTION('center_axis',(0.,0.,1.)); -#31244=DIRECTION('ref_axis',(0.,-1.,0.)); -#31245=DIRECTION('',(0.,-1.,0.)); -#31246=DIRECTION('',(-1.,0.,0.)); -#31247=DIRECTION('',(0.,-1.,0.)); -#31248=DIRECTION('',(1.,0.,0.)); -#31249=DIRECTION('center_axis',(0.,0.,1.)); -#31250=DIRECTION('ref_axis',(0.,-1.,0.)); -#31251=DIRECTION('',(-1.748382715945E-14,-1.,0.)); -#31252=DIRECTION('',(-1.,-1.118964938205E-14,0.)); -#31253=DIRECTION('',(0.,-1.,0.)); -#31254=DIRECTION('',(1.,0.,0.)); -#31255=DIRECTION('center_axis',(0.,0.,1.)); -#31256=DIRECTION('ref_axis',(0.,-1.,0.)); -#31257=DIRECTION('',(0.,1.,0.)); -#31258=DIRECTION('',(-1.,0.,0.)); -#31259=DIRECTION('',(0.,-1.,0.)); -#31260=DIRECTION('',(1.,0.,0.)); -#31261=DIRECTION('center_axis',(0.,0.,1.)); -#31262=DIRECTION('ref_axis',(0.,-1.,0.)); -#31263=DIRECTION('',(0.,-1.,0.)); -#31264=DIRECTION('',(0.,1.,0.)); -#31265=DIRECTION('center_axis',(0.,0.,1.)); -#31266=DIRECTION('ref_axis',(0.,-1.,0.)); -#31267=DIRECTION('',(0.,1.,0.)); -#31268=DIRECTION('',(1.,0.,0.)); -#31269=DIRECTION('',(0.,1.,0.)); -#31270=DIRECTION('',(-1.,0.,0.)); -#31271=DIRECTION('center_axis',(0.,0.,1.)); -#31272=DIRECTION('ref_axis',(0.,-1.,0.)); -#31273=DIRECTION('',(0.,1.,0.)); -#31274=DIRECTION('',(1.,0.,0.)); -#31275=DIRECTION('',(0.,1.,0.)); -#31276=DIRECTION('',(-1.,0.,0.)); -#31277=DIRECTION('center_axis',(0.,0.,1.)); -#31278=DIRECTION('ref_axis',(0.,-1.,0.)); -#31279=DIRECTION('',(0.,1.,0.)); -#31280=DIRECTION('',(1.,0.,0.)); -#31281=DIRECTION('',(0.,1.,0.)); -#31282=DIRECTION('',(-1.,0.,0.)); -#31283=DIRECTION('center_axis',(-1.,0.,0.)); -#31284=DIRECTION('ref_axis',(0.,-1.,0.)); -#31285=DIRECTION('',(0.,0.,1.)); -#31286=DIRECTION('',(0.,0.,1.)); -#31287=DIRECTION('center_axis',(0.,1.,0.)); -#31288=DIRECTION('ref_axis',(-1.,0.,0.)); -#31289=DIRECTION('',(0.,0.,1.)); -#31290=DIRECTION('',(0.,0.,1.)); -#31291=DIRECTION('center_axis',(0.,1.,0.)); -#31292=DIRECTION('ref_axis',(-1.,0.,0.)); -#31293=DIRECTION('',(0.,0.,1.)); -#31294=DIRECTION('',(0.,0.,1.)); -#31295=DIRECTION('',(0.,0.,1.)); -#31296=DIRECTION('center_axis',(-1.,0.,0.)); -#31297=DIRECTION('ref_axis',(0.,-1.,0.)); -#31298=DIRECTION('',(0.,0.,1.)); -#31299=DIRECTION('center_axis',(0.,-1.,0.)); -#31300=DIRECTION('ref_axis',(1.,0.,0.)); -#31301=DIRECTION('',(0.,0.,1.)); -#31302=DIRECTION('center_axis',(0.,-1.,0.)); -#31303=DIRECTION('ref_axis',(1.,0.,0.)); -#31304=DIRECTION('',(0.,1.42108547152E-14,1.)); -#31305=DIRECTION('',(0.,0.,1.)); -#31306=DIRECTION('',(0.,0.,-1.)); -#31307=DIRECTION('center_axis',(1.,0.,0.)); -#31308=DIRECTION('ref_axis',(0.,1.,0.)); -#31309=DIRECTION('center_axis',(-1.,0.,0.)); -#31310=DIRECTION('ref_axis',(0.,-1.,0.)); -#31311=DIRECTION('center_axis',(1.,0.,0.)); -#31312=DIRECTION('ref_axis',(0.,1.,0.)); -#31313=DIRECTION('center_axis',(1.,0.,0.)); -#31314=DIRECTION('ref_axis',(0.,1.,0.)); -#31315=DIRECTION('center_axis',(-1.,1.748382715945E-14,0.)); -#31316=DIRECTION('ref_axis',(-1.748382715945E-14,-1.,0.)); -#31317=DIRECTION('',(0.,4.263256414561E-14,1.)); -#31318=DIRECTION('',(0.,0.,-1.)); -#31319=DIRECTION('center_axis',(0.,1.,0.)); -#31320=DIRECTION('ref_axis',(-1.,0.,0.)); -#31321=DIRECTION('',(0.,1.42108547152E-14,1.)); -#31322=DIRECTION('',(0.,2.84217094304E-14,1.)); -#31323=DIRECTION('center_axis',(0.,1.,0.)); -#31324=DIRECTION('ref_axis',(-1.,0.,0.)); -#31325=DIRECTION('',(0.,0.,1.)); -#31326=DIRECTION('',(0.,0.,1.)); -#31327=DIRECTION('',(0.,0.,1.)); -#31328=DIRECTION('center_axis',(-1.,0.,0.)); -#31329=DIRECTION('ref_axis',(0.,-1.,0.)); -#31330=DIRECTION('',(0.,0.,1.)); -#31331=DIRECTION('center_axis',(0.,-1.,0.)); -#31332=DIRECTION('ref_axis',(1.,0.,0.)); -#31333=DIRECTION('',(0.,0.,1.)); -#31334=DIRECTION('center_axis',(0.,-1.,0.)); -#31335=DIRECTION('ref_axis',(1.,0.,0.)); -#31336=DIRECTION('',(0.,0.,1.)); -#31337=DIRECTION('',(0.,0.,1.)); -#31338=DIRECTION('',(0.,0.,1.)); -#31339=DIRECTION('center_axis',(1.,-3.49676543189E-14,0.)); -#31340=DIRECTION('ref_axis',(3.49676543189E-14,1.,0.)); -#31341=DIRECTION('center_axis',(-1.,0.,0.)); -#31342=DIRECTION('ref_axis',(0.,-1.,0.)); -#31343=DIRECTION('center_axis',(1.,0.,0.)); -#31344=DIRECTION('ref_axis',(0.,1.,0.)); -#31345=DIRECTION('center_axis',(1.,0.,0.)); -#31346=DIRECTION('ref_axis',(0.,1.,0.)); -#31347=DIRECTION('center_axis',(-1.,0.,0.)); -#31348=DIRECTION('ref_axis',(0.,-1.,0.)); -#31349=DIRECTION('',(0.,1.42108547152E-14,1.)); -#31350=DIRECTION('',(0.,0.,1.)); -#31351=DIRECTION('center_axis',(0.,1.,0.)); -#31352=DIRECTION('ref_axis',(-1.,0.,0.)); -#31353=DIRECTION('',(0.,1.42108547152E-14,1.)); -#31354=DIRECTION('',(0.,0.,1.)); -#31355=DIRECTION('center_axis',(0.,1.,0.)); -#31356=DIRECTION('ref_axis',(-1.,0.,0.)); -#31357=DIRECTION('',(0.,0.,1.)); -#31358=DIRECTION('',(0.,0.,1.)); -#31359=DIRECTION('',(0.,0.,1.)); -#31360=DIRECTION('center_axis',(-1.,0.,0.)); -#31361=DIRECTION('ref_axis',(0.,-1.,0.)); -#31362=DIRECTION('',(0.,0.,1.)); -#31363=DIRECTION('center_axis',(0.,-1.,0.)); -#31364=DIRECTION('ref_axis',(1.,0.,0.)); -#31365=DIRECTION('',(0.,0.,1.)); -#31366=DIRECTION('center_axis',(0.,-1.,0.)); -#31367=DIRECTION('ref_axis',(1.,0.,0.)); -#31368=DIRECTION('',(0.,0.,1.)); -#31369=DIRECTION('',(0.,0.,1.)); -#31370=DIRECTION('',(0.,0.,-1.)); -#31371=DIRECTION('center_axis',(1.,0.,0.)); -#31372=DIRECTION('ref_axis',(0.,1.,0.)); -#31373=DIRECTION('center_axis',(-1.,0.,0.)); -#31374=DIRECTION('ref_axis',(0.,-1.,0.)); -#31375=DIRECTION('center_axis',(1.,0.,0.)); -#31376=DIRECTION('ref_axis',(0.,1.,0.)); -#31377=DIRECTION('center_axis',(1.,0.,0.)); -#31378=DIRECTION('ref_axis',(0.,1.,0.)); -#31379=DIRECTION('center_axis',(1.,0.,0.)); -#31380=DIRECTION('ref_axis',(0.,1.,0.)); -#31381=DIRECTION('center_axis',(1.,0.,0.)); -#31382=DIRECTION('ref_axis',(0.,1.,0.)); -#31383=DIRECTION('center_axis',(-1.,0.,0.)); -#31384=DIRECTION('ref_axis',(0.,-1.,0.)); -#31385=DIRECTION('center_axis',(0.,1.,0.)); -#31386=DIRECTION('ref_axis',(-1.,0.,0.)); -#31387=DIRECTION('',(0.,0.,1.)); -#31388=DIRECTION('',(-1.,0.,0.)); -#31389=DIRECTION('',(0.,0.,1.)); -#31390=DIRECTION('center_axis',(1.,0.,0.)); -#31391=DIRECTION('ref_axis',(0.,1.,0.)); -#31392=DIRECTION('',(0.,1.,0.)); -#31393=DIRECTION('',(0.,0.,1.)); -#31394=DIRECTION('center_axis',(0.,-1.,0.)); -#31395=DIRECTION('ref_axis',(1.,0.,0.)); -#31396=DIRECTION('',(1.,0.,0.)); -#31397=DIRECTION('',(0.,0.,1.)); -#31398=DIRECTION('center_axis',(1.,0.,0.)); -#31399=DIRECTION('ref_axis',(0.,1.,0.)); -#31400=DIRECTION('',(0.,1.,0.)); -#31401=DIRECTION('',(0.,0.,1.)); -#31402=DIRECTION('',(0.,1.,0.)); -#31403=DIRECTION('',(0.,0.,1.)); -#31404=DIRECTION('center_axis',(0.,0.,1.)); -#31405=DIRECTION('ref_axis',(0.,-1.,0.)); -#31406=DIRECTION('',(0.,-1.,0.)); -#31407=DIRECTION('',(-1.,0.,0.)); -#31408=DIRECTION('',(0.,-1.,0.)); -#31409=DIRECTION('',(1.,0.,0.)); -#31410=DIRECTION('center_axis',(0.,0.,1.)); -#31411=DIRECTION('ref_axis',(0.,-1.,0.)); -#31412=DIRECTION('',(1.,0.,0.)); -#31413=DIRECTION('',(0.,-1.,0.)); -#31414=DIRECTION('',(1.,0.,0.)); -#31415=DIRECTION('',(0.,1.,0.)); -#31416=DIRECTION('center_axis',(0.,0.,1.)); -#31417=DIRECTION('ref_axis',(0.,-1.,0.)); -#31418=DIRECTION('center_axis',(0.,0.,1.)); -#31419=DIRECTION('ref_axis',(0.,-1.,0.)); -#31420=DIRECTION('',(-1.,0.,0.)); -#31421=DIRECTION('',(0.,1.,0.)); -#31422=DIRECTION('',(-1.,0.,0.)); -#31423=DIRECTION('',(0.,-1.,0.)); -#31424=DIRECTION('center_axis',(-1.,0.,0.)); -#31425=DIRECTION('ref_axis',(0.,-1.,0.)); -#31426=DIRECTION('',(0.,0.,1.)); -#31427=DIRECTION('',(0.,0.,1.)); -#31428=DIRECTION('',(0.,-1.,0.)); -#31429=DIRECTION('',(0.,0.,1.)); -#31430=DIRECTION('',(0.,0.,1.)); -#31431=DIRECTION('center_axis',(0.,1.,0.)); -#31432=DIRECTION('ref_axis',(-1.,0.,0.)); -#31433=DIRECTION('',(0.,0.,1.)); -#31434=DIRECTION('center_axis',(-1.,0.,0.)); -#31435=DIRECTION('ref_axis',(0.,-1.,0.)); -#31436=DIRECTION('',(0.,0.,1.)); -#31437=DIRECTION('center_axis',(0.,-1.,0.)); -#31438=DIRECTION('ref_axis',(1.,0.,0.)); -#31439=DIRECTION('center_axis',(0.,1.,0.)); -#31440=DIRECTION('ref_axis',(-1.,0.,0.)); -#31441=DIRECTION('',(0.,0.,1.)); -#31442=DIRECTION('',(-1.,0.,0.)); -#31443=DIRECTION('',(0.,0.,1.)); -#31444=DIRECTION('center_axis',(1.,0.,0.)); -#31445=DIRECTION('ref_axis',(0.,1.,0.)); -#31446=DIRECTION('',(0.,0.,1.)); -#31447=DIRECTION('center_axis',(0.,1.,0.)); -#31448=DIRECTION('ref_axis',(-1.,0.,0.)); -#31449=DIRECTION('',(0.,0.,1.)); -#31450=DIRECTION('center_axis',(-1.,0.,0.)); -#31451=DIRECTION('ref_axis',(0.,-1.,0.)); -#31452=DIRECTION('center_axis',(0.,0.,1.)); -#31453=DIRECTION('ref_axis',(0.,-1.,0.)); -#31454=DIRECTION('',(1.,0.,0.)); -#31455=DIRECTION('center_axis',(0.,-1.,0.)); -#31456=DIRECTION('ref_axis',(1.,0.,0.)); -#31457=DIRECTION('',(0.,0.,1.)); -#31458=DIRECTION('',(0.,0.,1.)); -#31459=DIRECTION('center_axis',(-1.,0.,0.)); -#31460=DIRECTION('ref_axis',(0.,-1.,0.)); -#31461=DIRECTION('',(0.,0.,1.)); -#31462=DIRECTION('center_axis',(0.,-1.,0.)); -#31463=DIRECTION('ref_axis',(1.,0.,0.)); -#31464=DIRECTION('',(0.,0.,1.)); -#31465=DIRECTION('center_axis',(1.,0.,0.)); -#31466=DIRECTION('ref_axis',(0.,1.,0.)); -#31467=DIRECTION('center_axis',(0.,1.,0.)); -#31468=DIRECTION('ref_axis',(-1.,0.,0.)); -#31469=DIRECTION('',(0.,0.,1.)); -#31470=DIRECTION('',(-1.,0.,0.)); -#31471=DIRECTION('',(0.,0.,1.)); -#31472=DIRECTION('center_axis',(1.,0.,0.)); -#31473=DIRECTION('ref_axis',(0.,1.,0.)); -#31474=DIRECTION('',(0.,0.,1.)); -#31475=DIRECTION('',(0.,1.,0.)); -#31476=DIRECTION('',(0.,0.,1.)); -#31477=DIRECTION('center_axis',(1.,0.,0.)); -#31478=DIRECTION('ref_axis',(0.,1.,0.)); -#31479=DIRECTION('',(0.,1.,0.)); -#31480=DIRECTION('',(0.,0.,1.)); -#31481=DIRECTION('center_axis',(0.,-1.,0.)); -#31482=DIRECTION('ref_axis',(1.,0.,0.)); -#31483=DIRECTION('',(1.,0.,0.)); -#31484=DIRECTION('',(0.,0.,1.)); -#31485=DIRECTION('center_axis',(-1.,0.,0.)); -#31486=DIRECTION('ref_axis',(0.,-1.,0.)); -#31487=DIRECTION('',(0.,0.,1.)); -#31488=DIRECTION('',(0.,-1.,0.)); -#31489=DIRECTION('center_axis',(-1.,0.,0.)); -#31490=DIRECTION('ref_axis',(0.,-1.,0.)); -#31491=DIRECTION('',(0.,-1.,0.)); -#31492=DIRECTION('',(0.,0.,1.)); -#31493=DIRECTION('center_axis',(0.,0.,1.)); -#31494=DIRECTION('ref_axis',(0.,-1.,0.)); -#31495=DIRECTION('',(1.,0.,0.)); -#31496=DIRECTION('center_axis',(0.,0.,1.)); -#31497=DIRECTION('ref_axis',(0.,-1.,0.)); -#31498=DIRECTION('',(1.,0.,0.)); -#31499=DIRECTION('center_axis',(0.,1.,0.)); -#31500=DIRECTION('ref_axis',(-1.,0.,0.)); -#31501=DIRECTION('',(0.,0.,1.)); -#31502=DIRECTION('',(-1.,0.,0.)); -#31503=DIRECTION('',(0.,0.,1.)); -#31504=DIRECTION('center_axis',(1.,0.,0.)); -#31505=DIRECTION('ref_axis',(0.,1.,0.)); -#31506=DIRECTION('',(0.,1.,0.)); -#31507=DIRECTION('',(0.,0.,1.)); -#31508=DIRECTION('center_axis',(0.,-1.,0.)); -#31509=DIRECTION('ref_axis',(1.,0.,0.)); -#31510=DIRECTION('',(1.,0.,0.)); -#31511=DIRECTION('',(0.,0.,1.)); -#31512=DIRECTION('center_axis',(-1.,0.,0.)); -#31513=DIRECTION('ref_axis',(0.,-1.,0.)); -#31514=DIRECTION('',(0.,-1.,0.)); -#31515=DIRECTION('center_axis',(0.,0.,1.)); -#31516=DIRECTION('ref_axis',(0.,-1.,0.)); -#31517=DIRECTION('center_axis',(0.,1.,0.)); -#31518=DIRECTION('ref_axis',(-1.,0.,0.)); -#31519=DIRECTION('',(0.,0.,1.)); -#31520=DIRECTION('',(-1.,0.,0.)); -#31521=DIRECTION('',(0.,0.,1.)); -#31522=DIRECTION('center_axis',(1.,0.,0.)); -#31523=DIRECTION('ref_axis',(0.,1.,0.)); -#31524=DIRECTION('',(0.,1.,0.)); -#31525=DIRECTION('',(0.,0.,1.)); -#31526=DIRECTION('center_axis',(0.,-1.,0.)); -#31527=DIRECTION('ref_axis',(1.,0.,0.)); -#31528=DIRECTION('',(1.,0.,0.)); -#31529=DIRECTION('',(0.,0.,1.)); -#31530=DIRECTION('center_axis',(-1.,0.,0.)); -#31531=DIRECTION('ref_axis',(0.,-1.,0.)); -#31532=DIRECTION('',(0.,-1.,0.)); -#31533=DIRECTION('center_axis',(0.,0.,1.)); -#31534=DIRECTION('ref_axis',(0.,-1.,0.)); -#31535=DIRECTION('center_axis',(1.,0.,0.)); -#31536=DIRECTION('ref_axis',(0.,1.,0.)); -#31537=DIRECTION('',(0.,0.,1.)); -#31538=DIRECTION('',(0.,1.,0.)); -#31539=DIRECTION('',(0.,0.,1.)); -#31540=DIRECTION('center_axis',(0.,1.,0.)); -#31541=DIRECTION('ref_axis',(-1.,0.,0.)); -#31542=DIRECTION('',(1.,0.,0.)); -#31543=DIRECTION('',(0.,0.,1.)); -#31544=DIRECTION('',(0.,0.,1.)); -#31545=DIRECTION('',(-1.,0.,0.)); -#31546=DIRECTION('',(0.,0.,1.)); -#31547=DIRECTION('center_axis',(0.,0.,1.)); -#31548=DIRECTION('ref_axis',(0.,-1.,0.)); -#31549=DIRECTION('',(-1.,0.,0.)); -#31550=DIRECTION('',(0.,-1.,0.)); -#31551=DIRECTION('center_axis',(0.,0.,1.)); -#31552=DIRECTION('ref_axis',(0.,-1.,0.)); -#31553=DIRECTION('',(0.,-1.,0.)); -#31554=DIRECTION('',(-1.,0.,0.)); -#31555=DIRECTION('',(0.,-1.,0.)); -#31556=DIRECTION('',(1.,0.,0.)); -#31557=DIRECTION('center_axis',(0.,0.,1.)); -#31558=DIRECTION('ref_axis',(0.,-1.,0.)); -#31559=DIRECTION('',(1.,0.,0.)); -#31560=DIRECTION('',(0.,-1.,0.)); -#31561=DIRECTION('',(1.,0.,0.)); -#31562=DIRECTION('',(0.,1.,0.)); -#31563=DIRECTION('center_axis',(-1.,0.,0.)); -#31564=DIRECTION('ref_axis',(0.,-1.,0.)); -#31565=DIRECTION('',(0.,0.,1.)); -#31566=DIRECTION('center_axis',(0.,1.,0.)); -#31567=DIRECTION('ref_axis',(-1.,0.,0.)); -#31568=DIRECTION('center_axis',(-1.,0.,0.)); -#31569=DIRECTION('ref_axis',(0.,-1.,0.)); -#31570=DIRECTION('',(0.,0.,1.)); -#31571=DIRECTION('',(0.,0.,1.)); -#31572=DIRECTION('',(0.,-1.,0.)); -#31573=DIRECTION('',(0.,0.,1.)); -#31574=DIRECTION('center_axis',(0.,1.,0.)); -#31575=DIRECTION('ref_axis',(-1.,0.,0.)); -#31576=DIRECTION('',(0.,0.,1.)); -#31577=DIRECTION('center_axis',(-1.,0.,0.)); -#31578=DIRECTION('ref_axis',(0.,-1.,0.)); -#31579=DIRECTION('',(0.,0.,1.)); -#31580=DIRECTION('center_axis',(0.,-1.,0.)); -#31581=DIRECTION('ref_axis',(1.,0.,0.)); -#31582=DIRECTION('center_axis',(0.,0.,1.)); -#31583=DIRECTION('ref_axis',(0.,-1.,0.)); -#31584=DIRECTION('',(0.,1.,0.)); -#31585=DIRECTION('center_axis',(0.,0.,1.)); -#31586=DIRECTION('ref_axis',(1.,0.,0.)); -#31587=DIRECTION('center_axis',(0.,0.,1.)); -#31588=DIRECTION('ref_axis',(1.,0.,0.)); -#31589=DIRECTION('',(0.,1.,0.)); -#31590=DIRECTION('',(1.,0.,0.)); -#31591=DIRECTION('center_axis',(1.,0.,0.)); -#31592=DIRECTION('ref_axis',(0.,1.,0.)); -#31593=DIRECTION('',(0.,0.,1.)); -#31594=DIRECTION('center_axis',(0.,-1.,0.)); -#31595=DIRECTION('ref_axis',(1.,0.,0.)); -#31596=DIRECTION('',(0.,0.,1.)); -#31597=DIRECTION('',(0.,0.,1.)); -#31598=DIRECTION('center_axis',(-1.,0.,0.)); -#31599=DIRECTION('ref_axis',(0.,-1.,0.)); -#31600=DIRECTION('',(0.,0.,1.)); -#31601=DIRECTION('center_axis',(0.,-1.,0.)); -#31602=DIRECTION('ref_axis',(1.,0.,0.)); -#31603=DIRECTION('',(0.,0.,1.)); -#31604=DIRECTION('center_axis',(1.,0.,0.)); -#31605=DIRECTION('ref_axis',(0.,1.,0.)); -#31606=DIRECTION('center_axis',(0.,0.,1.)); -#31607=DIRECTION('ref_axis',(0.,-1.,0.)); -#31608=DIRECTION('center_axis',(0.,0.,1.)); -#31609=DIRECTION('ref_axis',(0.,-1.,0.)); -#31610=DIRECTION('',(0.,0.,-1.)); -#31611=DIRECTION('center_axis',(0.,0.,1.)); -#31612=DIRECTION('ref_axis',(0.,-1.,0.)); -#31613=DIRECTION('center_axis',(0.,0.,1.)); -#31614=DIRECTION('ref_axis',(0.,-1.,0.)); -#31615=DIRECTION('center_axis',(0.,0.,1.)); -#31616=DIRECTION('ref_axis',(0.,-1.,0.)); -#31617=DIRECTION('center_axis',(0.,0.,1.)); -#31618=DIRECTION('ref_axis',(0.,1.,0.)); -#31619=DIRECTION('center_axis',(0.,0.,1.)); -#31620=DIRECTION('ref_axis',(0.,-1.,0.)); -#31621=DIRECTION('center_axis',(0.,0.,1.)); -#31622=DIRECTION('ref_axis',(0.,-1.,0.)); -#31623=DIRECTION('',(0.,0.,1.)); -#31624=DIRECTION('center_axis',(0.,0.,1.)); -#31625=DIRECTION('ref_axis',(0.,-1.,0.)); -#31626=DIRECTION('center_axis',(0.,0.,-1.)); -#31627=DIRECTION('ref_axis',(0.,-1.,0.)); -#31628=DIRECTION('center_axis',(0.,0.,1.)); -#31629=DIRECTION('ref_axis',(0.,-1.,0.)); -#31630=DIRECTION('',(0.,0.,-1.)); -#31631=DIRECTION('center_axis',(0.,1.,0.)); -#31632=DIRECTION('ref_axis',(-1.,0.,0.)); -#31633=DIRECTION('',(0.,0.,1.)); -#31634=DIRECTION('',(-1.,0.,0.)); -#31635=DIRECTION('',(0.,0.,1.)); -#31636=DIRECTION('center_axis',(1.,0.,0.)); -#31637=DIRECTION('ref_axis',(0.,1.,0.)); -#31638=DIRECTION('',(0.,1.,0.)); -#31639=DIRECTION('',(0.,0.,1.)); -#31640=DIRECTION('center_axis',(0.,-1.,0.)); -#31641=DIRECTION('ref_axis',(1.,0.,0.)); -#31642=DIRECTION('',(1.,0.,0.)); -#31643=DIRECTION('',(0.,0.,1.)); -#31644=DIRECTION('center_axis',(-1.,0.,0.)); -#31645=DIRECTION('ref_axis',(0.,-1.,0.)); -#31646=DIRECTION('',(0.,-1.,0.)); -#31647=DIRECTION('center_axis',(0.,0.,1.)); -#31648=DIRECTION('ref_axis',(0.,-1.,0.)); -#31649=DIRECTION('center_axis',(0.,0.,1.)); -#31650=DIRECTION('ref_axis',(0.,-1.,0.)); -#31651=DIRECTION('',(-1.,0.,0.)); -#31652=DIRECTION('',(0.,-1.,0.)); -#31653=DIRECTION('',(1.,0.,0.)); -#31654=DIRECTION('',(0.,1.,0.)); -#31655=DIRECTION('center_axis',(0.,1.,0.)); -#31656=DIRECTION('ref_axis',(-1.,0.,0.)); -#31657=DIRECTION('',(0.,0.,1.)); -#31658=DIRECTION('',(0.,0.,1.)); -#31659=DIRECTION('center_axis',(1.,0.,0.)); -#31660=DIRECTION('ref_axis',(0.,1.,0.)); -#31661=DIRECTION('',(0.,0.,1.)); -#31662=DIRECTION('center_axis',(0.,-1.,0.)); -#31663=DIRECTION('ref_axis',(1.,0.,0.)); -#31664=DIRECTION('',(0.,0.,1.)); -#31665=DIRECTION('center_axis',(-1.,0.,0.)); -#31666=DIRECTION('ref_axis',(0.,-1.,0.)); -#31667=DIRECTION('center_axis',(0.,1.,0.)); -#31668=DIRECTION('ref_axis',(-1.,0.,0.)); -#31669=DIRECTION('',(0.,0.,1.)); -#31670=DIRECTION('',(-1.,0.,0.)); -#31671=DIRECTION('',(0.,0.,1.)); -#31672=DIRECTION('center_axis',(1.,0.,0.)); -#31673=DIRECTION('ref_axis',(0.,1.,0.)); -#31674=DIRECTION('',(0.,1.,0.)); -#31675=DIRECTION('',(0.,0.,1.)); -#31676=DIRECTION('center_axis',(0.,-1.,0.)); -#31677=DIRECTION('ref_axis',(1.,0.,0.)); -#31678=DIRECTION('',(1.,0.,0.)); -#31679=DIRECTION('',(0.,0.,1.)); -#31680=DIRECTION('center_axis',(-1.,0.,0.)); -#31681=DIRECTION('ref_axis',(0.,-1.,0.)); -#31682=DIRECTION('',(0.,-1.,0.)); -#31683=DIRECTION('center_axis',(0.,0.,1.)); -#31684=DIRECTION('ref_axis',(0.,-1.,0.)); -#31685=DIRECTION('center_axis',(0.,0.,1.)); -#31686=DIRECTION('ref_axis',(0.,-1.,0.)); -#31687=DIRECTION('',(0.,-1.,0.)); -#31688=DIRECTION('',(1.,0.,0.)); -#31689=DIRECTION('',(0.,1.,0.)); -#31690=DIRECTION('',(-1.,0.,0.)); -#31691=DIRECTION('center_axis',(0.,0.,1.)); -#31692=DIRECTION('ref_axis',(0.,-1.,0.)); -#31693=DIRECTION('',(-1.,0.,0.)); -#31694=DIRECTION('',(0.,-1.,0.)); -#31695=DIRECTION('',(1.,0.,0.)); -#31696=DIRECTION('',(0.,1.,0.)); -#31697=DIRECTION('center_axis',(0.,0.,1.)); -#31698=DIRECTION('ref_axis',(0.,-1.,0.)); -#31699=DIRECTION('',(0.,1.,0.)); -#31700=DIRECTION('',(-1.,0.,0.)); -#31701=DIRECTION('',(0.,-1.,0.)); -#31702=DIRECTION('',(1.,0.,0.)); -#31703=DIRECTION('center_axis',(0.,0.,1.)); -#31704=DIRECTION('ref_axis',(0.,-1.,0.)); -#31705=DIRECTION('',(-1.,0.,0.)); -#31706=DIRECTION('',(0.,-1.,0.)); -#31707=DIRECTION('',(1.,0.,0.)); -#31708=DIRECTION('',(0.,1.,0.)); -#31709=DIRECTION('center_axis',(0.,0.,1.)); -#31710=DIRECTION('ref_axis',(0.,-1.,0.)); -#31711=DIRECTION('',(-1.,0.,0.)); -#31712=DIRECTION('',(0.,-1.,0.)); -#31713=DIRECTION('',(1.,0.,0.)); -#31714=DIRECTION('',(0.,1.,0.)); -#31715=DIRECTION('center_axis',(0.,0.,1.)); -#31716=DIRECTION('ref_axis',(0.,-1.,0.)); -#31717=DIRECTION('',(1.,0.,0.)); -#31718=DIRECTION('',(0.,1.,0.)); -#31719=DIRECTION('',(-1.,0.,0.)); -#31720=DIRECTION('',(0.,-1.,0.)); -#31721=DIRECTION('center_axis',(0.,0.,1.)); -#31722=DIRECTION('ref_axis',(0.,-1.,0.)); -#31723=DIRECTION('',(1.,0.,0.)); -#31724=DIRECTION('',(0.,1.,0.)); -#31725=DIRECTION('',(-1.,0.,0.)); -#31726=DIRECTION('',(0.,-1.,0.)); -#31727=DIRECTION('center_axis',(0.,0.,1.)); -#31728=DIRECTION('ref_axis',(0.,-1.,0.)); -#31729=DIRECTION('',(-1.,0.,0.)); -#31730=DIRECTION('',(0.,-1.,0.)); -#31731=DIRECTION('',(1.,0.,0.)); -#31732=DIRECTION('',(0.,1.,0.)); -#31733=DIRECTION('center_axis',(0.,0.,1.)); -#31734=DIRECTION('ref_axis',(0.,-1.,0.)); -#31735=DIRECTION('',(-1.,0.,0.)); -#31736=DIRECTION('',(0.,-1.,0.)); -#31737=DIRECTION('',(1.,0.,0.)); -#31738=DIRECTION('',(0.,1.,0.)); -#31739=DIRECTION('center_axis',(0.,0.,1.)); -#31740=DIRECTION('ref_axis',(0.,-1.,0.)); -#31741=DIRECTION('',(-1.,0.,0.)); -#31742=DIRECTION('',(0.,-1.,0.)); -#31743=DIRECTION('',(1.,0.,0.)); -#31744=DIRECTION('',(0.,1.,0.)); -#31745=DIRECTION('center_axis',(0.,0.,1.)); -#31746=DIRECTION('ref_axis',(0.,-1.,0.)); -#31747=DIRECTION('',(0.,1.,0.)); -#31748=DIRECTION('',(-1.,0.,0.)); -#31749=DIRECTION('',(0.,-1.,0.)); -#31750=DIRECTION('',(1.,0.,0.)); -#31751=DIRECTION('center_axis',(0.,0.,1.)); -#31752=DIRECTION('ref_axis',(0.,-1.,0.)); -#31753=DIRECTION('',(1.,0.,0.)); -#31754=DIRECTION('',(0.,1.,0.)); -#31755=DIRECTION('',(-1.,0.,0.)); -#31756=DIRECTION('',(0.,-1.,0.)); -#31757=DIRECTION('center_axis',(0.,0.,1.)); -#31758=DIRECTION('ref_axis',(0.,-1.,0.)); -#31759=DIRECTION('',(0.,1.,0.)); -#31760=DIRECTION('',(-1.,0.,0.)); -#31761=DIRECTION('',(0.,-1.,0.)); -#31762=DIRECTION('',(1.,0.,0.)); -#31763=DIRECTION('center_axis',(0.,0.,1.)); -#31764=DIRECTION('ref_axis',(0.,-1.,0.)); -#31765=DIRECTION('',(-1.,0.,0.)); -#31766=DIRECTION('',(0.,-1.,0.)); -#31767=DIRECTION('',(1.,0.,0.)); -#31768=DIRECTION('',(0.,1.,0.)); -#31769=DIRECTION('center_axis',(0.,0.,1.)); -#31770=DIRECTION('ref_axis',(0.,-1.,0.)); -#31771=DIRECTION('',(0.,-1.,0.)); -#31772=DIRECTION('',(1.,0.,0.)); -#31773=DIRECTION('',(0.,1.,0.)); -#31774=DIRECTION('',(-1.,0.,0.)); -#31775=DIRECTION('center_axis',(0.,0.,1.)); -#31776=DIRECTION('ref_axis',(0.,-1.,0.)); -#31777=DIRECTION('',(-1.,0.,0.)); -#31778=DIRECTION('',(0.,-1.,0.)); -#31779=DIRECTION('',(1.,0.,0.)); -#31780=DIRECTION('',(0.,1.,0.)); -#31781=DIRECTION('center_axis',(0.,0.,1.)); -#31782=DIRECTION('ref_axis',(0.,-1.,0.)); -#31783=DIRECTION('',(-1.,0.,0.)); -#31784=DIRECTION('',(0.,-1.,0.)); -#31785=DIRECTION('',(1.,0.,0.)); -#31786=DIRECTION('',(0.,1.,0.)); -#31787=DIRECTION('center_axis',(0.,0.,1.)); -#31788=DIRECTION('ref_axis',(0.,-1.,0.)); -#31789=DIRECTION('',(-1.,0.,0.)); -#31790=DIRECTION('',(0.,-1.,0.)); -#31791=DIRECTION('',(1.,0.,0.)); -#31792=DIRECTION('',(0.,1.,0.)); -#31793=DIRECTION('center_axis',(0.,0.,1.)); -#31794=DIRECTION('ref_axis',(0.,-1.,0.)); -#31795=DIRECTION('',(0.,-1.,0.)); -#31796=DIRECTION('',(1.,0.,0.)); -#31797=DIRECTION('',(0.,1.,0.)); -#31798=DIRECTION('',(-1.,0.,0.)); -#31799=DIRECTION('center_axis',(-1.,0.,0.)); -#31800=DIRECTION('ref_axis',(0.,-1.,0.)); -#31801=DIRECTION('',(0.,0.,1.)); -#31802=DIRECTION('',(0.,0.,1.)); -#31803=DIRECTION('center_axis',(0.,1.,0.)); -#31804=DIRECTION('ref_axis',(-1.,0.,0.)); -#31805=DIRECTION('',(0.,0.,1.)); -#31806=DIRECTION('center_axis',(1.,0.,0.)); -#31807=DIRECTION('ref_axis',(0.,1.,0.)); -#31808=DIRECTION('',(0.,0.,1.)); -#31809=DIRECTION('center_axis',(0.,-1.,0.)); -#31810=DIRECTION('ref_axis',(1.,0.,0.)); -#31811=DIRECTION('center_axis',(0.,1.,0.)); -#31812=DIRECTION('ref_axis',(-1.,0.,0.)); -#31813=DIRECTION('',(0.,0.,1.)); -#31814=DIRECTION('',(0.,0.,1.)); -#31815=DIRECTION('center_axis',(1.,0.,0.)); -#31816=DIRECTION('ref_axis',(0.,1.,0.)); -#31817=DIRECTION('',(0.,0.,1.)); -#31818=DIRECTION('center_axis',(0.,-1.,0.)); -#31819=DIRECTION('ref_axis',(1.,0.,0.)); -#31820=DIRECTION('',(0.,0.,1.)); -#31821=DIRECTION('center_axis',(-1.,0.,0.)); -#31822=DIRECTION('ref_axis',(0.,-1.,0.)); -#31823=DIRECTION('center_axis',(1.,0.,0.)); -#31824=DIRECTION('ref_axis',(0.,1.,0.)); -#31825=DIRECTION('',(0.,0.,1.)); -#31826=DIRECTION('',(0.,0.,1.)); -#31827=DIRECTION('center_axis',(0.,-1.,0.)); -#31828=DIRECTION('ref_axis',(1.,0.,0.)); -#31829=DIRECTION('',(0.,0.,1.)); -#31830=DIRECTION('center_axis',(-1.,0.,0.)); -#31831=DIRECTION('ref_axis',(0.,-1.,0.)); -#31832=DIRECTION('',(0.,0.,1.)); -#31833=DIRECTION('center_axis',(0.,1.,0.)); -#31834=DIRECTION('ref_axis',(-1.,0.,0.)); -#31835=DIRECTION('center_axis',(0.,1.,0.)); -#31836=DIRECTION('ref_axis',(-1.,0.,0.)); -#31837=DIRECTION('',(0.,0.,1.)); -#31838=DIRECTION('',(0.,0.,1.)); -#31839=DIRECTION('center_axis',(1.,0.,0.)); -#31840=DIRECTION('ref_axis',(0.,1.,0.)); -#31841=DIRECTION('',(0.,0.,1.)); -#31842=DIRECTION('center_axis',(0.,-1.,0.)); -#31843=DIRECTION('ref_axis',(1.,0.,0.)); -#31844=DIRECTION('',(0.,0.,1.)); -#31845=DIRECTION('center_axis',(-1.,0.,0.)); -#31846=DIRECTION('ref_axis',(0.,-1.,0.)); -#31847=DIRECTION('center_axis',(0.,1.,0.)); -#31848=DIRECTION('ref_axis',(-1.,0.,0.)); -#31849=DIRECTION('',(0.,0.,1.)); -#31850=DIRECTION('',(0.,0.,1.)); -#31851=DIRECTION('center_axis',(1.,0.,0.)); -#31852=DIRECTION('ref_axis',(0.,1.,0.)); -#31853=DIRECTION('',(0.,0.,1.)); -#31854=DIRECTION('center_axis',(0.,-1.,0.)); -#31855=DIRECTION('ref_axis',(1.,0.,0.)); -#31856=DIRECTION('',(0.,0.,1.)); -#31857=DIRECTION('center_axis',(-1.,0.,0.)); -#31858=DIRECTION('ref_axis',(0.,-1.,0.)); -#31859=DIRECTION('center_axis',(0.,-1.,0.)); -#31860=DIRECTION('ref_axis',(1.,0.,0.)); -#31861=DIRECTION('',(0.,0.,1.)); -#31862=DIRECTION('',(0.,0.,1.)); -#31863=DIRECTION('center_axis',(-1.,0.,0.)); -#31864=DIRECTION('ref_axis',(0.,-1.,0.)); -#31865=DIRECTION('',(0.,0.,1.)); -#31866=DIRECTION('center_axis',(0.,1.,0.)); -#31867=DIRECTION('ref_axis',(-1.,0.,0.)); -#31868=DIRECTION('',(0.,0.,1.)); -#31869=DIRECTION('center_axis',(1.,0.,0.)); -#31870=DIRECTION('ref_axis',(0.,1.,0.)); -#31871=DIRECTION('center_axis',(0.,-1.,0.)); -#31872=DIRECTION('ref_axis',(1.,0.,0.)); -#31873=DIRECTION('',(0.,0.,1.)); -#31874=DIRECTION('',(0.,0.,1.)); -#31875=DIRECTION('center_axis',(-1.,0.,0.)); -#31876=DIRECTION('ref_axis',(0.,-1.,0.)); -#31877=DIRECTION('',(0.,0.,1.)); -#31878=DIRECTION('center_axis',(0.,1.,0.)); -#31879=DIRECTION('ref_axis',(-1.,0.,0.)); -#31880=DIRECTION('',(0.,0.,1.)); -#31881=DIRECTION('center_axis',(1.,0.,0.)); -#31882=DIRECTION('ref_axis',(0.,1.,0.)); -#31883=DIRECTION('center_axis',(0.,1.,0.)); -#31884=DIRECTION('ref_axis',(-1.,0.,0.)); -#31885=DIRECTION('',(0.,0.,1.)); -#31886=DIRECTION('',(0.,0.,1.)); -#31887=DIRECTION('center_axis',(1.,0.,0.)); -#31888=DIRECTION('ref_axis',(0.,1.,0.)); -#31889=DIRECTION('',(0.,0.,1.)); -#31890=DIRECTION('center_axis',(0.,-1.,0.)); -#31891=DIRECTION('ref_axis',(1.,0.,0.)); -#31892=DIRECTION('',(0.,0.,1.)); -#31893=DIRECTION('center_axis',(-1.,0.,0.)); -#31894=DIRECTION('ref_axis',(0.,-1.,0.)); -#31895=DIRECTION('center_axis',(0.,1.,0.)); -#31896=DIRECTION('ref_axis',(-1.,0.,0.)); -#31897=DIRECTION('',(0.,0.,1.)); -#31898=DIRECTION('',(0.,0.,1.)); -#31899=DIRECTION('center_axis',(1.,0.,0.)); -#31900=DIRECTION('ref_axis',(0.,1.,0.)); -#31901=DIRECTION('',(0.,0.,1.)); -#31902=DIRECTION('center_axis',(0.,-1.,0.)); -#31903=DIRECTION('ref_axis',(1.,0.,0.)); -#31904=DIRECTION('',(0.,0.,1.)); -#31905=DIRECTION('center_axis',(-1.,0.,0.)); -#31906=DIRECTION('ref_axis',(0.,-1.,0.)); -#31907=DIRECTION('center_axis',(0.,1.,0.)); -#31908=DIRECTION('ref_axis',(-1.,0.,0.)); -#31909=DIRECTION('',(0.,0.,1.)); -#31910=DIRECTION('',(0.,0.,1.)); -#31911=DIRECTION('center_axis',(1.,0.,0.)); -#31912=DIRECTION('ref_axis',(0.,1.,0.)); -#31913=DIRECTION('',(0.,0.,1.)); -#31914=DIRECTION('center_axis',(0.,-1.,0.)); -#31915=DIRECTION('ref_axis',(1.,0.,0.)); -#31916=DIRECTION('',(0.,0.,1.)); -#31917=DIRECTION('center_axis',(-1.,0.,0.)); -#31918=DIRECTION('ref_axis',(0.,-1.,0.)); -#31919=DIRECTION('center_axis',(1.,0.,0.)); -#31920=DIRECTION('ref_axis',(0.,1.,0.)); -#31921=DIRECTION('',(0.,0.,1.)); -#31922=DIRECTION('',(0.,0.,1.)); -#31923=DIRECTION('center_axis',(0.,-1.,0.)); -#31924=DIRECTION('ref_axis',(1.,0.,0.)); -#31925=DIRECTION('',(0.,0.,1.)); -#31926=DIRECTION('center_axis',(-1.,0.,0.)); -#31927=DIRECTION('ref_axis',(0.,-1.,0.)); -#31928=DIRECTION('',(0.,0.,1.)); -#31929=DIRECTION('center_axis',(0.,1.,0.)); -#31930=DIRECTION('ref_axis',(-1.,0.,0.)); -#31931=DIRECTION('center_axis',(0.,-1.,0.)); -#31932=DIRECTION('ref_axis',(1.,0.,0.)); -#31933=DIRECTION('',(0.,0.,1.)); -#31934=DIRECTION('',(0.,0.,1.)); -#31935=DIRECTION('center_axis',(-1.,0.,0.)); -#31936=DIRECTION('ref_axis',(0.,-1.,0.)); -#31937=DIRECTION('',(0.,0.,1.)); -#31938=DIRECTION('center_axis',(0.,1.,0.)); -#31939=DIRECTION('ref_axis',(-1.,0.,0.)); -#31940=DIRECTION('',(0.,0.,1.)); -#31941=DIRECTION('center_axis',(1.,0.,0.)); -#31942=DIRECTION('ref_axis',(0.,1.,0.)); -#31943=DIRECTION('center_axis',(1.,0.,0.)); -#31944=DIRECTION('ref_axis',(0.,1.,0.)); -#31945=DIRECTION('',(0.,0.,1.)); -#31946=DIRECTION('',(0.,0.,1.)); -#31947=DIRECTION('center_axis',(0.,-1.,0.)); -#31948=DIRECTION('ref_axis',(1.,0.,0.)); -#31949=DIRECTION('',(0.,0.,1.)); -#31950=DIRECTION('center_axis',(-1.,0.,0.)); -#31951=DIRECTION('ref_axis',(0.,-1.,0.)); -#31952=DIRECTION('',(0.,0.,1.)); -#31953=DIRECTION('center_axis',(0.,1.,0.)); -#31954=DIRECTION('ref_axis',(-1.,0.,0.)); -#31955=DIRECTION('center_axis',(0.,1.,0.)); -#31956=DIRECTION('ref_axis',(-1.,0.,0.)); -#31957=DIRECTION('',(0.,0.,1.)); -#31958=DIRECTION('',(0.,0.,1.)); -#31959=DIRECTION('center_axis',(1.,0.,0.)); -#31960=DIRECTION('ref_axis',(0.,1.,0.)); -#31961=DIRECTION('',(0.,0.,1.)); -#31962=DIRECTION('center_axis',(0.,-1.,0.)); -#31963=DIRECTION('ref_axis',(1.,0.,0.)); -#31964=DIRECTION('',(0.,0.,1.)); -#31965=DIRECTION('center_axis',(-1.,0.,0.)); -#31966=DIRECTION('ref_axis',(0.,-1.,0.)); -#31967=DIRECTION('center_axis',(-1.,0.,0.)); -#31968=DIRECTION('ref_axis',(0.,-1.,0.)); -#31969=DIRECTION('',(0.,0.,1.)); -#31970=DIRECTION('',(0.,0.,1.)); -#31971=DIRECTION('center_axis',(0.,1.,0.)); -#31972=DIRECTION('ref_axis',(-1.,0.,0.)); -#31973=DIRECTION('',(0.,0.,1.)); -#31974=DIRECTION('center_axis',(1.,0.,0.)); -#31975=DIRECTION('ref_axis',(0.,1.,0.)); -#31976=DIRECTION('',(0.,0.,1.)); -#31977=DIRECTION('center_axis',(0.,-1.,0.)); -#31978=DIRECTION('ref_axis',(1.,0.,0.)); -#31979=DIRECTION('center_axis',(0.,1.,0.)); -#31980=DIRECTION('ref_axis',(-1.,0.,0.)); -#31981=DIRECTION('',(0.,0.,1.)); -#31982=DIRECTION('',(0.,0.,1.)); -#31983=DIRECTION('center_axis',(1.,0.,0.)); -#31984=DIRECTION('ref_axis',(0.,1.,0.)); -#31985=DIRECTION('',(0.,0.,1.)); -#31986=DIRECTION('center_axis',(0.,-1.,0.)); -#31987=DIRECTION('ref_axis',(1.,0.,0.)); -#31988=DIRECTION('',(0.,0.,1.)); -#31989=DIRECTION('center_axis',(-1.,0.,0.)); -#31990=DIRECTION('ref_axis',(0.,-1.,0.)); -#31991=DIRECTION('center_axis',(0.,1.,0.)); -#31992=DIRECTION('ref_axis',(-1.,0.,0.)); -#31993=DIRECTION('',(0.,0.,1.)); -#31994=DIRECTION('',(0.,0.,1.)); -#31995=DIRECTION('center_axis',(1.,0.,0.)); -#31996=DIRECTION('ref_axis',(0.,1.,0.)); -#31997=DIRECTION('',(0.,0.,1.)); -#31998=DIRECTION('center_axis',(0.,-1.,0.)); -#31999=DIRECTION('ref_axis',(1.,0.,0.)); -#32000=DIRECTION('',(0.,0.,1.)); -#32001=DIRECTION('center_axis',(-1.,0.,0.)); -#32002=DIRECTION('ref_axis',(0.,-1.,0.)); -#32003=DIRECTION('center_axis',(0.,1.,0.)); -#32004=DIRECTION('ref_axis',(-1.,0.,0.)); -#32005=DIRECTION('',(0.,0.,1.)); -#32006=DIRECTION('',(0.,0.,1.)); -#32007=DIRECTION('center_axis',(1.,0.,0.)); -#32008=DIRECTION('ref_axis',(0.,1.,0.)); -#32009=DIRECTION('',(0.,0.,1.)); -#32010=DIRECTION('center_axis',(0.,-1.,0.)); -#32011=DIRECTION('ref_axis',(1.,0.,0.)); -#32012=DIRECTION('',(0.,0.,1.)); -#32013=DIRECTION('center_axis',(-1.,0.,0.)); -#32014=DIRECTION('ref_axis',(0.,-1.,0.)); -#32015=DIRECTION('center_axis',(-1.,0.,0.)); -#32016=DIRECTION('ref_axis',(0.,-1.,0.)); -#32017=DIRECTION('',(0.,0.,1.)); -#32018=DIRECTION('',(0.,0.,1.)); -#32019=DIRECTION('center_axis',(0.,1.,0.)); -#32020=DIRECTION('ref_axis',(-1.,0.,0.)); -#32021=DIRECTION('',(0.,0.,1.)); -#32022=DIRECTION('center_axis',(1.,0.,0.)); -#32023=DIRECTION('ref_axis',(0.,1.,0.)); -#32024=DIRECTION('',(0.,0.,1.)); -#32025=DIRECTION('center_axis',(0.,-1.,0.)); -#32026=DIRECTION('ref_axis',(1.,0.,0.)); -#32027=DIRECTION('center_axis',(-1.,0.,0.)); -#32028=DIRECTION('ref_axis',(0.,-1.,0.)); -#32029=DIRECTION('',(0.,0.,1.)); -#32030=DIRECTION('',(0.,-1.,0.)); -#32031=DIRECTION('',(0.,0.,1.)); -#32032=DIRECTION('center_axis',(0.,1.,0.)); -#32033=DIRECTION('ref_axis',(-1.,0.,0.)); -#32034=DIRECTION('',(-1.,0.,0.)); -#32035=DIRECTION('',(0.,0.,1.)); -#32036=DIRECTION('center_axis',(1.,0.,0.)); -#32037=DIRECTION('ref_axis',(0.,1.,0.)); -#32038=DIRECTION('',(0.,1.,0.)); -#32039=DIRECTION('',(0.,0.,1.)); -#32040=DIRECTION('center_axis',(0.,-1.,0.)); -#32041=DIRECTION('ref_axis',(1.,0.,0.)); -#32042=DIRECTION('',(1.,0.,0.)); -#32043=DIRECTION('center_axis',(0.,0.,1.)); -#32044=DIRECTION('ref_axis',(0.,-1.,0.)); -#32045=DIRECTION('center_axis',(0.,0.,1.)); -#32046=DIRECTION('ref_axis',(0.,-1.,0.)); -#32047=DIRECTION('',(-1.,0.,0.)); -#32048=DIRECTION('',(0.,-1.,0.)); -#32049=DIRECTION('',(1.,0.,0.)); -#32050=DIRECTION('',(0.,1.,0.)); -#32051=DIRECTION('center_axis',(0.,0.,1.)); -#32052=DIRECTION('ref_axis',(0.,-1.,0.)); -#32053=DIRECTION('',(0.,-1.,0.)); -#32054=DIRECTION('',(1.,0.,0.)); -#32055=DIRECTION('',(0.,1.,0.)); -#32056=DIRECTION('',(-1.,0.,0.)); -#32057=DIRECTION('center_axis',(0.,0.,1.)); -#32058=DIRECTION('ref_axis',(0.,-1.,0.)); -#32059=DIRECTION('',(0.,-1.,0.)); -#32060=DIRECTION('',(1.,0.,0.)); -#32061=DIRECTION('',(0.,1.,0.)); -#32062=DIRECTION('',(-1.,0.,0.)); -#32063=DIRECTION('center_axis',(0.,0.,1.)); -#32064=DIRECTION('ref_axis',(0.,-1.,0.)); -#32065=DIRECTION('',(-1.,0.,0.)); -#32066=DIRECTION('',(0.,-1.,0.)); -#32067=DIRECTION('',(1.,0.,0.)); -#32068=DIRECTION('',(0.,1.,0.)); -#32069=DIRECTION('center_axis',(0.,0.,1.)); -#32070=DIRECTION('ref_axis',(0.,-1.,0.)); -#32071=DIRECTION('',(0.,-1.,0.)); -#32072=DIRECTION('',(1.,0.,0.)); -#32073=DIRECTION('',(0.,1.,0.)); -#32074=DIRECTION('',(-1.,0.,0.)); -#32075=DIRECTION('center_axis',(0.,0.,1.)); -#32076=DIRECTION('ref_axis',(0.,-1.,0.)); -#32077=DIRECTION('',(-1.,0.,0.)); -#32078=DIRECTION('',(0.,-1.,0.)); -#32079=DIRECTION('',(1.,0.,0.)); -#32080=DIRECTION('',(0.,1.,0.)); -#32081=DIRECTION('center_axis',(0.,0.,1.)); -#32082=DIRECTION('ref_axis',(0.,-1.,0.)); -#32083=DIRECTION('',(-1.,0.,0.)); -#32084=DIRECTION('',(0.,-1.,0.)); -#32085=DIRECTION('',(1.,0.,0.)); -#32086=DIRECTION('',(0.,1.,0.)); -#32087=DIRECTION('center_axis',(0.,0.,1.)); -#32088=DIRECTION('ref_axis',(0.,-1.,0.)); -#32089=DIRECTION('',(0.,-1.,0.)); -#32090=DIRECTION('',(1.,0.,0.)); -#32091=DIRECTION('',(0.,1.,0.)); -#32092=DIRECTION('',(-1.,0.,0.)); -#32093=DIRECTION('center_axis',(0.,0.,1.)); -#32094=DIRECTION('ref_axis',(0.,-1.,0.)); -#32095=DIRECTION('',(0.,-1.,0.)); -#32096=DIRECTION('',(1.,0.,0.)); -#32097=DIRECTION('',(0.,1.,0.)); -#32098=DIRECTION('',(-1.,0.,0.)); -#32099=DIRECTION('center_axis',(0.,0.,1.)); -#32100=DIRECTION('ref_axis',(0.,-1.,0.)); -#32101=DIRECTION('',(0.,-1.,0.)); -#32102=DIRECTION('',(1.,0.,0.)); -#32103=DIRECTION('',(0.,1.,0.)); -#32104=DIRECTION('',(-1.,0.,0.)); -#32105=DIRECTION('center_axis',(0.,0.,1.)); -#32106=DIRECTION('ref_axis',(0.,-1.,0.)); -#32107=DIRECTION('',(-1.,0.,0.)); -#32108=DIRECTION('',(0.,-1.,0.)); -#32109=DIRECTION('',(1.,0.,0.)); -#32110=DIRECTION('',(0.,1.,0.)); -#32111=DIRECTION('center_axis',(0.,0.,1.)); -#32112=DIRECTION('ref_axis',(0.,-1.,0.)); -#32113=DIRECTION('',(-1.,0.,0.)); -#32114=DIRECTION('',(0.,-1.,0.)); -#32115=DIRECTION('',(1.,0.,0.)); -#32116=DIRECTION('',(0.,1.,0.)); -#32117=DIRECTION('center_axis',(0.,0.,1.)); -#32118=DIRECTION('ref_axis',(0.,-1.,0.)); -#32119=DIRECTION('',(-1.,0.,0.)); -#32120=DIRECTION('',(0.,-1.,0.)); -#32121=DIRECTION('',(1.,0.,0.)); -#32122=DIRECTION('',(0.,1.,0.)); -#32123=DIRECTION('center_axis',(0.,0.,1.)); -#32124=DIRECTION('ref_axis',(0.,-1.,0.)); -#32125=DIRECTION('',(-1.,0.,0.)); -#32126=DIRECTION('',(0.,-1.,0.)); -#32127=DIRECTION('',(1.,0.,0.)); -#32128=DIRECTION('',(0.,1.,0.)); -#32129=DIRECTION('center_axis',(0.,0.,1.)); -#32130=DIRECTION('ref_axis',(0.,-1.,0.)); -#32131=DIRECTION('',(-1.,0.,0.)); -#32132=DIRECTION('',(0.,-1.,0.)); -#32133=DIRECTION('',(1.,0.,0.)); -#32134=DIRECTION('',(0.,1.,0.)); -#32135=DIRECTION('center_axis',(0.,0.,1.)); -#32136=DIRECTION('ref_axis',(0.,-1.,0.)); -#32137=DIRECTION('',(-1.,0.,0.)); -#32138=DIRECTION('',(0.,-1.,0.)); -#32139=DIRECTION('',(1.,0.,0.)); -#32140=DIRECTION('',(0.,1.,0.)); -#32141=DIRECTION('center_axis',(0.,0.,1.)); -#32142=DIRECTION('ref_axis',(0.,-1.,0.)); -#32143=DIRECTION('',(-1.,0.,0.)); -#32144=DIRECTION('',(0.,-1.,0.)); -#32145=DIRECTION('',(1.,0.,0.)); -#32146=DIRECTION('',(0.,1.,0.)); -#32147=DIRECTION('center_axis',(0.,0.,1.)); -#32148=DIRECTION('ref_axis',(0.,-1.,0.)); -#32149=DIRECTION('',(-1.,0.,0.)); -#32150=DIRECTION('',(0.,-1.,0.)); -#32151=DIRECTION('',(1.,0.,0.)); -#32152=DIRECTION('',(0.,1.,0.)); -#32153=DIRECTION('center_axis',(0.,1.,0.)); -#32154=DIRECTION('ref_axis',(-1.,0.,0.)); -#32155=DIRECTION('',(0.,0.,1.)); -#32156=DIRECTION('',(0.,0.,1.)); -#32157=DIRECTION('center_axis',(1.,0.,0.)); -#32158=DIRECTION('ref_axis',(0.,1.,0.)); -#32159=DIRECTION('',(0.,0.,1.)); -#32160=DIRECTION('center_axis',(0.,-1.,0.)); -#32161=DIRECTION('ref_axis',(1.,0.,0.)); -#32162=DIRECTION('',(0.,0.,1.)); -#32163=DIRECTION('center_axis',(-1.,0.,0.)); -#32164=DIRECTION('ref_axis',(0.,-1.,0.)); -#32165=DIRECTION('center_axis',(-1.,0.,0.)); -#32166=DIRECTION('ref_axis',(0.,-1.,0.)); -#32167=DIRECTION('',(0.,0.,1.)); -#32168=DIRECTION('',(0.,0.,1.)); -#32169=DIRECTION('center_axis',(0.,1.,0.)); -#32170=DIRECTION('ref_axis',(-1.,0.,0.)); -#32171=DIRECTION('',(0.,0.,1.)); -#32172=DIRECTION('center_axis',(1.,0.,0.)); -#32173=DIRECTION('ref_axis',(0.,1.,0.)); -#32174=DIRECTION('',(0.,0.,1.)); -#32175=DIRECTION('center_axis',(0.,-1.,0.)); -#32176=DIRECTION('ref_axis',(1.,0.,0.)); -#32177=DIRECTION('center_axis',(-1.,0.,0.)); -#32178=DIRECTION('ref_axis',(0.,-1.,0.)); -#32179=DIRECTION('',(0.,0.,1.)); -#32180=DIRECTION('',(0.,0.,1.)); -#32181=DIRECTION('center_axis',(0.,1.,0.)); -#32182=DIRECTION('ref_axis',(-1.,0.,0.)); -#32183=DIRECTION('',(0.,0.,1.)); -#32184=DIRECTION('center_axis',(1.,0.,0.)); -#32185=DIRECTION('ref_axis',(0.,1.,0.)); -#32186=DIRECTION('',(0.,0.,1.)); -#32187=DIRECTION('center_axis',(0.,-1.,0.)); -#32188=DIRECTION('ref_axis',(1.,0.,0.)); -#32189=DIRECTION('center_axis',(0.,1.,0.)); -#32190=DIRECTION('ref_axis',(-1.,0.,0.)); -#32191=DIRECTION('',(0.,0.,1.)); -#32192=DIRECTION('',(0.,0.,1.)); -#32193=DIRECTION('center_axis',(1.,0.,0.)); -#32194=DIRECTION('ref_axis',(0.,1.,0.)); -#32195=DIRECTION('',(0.,0.,1.)); -#32196=DIRECTION('center_axis',(0.,-1.,0.)); -#32197=DIRECTION('ref_axis',(1.,0.,0.)); -#32198=DIRECTION('',(0.,0.,1.)); -#32199=DIRECTION('center_axis',(-1.,0.,0.)); -#32200=DIRECTION('ref_axis',(0.,-1.,0.)); -#32201=DIRECTION('center_axis',(-1.,0.,0.)); -#32202=DIRECTION('ref_axis',(0.,-1.,0.)); -#32203=DIRECTION('',(0.,0.,1.)); -#32204=DIRECTION('',(0.,0.,1.)); -#32205=DIRECTION('center_axis',(0.,1.,0.)); -#32206=DIRECTION('ref_axis',(-1.,0.,0.)); -#32207=DIRECTION('',(0.,0.,1.)); -#32208=DIRECTION('center_axis',(1.,0.,0.)); -#32209=DIRECTION('ref_axis',(0.,1.,0.)); -#32210=DIRECTION('',(0.,0.,1.)); -#32211=DIRECTION('center_axis',(0.,-1.,0.)); -#32212=DIRECTION('ref_axis',(1.,0.,0.)); -#32213=DIRECTION('center_axis',(0.,1.,0.)); -#32214=DIRECTION('ref_axis',(-1.,0.,0.)); -#32215=DIRECTION('',(0.,0.,1.)); -#32216=DIRECTION('',(0.,0.,1.)); -#32217=DIRECTION('center_axis',(1.,0.,0.)); -#32218=DIRECTION('ref_axis',(0.,1.,0.)); -#32219=DIRECTION('',(0.,0.,1.)); -#32220=DIRECTION('center_axis',(0.,-1.,0.)); -#32221=DIRECTION('ref_axis',(1.,0.,0.)); -#32222=DIRECTION('',(0.,0.,1.)); -#32223=DIRECTION('center_axis',(-1.,0.,0.)); -#32224=DIRECTION('ref_axis',(0.,-1.,0.)); -#32225=DIRECTION('center_axis',(0.,1.,0.)); -#32226=DIRECTION('ref_axis',(-1.,0.,0.)); -#32227=DIRECTION('',(0.,0.,1.)); -#32228=DIRECTION('',(0.,0.,1.)); -#32229=DIRECTION('center_axis',(1.,0.,0.)); -#32230=DIRECTION('ref_axis',(0.,1.,0.)); -#32231=DIRECTION('',(0.,0.,1.)); -#32232=DIRECTION('center_axis',(0.,-1.,0.)); -#32233=DIRECTION('ref_axis',(1.,0.,0.)); -#32234=DIRECTION('',(0.,0.,1.)); -#32235=DIRECTION('center_axis',(-1.,0.,0.)); -#32236=DIRECTION('ref_axis',(0.,-1.,0.)); -#32237=DIRECTION('center_axis',(-1.,0.,0.)); -#32238=DIRECTION('ref_axis',(0.,-1.,0.)); -#32239=DIRECTION('',(0.,0.,1.)); -#32240=DIRECTION('',(0.,0.,1.)); -#32241=DIRECTION('center_axis',(0.,1.,0.)); -#32242=DIRECTION('ref_axis',(-1.,0.,0.)); -#32243=DIRECTION('',(0.,0.,1.)); -#32244=DIRECTION('center_axis',(1.,0.,0.)); -#32245=DIRECTION('ref_axis',(0.,1.,0.)); -#32246=DIRECTION('',(0.,0.,1.)); -#32247=DIRECTION('center_axis',(0.,-1.,0.)); -#32248=DIRECTION('ref_axis',(1.,0.,0.)); -#32249=DIRECTION('center_axis',(-1.,0.,0.)); -#32250=DIRECTION('ref_axis',(0.,-1.,0.)); -#32251=DIRECTION('',(0.,0.,1.)); -#32252=DIRECTION('',(0.,0.,1.)); -#32253=DIRECTION('center_axis',(0.,1.,0.)); -#32254=DIRECTION('ref_axis',(-1.,0.,0.)); -#32255=DIRECTION('',(0.,0.,1.)); -#32256=DIRECTION('center_axis',(1.,0.,0.)); -#32257=DIRECTION('ref_axis',(0.,1.,0.)); -#32258=DIRECTION('',(0.,0.,1.)); -#32259=DIRECTION('center_axis',(0.,-1.,0.)); -#32260=DIRECTION('ref_axis',(1.,0.,0.)); -#32261=DIRECTION('center_axis',(-1.,0.,0.)); -#32262=DIRECTION('ref_axis',(0.,-1.,0.)); -#32263=DIRECTION('',(0.,0.,1.)); -#32264=DIRECTION('',(0.,0.,1.)); -#32265=DIRECTION('center_axis',(0.,1.,0.)); -#32266=DIRECTION('ref_axis',(-1.,0.,0.)); -#32267=DIRECTION('',(0.,0.,1.)); -#32268=DIRECTION('center_axis',(1.,0.,0.)); -#32269=DIRECTION('ref_axis',(0.,1.,0.)); -#32270=DIRECTION('',(0.,0.,1.)); -#32271=DIRECTION('center_axis',(0.,-1.,0.)); -#32272=DIRECTION('ref_axis',(1.,0.,0.)); -#32273=DIRECTION('center_axis',(0.,1.,0.)); -#32274=DIRECTION('ref_axis',(-1.,0.,0.)); -#32275=DIRECTION('',(0.,0.,1.)); -#32276=DIRECTION('',(0.,0.,1.)); -#32277=DIRECTION('center_axis',(1.,0.,0.)); -#32278=DIRECTION('ref_axis',(0.,1.,0.)); -#32279=DIRECTION('',(0.,0.,1.)); -#32280=DIRECTION('center_axis',(0.,-1.,0.)); -#32281=DIRECTION('ref_axis',(1.,0.,0.)); -#32282=DIRECTION('',(0.,0.,1.)); -#32283=DIRECTION('center_axis',(-1.,0.,0.)); -#32284=DIRECTION('ref_axis',(0.,-1.,0.)); -#32285=DIRECTION('center_axis',(0.,1.,0.)); -#32286=DIRECTION('ref_axis',(-1.,0.,0.)); -#32287=DIRECTION('',(0.,0.,1.)); -#32288=DIRECTION('',(0.,0.,1.)); -#32289=DIRECTION('center_axis',(1.,0.,0.)); -#32290=DIRECTION('ref_axis',(0.,1.,0.)); -#32291=DIRECTION('',(0.,0.,1.)); -#32292=DIRECTION('center_axis',(0.,-1.,0.)); -#32293=DIRECTION('ref_axis',(1.,0.,0.)); -#32294=DIRECTION('',(0.,0.,1.)); -#32295=DIRECTION('center_axis',(-1.,0.,0.)); -#32296=DIRECTION('ref_axis',(0.,-1.,0.)); -#32297=DIRECTION('center_axis',(0.,1.,0.)); -#32298=DIRECTION('ref_axis',(-1.,0.,0.)); -#32299=DIRECTION('',(0.,0.,1.)); -#32300=DIRECTION('',(0.,0.,1.)); -#32301=DIRECTION('center_axis',(1.,0.,0.)); -#32302=DIRECTION('ref_axis',(0.,1.,0.)); -#32303=DIRECTION('',(0.,0.,1.)); -#32304=DIRECTION('center_axis',(0.,-1.,0.)); -#32305=DIRECTION('ref_axis',(1.,0.,0.)); -#32306=DIRECTION('',(0.,0.,1.)); -#32307=DIRECTION('center_axis',(-1.,0.,0.)); -#32308=DIRECTION('ref_axis',(0.,-1.,0.)); -#32309=DIRECTION('center_axis',(0.,1.,0.)); -#32310=DIRECTION('ref_axis',(-1.,0.,0.)); -#32311=DIRECTION('',(0.,0.,1.)); -#32312=DIRECTION('',(0.,0.,1.)); -#32313=DIRECTION('center_axis',(1.,0.,0.)); -#32314=DIRECTION('ref_axis',(0.,1.,0.)); -#32315=DIRECTION('',(0.,0.,1.)); -#32316=DIRECTION('center_axis',(0.,-1.,0.)); -#32317=DIRECTION('ref_axis',(1.,0.,0.)); -#32318=DIRECTION('',(0.,0.,1.)); -#32319=DIRECTION('center_axis',(-1.,0.,0.)); -#32320=DIRECTION('ref_axis',(0.,-1.,0.)); -#32321=DIRECTION('center_axis',(0.,1.,0.)); -#32322=DIRECTION('ref_axis',(-1.,0.,0.)); -#32323=DIRECTION('',(0.,0.,1.)); -#32324=DIRECTION('',(0.,0.,1.)); -#32325=DIRECTION('center_axis',(1.,0.,0.)); -#32326=DIRECTION('ref_axis',(0.,1.,0.)); -#32327=DIRECTION('',(0.,0.,1.)); -#32328=DIRECTION('center_axis',(0.,-1.,0.)); -#32329=DIRECTION('ref_axis',(1.,0.,0.)); -#32330=DIRECTION('',(0.,0.,1.)); -#32331=DIRECTION('center_axis',(-1.,0.,0.)); -#32332=DIRECTION('ref_axis',(0.,-1.,0.)); -#32333=DIRECTION('center_axis',(0.,1.,0.)); -#32334=DIRECTION('ref_axis',(-1.,0.,0.)); -#32335=DIRECTION('',(0.,0.,1.)); -#32336=DIRECTION('',(0.,0.,1.)); -#32337=DIRECTION('center_axis',(1.,0.,0.)); -#32338=DIRECTION('ref_axis',(0.,1.,0.)); -#32339=DIRECTION('',(0.,0.,1.)); -#32340=DIRECTION('center_axis',(0.,-1.,0.)); -#32341=DIRECTION('ref_axis',(1.,0.,0.)); -#32342=DIRECTION('',(0.,0.,1.)); -#32343=DIRECTION('center_axis',(-1.,0.,0.)); -#32344=DIRECTION('ref_axis',(0.,-1.,0.)); -#32345=DIRECTION('center_axis',(0.,1.,0.)); -#32346=DIRECTION('ref_axis',(-1.,0.,0.)); -#32347=DIRECTION('',(0.,0.,1.)); -#32348=DIRECTION('',(0.,0.,1.)); -#32349=DIRECTION('center_axis',(1.,0.,0.)); -#32350=DIRECTION('ref_axis',(0.,1.,0.)); -#32351=DIRECTION('',(0.,0.,1.)); -#32352=DIRECTION('center_axis',(0.,-1.,0.)); -#32353=DIRECTION('ref_axis',(1.,0.,0.)); -#32354=DIRECTION('',(0.,0.,1.)); -#32355=DIRECTION('center_axis',(-1.,0.,0.)); -#32356=DIRECTION('ref_axis',(0.,-1.,0.)); -#32357=DIRECTION('center_axis',(0.,1.,0.)); -#32358=DIRECTION('ref_axis',(-1.,0.,0.)); -#32359=DIRECTION('',(0.,0.,1.)); -#32360=DIRECTION('',(0.,0.,1.)); -#32361=DIRECTION('center_axis',(1.,0.,0.)); -#32362=DIRECTION('ref_axis',(0.,1.,0.)); -#32363=DIRECTION('',(0.,0.,1.)); -#32364=DIRECTION('center_axis',(0.,-1.,0.)); -#32365=DIRECTION('ref_axis',(1.,0.,0.)); -#32366=DIRECTION('',(0.,0.,1.)); -#32367=DIRECTION('center_axis',(-1.,0.,0.)); -#32368=DIRECTION('ref_axis',(0.,-1.,0.)); -#32369=DIRECTION('center_axis',(1.,0.,0.)); -#32370=DIRECTION('ref_axis',(0.,1.,0.)); -#32371=DIRECTION('',(0.,0.,1.)); -#32372=DIRECTION('',(0.,1.,0.)); -#32373=DIRECTION('',(0.,0.,1.)); -#32374=DIRECTION('center_axis',(0.,-1.,0.)); -#32375=DIRECTION('ref_axis',(1.,0.,0.)); -#32376=DIRECTION('',(1.,0.,0.)); -#32377=DIRECTION('',(0.,0.,1.)); -#32378=DIRECTION('center_axis',(-1.,0.,0.)); -#32379=DIRECTION('ref_axis',(0.,-1.,0.)); -#32380=DIRECTION('',(0.,-1.,0.)); -#32381=DIRECTION('',(0.,0.,1.)); -#32382=DIRECTION('center_axis',(0.,1.,0.)); -#32383=DIRECTION('ref_axis',(-1.,0.,0.)); -#32384=DIRECTION('',(-1.,0.,0.)); -#32385=DIRECTION('center_axis',(0.,0.,1.)); -#32386=DIRECTION('ref_axis',(0.,-1.,0.)); -#32387=DIRECTION('center_axis',(0.,0.,1.)); -#32388=DIRECTION('ref_axis',(0.,-1.,0.)); -#32389=DIRECTION('',(1.,0.,0.)); -#32390=DIRECTION('',(0.,1.,0.)); -#32391=DIRECTION('',(-1.,0.,0.)); -#32392=DIRECTION('',(0.,-1.,0.)); -#32393=DIRECTION('center_axis',(0.,0.,1.)); -#32394=DIRECTION('ref_axis',(0.,-1.,0.)); -#32395=DIRECTION('',(0.,1.,0.)); -#32396=DIRECTION('',(-1.,0.,0.)); -#32397=DIRECTION('',(0.,-1.,0.)); -#32398=DIRECTION('',(1.,0.,0.)); -#32399=DIRECTION('center_axis',(0.,0.,1.)); -#32400=DIRECTION('ref_axis',(0.,-1.,0.)); -#32401=DIRECTION('',(-1.,0.,0.)); -#32402=DIRECTION('',(0.,-1.,0.)); -#32403=DIRECTION('',(1.,0.,0.)); -#32404=DIRECTION('',(0.,1.,0.)); -#32405=DIRECTION('center_axis',(0.,0.,1.)); -#32406=DIRECTION('ref_axis',(0.,-1.,0.)); -#32407=DIRECTION('',(-1.,0.,0.)); -#32408=DIRECTION('',(0.,-1.,0.)); -#32409=DIRECTION('',(1.,0.,0.)); -#32410=DIRECTION('',(0.,1.,0.)); -#32411=DIRECTION('center_axis',(0.,0.,1.)); -#32412=DIRECTION('ref_axis',(0.,-1.,0.)); -#32413=DIRECTION('',(-1.,0.,0.)); -#32414=DIRECTION('',(0.,-1.,0.)); -#32415=DIRECTION('',(1.,0.,0.)); -#32416=DIRECTION('',(0.,1.,0.)); -#32417=DIRECTION('center_axis',(0.,0.,1.)); -#32418=DIRECTION('ref_axis',(0.,-1.,0.)); -#32419=DIRECTION('',(0.,-1.,0.)); -#32420=DIRECTION('',(1.,0.,0.)); -#32421=DIRECTION('',(0.,1.,0.)); -#32422=DIRECTION('',(-1.,0.,0.)); -#32423=DIRECTION('center_axis',(0.,0.,1.)); -#32424=DIRECTION('ref_axis',(0.,-1.,0.)); -#32425=DIRECTION('',(-1.,0.,0.)); -#32426=DIRECTION('',(0.,-1.,0.)); -#32427=DIRECTION('',(1.,0.,0.)); -#32428=DIRECTION('',(0.,1.,0.)); -#32429=DIRECTION('center_axis',(0.,-1.,0.)); -#32430=DIRECTION('ref_axis',(1.,0.,0.)); -#32431=DIRECTION('',(0.,0.,1.)); -#32432=DIRECTION('',(0.,0.,1.)); -#32433=DIRECTION('center_axis',(-1.,0.,0.)); -#32434=DIRECTION('ref_axis',(0.,-1.,0.)); -#32435=DIRECTION('',(0.,0.,1.)); -#32436=DIRECTION('center_axis',(0.,1.,0.)); -#32437=DIRECTION('ref_axis',(-1.,0.,0.)); -#32438=DIRECTION('',(0.,0.,1.)); -#32439=DIRECTION('center_axis',(1.,0.,0.)); -#32440=DIRECTION('ref_axis',(0.,1.,0.)); -#32441=DIRECTION('center_axis',(1.,0.,0.)); -#32442=DIRECTION('ref_axis',(0.,1.,0.)); -#32443=DIRECTION('',(0.,0.,1.)); -#32444=DIRECTION('',(0.,0.,1.)); -#32445=DIRECTION('center_axis',(0.,-1.,0.)); -#32446=DIRECTION('ref_axis',(1.,0.,0.)); -#32447=DIRECTION('',(0.,0.,1.)); -#32448=DIRECTION('center_axis',(-1.,0.,0.)); -#32449=DIRECTION('ref_axis',(0.,-1.,0.)); -#32450=DIRECTION('',(0.,0.,1.)); -#32451=DIRECTION('center_axis',(0.,1.,0.)); -#32452=DIRECTION('ref_axis',(-1.,0.,0.)); -#32453=DIRECTION('center_axis',(0.,1.,0.)); -#32454=DIRECTION('ref_axis',(-1.,0.,0.)); -#32455=DIRECTION('',(0.,0.,1.)); -#32456=DIRECTION('',(0.,0.,1.)); -#32457=DIRECTION('center_axis',(1.,0.,0.)); -#32458=DIRECTION('ref_axis',(0.,1.,0.)); -#32459=DIRECTION('',(0.,0.,1.)); -#32460=DIRECTION('center_axis',(0.,-1.,0.)); -#32461=DIRECTION('ref_axis',(1.,0.,0.)); -#32462=DIRECTION('',(0.,0.,1.)); -#32463=DIRECTION('center_axis',(-1.,0.,0.)); -#32464=DIRECTION('ref_axis',(0.,-1.,0.)); -#32465=DIRECTION('center_axis',(0.,1.,0.)); -#32466=DIRECTION('ref_axis',(-1.,0.,0.)); -#32467=DIRECTION('',(0.,0.,1.)); -#32468=DIRECTION('',(0.,0.,1.)); -#32469=DIRECTION('center_axis',(1.,0.,0.)); -#32470=DIRECTION('ref_axis',(0.,1.,0.)); -#32471=DIRECTION('',(0.,0.,1.)); -#32472=DIRECTION('center_axis',(0.,-1.,0.)); -#32473=DIRECTION('ref_axis',(1.,0.,0.)); -#32474=DIRECTION('',(0.,0.,1.)); -#32475=DIRECTION('center_axis',(-1.,0.,0.)); -#32476=DIRECTION('ref_axis',(0.,-1.,0.)); -#32477=DIRECTION('center_axis',(0.,1.,0.)); -#32478=DIRECTION('ref_axis',(-1.,0.,0.)); -#32479=DIRECTION('',(0.,0.,1.)); -#32480=DIRECTION('',(0.,0.,1.)); -#32481=DIRECTION('center_axis',(1.,0.,0.)); -#32482=DIRECTION('ref_axis',(0.,1.,0.)); -#32483=DIRECTION('',(0.,0.,1.)); -#32484=DIRECTION('center_axis',(0.,-1.,0.)); -#32485=DIRECTION('ref_axis',(1.,0.,0.)); -#32486=DIRECTION('',(0.,0.,1.)); -#32487=DIRECTION('center_axis',(-1.,0.,0.)); -#32488=DIRECTION('ref_axis',(0.,-1.,0.)); -#32489=DIRECTION('center_axis',(-1.,0.,0.)); -#32490=DIRECTION('ref_axis',(0.,-1.,0.)); -#32491=DIRECTION('',(0.,0.,1.)); -#32492=DIRECTION('',(0.,0.,1.)); -#32493=DIRECTION('center_axis',(0.,1.,0.)); -#32494=DIRECTION('ref_axis',(-1.,0.,0.)); -#32495=DIRECTION('',(0.,0.,1.)); -#32496=DIRECTION('center_axis',(1.,0.,0.)); -#32497=DIRECTION('ref_axis',(0.,1.,0.)); -#32498=DIRECTION('',(0.,0.,1.)); -#32499=DIRECTION('center_axis',(0.,-1.,0.)); -#32500=DIRECTION('ref_axis',(1.,0.,0.)); -#32501=DIRECTION('center_axis',(0.,1.,0.)); -#32502=DIRECTION('ref_axis',(-1.,0.,0.)); -#32503=DIRECTION('',(0.,0.,1.)); -#32504=DIRECTION('',(0.,0.,1.)); -#32505=DIRECTION('center_axis',(1.,0.,0.)); -#32506=DIRECTION('ref_axis',(0.,1.,0.)); -#32507=DIRECTION('',(0.,0.,1.)); -#32508=DIRECTION('center_axis',(0.,-1.,0.)); -#32509=DIRECTION('ref_axis',(1.,0.,0.)); -#32510=DIRECTION('',(0.,0.,1.)); -#32511=DIRECTION('center_axis',(-1.,0.,0.)); -#32512=DIRECTION('ref_axis',(0.,-1.,0.)); -#32513=DIRECTION('center_axis',(0.,1.,0.)); -#32514=DIRECTION('ref_axis',(-1.,0.,0.)); -#32515=DIRECTION('',(0.,0.,1.)); -#32516=DIRECTION('',(-1.,0.,0.)); -#32517=DIRECTION('',(0.,0.,1.)); -#32518=DIRECTION('center_axis',(1.,0.,0.)); -#32519=DIRECTION('ref_axis',(0.,1.,0.)); -#32520=DIRECTION('',(0.,1.,0.)); -#32521=DIRECTION('',(0.,0.,1.)); -#32522=DIRECTION('center_axis',(0.,-1.,0.)); -#32523=DIRECTION('ref_axis',(1.,0.,0.)); -#32524=DIRECTION('',(1.,0.,0.)); -#32525=DIRECTION('',(0.,0.,1.)); -#32526=DIRECTION('center_axis',(-1.,0.,0.)); -#32527=DIRECTION('ref_axis',(0.,-1.,0.)); -#32528=DIRECTION('',(0.,-1.,0.)); -#32529=DIRECTION('center_axis',(0.,0.,1.)); -#32530=DIRECTION('ref_axis',(0.,-1.,0.)); -#32531=DIRECTION('center_axis',(0.,0.,1.)); -#32532=DIRECTION('ref_axis',(0.,-1.,0.)); -#32533=DIRECTION('',(-1.,0.,0.)); -#32534=DIRECTION('',(0.,-1.,0.)); -#32535=DIRECTION('',(1.,0.,0.)); -#32536=DIRECTION('',(0.,1.,0.)); -#32537=DIRECTION('center_axis',(0.,0.,1.)); -#32538=DIRECTION('ref_axis',(0.,-1.,0.)); -#32539=DIRECTION('',(0.,1.,0.)); -#32540=DIRECTION('',(-1.,0.,0.)); -#32541=DIRECTION('',(0.,-1.,0.)); -#32542=DIRECTION('',(1.,0.,0.)); -#32543=DIRECTION('center_axis',(0.,0.,1.)); -#32544=DIRECTION('ref_axis',(0.,-1.,0.)); -#32545=DIRECTION('',(-1.,0.,0.)); -#32546=DIRECTION('',(0.,-1.,0.)); -#32547=DIRECTION('',(1.,0.,0.)); -#32548=DIRECTION('',(0.,1.,0.)); -#32549=DIRECTION('center_axis',(0.,0.,1.)); -#32550=DIRECTION('ref_axis',(0.,-1.,0.)); -#32551=DIRECTION('',(-1.,0.,0.)); -#32552=DIRECTION('',(0.,-1.,0.)); -#32553=DIRECTION('',(1.,0.,0.)); -#32554=DIRECTION('',(0.,1.,0.)); -#32555=DIRECTION('center_axis',(0.,1.,0.)); -#32556=DIRECTION('ref_axis',(-1.,0.,0.)); -#32557=DIRECTION('',(0.,0.,1.)); -#32558=DIRECTION('',(0.,0.,1.)); -#32559=DIRECTION('center_axis',(1.,0.,0.)); -#32560=DIRECTION('ref_axis',(0.,1.,0.)); -#32561=DIRECTION('',(0.,0.,1.)); -#32562=DIRECTION('center_axis',(0.,-1.,0.)); -#32563=DIRECTION('ref_axis',(1.,0.,0.)); -#32564=DIRECTION('',(0.,0.,1.)); -#32565=DIRECTION('center_axis',(-1.,0.,0.)); -#32566=DIRECTION('ref_axis',(0.,-1.,0.)); -#32567=DIRECTION('center_axis',(1.,0.,0.)); -#32568=DIRECTION('ref_axis',(0.,1.,0.)); -#32569=DIRECTION('',(0.,0.,1.)); -#32570=DIRECTION('',(0.,0.,1.)); -#32571=DIRECTION('center_axis',(0.,-1.,0.)); -#32572=DIRECTION('ref_axis',(1.,0.,0.)); -#32573=DIRECTION('',(0.,0.,1.)); -#32574=DIRECTION('center_axis',(-1.,0.,0.)); -#32575=DIRECTION('ref_axis',(0.,-1.,0.)); -#32576=DIRECTION('',(0.,0.,1.)); -#32577=DIRECTION('center_axis',(0.,1.,0.)); -#32578=DIRECTION('ref_axis',(-1.,0.,0.)); -#32579=DIRECTION('center_axis',(0.,1.,0.)); -#32580=DIRECTION('ref_axis',(-1.,0.,0.)); -#32581=DIRECTION('',(0.,0.,1.)); -#32582=DIRECTION('',(0.,0.,1.)); -#32583=DIRECTION('center_axis',(1.,0.,0.)); -#32584=DIRECTION('ref_axis',(0.,1.,0.)); -#32585=DIRECTION('',(0.,0.,1.)); -#32586=DIRECTION('center_axis',(0.,-1.,0.)); -#32587=DIRECTION('ref_axis',(1.,0.,0.)); -#32588=DIRECTION('',(0.,0.,1.)); -#32589=DIRECTION('center_axis',(-1.,0.,0.)); -#32590=DIRECTION('ref_axis',(0.,-1.,0.)); -#32591=DIRECTION('center_axis',(0.,1.,0.)); -#32592=DIRECTION('ref_axis',(-1.,0.,0.)); -#32593=DIRECTION('',(0.,0.,1.)); -#32594=DIRECTION('',(0.,0.,1.)); -#32595=DIRECTION('center_axis',(1.,0.,0.)); -#32596=DIRECTION('ref_axis',(0.,1.,0.)); -#32597=DIRECTION('',(0.,0.,1.)); -#32598=DIRECTION('center_axis',(0.,-1.,0.)); -#32599=DIRECTION('ref_axis',(1.,0.,0.)); -#32600=DIRECTION('',(0.,0.,1.)); -#32601=DIRECTION('center_axis',(-1.,0.,0.)); -#32602=DIRECTION('ref_axis',(0.,-1.,0.)); -#32603=DIRECTION('center_axis',(0.,1.,0.)); -#32604=DIRECTION('ref_axis',(-1.,0.,0.)); -#32605=DIRECTION('',(0.,0.,1.)); -#32606=DIRECTION('',(-1.,0.,0.)); -#32607=DIRECTION('',(0.,0.,1.)); -#32608=DIRECTION('center_axis',(1.,0.,0.)); -#32609=DIRECTION('ref_axis',(0.,1.,0.)); -#32610=DIRECTION('',(0.,1.,0.)); -#32611=DIRECTION('',(0.,0.,1.)); -#32612=DIRECTION('center_axis',(0.,-1.,0.)); -#32613=DIRECTION('ref_axis',(1.,0.,0.)); -#32614=DIRECTION('',(1.,0.,0.)); -#32615=DIRECTION('',(0.,0.,1.)); -#32616=DIRECTION('center_axis',(-1.,0.,0.)); -#32617=DIRECTION('ref_axis',(0.,-1.,0.)); -#32618=DIRECTION('',(0.,-1.,0.)); -#32619=DIRECTION('center_axis',(0.,0.,1.)); -#32620=DIRECTION('ref_axis',(0.,-1.,0.)); -#32621=DIRECTION('center_axis',(0.,0.,1.)); -#32622=DIRECTION('ref_axis',(0.,-1.,0.)); -#32623=DIRECTION('',(0.,-1.,0.)); -#32624=DIRECTION('',(1.,0.,0.)); -#32625=DIRECTION('',(0.,1.,0.)); -#32626=DIRECTION('',(-1.,0.,0.)); -#32627=DIRECTION('center_axis',(-1.,0.,0.)); -#32628=DIRECTION('ref_axis',(0.,-1.,0.)); -#32629=DIRECTION('',(0.,0.,1.)); -#32630=DIRECTION('',(0.,0.,1.)); -#32631=DIRECTION('center_axis',(0.,1.,0.)); -#32632=DIRECTION('ref_axis',(-1.,0.,0.)); -#32633=DIRECTION('',(0.,0.,1.)); -#32634=DIRECTION('center_axis',(1.,0.,0.)); -#32635=DIRECTION('ref_axis',(0.,1.,0.)); -#32636=DIRECTION('',(0.,0.,1.)); -#32637=DIRECTION('center_axis',(0.,-1.,0.)); -#32638=DIRECTION('ref_axis',(1.,0.,0.)); -#32639=DIRECTION('center_axis',(0.,1.,0.)); -#32640=DIRECTION('ref_axis',(-1.,0.,0.)); -#32641=DIRECTION('',(0.,0.,1.)); -#32642=DIRECTION('',(-1.,0.,0.)); -#32643=DIRECTION('',(0.,0.,1.)); -#32644=DIRECTION('center_axis',(1.,0.,0.)); -#32645=DIRECTION('ref_axis',(0.,1.,0.)); -#32646=DIRECTION('',(0.,0.,1.)); -#32647=DIRECTION('',(0.,1.,0.)); -#32648=DIRECTION('',(0.,0.,1.)); -#32649=DIRECTION('center_axis',(1.,0.,0.)); -#32650=DIRECTION('ref_axis',(0.,1.,0.)); -#32651=DIRECTION('',(0.,1.,0.)); -#32652=DIRECTION('',(0.,0.,1.)); -#32653=DIRECTION('center_axis',(0.,-1.,0.)); -#32654=DIRECTION('ref_axis',(1.,0.,0.)); -#32655=DIRECTION('',(1.,0.,0.)); -#32656=DIRECTION('',(0.,0.,1.)); -#32657=DIRECTION('center_axis',(-1.,0.,0.)); -#32658=DIRECTION('ref_axis',(0.,-1.,0.)); -#32659=DIRECTION('',(0.,0.,1.)); -#32660=DIRECTION('',(0.,-1.,0.)); -#32661=DIRECTION('center_axis',(-1.,0.,0.)); -#32662=DIRECTION('ref_axis',(0.,-1.,0.)); -#32663=DIRECTION('',(0.,-1.,0.)); -#32664=DIRECTION('',(0.,0.,1.)); -#32665=DIRECTION('center_axis',(0.,0.,1.)); -#32666=DIRECTION('ref_axis',(0.,-1.,0.)); -#32667=DIRECTION('',(1.,0.,0.)); -#32668=DIRECTION('center_axis',(0.,0.,1.)); -#32669=DIRECTION('ref_axis',(0.,-1.,0.)); -#32670=DIRECTION('',(1.,0.,0.)); -#32671=DIRECTION('center_axis',(0.,0.,1.)); -#32672=DIRECTION('ref_axis',(0.,-1.,0.)); -#32673=DIRECTION('',(-1.,0.,0.)); -#32674=DIRECTION('',(0.,1.,0.)); -#32675=DIRECTION('',(-1.,0.,0.)); -#32676=DIRECTION('',(0.,-1.,0.)); -#32677=DIRECTION('center_axis',(0.,0.,1.)); -#32678=DIRECTION('ref_axis',(0.,-1.,0.)); -#32679=DIRECTION('',(-1.,0.,0.)); -#32680=DIRECTION('',(0.,-1.,0.)); -#32681=DIRECTION('',(1.,0.,0.)); -#32682=DIRECTION('',(0.,1.,0.)); -#32683=DIRECTION('center_axis',(0.,1.,0.)); -#32684=DIRECTION('ref_axis',(-1.,0.,0.)); -#32685=DIRECTION('',(0.,0.,1.)); -#32686=DIRECTION('',(-1.,0.,0.)); -#32687=DIRECTION('',(0.,0.,1.)); -#32688=DIRECTION('center_axis',(1.,0.,0.)); -#32689=DIRECTION('ref_axis',(0.,1.,0.)); -#32690=DIRECTION('',(0.,1.,0.)); -#32691=DIRECTION('',(0.,0.,1.)); -#32692=DIRECTION('center_axis',(0.,-1.,0.)); -#32693=DIRECTION('ref_axis',(1.,0.,0.)); -#32694=DIRECTION('',(1.,0.,0.)); -#32695=DIRECTION('',(0.,0.,1.)); -#32696=DIRECTION('center_axis',(-1.,0.,0.)); -#32697=DIRECTION('ref_axis',(0.,-1.,0.)); -#32698=DIRECTION('',(0.,-1.,0.)); -#32699=DIRECTION('center_axis',(0.,0.,1.)); -#32700=DIRECTION('ref_axis',(0.,-1.,0.)); -#32701=DIRECTION('center_axis',(0.,0.,1.)); -#32702=DIRECTION('ref_axis',(0.,-1.,0.)); -#32703=DIRECTION('',(-1.,0.,0.)); -#32704=DIRECTION('',(0.,-1.,0.)); -#32705=DIRECTION('',(1.,0.,0.)); -#32706=DIRECTION('',(0.,1.,0.)); -#32707=DIRECTION('center_axis',(0.,1.,0.)); -#32708=DIRECTION('ref_axis',(-1.,0.,0.)); -#32709=DIRECTION('',(0.,0.,1.)); -#32710=DIRECTION('',(0.,0.,1.)); -#32711=DIRECTION('',(0.,0.,1.)); -#32712=DIRECTION('',(0.,0.,1.)); -#32713=DIRECTION('center_axis',(1.,0.,0.)); -#32714=DIRECTION('ref_axis',(0.,1.,0.)); -#32715=DIRECTION('',(0.,0.,1.)); -#32716=DIRECTION('',(0.,0.,1.)); -#32717=DIRECTION('center_axis',(1.,0.,0.)); -#32718=DIRECTION('ref_axis',(0.,1.,0.)); -#32719=DIRECTION('',(0.,0.,1.)); -#32720=DIRECTION('center_axis',(0.,-1.,0.)); -#32721=DIRECTION('ref_axis',(1.,0.,0.)); -#32722=DIRECTION('',(0.,0.,1.)); -#32723=DIRECTION('center_axis',(-1.,0.,0.)); -#32724=DIRECTION('ref_axis',(0.,-1.,0.)); -#32725=DIRECTION('',(0.,0.,1.)); -#32726=DIRECTION('center_axis',(-1.,0.,0.)); -#32727=DIRECTION('ref_axis',(0.,-1.,0.)); -#32728=DIRECTION('',(0.,0.,1.)); -#32729=DIRECTION('center_axis',(0.,1.,0.)); -#32730=DIRECTION('ref_axis',(-1.,0.,0.)); -#32731=DIRECTION('center_axis',(0.,-1.,0.)); -#32732=DIRECTION('ref_axis',(1.,0.,0.)); -#32733=DIRECTION('',(0.,0.,1.)); -#32734=DIRECTION('',(0.,0.,1.)); -#32735=DIRECTION('center_axis',(-1.,0.,0.)); -#32736=DIRECTION('ref_axis',(0.,-1.,0.)); -#32737=DIRECTION('center_axis',(1.,0.,0.)); -#32738=DIRECTION('ref_axis',(0.,1.,0.)); -#32739=DIRECTION('center_axis',(1.,0.,0.)); -#32740=DIRECTION('ref_axis',(0.,1.,0.)); -#32741=DIRECTION('',(0.,0.,1.)); -#32742=DIRECTION('',(0.,1.,0.)); -#32743=DIRECTION('',(0.,0.,1.)); -#32744=DIRECTION('center_axis',(0.,-1.,0.)); -#32745=DIRECTION('ref_axis',(1.,0.,0.)); -#32746=DIRECTION('',(1.,0.,0.)); -#32747=DIRECTION('',(0.,0.,1.)); -#32748=DIRECTION('center_axis',(1.,0.,0.)); -#32749=DIRECTION('ref_axis',(0.,1.,0.)); -#32750=DIRECTION('',(0.,1.,0.)); -#32751=DIRECTION('',(0.,0.,1.)); -#32752=DIRECTION('',(0.,1.,0.)); -#32753=DIRECTION('',(0.,0.,1.)); -#32754=DIRECTION('',(0.,0.,1.)); -#32755=DIRECTION('',(0.,1.,0.)); -#32756=DIRECTION('',(0.,0.,1.)); -#32757=DIRECTION('',(0.,0.,1.)); -#32758=DIRECTION('center_axis',(0.,0.,1.)); -#32759=DIRECTION('ref_axis',(0.,-1.,0.)); -#32760=DIRECTION('',(0.,-1.,0.)); -#32761=DIRECTION('',(-1.,0.,0.)); -#32762=DIRECTION('',(0.,-1.,0.)); -#32763=DIRECTION('',(1.,0.,0.)); -#32764=DIRECTION('center_axis',(0.,0.,1.)); -#32765=DIRECTION('ref_axis',(0.,-1.,0.)); -#32766=DIRECTION('',(0.,-1.,0.)); -#32767=DIRECTION('',(-1.,0.,0.)); -#32768=DIRECTION('',(0.,-1.,0.)); -#32769=DIRECTION('',(1.,0.,0.)); -#32770=DIRECTION('center_axis',(0.,0.,1.)); -#32771=DIRECTION('ref_axis',(0.,-1.,0.)); -#32772=DIRECTION('',(0.,-1.,0.)); -#32773=DIRECTION('',(1.,0.,0.)); -#32774=DIRECTION('',(0.,1.,0.)); -#32775=DIRECTION('',(-1.,0.,0.)); -#32776=DIRECTION('center_axis',(0.,0.,1.)); -#32777=DIRECTION('ref_axis',(0.,-1.,0.)); -#32778=DIRECTION('',(-1.,0.,0.)); -#32779=DIRECTION('',(0.,1.,0.)); -#32780=DIRECTION('',(-1.,0.,0.)); -#32781=DIRECTION('',(0.,-1.,0.)); -#32782=DIRECTION('center_axis',(0.,0.,1.)); -#32783=DIRECTION('ref_axis',(0.,-1.,0.)); -#32784=DIRECTION('',(-1.,0.,0.)); -#32785=DIRECTION('',(0.,-1.,0.)); -#32786=DIRECTION('',(1.,0.,0.)); -#32787=DIRECTION('',(0.,1.,0.)); -#32788=DIRECTION('center_axis',(0.,0.,1.)); -#32789=DIRECTION('ref_axis',(0.,-1.,0.)); -#32790=DIRECTION('',(-1.,0.,0.)); -#32791=DIRECTION('',(0.,-1.,0.)); -#32792=DIRECTION('',(1.,0.,0.)); -#32793=DIRECTION('',(0.,1.,0.)); -#32794=DIRECTION('center_axis',(0.,0.,1.)); -#32795=DIRECTION('ref_axis',(0.,-1.,0.)); -#32796=DIRECTION('',(0.,-1.,0.)); -#32797=DIRECTION('',(-1.,0.,0.)); -#32798=DIRECTION('',(0.,-1.,0.)); -#32799=DIRECTION('',(1.,0.,0.)); -#32800=DIRECTION('center_axis',(0.,0.,1.)); -#32801=DIRECTION('ref_axis',(0.,-1.,0.)); -#32802=DIRECTION('',(1.,0.,0.)); -#32803=DIRECTION('',(0.,1.,0.)); -#32804=DIRECTION('',(-1.,0.,0.)); -#32805=DIRECTION('center_axis',(0.,0.,1.)); -#32806=DIRECTION('ref_axis',(0.,-1.,0.)); -#32807=DIRECTION('',(-1.,0.,0.)); -#32808=DIRECTION('center_axis',(0.,0.,1.)); -#32809=DIRECTION('ref_axis',(0.,-1.,0.)); -#32810=DIRECTION('',(0.,1.,0.)); -#32811=DIRECTION('',(-1.,0.,0.)); -#32812=DIRECTION('',(0.,-1.,0.)); -#32813=DIRECTION('',(1.,0.,0.)); -#32814=DIRECTION('center_axis',(0.,0.,1.)); -#32815=DIRECTION('ref_axis',(0.,-1.,0.)); -#32816=DIRECTION('',(0.,1.,0.)); -#32817=DIRECTION('',(1.,0.,0.)); -#32818=DIRECTION('',(0.,1.,0.)); -#32819=DIRECTION('',(-1.,0.,0.)); -#32820=DIRECTION('center_axis',(0.,0.,1.)); -#32821=DIRECTION('ref_axis',(0.,-1.,0.)); -#32822=DIRECTION('',(0.,1.,0.)); -#32823=DIRECTION('',(1.,0.,0.)); -#32824=DIRECTION('',(0.,1.,0.)); -#32825=DIRECTION('',(-1.,0.,0.)); -#32826=DIRECTION('center_axis',(-1.,0.,0.)); -#32827=DIRECTION('ref_axis',(0.,-1.,0.)); -#32828=DIRECTION('',(0.,0.,1.)); -#32829=DIRECTION('',(0.,0.,1.)); -#32830=DIRECTION('',(0.,0.,1.)); -#32831=DIRECTION('',(0.,0.,1.)); -#32832=DIRECTION('',(0.,-1.,0.)); -#32833=DIRECTION('',(0.,0.,1.)); -#32834=DIRECTION('',(0.,0.,1.)); -#32835=DIRECTION('center_axis',(0.,1.,0.)); -#32836=DIRECTION('ref_axis',(-1.,0.,0.)); -#32837=DIRECTION('',(0.,0.,1.)); -#32838=DIRECTION('center_axis',(-1.,0.,0.)); -#32839=DIRECTION('ref_axis',(0.,-1.,0.)); -#32840=DIRECTION('',(0.,0.,1.)); -#32841=DIRECTION('center_axis',(0.,-1.,0.)); -#32842=DIRECTION('ref_axis',(1.,0.,0.)); -#32843=DIRECTION('center_axis',(0.,1.,0.)); -#32844=DIRECTION('ref_axis',(-1.,0.,0.)); -#32845=DIRECTION('',(-1.,0.,0.)); -#32846=DIRECTION('',(0.,0.,1.)); -#32847=DIRECTION('center_axis',(1.,0.,0.)); -#32848=DIRECTION('ref_axis',(0.,1.,0.)); -#32849=DIRECTION('',(0.,0.,1.)); -#32850=DIRECTION('',(0.,1.,0.)); -#32851=DIRECTION('',(0.,0.,1.)); -#32852=DIRECTION('',(0.,0.,1.)); -#32853=DIRECTION('center_axis',(0.,-1.,0.)); -#32854=DIRECTION('ref_axis',(1.,0.,0.)); -#32855=DIRECTION('',(0.,0.,1.)); -#32856=DIRECTION('center_axis',(1.,0.,0.)); -#32857=DIRECTION('ref_axis',(0.,1.,0.)); -#32858=DIRECTION('',(0.,0.,1.)); -#32859=DIRECTION('center_axis',(0.,1.,0.)); -#32860=DIRECTION('ref_axis',(-1.,0.,0.)); -#32861=DIRECTION('center_axis',(0.,-1.,0.)); -#32862=DIRECTION('ref_axis',(1.,0.,0.)); -#32863=DIRECTION('',(1.,0.,0.)); -#32864=DIRECTION('center_axis',(0.,0.,1.)); -#32865=DIRECTION('ref_axis',(0.,-1.,0.)); -#32866=DIRECTION('center_axis',(0.,0.,1.)); -#32867=DIRECTION('ref_axis',(0.,-1.,0.)); -#32868=DIRECTION('',(0.,1.,0.)); -#32869=DIRECTION('',(-1.,0.,0.)); -#32870=DIRECTION('',(0.,-1.,0.)); -#32871=DIRECTION('',(1.,0.,0.)); -#32872=DIRECTION('center_axis',(0.,0.,1.)); -#32873=DIRECTION('ref_axis',(0.,-1.,0.)); -#32874=DIRECTION('',(-1.,0.,0.)); -#32875=DIRECTION('',(0.,-1.,0.)); -#32876=DIRECTION('',(1.,0.,0.)); -#32877=DIRECTION('center_axis',(0.,0.,1.)); -#32878=DIRECTION('ref_axis',(0.,-1.,0.)); -#32879=DIRECTION('',(-1.,0.,0.)); -#32880=DIRECTION('',(0.,-1.,0.)); -#32881=DIRECTION('',(1.,0.,0.)); -#32882=DIRECTION('',(0.,1.,0.)); -#32883=DIRECTION('center_axis',(1.,0.,0.)); -#32884=DIRECTION('ref_axis',(0.,1.,0.)); -#32885=DIRECTION('',(0.,0.,1.)); -#32886=DIRECTION('',(0.,0.,1.)); -#32887=DIRECTION('center_axis',(0.,-1.,0.)); -#32888=DIRECTION('ref_axis',(1.,0.,0.)); -#32889=DIRECTION('',(0.,0.,1.)); -#32890=DIRECTION('',(0.,0.,1.)); -#32891=DIRECTION('',(0.,0.,1.)); -#32892=DIRECTION('',(0.,0.,1.)); -#32893=DIRECTION('',(0.,0.,1.)); -#32894=DIRECTION('center_axis',(-1.,0.,0.)); -#32895=DIRECTION('ref_axis',(0.,-1.,0.)); -#32896=DIRECTION('',(0.,0.,1.)); -#32897=DIRECTION('center_axis',(0.,-1.,0.)); -#32898=DIRECTION('ref_axis',(1.,0.,0.)); -#32899=DIRECTION('',(0.,0.,1.)); -#32900=DIRECTION('center_axis',(1.,0.,0.)); -#32901=DIRECTION('ref_axis',(0.,1.,0.)); -#32902=DIRECTION('center_axis',(1.,0.,0.)); -#32903=DIRECTION('ref_axis',(0.,1.,0.)); -#32904=DIRECTION('',(0.,0.,1.)); -#32905=DIRECTION('center_axis',(0.,-1.,0.)); -#32906=DIRECTION('ref_axis',(1.,0.,0.)); -#32907=DIRECTION('',(0.,0.,1.)); -#32908=DIRECTION('center_axis',(-1.,0.,0.)); -#32909=DIRECTION('ref_axis',(0.,-1.,0.)); -#32910=DIRECTION('center_axis',(-1.,0.,0.)); -#32911=DIRECTION('ref_axis',(0.,-1.,0.)); -#32912=DIRECTION('',(0.,0.,1.)); -#32913=DIRECTION('center_axis',(0.,1.,0.)); -#32914=DIRECTION('ref_axis',(-1.,0.,0.)); -#32915=DIRECTION('',(0.,0.,1.)); -#32916=DIRECTION('',(0.,0.,1.)); -#32917=DIRECTION('center_axis',(1.,0.,0.)); -#32918=DIRECTION('ref_axis',(0.,1.,0.)); -#32919=DIRECTION('',(0.,0.,1.)); -#32920=DIRECTION('center_axis',(0.,1.,0.)); -#32921=DIRECTION('ref_axis',(-1.,0.,0.)); -#32922=DIRECTION('',(0.,0.,1.)); -#32923=DIRECTION('center_axis',(-1.,0.,0.)); -#32924=DIRECTION('ref_axis',(0.,-1.,0.)); -#32925=DIRECTION('center_axis',(0.,1.,0.)); -#32926=DIRECTION('ref_axis',(-1.,0.,0.)); -#32927=DIRECTION('',(0.,0.,1.)); -#32928=DIRECTION('center_axis',(-1.,0.,0.)); -#32929=DIRECTION('ref_axis',(0.,-1.,0.)); -#32930=DIRECTION('',(0.,0.,1.)); -#32931=DIRECTION('',(0.,0.,1.)); -#32932=DIRECTION('',(0.,0.,1.)); -#32933=DIRECTION('center_axis',(0.,1.,0.)); -#32934=DIRECTION('ref_axis',(-1.,0.,0.)); -#32935=DIRECTION('',(0.,0.,1.)); -#32936=DIRECTION('center_axis',(-1.,0.,0.)); -#32937=DIRECTION('ref_axis',(0.,-1.,0.)); -#32938=DIRECTION('',(0.,0.,1.)); -#32939=DIRECTION('center_axis',(0.,-1.,0.)); -#32940=DIRECTION('ref_axis',(1.,0.,0.)); -#32941=DIRECTION('center_axis',(0.,-1.,0.)); -#32942=DIRECTION('ref_axis',(1.,0.,0.)); -#32943=DIRECTION('center_axis',(0.,1.,0.)); -#32944=DIRECTION('ref_axis',(-1.,0.,0.)); -#32945=DIRECTION('',(0.,0.,1.)); -#32946=DIRECTION('',(0.,0.,1.)); -#32947=DIRECTION('center_axis',(1.,0.,0.)); -#32948=DIRECTION('ref_axis',(0.,1.,0.)); -#32949=DIRECTION('',(0.,0.,1.)); -#32950=DIRECTION('',(0.,0.,1.)); -#32951=DIRECTION('',(0.,0.,1.)); -#32952=DIRECTION('',(0.,0.,1.)); -#32953=DIRECTION('',(0.,0.,1.)); -#32954=DIRECTION('center_axis',(0.,-1.,0.)); -#32955=DIRECTION('ref_axis',(1.,0.,0.)); -#32956=DIRECTION('',(0.,0.,1.)); -#32957=DIRECTION('center_axis',(1.,0.,0.)); -#32958=DIRECTION('ref_axis',(0.,1.,0.)); -#32959=DIRECTION('',(0.,0.,1.)); -#32960=DIRECTION('center_axis',(0.,1.,0.)); -#32961=DIRECTION('ref_axis',(-1.,0.,0.)); -#32962=DIRECTION('center_axis',(0.,-1.,0.)); -#32963=DIRECTION('ref_axis',(1.,0.,0.)); -#32964=DIRECTION('',(0.,0.,1.)); -#32965=DIRECTION('center_axis',(-1.,0.,0.)); -#32966=DIRECTION('ref_axis',(0.,-1.,0.)); -#32967=DIRECTION('',(0.,0.,1.)); -#32968=DIRECTION('',(0.,0.,1.)); -#32969=DIRECTION('center_axis',(0.,1.,0.)); -#32970=DIRECTION('ref_axis',(-1.,0.,0.)); -#32971=DIRECTION('',(0.,0.,1.)); -#32972=DIRECTION('center_axis',(-1.,0.,0.)); -#32973=DIRECTION('ref_axis',(0.,-1.,0.)); -#32974=DIRECTION('',(0.,0.,1.)); -#32975=DIRECTION('center_axis',(0.,-1.,0.)); -#32976=DIRECTION('ref_axis',(1.,0.,0.)); -#32977=DIRECTION('center_axis',(0.,1.,0.)); -#32978=DIRECTION('ref_axis',(-1.,0.,0.)); -#32979=DIRECTION('',(0.,0.,1.)); -#32980=DIRECTION('center_axis',(1.,0.,0.)); -#32981=DIRECTION('ref_axis',(0.,1.,0.)); -#32982=DIRECTION('',(0.,0.,1.)); -#32983=DIRECTION('center_axis',(0.,-1.,0.)); -#32984=DIRECTION('ref_axis',(1.,0.,0.)); -#32985=DIRECTION('center_axis',(0.,-1.,0.)); -#32986=DIRECTION('ref_axis',(1.,0.,0.)); -#32987=DIRECTION('',(0.,0.,1.)); -#32988=DIRECTION('center_axis',(-1.,0.,0.)); -#32989=DIRECTION('ref_axis',(0.,-1.,0.)); -#32990=DIRECTION('',(0.,0.,1.)); -#32991=DIRECTION('center_axis',(0.,1.,0.)); -#32992=DIRECTION('ref_axis',(-1.,0.,0.)); -#32993=DIRECTION('center_axis',(0.,1.,0.)); -#32994=DIRECTION('ref_axis',(-1.,0.,0.)); -#32995=DIRECTION('',(0.,0.,1.)); -#32996=DIRECTION('center_axis',(1.,0.,0.)); -#32997=DIRECTION('ref_axis',(0.,1.,0.)); -#32998=DIRECTION('',(0.,0.,1.)); -#32999=DIRECTION('center_axis',(0.,-1.,0.)); -#33000=DIRECTION('ref_axis',(1.,0.,0.)); -#33001=DIRECTION('center_axis',(0.,1.,0.)); -#33002=DIRECTION('ref_axis',(-1.,0.,0.)); -#33003=DIRECTION('center_axis',(0.,1.,0.)); -#33004=DIRECTION('ref_axis',(-1.,0.,0.)); -#33005=DIRECTION('',(0.,0.,1.)); -#33006=DIRECTION('',(-1.,0.,0.)); -#33007=DIRECTION('',(0.,0.,1.)); -#33008=DIRECTION('center_axis',(0.,1.,0.)); -#33009=DIRECTION('ref_axis',(-1.,0.,0.)); -#33010=DIRECTION('',(0.,0.,1.)); -#33011=DIRECTION('',(-1.,0.,0.)); -#33012=DIRECTION('',(0.,0.,1.)); -#33013=DIRECTION('center_axis',(1.,0.,0.)); -#33014=DIRECTION('ref_axis',(0.,1.,0.)); -#33015=DIRECTION('',(0.,1.,0.)); -#33016=DIRECTION('',(0.,0.,1.)); -#33017=DIRECTION('center_axis',(0.,-1.,0.)); -#33018=DIRECTION('ref_axis',(1.,0.,0.)); -#33019=DIRECTION('',(0.,0.,1.)); -#33020=DIRECTION('',(1.,0.,0.)); -#33021=DIRECTION('',(0.,0.,1.)); -#33022=DIRECTION('center_axis',(0.,-1.,0.)); -#33023=DIRECTION('ref_axis',(1.,0.,0.)); -#33024=DIRECTION('',(1.,0.,0.)); -#33025=DIRECTION('',(0.,0.,1.)); -#33026=DIRECTION('center_axis',(-1.,0.,0.)); -#33027=DIRECTION('ref_axis',(0.,-1.,0.)); -#33028=DIRECTION('',(0.,-1.,0.)); -#33029=DIRECTION('center_axis',(0.,0.,1.)); -#33030=DIRECTION('ref_axis',(0.,-1.,0.)); -#33031=DIRECTION('',(0.,-1.,0.)); -#33032=DIRECTION('center_axis',(0.,0.,1.)); -#33033=DIRECTION('ref_axis',(0.,-1.,0.)); -#33034=DIRECTION('',(0.,-1.,0.)); -#33035=DIRECTION('center_axis',(-1.,0.,0.)); -#33036=DIRECTION('ref_axis',(0.,-1.,0.)); -#33037=DIRECTION('',(0.,0.,1.)); -#33038=DIRECTION('',(0.,-1.,0.)); -#33039=DIRECTION('',(0.,0.,1.)); -#33040=DIRECTION('center_axis',(0.,1.,0.)); -#33041=DIRECTION('ref_axis',(-1.,0.,0.)); -#33042=DIRECTION('',(-1.,0.,0.)); -#33043=DIRECTION('',(0.,0.,1.)); -#33044=DIRECTION('center_axis',(1.,0.,0.)); -#33045=DIRECTION('ref_axis',(0.,1.,0.)); -#33046=DIRECTION('',(0.,1.,0.)); -#33047=DIRECTION('',(0.,0.,1.)); -#33048=DIRECTION('center_axis',(0.,-1.,0.)); -#33049=DIRECTION('ref_axis',(1.,0.,0.)); -#33050=DIRECTION('',(1.,0.,0.)); -#33051=DIRECTION('center_axis',(0.,0.,1.)); -#33052=DIRECTION('ref_axis',(0.,-1.,0.)); -#33053=DIRECTION('center_axis',(0.,1.,0.)); -#33054=DIRECTION('ref_axis',(-1.,0.,0.)); -#33055=DIRECTION('',(0.,0.,1.)); -#33056=DIRECTION('',(-1.,0.,0.)); -#33057=DIRECTION('',(0.,0.,1.)); -#33058=DIRECTION('center_axis',(0.,1.,0.)); -#33059=DIRECTION('ref_axis',(-1.,0.,0.)); -#33060=DIRECTION('',(0.,0.,1.)); -#33061=DIRECTION('',(-1.,0.,0.)); -#33062=DIRECTION('',(0.,0.,1.)); -#33063=DIRECTION('center_axis',(1.,0.,0.)); -#33064=DIRECTION('ref_axis',(0.,1.,0.)); -#33065=DIRECTION('',(0.,1.,0.)); -#33066=DIRECTION('',(0.,0.,1.)); -#33067=DIRECTION('center_axis',(0.,-1.,0.)); -#33068=DIRECTION('ref_axis',(1.,0.,0.)); -#33069=DIRECTION('',(0.,0.,1.)); -#33070=DIRECTION('',(1.,0.,0.)); -#33071=DIRECTION('',(0.,0.,1.)); -#33072=DIRECTION('center_axis',(0.,-1.,0.)); -#33073=DIRECTION('ref_axis',(1.,0.,0.)); -#33074=DIRECTION('',(1.,0.,0.)); -#33075=DIRECTION('',(0.,0.,1.)); -#33076=DIRECTION('center_axis',(-1.,0.,0.)); -#33077=DIRECTION('ref_axis',(0.,-1.,0.)); -#33078=DIRECTION('',(0.,-1.,0.)); -#33079=DIRECTION('center_axis',(0.,0.,1.)); -#33080=DIRECTION('ref_axis',(0.,-1.,0.)); -#33081=DIRECTION('',(0.,-1.,0.)); -#33082=DIRECTION('center_axis',(0.,0.,1.)); -#33083=DIRECTION('ref_axis',(0.,-1.,0.)); -#33084=DIRECTION('',(0.,-1.,0.)); -#33085=DIRECTION('',(-1.,0.,0.)); -#33086=DIRECTION('',(0.,-1.,0.)); -#33087=DIRECTION('',(1.,0.,0.)); -#33088=DIRECTION('center_axis',(0.,0.,1.)); -#33089=DIRECTION('ref_axis',(0.,-1.,0.)); -#33090=DIRECTION('',(0.,-1.,0.)); -#33091=DIRECTION('',(-1.,0.,0.)); -#33092=DIRECTION('',(0.,-1.,0.)); -#33093=DIRECTION('',(1.,0.,0.)); -#33094=DIRECTION('center_axis',(0.,0.,1.)); -#33095=DIRECTION('ref_axis',(0.,-1.,0.)); -#33096=DIRECTION('',(0.,-1.,0.)); -#33097=DIRECTION('center_axis',(0.,0.,1.)); -#33098=DIRECTION('ref_axis',(0.,-1.,0.)); -#33099=DIRECTION('',(0.,-1.,0.)); -#33100=DIRECTION('',(1.,0.,0.)); -#33101=DIRECTION('',(0.,1.,0.)); -#33102=DIRECTION('',(-1.,0.,0.)); -#33103=DIRECTION('center_axis',(-1.,0.,0.)); -#33104=DIRECTION('ref_axis',(0.,-1.,0.)); -#33105=DIRECTION('',(0.,0.,1.)); -#33106=DIRECTION('',(0.,0.,1.)); -#33107=DIRECTION('',(0.,0.,1.)); -#33108=DIRECTION('',(0.,0.,1.)); -#33109=DIRECTION('',(0.,0.,1.)); -#33110=DIRECTION('',(0.,-1.,0.)); -#33111=DIRECTION('',(0.,0.,1.)); -#33112=DIRECTION('center_axis',(0.,1.,0.)); -#33113=DIRECTION('ref_axis',(-1.,0.,0.)); -#33114=DIRECTION('',(-1.,0.,0.)); -#33115=DIRECTION('',(0.,0.,1.)); -#33116=DIRECTION('center_axis',(1.,0.,0.)); -#33117=DIRECTION('ref_axis',(0.,1.,0.)); -#33118=DIRECTION('',(0.,1.,0.)); -#33119=DIRECTION('',(0.,0.,1.)); -#33120=DIRECTION('',(0.,0.,1.)); -#33121=DIRECTION('',(0.,0.,1.)); -#33122=DIRECTION('center_axis',(0.,1.,0.)); -#33123=DIRECTION('ref_axis',(-1.,0.,0.)); -#33124=DIRECTION('',(0.,0.,1.)); -#33125=DIRECTION('center_axis',(0.,1.,0.)); -#33126=DIRECTION('ref_axis',(-1.,0.,0.)); -#33127=DIRECTION('',(0.,0.,1.)); -#33128=DIRECTION('center_axis',(1.,0.,0.)); -#33129=DIRECTION('ref_axis',(0.,1.,0.)); -#33130=DIRECTION('',(0.,0.,1.)); -#33131=DIRECTION('center_axis',(0.,-1.,0.)); -#33132=DIRECTION('ref_axis',(1.,0.,0.)); -#33133=DIRECTION('',(0.,0.,1.)); -#33134=DIRECTION('center_axis',(0.,-1.,0.)); -#33135=DIRECTION('ref_axis',(1.,0.,0.)); -#33136=DIRECTION('center_axis',(-1.,0.,0.)); -#33137=DIRECTION('ref_axis',(0.,-1.,0.)); -#33138=DIRECTION('center_axis',(0.,-1.,0.)); -#33139=DIRECTION('ref_axis',(1.,0.,0.)); -#33140=DIRECTION('',(1.,0.,0.)); -#33141=DIRECTION('center_axis',(0.,0.,1.)); -#33142=DIRECTION('ref_axis',(0.,-1.,0.)); -#33143=DIRECTION('center_axis',(0.,-1.,0.)); -#33144=DIRECTION('ref_axis',(1.,0.,0.)); -#33145=DIRECTION('',(0.,0.,1.)); -#33146=DIRECTION('center_axis',(-1.,0.,0.)); -#33147=DIRECTION('ref_axis',(0.,-1.,0.)); -#33148=DIRECTION('',(0.,0.,1.)); -#33149=DIRECTION('center_axis',(0.,1.,0.)); -#33150=DIRECTION('ref_axis',(-1.,0.,0.)); -#33151=DIRECTION('center_axis',(0.,1.,0.)); -#33152=DIRECTION('ref_axis',(-1.,0.,0.)); -#33153=DIRECTION('',(0.,0.,1.)); -#33154=DIRECTION('',(-1.,0.,0.)); -#33155=DIRECTION('',(0.,0.,1.)); -#33156=DIRECTION('center_axis',(1.,0.,0.)); -#33157=DIRECTION('ref_axis',(0.,1.,0.)); -#33158=DIRECTION('',(0.,1.,0.)); -#33159=DIRECTION('',(0.,0.,1.)); -#33160=DIRECTION('center_axis',(0.,-1.,0.)); -#33161=DIRECTION('ref_axis',(1.,0.,0.)); -#33162=DIRECTION('',(1.,0.,0.)); -#33163=DIRECTION('',(0.,0.,1.)); -#33164=DIRECTION('center_axis',(-1.,1.890742003774E-14,0.)); -#33165=DIRECTION('ref_axis',(-1.890742003774E-14,-1.,0.)); -#33166=DIRECTION('',(-3.781484007547E-14,-1.,0.)); -#33167=DIRECTION('center_axis',(0.,0.,1.)); -#33168=DIRECTION('ref_axis',(0.,-1.,0.)); -#33169=DIRECTION('center_axis',(0.,1.,0.)); -#33170=DIRECTION('ref_axis',(-1.,0.,0.)); -#33171=DIRECTION('',(0.,0.,1.)); -#33172=DIRECTION('',(-1.,0.,0.)); -#33173=DIRECTION('',(0.,0.,1.)); -#33174=DIRECTION('center_axis',(1.,0.,0.)); -#33175=DIRECTION('ref_axis',(0.,1.,0.)); -#33176=DIRECTION('',(0.,1.,0.)); -#33177=DIRECTION('',(0.,0.,1.)); -#33178=DIRECTION('center_axis',(0.,-1.,0.)); -#33179=DIRECTION('ref_axis',(1.,0.,0.)); -#33180=DIRECTION('',(1.,0.,0.)); -#33181=DIRECTION('',(0.,0.,1.)); -#33182=DIRECTION('center_axis',(-1.,0.,0.)); -#33183=DIRECTION('ref_axis',(0.,-1.,0.)); -#33184=DIRECTION('',(0.,-1.,0.)); -#33185=DIRECTION('center_axis',(0.,0.,1.)); -#33186=DIRECTION('ref_axis',(0.,-1.,0.)); -#33187=DIRECTION('center_axis',(0.,1.,0.)); -#33188=DIRECTION('ref_axis',(-1.,0.,0.)); -#33189=DIRECTION('',(0.,0.,1.)); -#33190=DIRECTION('',(-1.,0.,0.)); -#33191=DIRECTION('',(0.,0.,1.)); -#33192=DIRECTION('center_axis',(1.,0.,0.)); -#33193=DIRECTION('ref_axis',(0.,1.,0.)); -#33194=DIRECTION('',(0.,0.,1.)); -#33195=DIRECTION('',(0.,1.,0.)); -#33196=DIRECTION('',(0.,0.,1.)); -#33197=DIRECTION('center_axis',(1.,0.,0.)); -#33198=DIRECTION('ref_axis',(0.,1.,0.)); -#33199=DIRECTION('',(0.,1.,0.)); -#33200=DIRECTION('',(0.,0.,1.)); -#33201=DIRECTION('center_axis',(0.,-1.,0.)); -#33202=DIRECTION('ref_axis',(1.,0.,0.)); -#33203=DIRECTION('',(1.,0.,0.)); -#33204=DIRECTION('',(0.,0.,1.)); -#33205=DIRECTION('center_axis',(-1.,0.,0.)); -#33206=DIRECTION('ref_axis',(0.,-1.,0.)); -#33207=DIRECTION('',(0.,0.,1.)); -#33208=DIRECTION('',(0.,-1.,0.)); -#33209=DIRECTION('center_axis',(-1.,0.,0.)); -#33210=DIRECTION('ref_axis',(0.,-1.,0.)); -#33211=DIRECTION('',(0.,-1.,0.)); -#33212=DIRECTION('',(0.,0.,1.)); -#33213=DIRECTION('center_axis',(0.,0.,1.)); -#33214=DIRECTION('ref_axis',(0.,-1.,0.)); -#33215=DIRECTION('',(1.,0.,0.)); -#33216=DIRECTION('',(0.,1.,0.)); -#33217=DIRECTION('',(-1.,0.,0.)); -#33218=DIRECTION('',(0.,-1.,0.)); -#33219=DIRECTION('center_axis',(0.,0.,1.)); -#33220=DIRECTION('ref_axis',(0.,-1.,0.)); -#33221=DIRECTION('',(1.,0.,0.)); -#33222=DIRECTION('center_axis',(0.,0.,1.)); -#33223=DIRECTION('ref_axis',(0.,-1.,0.)); -#33224=DIRECTION('',(1.,0.,0.)); -#33225=DIRECTION('',(0.,-1.,0.)); -#33226=DIRECTION('',(1.,0.,0.)); -#33227=DIRECTION('',(0.,1.,0.)); -#33228=DIRECTION('center_axis',(0.,0.,1.)); -#33229=DIRECTION('ref_axis',(0.,-1.,0.)); -#33230=DIRECTION('',(1.,0.,0.)); -#33231=DIRECTION('',(0.,-1.,0.)); -#33232=DIRECTION('',(1.,0.,0.)); -#33233=DIRECTION('',(0.,1.,0.)); -#33234=DIRECTION('center_axis',(0.,0.,1.)); -#33235=DIRECTION('ref_axis',(0.,-1.,0.)); -#33236=DIRECTION('',(1.,0.,0.)); -#33237=DIRECTION('center_axis',(0.,1.,0.)); -#33238=DIRECTION('ref_axis',(-1.,0.,0.)); -#33239=DIRECTION('',(0.,0.,1.)); -#33240=DIRECTION('',(0.,0.,1.)); -#33241=DIRECTION('',(-1.,0.,0.)); -#33242=DIRECTION('',(0.,0.,1.)); -#33243=DIRECTION('',(0.,0.,1.)); -#33244=DIRECTION('center_axis',(1.,0.,0.)); -#33245=DIRECTION('ref_axis',(0.,1.,0.)); -#33246=DIRECTION('',(0.,0.,1.)); -#33247=DIRECTION('',(0.,0.,1.)); -#33248=DIRECTION('center_axis',(1.,0.,0.)); -#33249=DIRECTION('ref_axis',(0.,1.,0.)); -#33250=DIRECTION('',(0.,0.,1.)); -#33251=DIRECTION('center_axis',(0.,-1.,0.)); -#33252=DIRECTION('ref_axis',(1.,0.,0.)); -#33253=DIRECTION('',(0.,0.,1.)); -#33254=DIRECTION('center_axis',(-1.,0.,0.)); -#33255=DIRECTION('ref_axis',(0.,-1.,0.)); -#33256=DIRECTION('',(0.,0.,1.)); -#33257=DIRECTION('center_axis',(-1.,0.,0.)); -#33258=DIRECTION('ref_axis',(0.,-1.,0.)); -#33259=DIRECTION('',(0.,0.,1.)); -#33260=DIRECTION('center_axis',(0.,1.,0.)); -#33261=DIRECTION('ref_axis',(-1.,0.,0.)); -#33262=DIRECTION('center_axis',(0.,-1.,0.)); -#33263=DIRECTION('ref_axis',(1.,0.,0.)); -#33264=DIRECTION('',(0.,0.,1.)); -#33265=DIRECTION('',(0.,0.,1.)); -#33266=DIRECTION('',(0.,0.,1.)); -#33267=DIRECTION('',(1.,0.,0.)); -#33268=DIRECTION('',(0.,0.,1.)); -#33269=DIRECTION('center_axis',(-1.,0.,0.)); -#33270=DIRECTION('ref_axis',(0.,-1.,0.)); -#33271=DIRECTION('',(0.,-1.,0.)); -#33272=DIRECTION('center_axis',(0.,0.,1.)); -#33273=DIRECTION('ref_axis',(0.,-1.,0.)); -#33274=DIRECTION('',(0.,1.,0.)); -#33275=DIRECTION('center_axis',(1.,0.,0.)); -#33276=DIRECTION('ref_axis',(0.,1.,0.)); -#33277=DIRECTION('center_axis',(1.,0.,0.)); -#33278=DIRECTION('ref_axis',(0.,1.,0.)); -#33279=DIRECTION('',(0.,0.,1.)); -#33280=DIRECTION('center_axis',(0.,-1.,0.)); -#33281=DIRECTION('ref_axis',(1.,0.,0.)); -#33282=DIRECTION('',(0.,0.,1.)); -#33283=DIRECTION('center_axis',(-1.,0.,0.)); -#33284=DIRECTION('ref_axis',(0.,-1.,0.)); -#33285=DIRECTION('',(-6.19088114106603E-14,-1.,6.05769812230908E-15)); -#33286=DIRECTION('',(-0.999999999999999,6.19365669862759E-14,3.06271902130577E-16)); -#33287=DIRECTION('',(0.,0.,1.)); -#33288=DIRECTION('',(1.,0.,0.)); -#33289=CARTESIAN_POINT('',(0.,0.,0.)); -#33290=CARTESIAN_POINT('',(0.,0.,0.)); -#33291=CARTESIAN_POINT('Origin',(2.25,0.505000000000011,-5.89999994076788)); -#33292=CARTESIAN_POINT('',(4.17,0.605000000000018,-5.89999994076788)); -#33293=CARTESIAN_POINT('',(4.17,0.505000000000016,-5.79999994076788)); -#33294=CARTESIAN_POINT('Origin',(4.17,0.505000000000017,-5.89999994076788)); -#33295=CARTESIAN_POINT('',(4.17,0.505000000000017,-5.99999997074957)); -#33296=CARTESIAN_POINT('Origin',(4.17,0.505000000000017,-5.89999994076788)); -#33297=CARTESIAN_POINT('',(4.47,0.505000000000017,-5.99999997001831)); -#33298=CARTESIAN_POINT('',(2.25,0.50500000000001,-5.99999994076788)); -#33299=CARTESIAN_POINT('',(4.47,0.605000000000018,-5.89999994076788)); -#33300=CARTESIAN_POINT('Origin',(4.47,0.505000000000017,-5.89999994076788)); -#33301=CARTESIAN_POINT('',(4.47,0.505000000000017,-5.79999994076788)); -#33302=CARTESIAN_POINT('Origin',(4.47,0.505000000000017,-5.89999994076788)); -#33303=CARTESIAN_POINT('',(2.25,0.505000000000011,-5.79999994076788)); -#33304=CARTESIAN_POINT('Origin',(2.25,0.504999999999866,-3.89999999999986)); -#33305=CARTESIAN_POINT('',(4.17,0.605000000000018,-3.89999999999986)); -#33306=CARTESIAN_POINT('',(4.17,0.504999999999972,-3.79999999999986)); -#33307=CARTESIAN_POINT('Origin',(4.17,0.504999999999872,-3.89999999999986)); -#33308=CARTESIAN_POINT('',(4.17,0.504999999999876,-3.99999999999986)); -#33309=CARTESIAN_POINT('Origin',(4.17,0.504999999999872,-3.89999999999986)); -#33310=CARTESIAN_POINT('',(4.47,0.504999999999877,-3.99999999999986)); -#33311=CARTESIAN_POINT('',(2.25,0.504999999999871,-3.99999999999986)); -#33312=CARTESIAN_POINT('',(4.47,0.604999999999875,-3.89999999999986)); -#33313=CARTESIAN_POINT('Origin',(4.47,0.504999999999873,-3.89999999999986)); -#33314=CARTESIAN_POINT('',(4.47,0.505000000000007,-3.80000000000032)); -#33315=CARTESIAN_POINT('Origin',(4.47,0.504999999999873,-3.89999999999986)); -#33316=CARTESIAN_POINT('',(2.25,0.504999999999966,-3.79999999999986)); -#33317=CARTESIAN_POINT('Origin',(2.25,0.505000000000011,-2.1)); -#33318=CARTESIAN_POINT('',(4.17,0.605000000000018,-2.1)); -#33319=CARTESIAN_POINT('',(4.17,0.505000000000016,-2.00000000000002)); -#33320=CARTESIAN_POINT('Origin',(4.17,0.505000000000017,-2.1)); -#33321=CARTESIAN_POINT('',(4.17,0.504999999999972,-2.19999999999978)); -#33322=CARTESIAN_POINT('Origin',(4.17,0.505000000000017,-2.1)); -#33323=CARTESIAN_POINT('',(4.47,0.504999999999973,-2.19999999999978)); -#33324=CARTESIAN_POINT('',(2.25,0.504999999999966,-2.19999999999978)); -#33325=CARTESIAN_POINT('',(4.47,0.605000000000003,-2.1)); -#33326=CARTESIAN_POINT('Origin',(4.47,0.505000000000018,-2.1)); -#33327=CARTESIAN_POINT('',(4.47,0.505000000000017,-2.00000000000002)); -#33328=CARTESIAN_POINT('Origin',(4.47,0.505000000000018,-2.1)); -#33329=CARTESIAN_POINT('',(2.25,0.505000000000011,-2.00000000000002)); -#33330=CARTESIAN_POINT('Origin',(0.960000000000005,-1.30499999999999,-4.68750000000001)); -#33331=CARTESIAN_POINT('',(0.960000000000006,-1.60488887516809,-4.6875)); -#33332=CARTESIAN_POINT('',(0.960000000000006,-1.60488887516809,-5.0125)); -#33333=CARTESIAN_POINT('',(0.960000000000006,-1.60488887516809,-4.6875)); -#33334=CARTESIAN_POINT('',(0.960000000000006,-1.60499999999999,-4.68750000000001)); -#33335=CARTESIAN_POINT('',(0.960000000000005,-1.30499999999999,-4.68750000000001)); -#33336=CARTESIAN_POINT('',(0.960000000000006,-1.60499999999999,-5.0125)); -#33337=CARTESIAN_POINT('',(0.960000000000006,-1.60499999999999,-4.68750000000001)); -#33338=CARTESIAN_POINT('',(0.960000000000005,-1.30499999999999,-5.0125)); -#33339=CARTESIAN_POINT('Origin',(3.47,1.30500000000001,-6.8)); -#33340=CARTESIAN_POINT('',(-1.20000000000001,1.305,-6.1)); -#33341=CARTESIAN_POINT('',(-1.25,1.305,-6.1)); -#33342=CARTESIAN_POINT('',(-1.25,1.305,-6.1)); -#33343=CARTESIAN_POINT('',(-1.25,1.305,-6.8)); -#33344=CARTESIAN_POINT('',(-1.25,1.305,-6.8)); -#33345=CARTESIAN_POINT('',(1.25,1.30500000000001,-6.8)); -#33346=CARTESIAN_POINT('',(3.47,1.30500000000001,-6.8)); -#33347=CARTESIAN_POINT('',(1.25,1.30500000000001,-6.1)); -#33348=CARTESIAN_POINT('',(1.25,1.30500000000001,-6.1)); -#33349=CARTESIAN_POINT('',(1.19999999999999,1.30500000000001,-6.1)); -#33350=CARTESIAN_POINT('',(1.19999999999999,1.30500000000001,-6.1)); -#33351=CARTESIAN_POINT('',(1.09999999999999,1.30500000000001,-6.)); -#33352=CARTESIAN_POINT('Origin',(1.19999999999999,1.30500000000001,-6.)); -#33353=CARTESIAN_POINT('',(1.1,1.30500000000001,-5.9)); -#33354=CARTESIAN_POINT('',(1.09999999999999,1.30500000000001,-5.9)); -#33355=CARTESIAN_POINT('',(1.19999999999999,1.30500000000001,-5.8)); -#33356=CARTESIAN_POINT('Origin',(1.19999999999999,1.30500000000001,-5.9)); -#33357=CARTESIAN_POINT('',(2.49999999999999,1.30500000000001,-5.8)); -#33358=CARTESIAN_POINT('',(2.49999999999999,1.30500000000001,-5.8)); -#33359=CARTESIAN_POINT('',(2.8,1.30500000000001,-6.1)); -#33360=CARTESIAN_POINT('Origin',(2.49999999999999,1.30500000000001,-6.1)); -#33361=CARTESIAN_POINT('',(2.8,1.30500000000001,-6.8)); -#33362=CARTESIAN_POINT('',(2.8,1.30500000000001,-6.8)); -#33363=CARTESIAN_POINT('',(3.47,1.30500000000002,-6.8)); -#33364=CARTESIAN_POINT('',(3.47,1.30500000000001,-6.8)); -#33365=CARTESIAN_POINT('',(3.47,1.30500000000002,0.)); -#33366=CARTESIAN_POINT('',(3.47,1.30500000000002,-6.8)); -#33367=CARTESIAN_POINT('',(-3.47,1.30500000000002,0.)); -#33368=CARTESIAN_POINT('',(3.47,1.30500000000001,0.)); -#33369=CARTESIAN_POINT('',(-3.47,1.30500000000002,-6.8)); -#33370=CARTESIAN_POINT('',(-3.47,1.30500000000002,-6.8)); -#33371=CARTESIAN_POINT('',(-2.80000000000001,1.30499999999999,-6.8)); -#33372=CARTESIAN_POINT('',(3.47,1.30500000000001,-6.8)); -#33373=CARTESIAN_POINT('',(-2.80000000000001,1.30500000000002,-6.1)); -#33374=CARTESIAN_POINT('',(-2.80000000000001,1.30499999999999,-6.1)); -#33375=CARTESIAN_POINT('',(-2.50000000000001,1.30499999999999,-5.8)); -#33376=CARTESIAN_POINT('Origin',(-2.50000000000001,1.30499999999999,-6.1)); -#33377=CARTESIAN_POINT('',(-1.20000000000001,1.305,-5.8)); -#33378=CARTESIAN_POINT('',(-1.20000000000001,1.305,-5.8)); -#33379=CARTESIAN_POINT('',(-1.1,1.305,-5.9)); -#33380=CARTESIAN_POINT('Origin',(-1.20000000000001,1.305,-5.9)); -#33381=CARTESIAN_POINT('',(-1.10000000000001,1.305,-6.)); -#33382=CARTESIAN_POINT('',(-1.1,1.305,-6.)); -#33383=CARTESIAN_POINT('Origin',(-1.20000000000001,1.305,-6.)); -#33384=CARTESIAN_POINT('',(2.34,1.30500000000001,-5.0125)); -#33385=CARTESIAN_POINT('',(2.34,1.30500000000001,-4.68750000000001)); -#33386=CARTESIAN_POINT('',(2.34000000000001,1.305,-5.01250000000001)); -#33387=CARTESIAN_POINT('',(2.34,1.30500000000001,-5.05)); -#33388=CARTESIAN_POINT('',(2.34,1.30500000000001,-5.05)); -#33389=CARTESIAN_POINT('',(1.24,1.30500000000001,-5.05)); -#33390=CARTESIAN_POINT('',(2.34,1.30500000000001,-5.05)); -#33391=CARTESIAN_POINT('',(1.24,1.30500000000001,-5.0125)); -#33392=CARTESIAN_POINT('',(1.24,1.30500000000001,-5.05)); -#33393=CARTESIAN_POINT('',(1.26000000000001,1.305,-5.01250000000001)); -#33394=CARTESIAN_POINT('',(1.24,1.30500000000001,-5.0125)); -#33395=CARTESIAN_POINT('',(1.26000000000001,1.305,-4.68750000000001)); -#33396=CARTESIAN_POINT('',(1.26000000000001,1.305,-5.01250000000001)); -#33397=CARTESIAN_POINT('',(1.24,1.30500000000001,-4.68750000000001)); -#33398=CARTESIAN_POINT('',(1.24,1.30500000000001,-4.68750000000001)); -#33399=CARTESIAN_POINT('',(1.24,1.30500000000001,-4.65000000000001)); -#33400=CARTESIAN_POINT('',(1.24,1.30500000000001,-4.68750000000001)); -#33401=CARTESIAN_POINT('',(2.34,1.30500000000001,-4.65000000000001)); -#33402=CARTESIAN_POINT('',(1.24,1.30500000000001,-4.65000000000001)); -#33403=CARTESIAN_POINT('',(2.34,1.30500000000001,-4.68750000000001)); -#33404=CARTESIAN_POINT('',(-2.34000000000001,1.305,-5.05)); -#33405=CARTESIAN_POINT('',(-2.34000000000001,1.305,-5.01250000000001)); -#33406=CARTESIAN_POINT('',(-2.34000000000001,1.305,-5.05)); -#33407=CARTESIAN_POINT('',(-2.34000000000001,1.305,-4.68750000000001)); -#33408=CARTESIAN_POINT('',(-2.34000000000001,1.305,-5.01250000000001)); -#33409=CARTESIAN_POINT('',(-2.34000000000001,1.305,-4.65000000000001)); -#33410=CARTESIAN_POINT('',(-2.34000000000001,1.305,-4.68750000000001)); -#33411=CARTESIAN_POINT('',(-1.24000000000001,1.305,-4.65000000000001)); -#33412=CARTESIAN_POINT('',(-1.24000000000001,1.305,-4.65000000000001)); -#33413=CARTESIAN_POINT('',(-1.24000000000001,1.305,-4.68750000000001)); -#33414=CARTESIAN_POINT('',(-1.24000000000001,1.305,-4.68750000000001)); -#33415=CARTESIAN_POINT('',(-1.26000000000001,1.305,-4.68750000000001)); -#33416=CARTESIAN_POINT('',(-1.24000000000001,1.305,-4.68750000000001)); -#33417=CARTESIAN_POINT('',(-1.26000000000001,1.305,-5.01250000000001)); -#33418=CARTESIAN_POINT('',(-1.26000000000001,1.305,-5.01250000000001)); -#33419=CARTESIAN_POINT('',(-1.24000000000001,1.305,-5.0125)); -#33420=CARTESIAN_POINT('',(-1.24000000000001,1.305,-5.0125)); -#33421=CARTESIAN_POINT('',(-1.24000000000001,1.305,-5.05)); -#33422=CARTESIAN_POINT('',(-1.24000000000001,1.305,-5.05)); -#33423=CARTESIAN_POINT('',(-2.34000000000001,1.305,-5.05)); -#33424=CARTESIAN_POINT('',(-1.47990854944832,1.305,-3.4)); -#33425=CARTESIAN_POINT('',(-2.27990854944832,1.305,-3.4)); -#33426=CARTESIAN_POINT('',(-2.27990854944832,1.305,-3.4)); -#33427=CARTESIAN_POINT('',(-2.52990854944832,1.30499999999999,-3.15)); -#33428=CARTESIAN_POINT('Origin',(-2.27990854944832,1.305,-3.15)); -#33429=CARTESIAN_POINT('',(-2.52990854944832,1.30500000000002,-0.8)); -#33430=CARTESIAN_POINT('',(-2.52990854944832,1.30499999999999,-1.345)); -#33431=CARTESIAN_POINT('',(-2.42990854944832,1.30499999999999,-0.7)); -#33432=CARTESIAN_POINT('Origin',(-2.42990854944832,1.30499999999999,-0.8)); -#33433=CARTESIAN_POINT('',(-2.32990854944832,1.305,-0.7)); -#33434=CARTESIAN_POINT('',(-2.32990854944832,1.305,-0.7)); -#33435=CARTESIAN_POINT('',(-2.22990854944832,1.305,-0.8)); -#33436=CARTESIAN_POINT('Origin',(-2.32990854944832,1.305,-0.8)); -#33437=CARTESIAN_POINT('',(-2.22990854944832,1.305,-0.9)); -#33438=CARTESIAN_POINT('',(-2.22990854944832,1.305,-0.9)); -#33439=CARTESIAN_POINT('',(-1.52990854944832,1.305,-0.9)); -#33440=CARTESIAN_POINT('',(-1.52990854944832,1.305,-0.9)); -#33441=CARTESIAN_POINT('',(-1.52990854944832,1.305,-0.8)); -#33442=CARTESIAN_POINT('',(-1.52990854944832,1.305,-0.8)); -#33443=CARTESIAN_POINT('',(-1.42990854944832,1.305,-0.699999999999998)); -#33444=CARTESIAN_POINT('Origin',(-1.42990854944832,1.305,-0.8)); -#33445=CARTESIAN_POINT('',(-1.32990854944832,1.305,-0.7)); -#33446=CARTESIAN_POINT('',(-1.32990854944832,1.305,-0.7)); -#33447=CARTESIAN_POINT('',(-1.22990854944832,1.305,-0.8)); -#33448=CARTESIAN_POINT('Origin',(-1.32990854944832,1.305,-0.8)); -#33449=CARTESIAN_POINT('',(-1.22990854944832,1.305,-3.15)); -#33450=CARTESIAN_POINT('',(-1.22990854944832,1.305,-1.345)); -#33451=CARTESIAN_POINT('Origin',(-1.47990854944832,1.305,-3.15)); -#33452=CARTESIAN_POINT('',(2.52990854944831,1.30500000000001,-0.8)); -#33453=CARTESIAN_POINT('',(2.52990854944831,1.30500000000001,-3.15)); -#33454=CARTESIAN_POINT('',(2.52990854944831,1.30500000000001,-0.8)); -#33455=CARTESIAN_POINT('',(2.27990854944831,1.30500000000001,-3.4)); -#33456=CARTESIAN_POINT('Origin',(2.27990854944831,1.30500000000001,-3.15)); -#33457=CARTESIAN_POINT('',(1.47990854944831,1.30500000000001,-3.4)); -#33458=CARTESIAN_POINT('',(2.27990854944831,1.30500000000001,-3.4)); -#33459=CARTESIAN_POINT('',(1.22990854944831,1.30500000000001,-3.15)); -#33460=CARTESIAN_POINT('Origin',(1.47990854944831,1.30500000000001,-3.15)); -#33461=CARTESIAN_POINT('',(1.22990854944831,1.30500000000001,-0.8)); -#33462=CARTESIAN_POINT('',(1.22990854944831,1.30500000000001,-1.345)); -#33463=CARTESIAN_POINT('',(1.32990854944831,1.30500000000001,-0.7)); -#33464=CARTESIAN_POINT('Origin',(1.32990854944831,1.30500000000001,-0.8)); -#33465=CARTESIAN_POINT('',(1.42990854944831,1.30500000000001,-0.7)); -#33466=CARTESIAN_POINT('',(1.32990854944831,1.30500000000001,-0.7)); -#33467=CARTESIAN_POINT('',(1.52990854944831,1.30500000000001,-0.8)); -#33468=CARTESIAN_POINT('Origin',(1.42990854944831,1.30500000000001,-0.8)); -#33469=CARTESIAN_POINT('',(1.52990854944831,1.30500000000001,-0.9)); -#33470=CARTESIAN_POINT('',(1.52990854944831,1.30500000000001,-0.8)); -#33471=CARTESIAN_POINT('',(2.22990854944831,1.30500000000001,-0.9)); -#33472=CARTESIAN_POINT('',(1.52990854944831,1.30500000000001,-0.9)); -#33473=CARTESIAN_POINT('',(2.22990854944831,1.30500000000001,-0.8)); -#33474=CARTESIAN_POINT('',(2.22990854944831,1.30500000000001,-0.9)); -#33475=CARTESIAN_POINT('',(2.32990854944831,1.30500000000001,-0.7)); -#33476=CARTESIAN_POINT('Origin',(2.32990854944831,1.30500000000001,-0.8)); -#33477=CARTESIAN_POINT('',(2.42990854944831,1.30500000000001,-0.7)); -#33478=CARTESIAN_POINT('',(2.32990854944831,1.30500000000001,-0.7)); -#33479=CARTESIAN_POINT('Origin',(2.42990854944831,1.30500000000001,-0.8)); -#33480=CARTESIAN_POINT('Origin',(2.33,1.30500000000001,-4.68750000000001)); -#33481=CARTESIAN_POINT('',(2.32999999999999,1.60488887516808,-4.68750000000001)); -#33482=CARTESIAN_POINT('',(2.32999999999999,1.60488887516808,-5.0125)); -#33483=CARTESIAN_POINT('',(2.32999999999999,1.60488887516808,-5.01250000000001)); -#33484=CARTESIAN_POINT('',(2.32999999999999,1.60500000000001,-4.68750000000001)); -#33485=CARTESIAN_POINT('',(2.33,1.30500000000001,-4.68750000000001)); -#33486=CARTESIAN_POINT('',(2.32999999999999,1.60500000000001,-5.0125)); -#33487=CARTESIAN_POINT('',(2.32999999999999,1.60500000000001,-4.68750000000001)); -#33488=CARTESIAN_POINT('',(2.33,1.30500000000001,-5.0125)); -#33489=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-6.8)); -#33490=CARTESIAN_POINT('',(1.52783708426706,1.58069240481954,-6.8)); -#33491=CARTESIAN_POINT('',(2.30215669359475,1.44415896600344,-6.8)); -#33492=CARTESIAN_POINT('',(2.30215669359475,1.44415896600344,-6.8)); -#33493=CARTESIAN_POINT('',(2.25006224029467,1.14871664009978,-6.8)); -#33494=CARTESIAN_POINT('',(2.25006224029467,1.14871664009978,-6.8)); -#33495=CARTESIAN_POINT('',(1.47574263096699,1.28525007891588,-6.8)); -#33496=CARTESIAN_POINT('',(2.25006224029467,1.14871664009978,-6.8)); -#33497=CARTESIAN_POINT('Origin',(1.24999999999999,0.00500000000000739,-6.8)); -#33498=CARTESIAN_POINT('',(-1.475742630967,1.28525007891588,-6.8)); -#33499=CARTESIAN_POINT('Origin',(-1.25000000000001,0.00500000000000739, --6.8)); -#33500=CARTESIAN_POINT('',(-2.25006224029468,1.14871664009978,-6.8)); -#33501=CARTESIAN_POINT('',(-2.25006224029468,1.14871664009978,-6.8)); -#33502=CARTESIAN_POINT('',(-2.30215669359476,1.44415896600344,-6.8)); -#33503=CARTESIAN_POINT('',(-2.30215669359476,1.44415896600344,-6.8)); -#33504=CARTESIAN_POINT('',(-1.52783708426708,1.58069240481954,-6.8)); -#33505=CARTESIAN_POINT('',(-2.30215669359476,1.44415896600344,-6.8)); -#33506=CARTESIAN_POINT('',(-1.25000000000001,1.605,-6.8)); -#33507=CARTESIAN_POINT('Origin',(-1.25000000000001,0.00500000000000739, --6.8)); -#33508=CARTESIAN_POINT('',(1.24999999999999,1.60500000000001,-6.8)); -#33509=CARTESIAN_POINT('',(3.47,1.60500000000002,-6.8)); -#33510=CARTESIAN_POINT('Origin',(1.24999999999999,0.00500000000000739,-6.8)); -#33511=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-6.8)); -#33512=CARTESIAN_POINT('',(2.79999999999999,1.60500000000001,-6.8)); -#33513=CARTESIAN_POINT('',(2.8,1.30500000000001,-6.8)); -#33514=CARTESIAN_POINT('',(3.47,1.60500000000002,-6.8)); -#33515=CARTESIAN_POINT('',(3.47,1.60500000000002,-6.8)); -#33516=CARTESIAN_POINT('',(4.47,0.605000000000018,-6.8)); -#33517=CARTESIAN_POINT('Origin',(3.47,0.605000000000016,-6.8)); -#33518=CARTESIAN_POINT('',(4.47000000000001,-2.26799999999998,-6.8)); -#33519=CARTESIAN_POINT('',(4.47,-0.604999999999981,-6.8)); -#33520=CARTESIAN_POINT('',(4.17000000000001,-2.26799999999998,-6.8)); -#33521=CARTESIAN_POINT('',(4.17000000000001,-2.26799999999998,-6.8)); -#33522=CARTESIAN_POINT('',(4.17,0.605000000000018,-6.8)); -#33523=CARTESIAN_POINT('',(4.17,-0.604999999999982,-6.8)); -#33524=CARTESIAN_POINT('Origin',(3.47,0.605000000000016,-6.8)); -#33525=CARTESIAN_POINT('Origin',(-3.46999999999999,-1.60500000000001,-6.8)); -#33526=CARTESIAN_POINT('',(0.399999999999469,-1.60499999999999,-1.08786796564504)); -#33527=CARTESIAN_POINT('',(0.399999999999469,-1.60499999999999,-1.01275324931871E-12)); -#33528=CARTESIAN_POINT('',(0.399999999999469,-1.60499999999999,-0.842132034354283)); -#33529=CARTESIAN_POINT('',(0.14393398282149,-1.60499999999999,-1.19393398282303)); -#33530=CARTESIAN_POINT('Origin',(0.249999999999468,-1.60499999999999,-1.08786796564504)); -#33531=CARTESIAN_POINT('',(-0.0939339828225531,-1.605,-0.956066017178988)); -#33532=CARTESIAN_POINT('',(0.14393398282149,-1.60499999999999,-1.19393398282303)); -#33533=CARTESIAN_POINT('',(-0.350000000000532,-1.605,-1.06213203435697)); -#33534=CARTESIAN_POINT('Origin',(-0.200000000000532,-1.605,-1.06213203435697)); -#33535=CARTESIAN_POINT('',(-0.350000000000532,-1.605,-2.23786796564505)); -#33536=CARTESIAN_POINT('',(-0.350000000000532,-1.605,-1.06213203435697)); -#33537=CARTESIAN_POINT('',(-0.0939339828225563,-1.605,-2.34393398282303)); -#33538=CARTESIAN_POINT('Origin',(-0.200000000000534,-1.605,-2.23786796564505)); -#33539=CARTESIAN_POINT('',(0.143933982821483,-1.60499999999999,-2.10606601717899)); -#33540=CARTESIAN_POINT('',(0.143933982821483,-1.60499999999999,-2.10606601717899)); -#33541=CARTESIAN_POINT('',(0.399999999999463,-1.60499999999999,-2.21213203435698)); -#33542=CARTESIAN_POINT('Origin',(0.249999999999463,-1.60499999999999,-2.21213203435698)); -#33543=CARTESIAN_POINT('',(0.399999999999463,-1.60499999999999,-3.38786796564505)); -#33544=CARTESIAN_POINT('',(0.399999999999463,-1.60499999999999,-2.45786796564505)); -#33545=CARTESIAN_POINT('',(0.143933982820673,-1.60499999999999,-3.49393398282338)); -#33546=CARTESIAN_POINT('Origin',(0.249999999998986,-1.60499999999999,-3.38786796564505)); -#33547=CARTESIAN_POINT('',(-0.0939339828220212,-1.605,-3.25606601718068)); -#33548=CARTESIAN_POINT('',(-0.0939339828220211,-1.605,-3.25606601718068)); -#33549=CARTESIAN_POINT('',(-0.350000000000003,-1.605,-3.36213203435866)); -#33550=CARTESIAN_POINT('Origin',(-0.200000000000003,-1.605,-3.36213203435866)); -#33551=CARTESIAN_POINT('',(-0.350000000000004,-1.605,-4.53786796564674)); -#33552=CARTESIAN_POINT('',(-0.350000000000003,-1.605,-3.55786796564404)); -#33553=CARTESIAN_POINT('',(-0.0939339828220226,-1.605,-4.64393398282472)); -#33554=CARTESIAN_POINT('Origin',(-0.200000000000003,-1.605,-4.53786796564674)); -#33555=CARTESIAN_POINT('',(0.143933982822016,-1.60499999999999,-4.40606601718069)); -#33556=CARTESIAN_POINT('',(-0.0939339828220226,-1.605,-4.64393398282472)); -#33557=CARTESIAN_POINT('',(0.4,-1.60499999999999,-4.51213203435867)); -#33558=CARTESIAN_POINT('Origin',(0.249999999999996,-1.60499999999999,-4.51213203435867)); -#33559=CARTESIAN_POINT('',(0.400000000000008,-1.60499999999999,-6.2)); -#33560=CARTESIAN_POINT('',(0.4,-1.60499999999999,-4.51213203435867)); -#33561=CARTESIAN_POINT('',(1.40147186257609,-1.60499999999999,-6.20000000000001)); -#33562=CARTESIAN_POINT('',(-1.40147186257609,-1.605,-6.2)); -#33563=CARTESIAN_POINT('',(1.61360389693209,-1.60499999999999,-6.11213203435597)); -#33564=CARTESIAN_POINT('Origin',(1.40147186257609,-1.60499999999999,-5.89999999999996)); -#33565=CARTESIAN_POINT('',(2.03786796564401,-1.60499999999999,-5.68786796564405)); -#33566=CARTESIAN_POINT('',(1.61360389693209,-1.60499999999999,-6.11213203435597)); -#33567=CARTESIAN_POINT('',(2.25,-1.60499999999999,-5.6)); -#33568=CARTESIAN_POINT('Origin',(2.25,-1.60499999999999,-5.90000000000005)); -#33569=CARTESIAN_POINT('',(3.47000000000001,-1.60499999999998,-5.6)); -#33570=CARTESIAN_POINT('',(2.25,-1.60499999999999,-5.6)); -#33571=CARTESIAN_POINT('',(3.47000000000001,-1.60499999999998,-3.99999999999986)); -#33572=CARTESIAN_POINT('',(3.47000000000001,-1.60499999999998,-6.8)); -#33573=CARTESIAN_POINT('',(2.25,-1.60499999999999,-3.99999999999986)); -#33574=CARTESIAN_POINT('',(2.25,-1.60499999999999,-3.99999999999986)); -#33575=CARTESIAN_POINT('',(2.25,-1.60499999999999,-2.)); -#33576=CARTESIAN_POINT('',(2.25,-1.60499999999999,-2.)); -#33577=CARTESIAN_POINT('',(3.47000000000001,-1.60499999999998,-2.)); -#33578=CARTESIAN_POINT('',(2.25,-1.60499999999999,-2.)); -#33579=CARTESIAN_POINT('',(3.47000000000001,-1.60499999999998,0.)); -#33580=CARTESIAN_POINT('',(3.47000000000001,-1.60499999999998,-6.8)); -#33581=CARTESIAN_POINT('',(-3.46999999999999,-1.60500000000001,0.)); -#33582=CARTESIAN_POINT('',(0.950000000000006,-1.60499999999999,-4.68750000000001)); -#33583=CARTESIAN_POINT('',(0.960000000000006,-1.60499999999999,-4.68750000000001)); -#33584=CARTESIAN_POINT('',(0.950000000000006,-1.60499999999999,-4.65000000000001)); -#33585=CARTESIAN_POINT('',(0.950000000000006,-1.60499999999999,-4.65000000000001)); -#33586=CARTESIAN_POINT('',(2.05000000000001,-1.60499999999999,-4.65000000000001)); -#33587=CARTESIAN_POINT('',(2.05000000000001,-1.60499999999999,-4.65000000000001)); -#33588=CARTESIAN_POINT('',(2.05000000000001,-1.60499999999999,-4.68750000000001)); -#33589=CARTESIAN_POINT('',(2.05000000000001,-1.60499999999999,-4.65000000000001)); -#33590=CARTESIAN_POINT('',(2.03,-1.605,-4.6875)); -#33591=CARTESIAN_POINT('',(2.05000000000001,-1.60499999999999,-4.68750000000001)); -#33592=CARTESIAN_POINT('',(2.03,-1.605,-5.0125)); -#33593=CARTESIAN_POINT('',(2.03,-1.605,-4.6875)); -#33594=CARTESIAN_POINT('',(2.05000000000001,-1.60499999999999,-5.0125)); -#33595=CARTESIAN_POINT('',(2.05000000000001,-1.60499999999999,-5.0125)); -#33596=CARTESIAN_POINT('',(2.05000000000001,-1.60499999999999,-5.05)); -#33597=CARTESIAN_POINT('',(2.05000000000001,-1.60499999999999,-5.0125)); -#33598=CARTESIAN_POINT('',(0.950000000000006,-1.60499999999999,-5.05)); -#33599=CARTESIAN_POINT('',(2.05000000000001,-1.60499999999999,-5.05)); -#33600=CARTESIAN_POINT('',(0.950000000000006,-1.60499999999999,-5.0125)); -#33601=CARTESIAN_POINT('',(0.950000000000006,-1.60499999999999,-5.0125)); -#33602=CARTESIAN_POINT('',(0.960000000000006,-1.60499999999999,-5.0125)); -#33603=CARTESIAN_POINT('Origin',(-3.46999999999999,-1.30500000000001,-6.8)); -#33604=CARTESIAN_POINT('',(0.143933982821489,-1.30499999999999,-1.19393398282303)); -#33605=CARTESIAN_POINT('',(0.399999999999468,-1.30499999999999,-1.08786796564504)); -#33606=CARTESIAN_POINT('Origin',(0.249999999999467,-1.30499999999999,-1.08786796564504)); -#33607=CARTESIAN_POINT('',(0.399999999999468,-1.30499999999999,-1.01275324931871E-12)); -#33608=CARTESIAN_POINT('',(0.399999999999468,-1.30499999999999,-0.842132034354283)); -#33609=CARTESIAN_POINT('',(3.47000000000001,-1.30499999999999,0.)); -#33610=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,0.)); -#33611=CARTESIAN_POINT('',(3.47000000000001,-1.305,-2.)); -#33612=CARTESIAN_POINT('',(3.47000000000001,-1.305,-6.8)); -#33613=CARTESIAN_POINT('',(2.25,-1.305,-1.99999999999999)); -#33614=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,-2.)); -#33615=CARTESIAN_POINT('',(2.25,-1.305,-3.99999999999986)); -#33616=CARTESIAN_POINT('',(2.25,-1.305,-6.8)); -#33617=CARTESIAN_POINT('',(3.47000000000001,-1.30499999999999,-3.99999999999986)); -#33618=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,-3.99999999999986)); -#33619=CARTESIAN_POINT('',(3.47000000000001,-1.30499999999999,-5.6)); -#33620=CARTESIAN_POINT('',(3.47000000000001,-1.305,-6.8)); -#33621=CARTESIAN_POINT('',(2.25,-1.30499999999999,-5.6)); -#33622=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,-5.6)); -#33623=CARTESIAN_POINT('',(2.037867965644,-1.30499999999999,-5.68786796564405)); -#33624=CARTESIAN_POINT('Origin',(2.25,-1.30499999999999,-5.90000000000005)); -#33625=CARTESIAN_POINT('',(1.61360389693209,-1.30499999999999,-6.11213203435597)); -#33626=CARTESIAN_POINT('',(1.61360389693209,-1.30499999999999,-6.11213203435597)); -#33627=CARTESIAN_POINT('',(1.40147186257609,-1.30499999999999,-6.20000000000001)); -#33628=CARTESIAN_POINT('Origin',(1.40147186257609,-1.30499999999999,-5.89999999999996)); -#33629=CARTESIAN_POINT('',(0.400000000000008,-1.30499999999999,-6.2)); -#33630=CARTESIAN_POINT('',(-1.40147186257609,-1.305,-6.2)); -#33631=CARTESIAN_POINT('',(0.399999999999999,-1.30499999999999,-4.51213203435867)); -#33632=CARTESIAN_POINT('',(0.400000000000008,-1.30499999999999,-6.20198197115484)); -#33633=CARTESIAN_POINT('',(0.143933982822015,-1.30499999999999,-4.40606601718069)); -#33634=CARTESIAN_POINT('Origin',(0.249999999999995,-1.30499999999999,-4.51213203435867)); -#33635=CARTESIAN_POINT('',(-0.0939339828220235,-1.305,-4.64393398282472)); -#33636=CARTESIAN_POINT('',(-0.0939339828220235,-1.305,-4.64393398282472)); -#33637=CARTESIAN_POINT('',(-0.350000000000005,-1.305,-4.53786796564674)); -#33638=CARTESIAN_POINT('Origin',(-0.200000000000004,-1.305,-4.53786796564674)); -#33639=CARTESIAN_POINT('',(-0.350000000000004,-1.305,-3.36213203435866)); -#33640=CARTESIAN_POINT('',(-0.350000000000004,-1.305,-4.34213203435867)); -#33641=CARTESIAN_POINT('',(-0.0939339828220221,-1.305,-3.25606601718068)); -#33642=CARTESIAN_POINT('Origin',(-0.200000000000004,-1.305,-3.36213203435866)); -#33643=CARTESIAN_POINT('',(0.143933982820672,-1.30499999999999,-3.49393398282338)); -#33644=CARTESIAN_POINT('',(-0.093933982822022,-1.305,-3.25606601718068)); -#33645=CARTESIAN_POINT('',(0.399999999999462,-1.30499999999999,-3.38786796564505)); -#33646=CARTESIAN_POINT('Origin',(0.249999999998985,-1.30499999999999,-3.38786796564505)); -#33647=CARTESIAN_POINT('',(0.399999999999462,-1.30499999999999,-2.21213203435698)); -#33648=CARTESIAN_POINT('',(0.399999999999462,-1.30499999999999,-3.38786796564505)); -#33649=CARTESIAN_POINT('',(0.143933982821483,-1.30499999999999,-2.10606601717899)); -#33650=CARTESIAN_POINT('Origin',(0.249999999999462,-1.30499999999999,-2.21213203435698)); -#33651=CARTESIAN_POINT('',(-0.0939339828225572,-1.305,-2.34393398282303)); -#33652=CARTESIAN_POINT('',(0.143933982821482,-1.30499999999999,-2.10606601717899)); -#33653=CARTESIAN_POINT('',(-0.350000000000533,-1.305,-2.23786796564505)); -#33654=CARTESIAN_POINT('Origin',(-0.200000000000535,-1.305,-2.23786796564505)); -#33655=CARTESIAN_POINT('',(-0.350000000000533,-1.305,-1.06213203435697)); -#33656=CARTESIAN_POINT('',(-0.350000000000533,-1.305,-2.23786796564505)); -#33657=CARTESIAN_POINT('',(-0.093933982822554,-1.305,-0.956066017178988)); -#33658=CARTESIAN_POINT('Origin',(-0.200000000000533,-1.305,-1.06213203435697)); -#33659=CARTESIAN_POINT('',(0.143933982821489,-1.30499999999999,-1.19393398282303)); -#33660=CARTESIAN_POINT('',(0.950000000000005,-1.305,-4.65000000000001)); -#33661=CARTESIAN_POINT('',(0.949999999999995,-1.30500000000001,-4.6875)); -#33662=CARTESIAN_POINT('',(0.950000000000005,-1.30499999999999,-4.65000000000001)); -#33663=CARTESIAN_POINT('',(0.949999999999995,-1.30500000000001,-5.0125)); -#33664=CARTESIAN_POINT('',(0.949999999999995,-1.30500000000001,-4.6875)); -#33665=CARTESIAN_POINT('',(0.950000000000005,-1.30499999999999,-5.05)); -#33666=CARTESIAN_POINT('',(0.950000000000005,-1.30499999999999,-5.0125)); -#33667=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-5.05)); -#33668=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-5.05)); -#33669=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-5.0125)); -#33670=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-5.0125)); -#33671=CARTESIAN_POINT('',(2.02999999999999,-1.305,-5.0125)); -#33672=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-5.0125)); -#33673=CARTESIAN_POINT('',(2.02999999999999,-1.305,-4.6875)); -#33674=CARTESIAN_POINT('',(2.02999999999999,-1.305,-4.6875)); -#33675=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-4.68750000000001)); -#33676=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-4.68750000000001)); -#33677=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-4.65000000000001)); -#33678=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-4.65000000000001)); -#33679=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-4.65000000000001)); -#33680=CARTESIAN_POINT('Origin',(-1.40147186257609,-1.305,-6.2)); -#33681=CARTESIAN_POINT('',(0.400000000000007,-1.30499999999999,-6.2)); -#33682=CARTESIAN_POINT('',(1.40147186257609,-1.30499999999999,-6.20000000000001)); -#33683=CARTESIAN_POINT('Origin',(-3.46999999999999,-1.60500000000001,-6.8)); -#33684=CARTESIAN_POINT('',(0.35,-1.60499999999999,-4.51213203435867)); -#33685=CARTESIAN_POINT('',(0.350000000000004,-1.60499999999999,-6.2)); -#33686=CARTESIAN_POINT('',(0.350000000000009,-1.60499999999999,-6.20198197115484)); -#33687=CARTESIAN_POINT('',(0.179289321881344,-1.60499999999999,-4.44142135624001)); -#33688=CARTESIAN_POINT('Origin',(0.249999999999996,-1.60499999999999,-4.51213203435867)); -#33689=CARTESIAN_POINT('',(-0.0585786437626961,-1.605,-4.67928932188405)); -#33690=CARTESIAN_POINT('',(-0.0585786437626951,-1.605,-4.67928932188405)); -#33691=CARTESIAN_POINT('',(-0.400000000000003,-1.605,-4.53786796564674)); -#33692=CARTESIAN_POINT('Origin',(-0.200000000000003,-1.605,-4.53786796564674)); -#33693=CARTESIAN_POINT('',(-0.400000000000003,-1.605,-3.36213203435866)); -#33694=CARTESIAN_POINT('',(-0.400000000000003,-1.605,-4.34213203435867)); -#33695=CARTESIAN_POINT('',(-0.0585786437626937,-1.605,-3.22071067812135)); -#33696=CARTESIAN_POINT('Origin',(-0.200000000000003,-1.605,-3.36213203435866)); -#33697=CARTESIAN_POINT('',(0.179289321879998,-1.60499999999999,-3.45857864376405)); -#33698=CARTESIAN_POINT('',(-0.0585786437626937,-1.605,-3.22071067812135)); -#33699=CARTESIAN_POINT('',(0.349999999999463,-1.60499999999999,-3.38786796564505)); -#33700=CARTESIAN_POINT('Origin',(0.249999999998986,-1.60499999999999,-3.38786796564505)); -#33701=CARTESIAN_POINT('',(0.349999999999463,-1.60499999999999,-2.21213203435698)); -#33702=CARTESIAN_POINT('',(0.349999999999463,-1.60499999999999,-3.38786796564505)); -#33703=CARTESIAN_POINT('',(0.179289321880811,-1.60499999999999,-2.14142135623832)); -#33704=CARTESIAN_POINT('Origin',(0.249999999999463,-1.60499999999999,-2.21213203435698)); -#33705=CARTESIAN_POINT('',(-0.0585786437632297,-1.605,-2.37928932188236)); -#33706=CARTESIAN_POINT('',(0.179289321880811,-1.60499999999999,-2.14142135623832)); -#33707=CARTESIAN_POINT('',(-0.400000000000532,-1.605,-2.23786796564505)); -#33708=CARTESIAN_POINT('Origin',(-0.200000000000534,-1.605,-2.23786796564505)); -#33709=CARTESIAN_POINT('',(-0.400000000000532,-1.605,-1.06213203435697)); -#33710=CARTESIAN_POINT('',(-0.400000000000532,-1.605,-2.23786796564505)); -#33711=CARTESIAN_POINT('',(-0.0585786437632257,-1.605,-0.920710678119661)); -#33712=CARTESIAN_POINT('Origin',(-0.200000000000532,-1.605,-1.06213203435697)); -#33713=CARTESIAN_POINT('',(0.179289321880816,-1.60499999999999,-1.1585786437637)); -#33714=CARTESIAN_POINT('',(0.179289321880816,-1.60499999999999,-1.1585786437637)); -#33715=CARTESIAN_POINT('',(0.349999999999469,-1.60499999999999,-1.08786796564504)); -#33716=CARTESIAN_POINT('Origin',(0.249999999999468,-1.60499999999999,-1.08786796564504)); -#33717=CARTESIAN_POINT('',(0.349999999999469,-1.60499999999999,-1.01269903921009E-12)); -#33718=CARTESIAN_POINT('',(0.349999999999469,-1.60499999999999,-0.842132034354283)); -#33719=CARTESIAN_POINT('',(-3.46999999999999,-1.60500000000001,0.)); -#33720=CARTESIAN_POINT('',(-3.46999999999999,-1.60500000000001,0.)); -#33721=CARTESIAN_POINT('',(-3.47,-1.60499999999998,-2.)); -#33722=CARTESIAN_POINT('',(-3.46999999999999,-1.60500000000001,-6.8)); -#33723=CARTESIAN_POINT('',(-2.25,-1.60499999999999,-2.)); -#33724=CARTESIAN_POINT('',(-2.25,-1.60499999999999,-2.)); -#33725=CARTESIAN_POINT('',(-2.25,-1.60499999999999,-3.99999999999986)); -#33726=CARTESIAN_POINT('',(-2.25,-1.60499999999999,-2.)); -#33727=CARTESIAN_POINT('',(-3.47,-1.60499999999998,-3.99999999999986)); -#33728=CARTESIAN_POINT('',(-2.25,-1.60499999999999,-3.99999999999986)); -#33729=CARTESIAN_POINT('',(-3.47,-1.60499999999998,-5.6)); -#33730=CARTESIAN_POINT('',(-3.46999999999999,-1.60500000000001,-6.8)); -#33731=CARTESIAN_POINT('',(-2.25,-1.60499999999999,-5.6)); -#33732=CARTESIAN_POINT('',(-2.25,-1.60499999999999,-5.6)); -#33733=CARTESIAN_POINT('',(-2.037867965644,-1.605,-5.68786796564405)); -#33734=CARTESIAN_POINT('Origin',(-2.25,-1.605,-5.90000000000005)); -#33735=CARTESIAN_POINT('',(-1.61360389693209,-1.605,-6.11213203435596)); -#33736=CARTESIAN_POINT('',(-2.037867965644,-1.605,-5.68786796564405)); -#33737=CARTESIAN_POINT('',(-1.40147186257609,-1.605,-6.2)); -#33738=CARTESIAN_POINT('Origin',(-1.40147186257609,-1.605,-5.89999999999996)); -#33739=CARTESIAN_POINT('',(-1.40147186257609,-1.605,-6.2)); -#33740=CARTESIAN_POINT('',(-0.949999999999994,-1.605,-5.0125)); -#33741=CARTESIAN_POINT('',(-0.959999999999994,-1.605,-5.0125)); -#33742=CARTESIAN_POINT('',(-0.959999999999994,-1.605,-5.0125)); -#33743=CARTESIAN_POINT('',(-0.949999999999994,-1.605,-5.05)); -#33744=CARTESIAN_POINT('',(-0.949999999999994,-1.605,-5.0125)); -#33745=CARTESIAN_POINT('',(-2.04999999999999,-1.605,-5.05)); -#33746=CARTESIAN_POINT('',(-2.04999999999999,-1.605,-5.05)); -#33747=CARTESIAN_POINT('',(-2.04999999999999,-1.605,-5.0125)); -#33748=CARTESIAN_POINT('',(-2.04999999999999,-1.605,-5.0125)); -#33749=CARTESIAN_POINT('',(-2.03,-1.605,-5.0125)); -#33750=CARTESIAN_POINT('',(-2.04999999999999,-1.605,-5.0125)); -#33751=CARTESIAN_POINT('',(-2.03,-1.605,-4.6875)); -#33752=CARTESIAN_POINT('',(-2.03,-1.605,-4.6875)); -#33753=CARTESIAN_POINT('',(-2.04999999999999,-1.605,-4.68750000000001)); -#33754=CARTESIAN_POINT('',(-2.04999999999999,-1.605,-4.68750000000001)); -#33755=CARTESIAN_POINT('',(-2.04999999999999,-1.605,-4.65000000000001)); -#33756=CARTESIAN_POINT('',(-2.04999999999999,-1.605,-4.65000000000001)); -#33757=CARTESIAN_POINT('',(-0.949999999999994,-1.605,-4.65000000000001)); -#33758=CARTESIAN_POINT('',(-2.04999999999999,-1.605,-4.65000000000001)); -#33759=CARTESIAN_POINT('',(-0.949999999999994,-1.605,-4.68750000000001)); -#33760=CARTESIAN_POINT('',(-0.949999999999994,-1.605,-4.65000000000001)); -#33761=CARTESIAN_POINT('',(-0.959999999999995,-1.605,-4.68750000000001)); -#33762=CARTESIAN_POINT('',(-0.959999999999994,-1.605,-4.68750000000001)); -#33763=CARTESIAN_POINT('',(-0.959999999999994,-1.605,-4.68750000000001)); -#33764=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-6.8)); -#33765=CARTESIAN_POINT('',(-4.47,-0.604999999999981,-5.6)); -#33766=CARTESIAN_POINT('',(-4.47,-0.605000000000012,-3.99999999999986)); -#33767=CARTESIAN_POINT('',(-4.46999999999999,-0.605000000000012,-6.8)); -#33768=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-5.6)); -#33769=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-3.99999999999986)); -#33770=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-6.8)); -#33771=CARTESIAN_POINT('',(-4.47,-0.605000000000012,-2.)); -#33772=CARTESIAN_POINT('',(-4.46999999999999,-0.605000000000012,0.)); -#33773=CARTESIAN_POINT('',(-4.46999999999999,-0.605000000000012,-6.8)); -#33774=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-2.)); -#33775=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,0.)); -#33776=CARTESIAN_POINT('Origin',(-4.47,0.604999999999976,-6.8)); -#33777=CARTESIAN_POINT('',(-4.47,0.604999999999986,-5.89999994076788)); -#33778=CARTESIAN_POINT('',(-4.47,0.505000000000017,-5.79999994076788)); -#33779=CARTESIAN_POINT('Origin',(-4.47,0.505000000000017,-5.89999994076788)); -#33780=CARTESIAN_POINT('',(-4.47,-0.604999999999983,-5.79999994076788)); -#33781=CARTESIAN_POINT('',(-4.47,-0.604999999999983,-5.79999994076788)); -#33782=CARTESIAN_POINT('',(-4.47,-0.604999999999981,-5.6)); -#33783=CARTESIAN_POINT('',(-4.47,0.504999999999877,-3.99999999999986)); -#33784=CARTESIAN_POINT('',(-4.47,-0.605000000000123,-3.99999999999986)); -#33785=CARTESIAN_POINT('',(-4.47,0.604999999999986,-3.89999999999986)); -#33786=CARTESIAN_POINT('Origin',(-4.47,0.504999999999875,-3.89999999999986)); -#33787=CARTESIAN_POINT('',(-4.47,0.604999999999976,-6.8)); -#33788=CARTESIAN_POINT('Origin',(-4.47,0.604999999999976,-6.8)); -#33789=CARTESIAN_POINT('',(-4.47,0.604999999999976,-6.8)); -#33790=CARTESIAN_POINT('',(-4.47000000000001,-2.26799999999998,-6.8)); -#33791=CARTESIAN_POINT('',(-4.47,0.604999999999976,-6.8)); -#33792=CARTESIAN_POINT('',(-4.47000000000001,-2.61799999999998,-6.45)); -#33793=CARTESIAN_POINT('Origin',(-4.47000000000001,-2.26799999999998,-6.45)); -#33794=CARTESIAN_POINT('',(-4.47000000000001,-2.61799999999998,-6.35)); -#33795=CARTESIAN_POINT('',(-4.47000000000001,-2.61799999999998,-6.35)); -#33796=CARTESIAN_POINT('',(-4.47000000000001,-2.26799999999998,-6.)); -#33797=CARTESIAN_POINT('Origin',(-4.47000000000001,-2.26799999999998,-6.35)); -#33798=CARTESIAN_POINT('',(-4.47,0.505000000000017,-5.99999997074957)); -#33799=CARTESIAN_POINT('',(-4.47,0.505000000000017,-6.)); -#33800=CARTESIAN_POINT('Origin',(-4.47,0.505000000000017,-5.89999994076788)); -#33801=CARTESIAN_POINT('',(-4.47,0.604999999999976,-6.8)); -#33802=CARTESIAN_POINT('Origin',(-4.47,0.604999999999976,-6.8)); -#33803=CARTESIAN_POINT('',(-4.47,0.604999999999986,-2.09999999999978)); -#33804=CARTESIAN_POINT('',(-4.47,0.505000000000017,-2.00000000000002)); -#33805=CARTESIAN_POINT('Origin',(-4.47,0.505000000000006,-2.09999999999978)); -#33806=CARTESIAN_POINT('',(-4.47,-0.604999999999983,-2.)); -#33807=CARTESIAN_POINT('',(-4.47,0.604999999999976,0.)); -#33808=CARTESIAN_POINT('',(-4.47,0.604999999999976,0.)); -#33809=CARTESIAN_POINT('',(-4.47,0.604999999999976,-6.8)); -#33810=CARTESIAN_POINT('Origin',(-4.47,0.604999999999976,-6.8)); -#33811=CARTESIAN_POINT('',(-4.47,0.504999999999973,-3.79999999999986)); -#33812=CARTESIAN_POINT('Origin',(-4.47,0.504999999999875,-3.89999999999986)); -#33813=CARTESIAN_POINT('',(-4.47,-1.20506862962871,-3.80000000000032)); -#33814=CARTESIAN_POINT('',(-4.47,-1.20506862962871,-3.80000000000032)); -#33815=CARTESIAN_POINT('',(-4.47,-1.55506862962871,-3.45000000000032)); -#33816=CARTESIAN_POINT('Origin',(-4.47,-1.20506862962871,-3.45000000000032)); -#33817=CARTESIAN_POINT('',(-4.47,-1.55506862962871,-3.35000000000032)); -#33818=CARTESIAN_POINT('',(-4.47,-1.55506862962871,-3.35000000000032)); -#33819=CARTESIAN_POINT('',(-4.47000000000001,-1.90506862962871,-3.00000000000032)); -#33820=CARTESIAN_POINT('Origin',(-4.47000000000001,-1.90506862962871,-3.35000000000032)); -#33821=CARTESIAN_POINT('',(-4.47000000000001,-2.30006860951245,-3.00000000000032)); -#33822=CARTESIAN_POINT('',(-4.47000000000001,-2.30006860951245,-3.00000000000032)); -#33823=CARTESIAN_POINT('',(-4.47000000000001,-2.60506860951221,-2.69500000000008)); -#33824=CARTESIAN_POINT('Origin',(-4.47000000000001,-2.30006860951198,-2.69500000000008)); -#33825=CARTESIAN_POINT('',(-4.47000000000001,-2.60506860951221,-2.50500000000008)); -#33826=CARTESIAN_POINT('',(-4.47000000000001,-2.60506860951221,-2.50500000000008)); -#33827=CARTESIAN_POINT('',(-4.47000000000001,-2.30006860951197,-2.2)); -#33828=CARTESIAN_POINT('Origin',(-4.47000000000001,-2.30006860951213,-2.50500000000008)); -#33829=CARTESIAN_POINT('',(-4.47,0.505000000000007,-2.2)); -#33830=CARTESIAN_POINT('',(-4.47,0.505000000000007,-2.2)); -#33831=CARTESIAN_POINT('Origin',(-4.47,0.505000000000006,-2.09999999999978)); -#33832=CARTESIAN_POINT('',(-4.47,0.604999999999976,-6.8)); -#33833=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-6.8)); -#33834=CARTESIAN_POINT('',(-2.80000000000001,1.60499999999999,-6.8)); -#33835=CARTESIAN_POINT('',(-3.47,1.60499999999999,-6.8)); -#33836=CARTESIAN_POINT('',(3.47,1.60500000000002,-6.8)); -#33837=CARTESIAN_POINT('',(-2.80000000000001,1.30499999999999,-6.8)); -#33838=CARTESIAN_POINT('',(-4.17000000000002,0.604999999999979,-6.8)); -#33839=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,-6.8)); -#33840=CARTESIAN_POINT('',(-4.17000000000001,-2.26799999999998,-6.8)); -#33841=CARTESIAN_POINT('',(-4.17,0.604999999999979,-6.8)); -#33842=CARTESIAN_POINT('',(-4.17000000000001,-2.26799999999998,-6.8)); -#33843=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,-6.8)); -#33844=CARTESIAN_POINT('Origin',(-3.46999999999999,-1.30500000000001,-6.8)); -#33845=CARTESIAN_POINT('',(0.179289321881343,-1.30499999999999,-4.44142135624001)); -#33846=CARTESIAN_POINT('',(0.349999999999999,-1.30499999999999,-4.51213203435867)); -#33847=CARTESIAN_POINT('Origin',(0.249999999999995,-1.30499999999999,-4.51213203435867)); -#33848=CARTESIAN_POINT('',(0.349999999999994,-1.30499999999999,-6.2)); -#33849=CARTESIAN_POINT('',(0.35,-1.30499999999999,-4.51213203435867)); -#33850=CARTESIAN_POINT('',(-1.40147186257609,-1.305,-6.2)); -#33851=CARTESIAN_POINT('',(-1.40147186257609,-1.305,-6.2)); -#33852=CARTESIAN_POINT('',(-1.61360389693209,-1.305,-6.11213203435596)); -#33853=CARTESIAN_POINT('Origin',(-1.40147186257609,-1.305,-5.89999999999996)); -#33854=CARTESIAN_POINT('',(-2.03786796564401,-1.305,-5.68786796564405)); -#33855=CARTESIAN_POINT('',(-2.03786796564401,-1.305,-5.68786796564405)); -#33856=CARTESIAN_POINT('',(-2.25,-1.305,-5.6)); -#33857=CARTESIAN_POINT('Origin',(-2.25,-1.305,-5.90000000000005)); -#33858=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,-5.6)); -#33859=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,-5.6)); -#33860=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,-3.99999999999986)); -#33861=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,-6.8)); -#33862=CARTESIAN_POINT('',(-2.25,-1.30500000000001,-3.99999999999986)); -#33863=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,-3.99999999999986)); -#33864=CARTESIAN_POINT('',(-2.25,-1.30500000000001,-2.)); -#33865=CARTESIAN_POINT('',(-2.25,-1.30500000000001,-6.8)); -#33866=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,-1.99999999999999)); -#33867=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,-1.99999999999999)); -#33868=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,0.)); -#33869=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,-6.8)); -#33870=CARTESIAN_POINT('',(0.349999999999468,-1.30499999999999,-1.01269903921009E-12)); -#33871=CARTESIAN_POINT('',(-3.46999999999999,-1.30500000000001,0.)); -#33872=CARTESIAN_POINT('',(0.349999999999468,-1.30499999999999,-1.08786796564504)); -#33873=CARTESIAN_POINT('',(0.349999999999468,-1.30499999999999,-0.842132034354283)); -#33874=CARTESIAN_POINT('',(0.179289321880815,-1.30499999999999,-1.1585786437637)); -#33875=CARTESIAN_POINT('Origin',(0.249999999999467,-1.30499999999999,-1.08786796564504)); -#33876=CARTESIAN_POINT('',(-0.0585786437632266,-1.305,-0.920710678119661)); -#33877=CARTESIAN_POINT('',(0.179289321880815,-1.30499999999999,-1.1585786437637)); -#33878=CARTESIAN_POINT('',(-0.400000000000533,-1.305,-1.06213203435697)); -#33879=CARTESIAN_POINT('Origin',(-0.200000000000533,-1.305,-1.06213203435697)); -#33880=CARTESIAN_POINT('',(-0.400000000000533,-1.305,-2.23786796564505)); -#33881=CARTESIAN_POINT('',(-0.400000000000533,-1.305,-1.06213203435697)); -#33882=CARTESIAN_POINT('',(-0.0585786437632306,-1.305,-2.37928932188236)); -#33883=CARTESIAN_POINT('Origin',(-0.200000000000535,-1.305,-2.23786796564505)); -#33884=CARTESIAN_POINT('',(0.17928932188081,-1.30499999999999,-2.14142135623832)); -#33885=CARTESIAN_POINT('',(0.17928932188081,-1.30499999999999,-2.14142135623832)); -#33886=CARTESIAN_POINT('',(0.349999999999462,-1.30499999999999,-2.21213203435698)); -#33887=CARTESIAN_POINT('Origin',(0.249999999999462,-1.30499999999999,-2.21213203435698)); -#33888=CARTESIAN_POINT('',(0.349999999999462,-1.30499999999999,-3.38786796564505)); -#33889=CARTESIAN_POINT('',(0.349999999999462,-1.30499999999999,-2.45786796564505)); -#33890=CARTESIAN_POINT('',(0.179289321879997,-1.30499999999999,-3.45857864376405)); -#33891=CARTESIAN_POINT('Origin',(0.249999999998985,-1.30499999999999,-3.38786796564505)); -#33892=CARTESIAN_POINT('',(-0.0585786437626946,-1.305,-3.22071067812135)); -#33893=CARTESIAN_POINT('',(-0.0585786437626946,-1.305,-3.22071067812135)); -#33894=CARTESIAN_POINT('',(-0.400000000000004,-1.305,-3.36213203435866)); -#33895=CARTESIAN_POINT('Origin',(-0.200000000000004,-1.305,-3.36213203435866)); -#33896=CARTESIAN_POINT('',(-0.400000000000004,-1.305,-4.53786796564674)); -#33897=CARTESIAN_POINT('',(-0.400000000000004,-1.305,-3.55786796564404)); -#33898=CARTESIAN_POINT('',(-0.058578643762697,-1.305,-4.67928932188405)); -#33899=CARTESIAN_POINT('Origin',(-0.200000000000004,-1.305,-4.53786796564674)); -#33900=CARTESIAN_POINT('',(-0.058578643762696,-1.305,-4.67928932188405)); -#33901=CARTESIAN_POINT('',(-0.949999999999994,-1.30500000000001,-4.68750000000001)); -#33902=CARTESIAN_POINT('',(-0.949999999999995,-1.305,-5.0125)); -#33903=CARTESIAN_POINT('',(-0.949999999999995,-1.30500000000001,-4.6875)); -#33904=CARTESIAN_POINT('',(-0.949999999999995,-1.305,-4.65000000000001)); -#33905=CARTESIAN_POINT('',(-0.949999999999995,-1.305,-4.65000000000001)); -#33906=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-4.65000000000001)); -#33907=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-4.65000000000001)); -#33908=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-4.68750000000001)); -#33909=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-4.65000000000001)); -#33910=CARTESIAN_POINT('',(-2.02999999999999,-1.305,-4.6875)); -#33911=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-4.68750000000001)); -#33912=CARTESIAN_POINT('',(-2.02999999999999,-1.305,-5.0125)); -#33913=CARTESIAN_POINT('',(-2.02999999999999,-1.305,-4.6875)); -#33914=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-5.0125)); -#33915=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-5.0125)); -#33916=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-5.05)); -#33917=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-5.0125)); -#33918=CARTESIAN_POINT('',(-0.949999999999995,-1.305,-5.05)); -#33919=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-5.05)); -#33920=CARTESIAN_POINT('',(-0.949999999999995,-1.305,-5.0125)); -#33921=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-6.8)); -#33922=CARTESIAN_POINT('',(-4.17,-0.604999999999981,-5.6)); -#33923=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-5.6)); -#33924=CARTESIAN_POINT('',(-4.17,-0.605000000000012,-3.99999999999986)); -#33925=CARTESIAN_POINT('',(-4.17,-0.605000000000012,-6.8)); -#33926=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-3.99999999999986)); -#33927=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-6.8)); -#33928=CARTESIAN_POINT('',(-4.17,-0.605000000000012,-2.)); -#33929=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-2.)); -#33930=CARTESIAN_POINT('',(-4.17,-0.605000000000012,0.)); -#33931=CARTESIAN_POINT('',(-4.17,-0.605000000000012,-6.8)); -#33932=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,0.)); -#33933=CARTESIAN_POINT('Origin',(-4.17,0.604999999999979,-6.8)); -#33934=CARTESIAN_POINT('',(-4.17,0.505000000000016,-5.79999994076788)); -#33935=CARTESIAN_POINT('',(-4.17,-0.604999999999984,-5.79999994076788)); -#33936=CARTESIAN_POINT('',(-4.17,0.604999999999979,-5.79999994076788)); -#33937=CARTESIAN_POINT('',(-4.17000000000002,0.604999999999979,-5.89999994076788)); -#33938=CARTESIAN_POINT('Origin',(-4.17,0.505000000000017,-5.89999994076788)); -#33939=CARTESIAN_POINT('',(-4.17000000000002,0.604999999999979,-3.89999999999986)); -#33940=CARTESIAN_POINT('',(-4.17000000000002,0.604999999999979,-6.8)); -#33941=CARTESIAN_POINT('',(-4.17,0.504999999999876,-3.99999999999986)); -#33942=CARTESIAN_POINT('Origin',(-4.17,0.504999999999874,-3.89999999999986)); -#33943=CARTESIAN_POINT('',(-4.17,0.604999999999979,-3.99999999999986)); -#33944=CARTESIAN_POINT('',(-4.17,-0.605000000000012,-6.8)); -#33945=CARTESIAN_POINT('Origin',(-4.17,0.604999999999979,-6.8)); -#33946=CARTESIAN_POINT('',(-4.17000000000001,-2.61799999999998,-6.45)); -#33947=CARTESIAN_POINT('Origin',(-4.17000000000001,-2.26799999999998,-6.45)); -#33948=CARTESIAN_POINT('',(-4.17000000000002,0.604999999999979,-6.8)); -#33949=CARTESIAN_POINT('',(-4.17,0.505000000000016,-5.99999997001831)); -#33950=CARTESIAN_POINT('Origin',(-4.17,0.505000000000017,-5.89999994076788)); -#33951=CARTESIAN_POINT('',(-4.17000000000001,-2.26799999999998,-6.)); -#33952=CARTESIAN_POINT('',(-4.17,0.505000000000017,-6.)); -#33953=CARTESIAN_POINT('',(-4.17000000000001,-2.61799999999998,-6.35)); -#33954=CARTESIAN_POINT('Origin',(-4.17000000000001,-2.26799999999998,-6.35)); -#33955=CARTESIAN_POINT('',(-4.17000000000001,-2.61799999999998,-6.35)); -#33956=CARTESIAN_POINT('Origin',(-4.17,0.604999999999979,-6.8)); -#33957=CARTESIAN_POINT('',(-4.17,0.505000000000016,-2.00000000000002)); -#33958=CARTESIAN_POINT('',(-4.17,0.605000000000046,-2.00000000000002)); -#33959=CARTESIAN_POINT('',(-4.17000000000002,0.604999999999979,-2.09999999999978)); -#33960=CARTESIAN_POINT('Origin',(-4.17,0.505000000000005,-2.09999999999978)); -#33961=CARTESIAN_POINT('',(-4.17000000000002,0.604999999999979,0.)); -#33962=CARTESIAN_POINT('',(-4.17000000000002,0.604999999999979,-6.8)); -#33963=CARTESIAN_POINT('',(-4.17,0.604999999999979,0.)); -#33964=CARTESIAN_POINT('Origin',(-4.17,0.604999999999979,-6.8)); -#33965=CARTESIAN_POINT('',(-4.17,0.504999999999972,-2.19999999999978)); -#33966=CARTESIAN_POINT('Origin',(-4.17,0.505000000000005,-2.09999999999978)); -#33967=CARTESIAN_POINT('',(-4.17000000000001,-2.30006860951197,-2.2)); -#33968=CARTESIAN_POINT('',(-4.17,0.505000000000006,-2.2)); -#33969=CARTESIAN_POINT('',(-4.17000000000001,-2.60506860951221,-2.50500000000008)); -#33970=CARTESIAN_POINT('Origin',(-4.17000000000001,-2.30006860951213,-2.50500000000008)); -#33971=CARTESIAN_POINT('',(-4.17000000000001,-2.60506860951221,-2.69500000000008)); -#33972=CARTESIAN_POINT('',(-4.17000000000001,-2.60506860951221,-2.50500000000008)); -#33973=CARTESIAN_POINT('',(-4.17000000000001,-2.30006860951245,-3.00000000000032)); -#33974=CARTESIAN_POINT('Origin',(-4.17000000000001,-2.30006860951198,-2.69500000000008)); -#33975=CARTESIAN_POINT('',(-4.17000000000001,-1.90506862962871,-3.00000000000032)); -#33976=CARTESIAN_POINT('',(-4.17000000000001,-2.30006860951245,-3.00000000000032)); -#33977=CARTESIAN_POINT('',(-4.17000000000001,-1.55506862962872,-3.35000000000032)); -#33978=CARTESIAN_POINT('Origin',(-4.17000000000001,-1.90506862962871,-3.35000000000032)); -#33979=CARTESIAN_POINT('',(-4.17000000000001,-1.55506862962872,-3.45000000000032)); -#33980=CARTESIAN_POINT('',(-4.17000000000001,-1.55506862962872,-3.35000000000032)); -#33981=CARTESIAN_POINT('',(-4.17,-1.20506862962872,-3.80000000000032)); -#33982=CARTESIAN_POINT('Origin',(-4.17,-1.20506862962872,-3.45000000000032)); -#33983=CARTESIAN_POINT('',(-4.17,0.504999999999972,-3.79999999999986)); -#33984=CARTESIAN_POINT('',(-4.17,-1.20506862962872,-3.80000000000032)); -#33985=CARTESIAN_POINT('Origin',(-4.17,0.504999999999874,-3.89999999999986)); -#33986=CARTESIAN_POINT('',(-4.17000000000002,0.604999999999979,-6.8)); -#33987=CARTESIAN_POINT('Origin',(4.47,-0.604999999999981,-6.8)); -#33988=CARTESIAN_POINT('',(4.47000000000001,-2.26799999999998,-6.)); -#33989=CARTESIAN_POINT('',(4.47,0.505000000000017,-6.)); -#33990=CARTESIAN_POINT('',(4.47000000000001,-2.61799999999998,-6.35)); -#33991=CARTESIAN_POINT('Origin',(4.47000000000001,-2.26799999999998,-6.35)); -#33992=CARTESIAN_POINT('',(4.47000000000001,-2.61799999999998,-6.45)); -#33993=CARTESIAN_POINT('',(4.47000000000001,-2.61799999999998,-6.35)); -#33994=CARTESIAN_POINT('Origin',(4.47000000000001,-2.26799999999998,-6.45)); -#33995=CARTESIAN_POINT('',(4.47,0.605000000000018,-6.8)); -#33996=CARTESIAN_POINT('Origin',(4.47,-0.604999999999981,-6.8)); -#33997=CARTESIAN_POINT('',(4.47,-0.604999999999981,-2.00000000000001)); -#33998=CARTESIAN_POINT('',(4.47,-1.60499999999998,-2.)); -#33999=CARTESIAN_POINT('',(4.47,0.605000000000018,0.)); -#34000=CARTESIAN_POINT('',(4.47,0.605000000000018,-6.8)); -#34001=CARTESIAN_POINT('',(4.47,-0.604999999999981,0.)); -#34002=CARTESIAN_POINT('',(4.47,-0.604999999999981,0.)); -#34003=CARTESIAN_POINT('',(4.47,-0.604999999999981,-6.8)); -#34004=CARTESIAN_POINT('Origin',(4.47,-0.604999999999981,-6.8)); -#34005=CARTESIAN_POINT('',(4.47,-0.604999999999983,-5.79999994076788)); -#34006=CARTESIAN_POINT('',(4.47,-0.604999999999983,-5.79999994076788)); -#34007=CARTESIAN_POINT('',(4.47,0.605000000000018,-6.8)); -#34008=CARTESIAN_POINT('',(4.47,-0.604999999999981,-3.99999999999986)); -#34009=CARTESIAN_POINT('',(4.47,-0.605000000000123,-3.99999999999986)); -#34010=CARTESIAN_POINT('',(4.47,-0.604999999999981,-5.6)); -#34011=CARTESIAN_POINT('',(4.47,-0.604999999999981,-6.8)); -#34012=CARTESIAN_POINT('',(4.47,-0.604999999999981,-5.6)); -#34013=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-6.8)); -#34014=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-5.6)); -#34015=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-3.99999999999986)); -#34016=CARTESIAN_POINT('Origin',(4.17,-0.604999999999982,-6.8)); -#34017=CARTESIAN_POINT('',(4.17000000000001,-2.26799999999998,-6.)); -#34018=CARTESIAN_POINT('',(4.17000000000001,-2.61799999999998,-6.35)); -#34019=CARTESIAN_POINT('Origin',(4.17000000000001,-2.26799999999998,-6.35)); -#34020=CARTESIAN_POINT('',(4.17,0.505000000000017,-6.)); -#34021=CARTESIAN_POINT('',(4.17,0.605000000000018,-6.8)); -#34022=CARTESIAN_POINT('',(4.17000000000001,-2.61799999999998,-6.45)); -#34023=CARTESIAN_POINT('Origin',(4.17000000000001,-2.26799999999998,-6.45)); -#34024=CARTESIAN_POINT('',(4.17000000000001,-2.61799999999998,-6.35)); -#34025=CARTESIAN_POINT('Origin',(4.17,-0.604999999999982,-6.8)); -#34026=CARTESIAN_POINT('',(4.17000000000001,-0.604999999999984,-2.)); -#34027=CARTESIAN_POINT('',(4.17,-0.604999999999982,-2.)); -#34028=CARTESIAN_POINT('',(4.17000000000001,-0.604999999999984,0.)); -#34029=CARTESIAN_POINT('',(4.17000000000001,-0.604999999999984,-6.8)); -#34030=CARTESIAN_POINT('',(4.17,0.605000000000018,0.)); -#34031=CARTESIAN_POINT('',(4.17,-0.604999999999982,0.)); -#34032=CARTESIAN_POINT('',(4.17,0.605000000000018,-6.8)); -#34033=CARTESIAN_POINT('Origin',(4.17,-0.604999999999982,-6.8)); -#34034=CARTESIAN_POINT('',(4.17000000000001,-0.604999999999984,-5.79999994076788)); -#34035=CARTESIAN_POINT('',(4.17,-0.604999999999982,-5.79999994076788)); -#34036=CARTESIAN_POINT('',(4.17000000000001,-0.604999999999984,-5.6)); -#34037=CARTESIAN_POINT('',(4.17000000000001,-0.604999999999984,-6.8)); -#34038=CARTESIAN_POINT('',(4.17000000000001,-0.604999999999984,-3.99999999999986)); -#34039=CARTESIAN_POINT('',(4.17000000000001,-0.604999999999984,-6.8)); -#34040=CARTESIAN_POINT('',(4.17,-0.604999999999982,-3.99999999999986)); -#34041=CARTESIAN_POINT('',(4.17,0.605000000000018,-6.8)); -#34042=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-6.8)); -#34043=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-5.6)); -#34044=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-3.99999999999986)); -#34045=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,-6.8)); -#34046=CARTESIAN_POINT('',(-3.47,1.60499999999999,0.)); -#34047=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,0.)); -#34048=CARTESIAN_POINT('',(-3.47,1.60499999999999,-6.8)); -#34049=CARTESIAN_POINT('Origin',(3.47,1.60500000000002,-6.8)); -#34050=CARTESIAN_POINT('',(-1.25000000000001,1.60500000000001,-6.1)); -#34051=CARTESIAN_POINT('',(-1.25000000000001,1.605,-6.8)); -#34052=CARTESIAN_POINT('',(-1.20000000000001,1.605,-6.1)); -#34053=CARTESIAN_POINT('',(-1.25000000000001,1.605,-6.1)); -#34054=CARTESIAN_POINT('',(-1.10000000000001,1.605,-6.)); -#34055=CARTESIAN_POINT('Origin',(-1.20000000000001,1.605,-6.)); -#34056=CARTESIAN_POINT('',(-1.10000000000001,1.605,-5.9)); -#34057=CARTESIAN_POINT('',(-1.10000000000001,1.605,-6.)); -#34058=CARTESIAN_POINT('',(-1.20000000000001,1.605,-5.8)); -#34059=CARTESIAN_POINT('Origin',(-1.20000000000001,1.605,-5.9)); -#34060=CARTESIAN_POINT('',(-2.50000000000001,1.60499999999999,-5.8)); -#34061=CARTESIAN_POINT('',(-1.20000000000001,1.605,-5.8)); -#34062=CARTESIAN_POINT('',(-2.80000000000001,1.60499999999999,-6.1)); -#34063=CARTESIAN_POINT('Origin',(-2.50000000000001,1.60499999999999,-6.1)); -#34064=CARTESIAN_POINT('',(-2.80000000000001,1.60499999999999,-6.1)); -#34065=CARTESIAN_POINT('',(3.47,1.60500000000002,0.)); -#34066=CARTESIAN_POINT('',(3.47,1.60500000000002,0.)); -#34067=CARTESIAN_POINT('',(3.47,1.60500000000002,-6.8)); -#34068=CARTESIAN_POINT('',(2.79999999999999,1.60500000000001,-6.1)); -#34069=CARTESIAN_POINT('',(2.79999999999999,1.60500000000001,-6.8)); -#34070=CARTESIAN_POINT('',(2.49999999999999,1.60500000000001,-5.8)); -#34071=CARTESIAN_POINT('Origin',(2.49999999999999,1.60500000000001,-6.1)); -#34072=CARTESIAN_POINT('',(1.19999999999999,1.60500000000001,-5.8)); -#34073=CARTESIAN_POINT('',(2.49999999999999,1.60500000000001,-5.8)); -#34074=CARTESIAN_POINT('',(1.09999999999999,1.60500000000001,-5.9)); -#34075=CARTESIAN_POINT('Origin',(1.19999999999999,1.60500000000001,-5.9)); -#34076=CARTESIAN_POINT('',(1.09999999999999,1.60500000000001,-6.)); -#34077=CARTESIAN_POINT('',(1.09999999999999,1.60500000000001,-5.9)); -#34078=CARTESIAN_POINT('',(1.19999999999999,1.60500000000001,-6.1)); -#34079=CARTESIAN_POINT('Origin',(1.19999999999999,1.60500000000001,-6.)); -#34080=CARTESIAN_POINT('',(1.24999999999999,1.60500000000001,-6.1)); -#34081=CARTESIAN_POINT('',(1.19999999999999,1.60500000000001,-6.1)); -#34082=CARTESIAN_POINT('',(1.24999999999999,1.60500000000001,-6.1)); -#34083=CARTESIAN_POINT('',(2.52990854944831,1.60500000000001,-3.15)); -#34084=CARTESIAN_POINT('',(2.27990854944831,1.60500000000001,-3.4)); -#34085=CARTESIAN_POINT('Origin',(2.27990854944831,1.60500000000001,-3.15)); -#34086=CARTESIAN_POINT('',(2.52990854944831,1.60500000000001,-0.8)); -#34087=CARTESIAN_POINT('',(2.52990854944831,1.60500000000001,-1.345)); -#34088=CARTESIAN_POINT('',(2.42990854944831,1.60500000000001,-0.7)); -#34089=CARTESIAN_POINT('Origin',(2.42990854944831,1.60500000000001,-0.8)); -#34090=CARTESIAN_POINT('',(2.32990854944831,1.60500000000001,-0.7)); -#34091=CARTESIAN_POINT('',(2.32990854944831,1.60500000000001,-0.7)); -#34092=CARTESIAN_POINT('',(2.22990854944831,1.60500000000001,-0.8)); -#34093=CARTESIAN_POINT('Origin',(2.32990854944831,1.60500000000001,-0.8)); -#34094=CARTESIAN_POINT('',(2.22990854944831,1.60500000000001,-0.9)); -#34095=CARTESIAN_POINT('',(2.22990854944831,1.60500000000001,-0.9)); -#34096=CARTESIAN_POINT('',(1.52990854944832,1.605,-0.9)); -#34097=CARTESIAN_POINT('',(1.52990854944831,1.60500000000001,-0.9)); -#34098=CARTESIAN_POINT('',(1.52990854944831,1.60500000000001,-0.8)); -#34099=CARTESIAN_POINT('',(1.52990854944831,1.60500000000001,-0.8)); -#34100=CARTESIAN_POINT('',(1.42990854944831,1.60500000000001,-0.7)); -#34101=CARTESIAN_POINT('Origin',(1.42990854944831,1.60500000000001,-0.8)); -#34102=CARTESIAN_POINT('',(1.32990854944831,1.60500000000001,-0.7)); -#34103=CARTESIAN_POINT('',(1.32990854944831,1.60500000000001,-0.7)); -#34104=CARTESIAN_POINT('',(1.22990854944831,1.60500000000001,-0.8)); -#34105=CARTESIAN_POINT('Origin',(1.32990854944831,1.60500000000001,-0.8)); -#34106=CARTESIAN_POINT('',(1.22990854944831,1.60500000000001,-3.15)); -#34107=CARTESIAN_POINT('',(1.22990854944831,1.60500000000001,-1.345)); -#34108=CARTESIAN_POINT('',(1.47990854944831,1.60500000000001,-3.4)); -#34109=CARTESIAN_POINT('Origin',(1.47990854944831,1.60500000000001,-3.15)); -#34110=CARTESIAN_POINT('',(2.27990854944831,1.60500000000001,-3.4)); -#34111=CARTESIAN_POINT('',(2.33999999999999,1.60500000000001,-5.0125)); -#34112=CARTESIAN_POINT('',(2.34,1.60500000000001,-5.05)); -#34113=CARTESIAN_POINT('',(2.33999999999999,1.60500000000001,-5.05)); -#34114=CARTESIAN_POINT('',(2.32999999999999,1.60500000000001,-5.0125)); -#34115=CARTESIAN_POINT('',(2.33999999999999,1.60500000000001,-4.68750000000001)); -#34116=CARTESIAN_POINT('',(2.32999999999999,1.60500000000001,-4.68750000000001)); -#34117=CARTESIAN_POINT('',(2.33999999999999,1.60500000000001,-4.65000000000001)); -#34118=CARTESIAN_POINT('',(2.33999999999999,1.60500000000001,-4.68750000000001)); -#34119=CARTESIAN_POINT('',(1.24,1.60500000000001,-4.65000000000001)); -#34120=CARTESIAN_POINT('',(1.24,1.60500000000001,-4.65000000000001)); -#34121=CARTESIAN_POINT('',(1.24,1.60500000000001,-4.68750000000001)); -#34122=CARTESIAN_POINT('',(1.24,1.60500000000001,-4.68750000000001)); -#34123=CARTESIAN_POINT('',(1.26000000000001,1.605,-4.68750000000001)); -#34124=CARTESIAN_POINT('',(1.24,1.60500000000001,-4.68750000000001)); -#34125=CARTESIAN_POINT('',(1.26000000000001,1.605,-5.01250000000001)); -#34126=CARTESIAN_POINT('',(1.26000000000001,1.605,-5.01250000000001)); -#34127=CARTESIAN_POINT('',(1.24,1.60500000000001,-5.0125)); -#34128=CARTESIAN_POINT('',(1.24,1.60500000000001,-5.0125)); -#34129=CARTESIAN_POINT('',(1.24,1.60500000000001,-5.05)); -#34130=CARTESIAN_POINT('',(1.24,1.60500000000001,-5.05)); -#34131=CARTESIAN_POINT('',(2.33999999999999,1.60500000000001,-5.05)); -#34132=CARTESIAN_POINT('',(-2.34000000000001,1.605,-4.68750000000001)); -#34133=CARTESIAN_POINT('',(-2.34000000000001,1.605,-4.65000000000001)); -#34134=CARTESIAN_POINT('',(-2.34000000000001,1.605,-4.68750000000001)); -#34135=CARTESIAN_POINT('',(-2.33,1.605,-4.68750000000001)); -#34136=CARTESIAN_POINT('',(-2.33000000000001,1.605,-4.68750000000001)); -#34137=CARTESIAN_POINT('',(-2.33000000000001,1.605,-5.0125)); -#34138=CARTESIAN_POINT('',(-2.33000000000001,1.605,-4.68750000000001)); -#34139=CARTESIAN_POINT('',(-2.34000000000001,1.605,-5.0125)); -#34140=CARTESIAN_POINT('',(-2.33000000000001,1.605,-5.0125)); -#34141=CARTESIAN_POINT('',(-2.34000000000001,1.605,-5.05)); -#34142=CARTESIAN_POINT('',(-2.34000000000001,1.605,-5.05)); -#34143=CARTESIAN_POINT('',(-1.24000000000001,1.605,-5.05)); -#34144=CARTESIAN_POINT('',(-2.34000000000001,1.605,-5.05)); -#34145=CARTESIAN_POINT('',(-1.24000000000001,1.605,-5.0125)); -#34146=CARTESIAN_POINT('',(-1.24000000000001,1.605,-5.05)); -#34147=CARTESIAN_POINT('',(-1.26000000000001,1.605,-5.01250000000001)); -#34148=CARTESIAN_POINT('',(-1.24000000000001,1.605,-5.0125)); -#34149=CARTESIAN_POINT('',(-1.26000000000001,1.605,-4.68750000000001)); -#34150=CARTESIAN_POINT('',(-1.26000000000001,1.605,-5.01250000000001)); -#34151=CARTESIAN_POINT('',(-1.24000000000001,1.605,-4.68750000000001)); -#34152=CARTESIAN_POINT('',(-1.24000000000001,1.605,-4.68750000000001)); -#34153=CARTESIAN_POINT('',(-1.24000000000001,1.605,-4.65000000000001)); -#34154=CARTESIAN_POINT('',(-1.24000000000001,1.605,-4.68750000000001)); -#34155=CARTESIAN_POINT('',(-1.24000000000001,1.605,-4.65000000000001)); -#34156=CARTESIAN_POINT('',(-2.27990854944832,1.605,-3.4)); -#34157=CARTESIAN_POINT('',(-2.52990854944832,1.60499999999999,-3.15)); -#34158=CARTESIAN_POINT('Origin',(-2.27990854944832,1.605,-3.15)); -#34159=CARTESIAN_POINT('',(-1.47990854944832,1.605,-3.4)); -#34160=CARTESIAN_POINT('',(-2.27990854944832,1.605,-3.4)); -#34161=CARTESIAN_POINT('',(-1.22990854944832,1.605,-3.15)); -#34162=CARTESIAN_POINT('Origin',(-1.47990854944832,1.605,-3.15)); -#34163=CARTESIAN_POINT('',(-1.22990854944832,1.605,-0.8)); -#34164=CARTESIAN_POINT('',(-1.22990854944832,1.605,-1.345)); -#34165=CARTESIAN_POINT('',(-1.32990854944832,1.605,-0.7)); -#34166=CARTESIAN_POINT('Origin',(-1.32990854944832,1.605,-0.8)); -#34167=CARTESIAN_POINT('',(-1.42990854944832,1.605,-0.699999999999998)); -#34168=CARTESIAN_POINT('',(-1.32990854944832,1.605,-0.7)); -#34169=CARTESIAN_POINT('',(-1.52990854944832,1.605,-0.8)); -#34170=CARTESIAN_POINT('Origin',(-1.42990854944832,1.605,-0.8)); -#34171=CARTESIAN_POINT('',(-1.52990854944832,1.605,-0.9)); -#34172=CARTESIAN_POINT('',(-1.52990854944832,1.605,-0.8)); -#34173=CARTESIAN_POINT('',(-2.22990854944832,1.605,-0.9)); -#34174=CARTESIAN_POINT('',(-1.52990854944832,1.605,-0.9)); -#34175=CARTESIAN_POINT('',(-2.22990854944832,1.605,-0.8)); -#34176=CARTESIAN_POINT('',(-2.22990854944832,1.605,-0.9)); -#34177=CARTESIAN_POINT('',(-2.32990854944832,1.605,-0.7)); -#34178=CARTESIAN_POINT('Origin',(-2.32990854944832,1.605,-0.8)); -#34179=CARTESIAN_POINT('',(-2.42990854944832,1.60499999999999,-0.7)); -#34180=CARTESIAN_POINT('',(-2.32990854944832,1.605,-0.7)); -#34181=CARTESIAN_POINT('',(-2.52990854944832,1.60499999999999,-0.8)); -#34182=CARTESIAN_POINT('Origin',(-2.42990854944832,1.60499999999999,-0.8)); -#34183=CARTESIAN_POINT('',(-2.52990854944832,1.60499999999999,-0.8)); -#34184=CARTESIAN_POINT('Origin',(3.47,0.605000000000016,-6.8)); -#34185=CARTESIAN_POINT('Origin',(3.47,0.605000000000016,0.)); -#34186=CARTESIAN_POINT('',(4.47,0.605000000000018,-6.8)); -#34187=CARTESIAN_POINT('Origin',(4.47,-0.604999999999981,-6.8)); -#34188=CARTESIAN_POINT('',(4.47000000000001,-2.30006860951197,-2.2)); -#34189=CARTESIAN_POINT('',(4.47,0.505000000000007,-2.2)); -#34190=CARTESIAN_POINT('',(4.47000000000001,-2.60506860951221,-2.50500000000008)); -#34191=CARTESIAN_POINT('Origin',(4.47000000000001,-2.30006860951213,-2.50500000000008)); -#34192=CARTESIAN_POINT('',(4.47000000000001,-2.60506860951221,-2.69500000000008)); -#34193=CARTESIAN_POINT('',(4.47000000000001,-2.60506860951221,-2.50500000000008)); -#34194=CARTESIAN_POINT('',(4.47000000000001,-2.30006860951245,-3.00000000000032)); -#34195=CARTESIAN_POINT('Origin',(4.47000000000001,-2.30006860951198,-2.69500000000008)); -#34196=CARTESIAN_POINT('',(4.47000000000001,-1.90506862962871,-3.00000000000032)); -#34197=CARTESIAN_POINT('',(4.47000000000001,-2.30006860951245,-3.00000000000032)); -#34198=CARTESIAN_POINT('',(4.47,-1.55506862962871,-3.35000000000032)); -#34199=CARTESIAN_POINT('Origin',(4.47000000000001,-1.90506862962871,-3.35000000000032)); -#34200=CARTESIAN_POINT('',(4.47,-1.55506862962871,-3.45000000000032)); -#34201=CARTESIAN_POINT('',(4.47,-1.55506862962871,-3.35000000000032)); -#34202=CARTESIAN_POINT('',(4.47,-1.20506862962871,-3.80000000000032)); -#34203=CARTESIAN_POINT('Origin',(4.47,-1.20506862962871,-3.45000000000032)); -#34204=CARTESIAN_POINT('',(4.47,-1.20506862962871,-3.80000000000032)); -#34205=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-6.8)); -#34206=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-2.)); -#34207=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,0.)); -#34208=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,0.)); -#34209=CARTESIAN_POINT('',(0.399999999999468,-1.30499999999999,-1.01275324931871E-12)); -#34210=CARTESIAN_POINT('',(0.349999999999468,-1.30499999999999,-1.01269903921009E-12)); -#34211=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,0.)); -#34212=CARTESIAN_POINT('Origin',(3.47,0.605000000000016,0.)); -#34213=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,0.)); -#34214=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,-6.8)); -#34215=CARTESIAN_POINT('Origin',(3.47,0.605000000000016,-6.8)); -#34216=CARTESIAN_POINT('',(4.17,0.605000000000018,-6.8)); -#34217=CARTESIAN_POINT('Origin',(4.17,-0.604999999999982,-6.8)); -#34218=CARTESIAN_POINT('',(4.17,-1.20506862962872,-3.80000000000032)); -#34219=CARTESIAN_POINT('',(4.17,-1.20506862962872,-3.80000000000032)); -#34220=CARTESIAN_POINT('',(4.17000000000001,-1.55506862962872,-3.45000000000032)); -#34221=CARTESIAN_POINT('Origin',(4.17,-1.20506862962872,-3.45000000000032)); -#34222=CARTESIAN_POINT('',(4.17000000000001,-1.55506862962872,-3.35000000000032)); -#34223=CARTESIAN_POINT('',(4.17000000000001,-1.55506862962872,-3.35000000000032)); -#34224=CARTESIAN_POINT('',(4.17000000000001,-1.90506862962871,-3.00000000000032)); -#34225=CARTESIAN_POINT('Origin',(4.17000000000001,-1.90506862962871,-3.35000000000032)); -#34226=CARTESIAN_POINT('',(4.17000000000001,-2.30006860951245,-3.00000000000032)); -#34227=CARTESIAN_POINT('',(4.17000000000001,-2.30006860951245,-3.00000000000032)); -#34228=CARTESIAN_POINT('',(4.17000000000001,-2.60506860951221,-2.69500000000008)); -#34229=CARTESIAN_POINT('Origin',(4.17000000000001,-2.30006860951198,-2.69500000000008)); -#34230=CARTESIAN_POINT('',(4.17000000000001,-2.60506860951221,-2.50500000000008)); -#34231=CARTESIAN_POINT('',(4.17000000000001,-2.60506860951221,-2.50500000000008)); -#34232=CARTESIAN_POINT('',(4.17000000000001,-2.30006860951197,-2.2)); -#34233=CARTESIAN_POINT('Origin',(4.17000000000001,-2.30006860951213,-2.50500000000008)); -#34234=CARTESIAN_POINT('',(4.17,0.505000000000006,-2.2)); -#34235=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-6.8)); -#34236=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-2.)); -#34237=CARTESIAN_POINT('Origin',(2.25,0.504999999999868,-3.89999999999986)); -#34238=CARTESIAN_POINT('',(2.25,-0.604999999999989,-2.)); -#34239=CARTESIAN_POINT('',(2.25,-0.605000000000129,-3.99999999999986)); -#34240=CARTESIAN_POINT('Origin',(2.25,-0.605000000000129,-3.99999999999986)); -#34241=CARTESIAN_POINT('Origin',(2.25,-1.60499999999999,-2.)); -#34242=CARTESIAN_POINT('Origin',(2.25,-1.60499999999999,-5.6)); -#34243=CARTESIAN_POINT('',(2.25,-1.60499999999999,-5.6)); -#34244=CARTESIAN_POINT('',(2.25,-0.604999999999987,-5.6)); -#34245=CARTESIAN_POINT('Origin',(2.25,-0.604999999999987,-5.6)); -#34246=CARTESIAN_POINT('',(2.25,-0.604999999999989,-5.79999994076788)); -#34247=CARTESIAN_POINT('Origin',(2.25,-0.604999999999989,-5.79999994076788)); -#34248=CARTESIAN_POINT('Origin',(4.17000000000001,-2.26799999999998,-6.35)); -#34249=CARTESIAN_POINT('',(4.17000000000001,-2.26799999999998,-6.)); -#34250=CARTESIAN_POINT('',(4.17000000000001,-2.61799999999998,-6.35)); -#34251=CARTESIAN_POINT('Origin',(4.17,0.505000000000017,-6.)); -#34252=CARTESIAN_POINT('Origin',(4.17000000000001,-2.26799999999998,-6.45)); -#34253=CARTESIAN_POINT('',(4.17000000000001,-2.61799999999998,-6.45)); -#34254=CARTESIAN_POINT('Origin',(4.17000000000001,-2.61799999999998,-6.35)); -#34255=CARTESIAN_POINT('Origin',(4.17000000000001,-1.90506862962871,-3.35000000000032)); -#34256=CARTESIAN_POINT('',(4.17000000000001,-1.90506862962871,-3.00000000000032)); -#34257=CARTESIAN_POINT('',(4.17000000000001,-1.55506862962872,-3.35000000000032)); -#34258=CARTESIAN_POINT('Origin',(4.17000000000001,-2.30006860951245,-3.00000000000032)); -#34259=CARTESIAN_POINT('',(4.17000000000001,-2.30006860951245,-3.00000000000032)); -#34260=CARTESIAN_POINT('Origin',(4.17000000000001,-2.30006860951198,-2.69500000000008)); -#34261=CARTESIAN_POINT('',(4.17000000000001,-2.60506860951221,-2.69500000000008)); -#34262=CARTESIAN_POINT('Origin',(4.17000000000001,-2.60506860951221,-2.50500000000008)); -#34263=CARTESIAN_POINT('',(4.17000000000001,-2.60506860951221,-2.50500000000008)); -#34264=CARTESIAN_POINT('Origin',(4.17000000000001,-2.30006860951213,-2.50500000000008)); -#34265=CARTESIAN_POINT('',(4.17000000000001,-2.30006860951197,-2.2)); -#34266=CARTESIAN_POINT('Origin',(4.17,0.505000000000006,-2.2)); -#34267=CARTESIAN_POINT('Origin',(4.17,-1.20506862962872,-3.80000000000032)); -#34268=CARTESIAN_POINT('',(4.17,-1.20506862962872,-3.80000000000032)); -#34269=CARTESIAN_POINT('Origin',(4.17,-1.20506862962872,-3.45000000000032)); -#34270=CARTESIAN_POINT('',(4.17000000000001,-1.55506862962872,-3.45000000000032)); -#34271=CARTESIAN_POINT('Origin',(4.17000000000001,-1.55506862962872,-3.35000000000032)); -#34272=CARTESIAN_POINT('Origin',(-2.25,0.504999999999868,-3.89999999999986)); -#34273=CARTESIAN_POINT('',(-2.25,-0.605000000000129,-3.99999999999986)); -#34274=CARTESIAN_POINT('',(-2.25,-1.60499999999999,-2.)); -#34275=CARTESIAN_POINT('Origin',(-2.25,-0.604999999999989,-2.)); -#34276=CARTESIAN_POINT('',(-2.25,0.505000000000011,-2.00000000000002)); -#34277=CARTESIAN_POINT('Origin',(-2.25,-0.605000000000129,-3.99999999999986)); -#34278=CARTESIAN_POINT('',(-2.25,0.504999999999871,-3.99999999999986)); -#34279=CARTESIAN_POINT('Origin',(-2.25,0.504999999999868,-3.89999999999986)); -#34280=CARTESIAN_POINT('',(-2.25,0.504999999999966,-3.79999999999986)); -#34281=CARTESIAN_POINT('Origin',(-2.25,0.504999999999999,-2.09999999999978)); -#34282=CARTESIAN_POINT('',(-2.25,0.504999999999966,-2.19999999999978)); -#34283=CARTESIAN_POINT('Origin',(-2.25,-1.60499999999999,-5.6)); -#34284=CARTESIAN_POINT('',(-2.25,-0.604999999999987,-5.6)); -#34285=CARTESIAN_POINT('',(-2.25,-1.60499999999999,-5.6)); -#34286=CARTESIAN_POINT('Origin',(-2.25,-0.604999999999989,-5.79999994076788)); -#34287=CARTESIAN_POINT('',(-2.25,-0.604999999999989,-5.79999994076788)); -#34288=CARTESIAN_POINT('',(-2.25,0.505000000000011,-5.79999994076788)); -#34289=CARTESIAN_POINT('Origin',(-2.25,-0.604999999999987,-5.6)); -#34290=CARTESIAN_POINT('Origin',(-2.25,0.505000000000011,-5.89999994076788)); -#34291=CARTESIAN_POINT('',(-2.25,0.50500000000001,-5.99999994076788)); -#34292=CARTESIAN_POINT('Origin',(-4.17000000000001,-2.26799999999998,-6.35)); -#34293=CARTESIAN_POINT('',(-4.17000000000001,-2.61799999999998,-6.35)); -#34294=CARTESIAN_POINT('',(-4.17000000000001,-2.26799999999998,-6.)); -#34295=CARTESIAN_POINT('Origin',(-4.17000000000001,-2.61799999999998,-6.35)); -#34296=CARTESIAN_POINT('',(-4.17000000000001,-2.61799999999998,-6.45)); -#34297=CARTESIAN_POINT('Origin',(-4.17000000000001,-2.26799999999998,-6.45)); -#34298=CARTESIAN_POINT('Origin',(-4.17,0.505000000000017,-6.)); -#34299=CARTESIAN_POINT('Origin',(-4.17000000000001,-1.90506862962871,-3.35000000000032)); -#34300=CARTESIAN_POINT('',(-4.17000000000001,-1.55506862962872,-3.35000000000032)); -#34301=CARTESIAN_POINT('',(-4.17000000000001,-1.90506862962871,-3.00000000000032)); -#34302=CARTESIAN_POINT('Origin',(-4.17000000000001,-1.55506862962872,-3.35000000000032)); -#34303=CARTESIAN_POINT('',(-4.17000000000001,-1.55506862962872,-3.45000000000032)); -#34304=CARTESIAN_POINT('Origin',(-4.17,-1.20506862962872,-3.45000000000032)); -#34305=CARTESIAN_POINT('',(-4.17,-1.20506862962872,-3.80000000000032)); -#34306=CARTESIAN_POINT('Origin',(-4.17,-1.20506862962872,-3.80000000000032)); -#34307=CARTESIAN_POINT('Origin',(-4.17,0.505000000000006,-2.2)); -#34308=CARTESIAN_POINT('',(-4.17000000000001,-2.30006860951197,-2.2)); -#34309=CARTESIAN_POINT('Origin',(-4.17000000000001,-2.30006860951213,-2.50500000000008)); -#34310=CARTESIAN_POINT('',(-4.17000000000001,-2.60506860951221,-2.50500000000008)); -#34311=CARTESIAN_POINT('Origin',(-4.17000000000001,-2.60506860951221,-2.50500000000008)); -#34312=CARTESIAN_POINT('',(-4.17000000000001,-2.60506860951221,-2.69500000000008)); -#34313=CARTESIAN_POINT('Origin',(-4.17000000000001,-2.30006860951198,-2.69500000000008)); -#34314=CARTESIAN_POINT('',(-4.17000000000001,-2.30006860951245,-3.00000000000032)); -#34315=CARTESIAN_POINT('Origin',(-4.17000000000001,-2.30006860951245,-3.00000000000032)); -#34316=CARTESIAN_POINT('Origin',(-2.25,-1.305,-5.90000000000005)); -#34317=CARTESIAN_POINT('',(-2.03786796564401,-1.305,-5.68786796564405)); -#34318=CARTESIAN_POINT('Origin',(-2.03786796564401,-1.305,-5.68786796564405)); -#34319=CARTESIAN_POINT('',(-1.61360389693209,-1.305,-6.11213203435596)); -#34320=CARTESIAN_POINT('Origin',(-1.40147186257609,-1.305,-5.89999999999996)); -#34321=CARTESIAN_POINT('',(-1.40147186257609,-1.305,-6.2)); -#34322=CARTESIAN_POINT('Origin',(-1.40147186257609,-1.305,-6.2)); -#34323=CARTESIAN_POINT('',(0.350000000000008,-1.30499999999999,-6.2)); -#34324=CARTESIAN_POINT('Origin',(1.40147186257609,-1.30499999999999,-5.89999999999996)); -#34325=CARTESIAN_POINT('',(1.61360389693209,-1.30499999999999,-6.11213203435597)); -#34326=CARTESIAN_POINT('Origin',(1.61360389693209,-1.30499999999999,-6.11213203435597)); -#34327=CARTESIAN_POINT('',(2.037867965644,-1.30499999999999,-5.68786796564405)); -#34328=CARTESIAN_POINT('Origin',(2.25,-1.30499999999999,-5.90000000000005)); -#34329=CARTESIAN_POINT('Origin',(0.350000000000008,-1.30499999999999,-6.20198197115484)); -#34330=CARTESIAN_POINT('',(0.349999999999999,-1.30499999999999,-4.51213203435867)); -#34331=CARTESIAN_POINT('Origin',(0.349999999999468,-1.30499999999999,-0.842132034354283)); -#34332=CARTESIAN_POINT('',(0.349999999999468,-1.30499999999999,-1.08786796564504)); -#34333=CARTESIAN_POINT('Origin',(0.249999999999467,-1.30499999999999,-1.08786796564504)); -#34334=CARTESIAN_POINT('',(0.179289321880815,-1.30499999999999,-1.1585786437637)); -#34335=CARTESIAN_POINT('Origin',(0.179289321880815,-1.30499999999999,-1.1585786437637)); -#34336=CARTESIAN_POINT('',(-0.0585786437632266,-1.305,-0.920710678119661)); -#34337=CARTESIAN_POINT('Origin',(-0.200000000000533,-1.305,-1.06213203435697)); -#34338=CARTESIAN_POINT('',(-0.400000000000533,-1.305,-1.06213203435697)); -#34339=CARTESIAN_POINT('Origin',(-0.400000000000533,-1.305,-2.23786796564505)); -#34340=CARTESIAN_POINT('',(-0.400000000000533,-1.305,-2.23786796564505)); -#34341=CARTESIAN_POINT('Origin',(-0.200000000000535,-1.305,-2.23786796564505)); -#34342=CARTESIAN_POINT('',(-0.0585786437632306,-1.305,-2.37928932188236)); -#34343=CARTESIAN_POINT('Origin',(0.17928932188081,-1.30499999999999,-2.14142135623832)); -#34344=CARTESIAN_POINT('',(0.17928932188081,-1.30499999999999,-2.14142135623832)); -#34345=CARTESIAN_POINT('Origin',(0.249999999999462,-1.30499999999999,-2.21213203435698)); -#34346=CARTESIAN_POINT('',(0.349999999999462,-1.30499999999999,-2.21213203435698)); -#34347=CARTESIAN_POINT('Origin',(0.349999999999462,-1.30499999999999,-3.38786796564505)); -#34348=CARTESIAN_POINT('',(0.349999999999462,-1.30499999999999,-3.38786796564505)); -#34349=CARTESIAN_POINT('Origin',(0.249999999998985,-1.30499999999999,-3.38786796564505)); -#34350=CARTESIAN_POINT('',(0.179289321879997,-1.30499999999999,-3.45857864376405)); -#34351=CARTESIAN_POINT('Origin',(-0.0585786437626946,-1.305,-3.22071067812135)); -#34352=CARTESIAN_POINT('',(-0.0585786437626946,-1.305,-3.22071067812135)); -#34353=CARTESIAN_POINT('Origin',(-0.200000000000004,-1.305,-3.36213203435866)); -#34354=CARTESIAN_POINT('',(-0.400000000000004,-1.305,-3.36213203435866)); -#34355=CARTESIAN_POINT('Origin',(-0.400000000000004,-1.305,-4.34213203435867)); -#34356=CARTESIAN_POINT('',(-0.400000000000004,-1.305,-4.53786796564674)); -#34357=CARTESIAN_POINT('Origin',(-0.200000000000004,-1.305,-4.53786796564674)); -#34358=CARTESIAN_POINT('',(-0.058578643762697,-1.305,-4.67928932188405)); -#34359=CARTESIAN_POINT('Origin',(-0.058578643762696,-1.305,-4.67928932188405)); -#34360=CARTESIAN_POINT('',(0.179289321881343,-1.30499999999999,-4.44142135624001)); -#34361=CARTESIAN_POINT('Origin',(0.249999999999995,-1.30499999999999,-4.51213203435867)); -#34362=CARTESIAN_POINT('Origin',(0.399999999999999,-1.30499999999999,-4.51213203435867)); -#34363=CARTESIAN_POINT('',(0.399999999999999,-1.30499999999999,-4.51213203435867)); -#34364=CARTESIAN_POINT('Origin',(0.399999999999468,-1.30499999999999,-0.842132034354283)); -#34365=CARTESIAN_POINT('',(0.399999999999468,-1.30499999999999,-1.08786796564504)); -#34366=CARTESIAN_POINT('Origin',(0.249999999999467,-1.30499999999999,-1.08786796564504)); -#34367=CARTESIAN_POINT('',(0.143933982821489,-1.30499999999999,-1.19393398282303)); -#34368=CARTESIAN_POINT('Origin',(0.143933982821489,-1.30499999999999,-1.19393398282303)); -#34369=CARTESIAN_POINT('',(-0.093933982822554,-1.305,-0.956066017178988)); -#34370=CARTESIAN_POINT('Origin',(-0.200000000000533,-1.305,-1.06213203435697)); -#34371=CARTESIAN_POINT('',(-0.350000000000533,-1.305,-1.06213203435697)); -#34372=CARTESIAN_POINT('Origin',(-0.350000000000533,-1.305,-1.06213203435697)); -#34373=CARTESIAN_POINT('',(-0.350000000000533,-1.305,-2.23786796564505)); -#34374=CARTESIAN_POINT('Origin',(-0.200000000000535,-1.305,-2.23786796564505)); -#34375=CARTESIAN_POINT('',(-0.0939339828225572,-1.305,-2.34393398282303)); -#34376=CARTESIAN_POINT('Origin',(0.143933982821482,-1.30499999999999,-2.10606601717899)); -#34377=CARTESIAN_POINT('',(0.143933982821483,-1.30499999999999,-2.10606601717899)); -#34378=CARTESIAN_POINT('Origin',(0.249999999999462,-1.30499999999999,-2.21213203435698)); -#34379=CARTESIAN_POINT('',(0.399999999999462,-1.30499999999999,-2.21213203435698)); -#34380=CARTESIAN_POINT('Origin',(0.399999999999462,-1.30499999999999,-2.45786796564505)); -#34381=CARTESIAN_POINT('',(0.399999999999462,-1.30499999999999,-3.38786796564505)); -#34382=CARTESIAN_POINT('Origin',(0.249999999998985,-1.30499999999999,-3.38786796564505)); -#34383=CARTESIAN_POINT('',(0.143933982820672,-1.30499999999999,-3.49393398282338)); -#34384=CARTESIAN_POINT('Origin',(-0.093933982822022,-1.305,-3.25606601718068)); -#34385=CARTESIAN_POINT('',(-0.0939339828220221,-1.305,-3.25606601718068)); -#34386=CARTESIAN_POINT('Origin',(-0.200000000000004,-1.305,-3.36213203435866)); -#34387=CARTESIAN_POINT('',(-0.350000000000004,-1.305,-3.36213203435866)); -#34388=CARTESIAN_POINT('Origin',(-0.350000000000004,-1.305,-3.55786796564404)); -#34389=CARTESIAN_POINT('',(-0.350000000000005,-1.305,-4.53786796564674)); -#34390=CARTESIAN_POINT('Origin',(-0.200000000000004,-1.305,-4.53786796564674)); -#34391=CARTESIAN_POINT('',(-0.0939339828220235,-1.305,-4.64393398282472)); -#34392=CARTESIAN_POINT('Origin',(-0.0939339828220235,-1.305,-4.64393398282472)); -#34393=CARTESIAN_POINT('',(0.143933982822015,-1.30499999999999,-4.40606601718069)); -#34394=CARTESIAN_POINT('Origin',(0.249999999999995,-1.30499999999999,-4.51213203435867)); -#34395=CARTESIAN_POINT('Origin',(-0.959999999999995,-1.305,-4.68750000000001)); -#34396=CARTESIAN_POINT('',(-0.959999999999994,-1.60488887516809,-4.68750000000001)); -#34397=CARTESIAN_POINT('',(-0.959999999999995,-1.305,-4.68750000000001)); -#34398=CARTESIAN_POINT('',(-0.959999999999994,-1.60488887516809,-5.0125)); -#34399=CARTESIAN_POINT('',(-0.959999999999994,-1.60488887516809,-4.6875)); -#34400=CARTESIAN_POINT('',(-0.959999999999995,-1.305,-5.0125)); -#34401=CARTESIAN_POINT('Origin',(-0.959999999999995,-1.305,-5.0125)); -#34402=CARTESIAN_POINT('',(-0.949999999999995,-1.305,-5.0125)); -#34403=CARTESIAN_POINT('',(-1.31861841993004,-1.41310939635798,-5.0125)); -#34404=CARTESIAN_POINT('Origin',(-0.949999999999994,-1.15500000000001,-5.0125)); -#34405=CARTESIAN_POINT('',(-1.36712719335665,-1.34383168827927,-5.0125)); -#34406=CARTESIAN_POINT('',(-1.31861841993004,-1.41310939635798,-5.0125)); -#34407=CARTESIAN_POINT('',(-1.61287280664335,-1.34383168827926,-5.0125)); -#34408=CARTESIAN_POINT('Origin',(-1.49,-1.42986815373192,-5.0125)); -#34409=CARTESIAN_POINT('',(-1.66138158006995,-1.41310939635797,-5.0125)); -#34410=CARTESIAN_POINT('',(-1.61287280664335,-1.34383168827926,-5.0125)); -#34411=CARTESIAN_POINT('Origin',(-2.02999999999999,-1.155,-5.0125)); -#34412=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-5.0125)); -#34413=CARTESIAN_POINT('',(-1.90712719335664,-1.24103646545266,-5.0125)); -#34414=CARTESIAN_POINT('Origin',(-2.02999999999999,-1.155,-5.0125)); -#34415=CARTESIAN_POINT('',(-1.85861841993004,-1.17175875737395,-5.0125)); -#34416=CARTESIAN_POINT('',(-1.90712719335664,-1.24103646545266,-5.0125)); -#34417=CARTESIAN_POINT('',(-1.12138158006995,-1.17175875737395,-5.0125)); -#34418=CARTESIAN_POINT('Origin',(-1.49,-1.42986815373192,-5.0125)); -#34419=CARTESIAN_POINT('',(-1.07287280664334,-1.24103646545266,-5.0125)); -#34420=CARTESIAN_POINT('',(-1.12138158006995,-1.17175875737395,-5.0125)); -#34421=CARTESIAN_POINT('Origin',(-0.949999999999994,-1.15500000000001,-5.0125)); -#34422=CARTESIAN_POINT('Origin',(-0.949999999999995,-1.305,-5.0125)); -#34423=CARTESIAN_POINT('',(-0.949999999999995,-1.305,-5.05)); -#34424=CARTESIAN_POINT('Origin',(-2.04999999999999,-1.305,-5.05)); -#34425=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-5.05)); -#34426=CARTESIAN_POINT('Origin',(-2.04999999999999,-1.305,-5.0125)); -#34427=CARTESIAN_POINT('Origin',(-2.04999999999999,-1.305,-4.68750000000001)); -#34428=CARTESIAN_POINT('',(-0.949999999999995,-1.305,-4.68750000000001)); -#34429=CARTESIAN_POINT('',(-1.07287280664334,-1.24103646545266,-4.6875)); -#34430=CARTESIAN_POINT('Origin',(-0.949999999999994,-1.15500000000001,-4.6875)); -#34431=CARTESIAN_POINT('',(-1.12138158006995,-1.17175875737395,-4.6875)); -#34432=CARTESIAN_POINT('',(-1.12138158006995,-1.17175875737395,-4.6875)); -#34433=CARTESIAN_POINT('',(-1.85861841993004,-1.17175875737395,-4.6875)); -#34434=CARTESIAN_POINT('Origin',(-1.49,-1.42986815373192,-4.6875)); -#34435=CARTESIAN_POINT('',(-1.90712719335664,-1.24103646545266,-4.6875)); -#34436=CARTESIAN_POINT('',(-1.90712719335664,-1.24103646545266,-4.6875)); -#34437=CARTESIAN_POINT('Origin',(-2.02999999999999,-1.155,-4.6875)); -#34438=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-4.68750000000001)); -#34439=CARTESIAN_POINT('',(-1.66138158006995,-1.41310939635797,-4.6875)); -#34440=CARTESIAN_POINT('Origin',(-2.02999999999999,-1.155,-4.6875)); -#34441=CARTESIAN_POINT('',(-1.61287280664335,-1.34383168827926,-4.6875)); -#34442=CARTESIAN_POINT('',(-1.61287280664335,-1.34383168827926,-4.6875)); -#34443=CARTESIAN_POINT('',(-1.36712719335665,-1.34383168827927,-4.6875)); -#34444=CARTESIAN_POINT('Origin',(-1.49,-1.42986815373192,-4.6875)); -#34445=CARTESIAN_POINT('',(-1.31861841993004,-1.41310939635798,-4.6875)); -#34446=CARTESIAN_POINT('',(-1.31861841993004,-1.41310939635798,-4.6875)); -#34447=CARTESIAN_POINT('Origin',(-0.949999999999994,-1.15500000000001,-4.6875)); -#34448=CARTESIAN_POINT('Origin',(-2.04999999999999,-1.305,-4.65000000000001)); -#34449=CARTESIAN_POINT('',(-2.04999999999999,-1.305,-4.65000000000001)); -#34450=CARTESIAN_POINT('Origin',(-2.04999999999999,-1.305,-4.65000000000001)); -#34451=CARTESIAN_POINT('',(-0.949999999999995,-1.305,-4.65000000000001)); -#34452=CARTESIAN_POINT('Origin',(-0.949999999999995,-1.305,-4.65000000000001)); -#34453=CARTESIAN_POINT('Origin',(2.05000000000001,-1.30499999999999,-4.65000000000001)); -#34454=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-4.65000000000001)); -#34455=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-4.68750000000001)); -#34456=CARTESIAN_POINT('Origin',(2.05000000000001,-1.30499999999999,-4.68750000000001)); -#34457=CARTESIAN_POINT('',(1.90712719335664,-1.24103646545266,-4.6875)); -#34458=CARTESIAN_POINT('Origin',(2.02999999999999,-1.155,-4.6875)); -#34459=CARTESIAN_POINT('',(1.85861841993004,-1.17175875737395,-4.6875)); -#34460=CARTESIAN_POINT('',(1.90712719335664,-1.24103646545266,-4.6875)); -#34461=CARTESIAN_POINT('',(1.12138158006995,-1.17175875737395,-4.6875)); -#34462=CARTESIAN_POINT('Origin',(1.49,-1.42986815373192,-4.6875)); -#34463=CARTESIAN_POINT('',(1.07287280664334,-1.24103646545266,-4.6875)); -#34464=CARTESIAN_POINT('',(1.12138158006995,-1.17175875737395,-4.6875)); -#34465=CARTESIAN_POINT('Origin',(0.949999999999994,-1.15500000000001,-4.6875)); -#34466=CARTESIAN_POINT('',(0.950000000000005,-1.30499999999999,-4.68750000000001)); -#34467=CARTESIAN_POINT('',(1.31861841993004,-1.41310939635798,-4.6875)); -#34468=CARTESIAN_POINT('Origin',(0.949999999999994,-1.15500000000001,-4.6875)); -#34469=CARTESIAN_POINT('',(1.36712719335665,-1.34383168827927,-4.6875)); -#34470=CARTESIAN_POINT('',(1.31861841993004,-1.41310939635798,-4.6875)); -#34471=CARTESIAN_POINT('',(1.61287280664335,-1.34383168827926,-4.6875)); -#34472=CARTESIAN_POINT('Origin',(1.49,-1.42986815373192,-4.6875)); -#34473=CARTESIAN_POINT('',(1.66138158006995,-1.41310939635797,-4.6875)); -#34474=CARTESIAN_POINT('',(1.61287280664335,-1.34383168827926,-4.6875)); -#34475=CARTESIAN_POINT('Origin',(2.02999999999999,-1.155,-4.6875)); -#34476=CARTESIAN_POINT('Origin',(2.05000000000001,-1.30499999999999,-5.0125)); -#34477=CARTESIAN_POINT('',(0.950000000000005,-1.30499999999999,-5.0125)); -#34478=CARTESIAN_POINT('',(1.07287280664334,-1.24103646545266,-5.0125)); -#34479=CARTESIAN_POINT('Origin',(0.949999999999994,-1.15500000000001,-5.0125)); -#34480=CARTESIAN_POINT('',(1.12138158006995,-1.17175875737395,-5.0125)); -#34481=CARTESIAN_POINT('',(1.12138158006995,-1.17175875737395,-5.0125)); -#34482=CARTESIAN_POINT('',(1.85861841993004,-1.17175875737395,-5.0125)); -#34483=CARTESIAN_POINT('Origin',(1.49,-1.42986815373192,-5.0125)); -#34484=CARTESIAN_POINT('',(1.90712719335664,-1.24103646545266,-5.0125)); -#34485=CARTESIAN_POINT('',(1.90712719335664,-1.24103646545266,-5.0125)); -#34486=CARTESIAN_POINT('Origin',(2.02999999999999,-1.155,-5.0125)); -#34487=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-5.0125)); -#34488=CARTESIAN_POINT('',(1.66138158006995,-1.41310939635797,-5.0125)); -#34489=CARTESIAN_POINT('Origin',(2.02999999999999,-1.155,-5.0125)); -#34490=CARTESIAN_POINT('',(1.61287280664335,-1.34383168827926,-5.0125)); -#34491=CARTESIAN_POINT('',(1.61287280664335,-1.34383168827926,-5.0125)); -#34492=CARTESIAN_POINT('',(1.36712719335665,-1.34383168827927,-5.0125)); -#34493=CARTESIAN_POINT('Origin',(1.49,-1.42986815373192,-5.0125)); -#34494=CARTESIAN_POINT('',(1.31861841993004,-1.41310939635798,-5.0125)); -#34495=CARTESIAN_POINT('',(1.31861841993004,-1.41310939635798,-5.0125)); -#34496=CARTESIAN_POINT('Origin',(0.949999999999994,-1.15500000000001,-5.0125)); -#34497=CARTESIAN_POINT('Origin',(2.05000000000001,-1.30499999999999,-5.0125)); -#34498=CARTESIAN_POINT('',(2.05000000000001,-1.30499999999999,-5.05)); -#34499=CARTESIAN_POINT('Origin',(2.05000000000001,-1.30499999999999,-5.05)); -#34500=CARTESIAN_POINT('',(0.950000000000005,-1.30499999999999,-5.05)); -#34501=CARTESIAN_POINT('Origin',(0.950000000000005,-1.30499999999999,-5.0125)); -#34502=CARTESIAN_POINT('Origin',(0.950000000000005,-1.30499999999999,-4.65000000000001)); -#34503=CARTESIAN_POINT('',(0.950000000000005,-1.30499999999999,-4.65000000000001)); -#34504=CARTESIAN_POINT('Origin',(2.05000000000001,-1.30499999999999,-4.65000000000001)); -#34505=CARTESIAN_POINT('Origin',(-2.33000000000001,1.305,-4.68750000000001)); -#34506=CARTESIAN_POINT('',(-2.33000000000001,1.60488887516808,-4.68750000000001)); -#34507=CARTESIAN_POINT('',(-2.33000000000001,1.305,-4.68750000000001)); -#34508=CARTESIAN_POINT('',(-2.33000000000001,1.60488887516808,-5.01250000000001)); -#34509=CARTESIAN_POINT('',(-2.33000000000001,1.60488887516808,-5.01250000000001)); -#34510=CARTESIAN_POINT('',(-2.33000000000001,1.305,-5.0125)); -#34511=CARTESIAN_POINT('Origin',(-2.33000000000001,1.305,-5.0125)); -#34512=CARTESIAN_POINT('',(-1.97138158006996,1.41310939635797,-5.01250000000001)); -#34513=CARTESIAN_POINT('Origin',(-2.34000000000001,1.155,-5.01250000000001)); -#34514=CARTESIAN_POINT('',(-1.92287280664336,1.34383168827926,-5.01250000000001)); -#34515=CARTESIAN_POINT('',(-1.92287280664336,1.34383168827926,-5.01250000000001)); -#34516=CARTESIAN_POINT('',(-1.67712719335666,1.34383168827926,-5.01250000000001)); -#34517=CARTESIAN_POINT('Origin',(-1.80000000000001,1.42986815373191,-5.01250000000001)); -#34518=CARTESIAN_POINT('',(-1.62861841993005,1.41310939635797,-5.01250000000001)); -#34519=CARTESIAN_POINT('',(-1.62861841993005,1.41310939635797,-5.01250000000001)); -#34520=CARTESIAN_POINT('Origin',(-1.26000000000001,1.155,-5.01250000000001)); -#34521=CARTESIAN_POINT('',(-1.24000000000001,1.305,-5.0125)); -#34522=CARTESIAN_POINT('',(-1.38287280664335,1.24103646545265,-5.01250000000001)); -#34523=CARTESIAN_POINT('Origin',(-1.26000000000001,1.155,-5.01250000000001)); -#34524=CARTESIAN_POINT('',(-1.43138158006996,1.17175875737394,-5.01250000000001)); -#34525=CARTESIAN_POINT('',(-1.43138158006996,1.17175875737394,-5.01250000000001)); -#34526=CARTESIAN_POINT('',(-2.16861841993005,1.17175875737394,-5.01250000000001)); -#34527=CARTESIAN_POINT('Origin',(-1.80000000000001,1.42986815373191,-5.01250000000001)); -#34528=CARTESIAN_POINT('',(-2.21712719335666,1.24103646545265,-5.01250000000001)); -#34529=CARTESIAN_POINT('',(-2.21712719335666,1.24103646545265,-5.01250000000001)); -#34530=CARTESIAN_POINT('Origin',(-2.34000000000001,1.155,-5.01250000000001)); -#34531=CARTESIAN_POINT('',(-2.34000000000001,1.305,-5.0125)); -#34532=CARTESIAN_POINT('Origin',(-2.34000000000001,1.305,-5.05)); -#34533=CARTESIAN_POINT('',(-2.34000000000001,1.305,-5.05)); -#34534=CARTESIAN_POINT('Origin',(-2.34000000000001,1.305,-5.05)); -#34535=CARTESIAN_POINT('',(-1.24000000000001,1.305,-5.05)); -#34536=CARTESIAN_POINT('Origin',(-1.24000000000001,1.305,-5.05)); -#34537=CARTESIAN_POINT('Origin',(-1.24000000000001,1.305,-4.68750000000001)); -#34538=CARTESIAN_POINT('',(-2.34000000000001,1.305,-4.68750000000001)); -#34539=CARTESIAN_POINT('',(-2.21712719335666,1.24103646545265,-4.68750000000001)); -#34540=CARTESIAN_POINT('Origin',(-2.34000000000001,1.155,-4.68750000000001)); -#34541=CARTESIAN_POINT('',(-2.16861841993005,1.17175875737394,-4.68750000000001)); -#34542=CARTESIAN_POINT('',(-2.21712719335666,1.24103646545265,-4.68750000000001)); -#34543=CARTESIAN_POINT('',(-1.43138158006996,1.17175875737394,-4.68750000000001)); -#34544=CARTESIAN_POINT('Origin',(-1.80000000000001,1.42986815373191,-4.68750000000001)); -#34545=CARTESIAN_POINT('',(-1.38287280664335,1.24103646545265,-4.68750000000001)); -#34546=CARTESIAN_POINT('',(-1.43138158006996,1.17175875737394,-4.68750000000001)); -#34547=CARTESIAN_POINT('Origin',(-1.26000000000001,1.155,-4.68750000000001)); -#34548=CARTESIAN_POINT('',(-1.24000000000001,1.305,-4.68750000000001)); -#34549=CARTESIAN_POINT('',(-1.62861841993005,1.41310939635797,-4.68750000000001)); -#34550=CARTESIAN_POINT('Origin',(-1.26000000000001,1.155,-4.68750000000001)); -#34551=CARTESIAN_POINT('',(-1.67712719335666,1.34383168827926,-4.68750000000001)); -#34552=CARTESIAN_POINT('',(-1.62861841993005,1.41310939635797,-4.68750000000001)); -#34553=CARTESIAN_POINT('',(-1.92287280664336,1.34383168827926,-4.68750000000001)); -#34554=CARTESIAN_POINT('Origin',(-1.80000000000001,1.42986815373191,-4.68750000000001)); -#34555=CARTESIAN_POINT('',(-1.97138158006996,1.41310939635797,-4.68750000000001)); -#34556=CARTESIAN_POINT('',(-1.92287280664336,1.34383168827926,-4.68750000000001)); -#34557=CARTESIAN_POINT('Origin',(-2.34000000000001,1.155,-4.68750000000001)); -#34558=CARTESIAN_POINT('Origin',(-1.24000000000001,1.305,-4.68750000000001)); -#34559=CARTESIAN_POINT('',(-1.24000000000001,1.305,-4.65000000000001)); -#34560=CARTESIAN_POINT('Origin',(-1.24000000000001,1.305,-4.65000000000001)); -#34561=CARTESIAN_POINT('',(-2.34000000000001,1.305,-4.65000000000001)); -#34562=CARTESIAN_POINT('Origin',(-2.34000000000001,1.305,-4.68750000000001)); -#34563=CARTESIAN_POINT('Origin',(2.34,1.30500000000001,-5.05)); -#34564=CARTESIAN_POINT('',(2.34,1.30500000000001,-5.05)); -#34565=CARTESIAN_POINT('',(2.34,1.30500000000001,-5.0125)); -#34566=CARTESIAN_POINT('Origin',(2.33,1.30500000000001,-5.0125)); -#34567=CARTESIAN_POINT('',(2.21712719335666,1.24103646545265,-5.01250000000001)); -#34568=CARTESIAN_POINT('Origin',(2.34000000000001,1.155,-5.01250000000001)); -#34569=CARTESIAN_POINT('',(2.16861841993005,1.17175875737394,-5.01250000000001)); -#34570=CARTESIAN_POINT('',(2.21712719335666,1.24103646545265,-5.01250000000001)); -#34571=CARTESIAN_POINT('',(1.43138158006996,1.17175875737394,-5.01250000000001)); -#34572=CARTESIAN_POINT('Origin',(1.80000000000001,1.42986815373191,-5.01250000000001)); -#34573=CARTESIAN_POINT('',(1.38287280664335,1.24103646545265,-5.01250000000001)); -#34574=CARTESIAN_POINT('',(1.43138158006996,1.17175875737394,-5.01250000000001)); -#34575=CARTESIAN_POINT('Origin',(1.26000000000001,1.155,-5.01250000000001)); -#34576=CARTESIAN_POINT('',(1.24,1.30500000000001,-5.0125)); -#34577=CARTESIAN_POINT('',(1.62861841993005,1.41310939635797,-5.01250000000001)); -#34578=CARTESIAN_POINT('Origin',(1.26000000000001,1.155,-5.01250000000001)); -#34579=CARTESIAN_POINT('',(1.67712719335666,1.34383168827926,-5.01250000000001)); -#34580=CARTESIAN_POINT('',(1.62861841993005,1.41310939635797,-5.01250000000001)); -#34581=CARTESIAN_POINT('',(1.92287280664336,1.34383168827926,-5.01250000000001)); -#34582=CARTESIAN_POINT('Origin',(1.80000000000001,1.42986815373191,-5.01250000000001)); -#34583=CARTESIAN_POINT('',(1.97138158006996,1.41310939635797,-5.01250000000001)); -#34584=CARTESIAN_POINT('',(1.92287280664336,1.34383168827926,-5.01250000000001)); -#34585=CARTESIAN_POINT('Origin',(2.34000000000001,1.155,-5.01250000000001)); -#34586=CARTESIAN_POINT('Origin',(2.33,1.30500000000001,-4.68750000000001)); -#34587=CARTESIAN_POINT('',(2.34,1.30500000000001,-4.68750000000001)); -#34588=CARTESIAN_POINT('',(1.97138158006996,1.41310939635797,-4.68750000000001)); -#34589=CARTESIAN_POINT('Origin',(2.34000000000001,1.155,-4.68750000000001)); -#34590=CARTESIAN_POINT('',(1.92287280664336,1.34383168827926,-4.68750000000001)); -#34591=CARTESIAN_POINT('',(1.92287280664336,1.34383168827926,-4.68750000000001)); -#34592=CARTESIAN_POINT('',(1.67712719335666,1.34383168827926,-4.68750000000001)); -#34593=CARTESIAN_POINT('Origin',(1.80000000000001,1.42986815373191,-4.68750000000001)); -#34594=CARTESIAN_POINT('',(1.62861841993005,1.41310939635797,-4.68750000000001)); -#34595=CARTESIAN_POINT('',(1.62861841993005,1.41310939635797,-4.68750000000001)); -#34596=CARTESIAN_POINT('Origin',(1.26000000000001,1.155,-4.68750000000001)); -#34597=CARTESIAN_POINT('',(1.24,1.30500000000001,-4.68750000000001)); -#34598=CARTESIAN_POINT('',(1.38287280664335,1.24103646545265,-4.68750000000001)); -#34599=CARTESIAN_POINT('Origin',(1.26000000000001,1.155,-4.68750000000001)); -#34600=CARTESIAN_POINT('',(1.43138158006996,1.17175875737394,-4.68750000000001)); -#34601=CARTESIAN_POINT('',(1.43138158006996,1.17175875737394,-4.68750000000001)); -#34602=CARTESIAN_POINT('',(2.16861841993005,1.17175875737394,-4.68750000000001)); -#34603=CARTESIAN_POINT('Origin',(1.80000000000001,1.42986815373191,-4.68750000000001)); -#34604=CARTESIAN_POINT('',(2.21712719335666,1.24103646545265,-4.68750000000001)); -#34605=CARTESIAN_POINT('',(2.21712719335666,1.24103646545265,-4.68750000000001)); -#34606=CARTESIAN_POINT('Origin',(2.34000000000001,1.155,-4.68750000000001)); -#34607=CARTESIAN_POINT('Origin',(2.34,1.30500000000001,-4.68750000000001)); -#34608=CARTESIAN_POINT('',(2.34,1.30500000000001,-4.65000000000001)); -#34609=CARTESIAN_POINT('Origin',(1.24,1.30500000000001,-4.65000000000001)); -#34610=CARTESIAN_POINT('',(1.24,1.30500000000001,-4.65000000000001)); -#34611=CARTESIAN_POINT('Origin',(1.24,1.30500000000001,-4.68750000000001)); -#34612=CARTESIAN_POINT('Origin',(1.24,1.30500000000001,-5.05)); -#34613=CARTESIAN_POINT('',(1.24,1.30500000000001,-5.05)); -#34614=CARTESIAN_POINT('Origin',(2.34,1.30500000000001,-5.05)); -#34615=CARTESIAN_POINT('Origin',(2.8,1.30500000000001,-6.8)); -#34616=CARTESIAN_POINT('',(2.8,1.30500000000001,-6.1)); -#34617=CARTESIAN_POINT('Origin',(2.49999999999999,1.30500000000001,-6.1)); -#34618=CARTESIAN_POINT('',(2.49999999999999,1.30500000000001,-5.8)); -#34619=CARTESIAN_POINT('Origin',(2.49999999999999,1.30500000000001,-5.8)); -#34620=CARTESIAN_POINT('',(1.19999999999999,1.30500000000001,-5.8)); -#34621=CARTESIAN_POINT('Origin',(1.19999999999999,1.30500000000001,-5.9)); -#34622=CARTESIAN_POINT('',(1.1,1.30500000000001,-5.9)); -#34623=CARTESIAN_POINT('Origin',(1.09999999999999,1.30500000000001,-5.9)); -#34624=CARTESIAN_POINT('',(1.09999999999999,1.30500000000001,-6.)); -#34625=CARTESIAN_POINT('Origin',(1.19999999999999,1.30500000000001,-6.)); -#34626=CARTESIAN_POINT('',(1.19999999999999,1.30500000000001,-6.1)); -#34627=CARTESIAN_POINT('Origin',(1.19999999999999,1.30500000000001,-6.1)); -#34628=CARTESIAN_POINT('',(2.25006224029467,1.14871664009978,-6.1)); -#34629=CARTESIAN_POINT('',(1.47574263096699,1.28525007891588,-6.1)); -#34630=CARTESIAN_POINT('',(2.3977834032465,1.12266941344974,-6.1)); -#34631=CARTESIAN_POINT('',(2.30215669359475,1.44415896600344,-6.1)); -#34632=CARTESIAN_POINT('',(2.30215669359475,1.44415896600344,-6.1)); -#34633=CARTESIAN_POINT('',(1.52783708426706,1.58069240481954,-6.1)); -#34634=CARTESIAN_POINT('',(1.52783708426706,1.58069240481954,-6.1)); -#34635=CARTESIAN_POINT('Origin',(1.24999999999999,0.00500000000000739,-6.1)); -#34636=CARTESIAN_POINT('Origin',(1.24999999999999,0.00500000000000739,-6.1)); -#34637=CARTESIAN_POINT('Origin',(2.27990854944831,1.30500000000001,-3.15)); -#34638=CARTESIAN_POINT('',(2.27990854944831,1.30500000000001,-3.4)); -#34639=CARTESIAN_POINT('',(2.52990854944831,1.30500000000001,-3.15)); -#34640=CARTESIAN_POINT('Origin',(2.52990854944831,1.30500000000001,-1.345)); -#34641=CARTESIAN_POINT('',(2.52990854944831,1.30500000000001,-0.8)); -#34642=CARTESIAN_POINT('Origin',(2.42990854944831,1.30500000000001,-0.8)); -#34643=CARTESIAN_POINT('',(2.42990854944831,1.30500000000001,-0.7)); -#34644=CARTESIAN_POINT('Origin',(2.32990854944831,1.30500000000001,-0.7)); -#34645=CARTESIAN_POINT('',(2.32990854944831,1.30500000000001,-0.7)); -#34646=CARTESIAN_POINT('Origin',(2.32990854944831,1.30500000000001,-0.8)); -#34647=CARTESIAN_POINT('',(2.22990854944831,1.30500000000001,-0.8)); -#34648=CARTESIAN_POINT('Origin',(2.22990854944831,1.30500000000001,-0.9)); -#34649=CARTESIAN_POINT('',(2.22990854944832,1.28641265288642,-1.21120844800805)); -#34650=CARTESIAN_POINT('',(2.22990854944832,1.30183326533777,-0.99068341586735)); -#34651=CARTESIAN_POINT('',(2.22990854944832,1.15851140513735,-3.0402815058849)); -#34652=CARTESIAN_POINT('',(2.22990854944831,1.58714522231077,-1.21120844800813)); -#34653=CARTESIAN_POINT('',(2.22990854944831,0.905000000000011,-1.21120844800813)); -#34654=CARTESIAN_POINT('',(2.22990854944832,1.60110248041572,-1.01161035799059)); -#34655=CARTESIAN_POINT('',(2.22990854944832,1.46477330140965,-2.96120844800813)); -#34656=CARTESIAN_POINT('Origin',(2.22990854944832,0.00499999999999525,-0.9)); -#34657=CARTESIAN_POINT('Origin',(2.22990854944832,0.00499999999999525,-0.9)); -#34658=CARTESIAN_POINT('Origin',(1.52990854944831,1.30500000000001,-0.8)); -#34659=CARTESIAN_POINT('',(1.52990854944832,1.60110248041572,-1.01161035799059)); -#34660=CARTESIAN_POINT('',(1.52990854944832,1.58714522231077,-1.21120844800814)); -#34661=CARTESIAN_POINT('',(1.52990854944832,1.54147539968849,-1.86431733933271)); -#34662=CARTESIAN_POINT('',(1.52990854944832,1.28641265288641,-1.21120844800813)); -#34663=CARTESIAN_POINT('',(1.52990854944831,0.905000000000009,-1.21120844800813)); -#34664=CARTESIAN_POINT('',(1.52990854944832,1.30183326533777,-0.99068341586735)); -#34665=CARTESIAN_POINT('',(1.52990854944832,1.28787600723283,-1.1902815058849)); -#34666=CARTESIAN_POINT('Origin',(1.52990854944832,0.0049999999999977,-0.9)); -#34667=CARTESIAN_POINT('',(1.52990854944831,1.30500000000001,-0.8)); -#34668=CARTESIAN_POINT('Origin',(1.52990854944832,0.0049999999999977,-0.9)); -#34669=CARTESIAN_POINT('Origin',(1.42990854944831,1.30500000000001,-0.8)); -#34670=CARTESIAN_POINT('',(1.42990854944831,1.30500000000001,-0.7)); -#34671=CARTESIAN_POINT('Origin',(1.32990854944831,1.30500000000001,-0.7)); -#34672=CARTESIAN_POINT('',(1.32990854944831,1.30500000000001,-0.7)); -#34673=CARTESIAN_POINT('Origin',(1.32990854944831,1.30500000000001,-0.8)); -#34674=CARTESIAN_POINT('',(1.22990854944831,1.30500000000001,-0.8)); -#34675=CARTESIAN_POINT('Origin',(1.22990854944831,1.30500000000001,-1.345)); -#34676=CARTESIAN_POINT('',(1.22990854944831,1.30500000000001,-3.15)); -#34677=CARTESIAN_POINT('Origin',(1.47990854944831,1.30500000000001,-3.15)); -#34678=CARTESIAN_POINT('',(1.47990854944831,1.30500000000001,-3.4)); -#34679=CARTESIAN_POINT('Origin',(2.27990854944831,1.30500000000001,-3.4)); -#34680=CARTESIAN_POINT('Origin',(-1.25,1.305,-6.1)); -#34681=CARTESIAN_POINT('',(-2.30215669359476,1.44415896600344,-6.1)); -#34682=CARTESIAN_POINT('',(-1.52783708426708,1.58069240481954,-6.1)); -#34683=CARTESIAN_POINT('',(-2.44987785654659,1.4181117393534,-6.1)); -#34684=CARTESIAN_POINT('',(-2.25006224029468,1.14871664009978,-6.1)); -#34685=CARTESIAN_POINT('',(-2.25006224029468,1.14871664009978,-6.1)); -#34686=CARTESIAN_POINT('',(-1.475742630967,1.28525007891588,-6.1)); -#34687=CARTESIAN_POINT('',(-1.475742630967,1.28525007891588,-6.1)); -#34688=CARTESIAN_POINT('Origin',(-1.25000000000001,0.00500000000000739, --6.1)); -#34689=CARTESIAN_POINT('',(-1.20000000000001,1.305,-6.1)); -#34690=CARTESIAN_POINT('Origin',(-1.25000000000001,0.00500000000000739, --6.1)); -#34691=CARTESIAN_POINT('Origin',(-1.20000000000001,1.305,-6.)); -#34692=CARTESIAN_POINT('',(-1.10000000000001,1.305,-6.)); -#34693=CARTESIAN_POINT('Origin',(-1.1,1.305,-6.)); -#34694=CARTESIAN_POINT('',(-1.1,1.305,-5.9)); -#34695=CARTESIAN_POINT('Origin',(-1.20000000000001,1.305,-5.9)); -#34696=CARTESIAN_POINT('',(-1.20000000000001,1.305,-5.8)); -#34697=CARTESIAN_POINT('Origin',(-1.20000000000001,1.305,-5.8)); -#34698=CARTESIAN_POINT('',(-2.50000000000001,1.30499999999999,-5.8)); -#34699=CARTESIAN_POINT('Origin',(-2.50000000000001,1.30499999999999,-6.1)); -#34700=CARTESIAN_POINT('',(-2.80000000000001,1.30499999999999,-6.1)); -#34701=CARTESIAN_POINT('Origin',(-2.80000000000001,1.30499999999999,-6.1)); -#34702=CARTESIAN_POINT('Origin',(-2.27990854944832,1.305,-3.15)); -#34703=CARTESIAN_POINT('',(-2.52990854944832,1.30499999999999,-3.15)); -#34704=CARTESIAN_POINT('',(-2.27990854944832,1.305,-3.4)); -#34705=CARTESIAN_POINT('Origin',(-2.27990854944832,1.305,-3.4)); -#34706=CARTESIAN_POINT('',(-1.47990854944832,1.305,-3.4)); -#34707=CARTESIAN_POINT('Origin',(-1.47990854944832,1.305,-3.15)); -#34708=CARTESIAN_POINT('',(-1.22990854944832,1.305,-3.15)); -#34709=CARTESIAN_POINT('Origin',(-1.22990854944832,1.305,-1.345)); -#34710=CARTESIAN_POINT('',(-1.22990854944832,1.305,-0.8)); -#34711=CARTESIAN_POINT('Origin',(-1.32990854944832,1.305,-0.8)); -#34712=CARTESIAN_POINT('',(-1.32990854944832,1.305,-0.7)); -#34713=CARTESIAN_POINT('Origin',(-1.32990854944832,1.305,-0.7)); -#34714=CARTESIAN_POINT('',(-1.42990854944832,1.305,-0.699999999999998)); -#34715=CARTESIAN_POINT('Origin',(-1.42990854944832,1.305,-0.8)); -#34716=CARTESIAN_POINT('',(-1.52990854944832,1.305,-0.8)); -#34717=CARTESIAN_POINT('Origin',(-1.52990854944832,1.305,-0.8)); -#34718=CARTESIAN_POINT('',(-1.52990854944832,1.28641265288643,-1.21120844800813)); -#34719=CARTESIAN_POINT('',(-1.52990854944832,1.30183326533777,-0.99068341586735)); -#34720=CARTESIAN_POINT('',(-1.52990854944832,1.15851140513735,-3.0402815058849)); -#34721=CARTESIAN_POINT('',(-1.52990854944832,1.58714522231078,-1.21120844800813)); -#34722=CARTESIAN_POINT('',(-1.52990854944832,0.904999999999998,-1.21120844800813)); -#34723=CARTESIAN_POINT('',(-1.52990854944832,1.60110248041572,-1.01161035799059)); -#34724=CARTESIAN_POINT('',(-1.52990854944832,1.54147539968849,-1.86431733933271)); -#34725=CARTESIAN_POINT('Origin',(-1.52990854944832,0.0049999999999977,-0.9)); -#34726=CARTESIAN_POINT('Origin',(-1.52990854944832,0.0049999999999977,-0.9)); -#34727=CARTESIAN_POINT('Origin',(-2.22990854944832,1.305,-0.9)); -#34728=CARTESIAN_POINT('',(-2.22990854944832,1.60110248041572,-1.01161035799059)); -#34729=CARTESIAN_POINT('',(-2.22990854944832,1.58714522231078,-1.21120844800813)); -#34730=CARTESIAN_POINT('',(-2.22990854944832,1.58714522231078,-1.21120844800814)); -#34731=CARTESIAN_POINT('',(-2.22990854944832,1.28641265288643,-1.21120844800813)); -#34732=CARTESIAN_POINT('',(-2.22990854944832,0.904999999999995,-1.21120844800813)); -#34733=CARTESIAN_POINT('',(-2.22990854944832,1.30183326533777,-0.99068341586735)); -#34734=CARTESIAN_POINT('',(-2.22990854944832,1.28787600723283,-1.1902815058849)); -#34735=CARTESIAN_POINT('Origin',(-2.22990854944832,0.00499999999999525, --0.9)); -#34736=CARTESIAN_POINT('',(-2.22990854944832,1.305,-0.8)); -#34737=CARTESIAN_POINT('Origin',(-2.22990854944832,0.00499999999999525, --0.9)); -#34738=CARTESIAN_POINT('Origin',(-2.32990854944832,1.305,-0.8)); -#34739=CARTESIAN_POINT('',(-2.32990854944832,1.305,-0.7)); -#34740=CARTESIAN_POINT('Origin',(-2.32990854944832,1.305,-0.7)); -#34741=CARTESIAN_POINT('',(-2.42990854944832,1.30499999999999,-0.7)); -#34742=CARTESIAN_POINT('Origin',(-2.42990854944832,1.30499999999999,-0.8)); -#34743=CARTESIAN_POINT('',(-2.52990854944832,1.30499999999999,-0.8)); -#34744=CARTESIAN_POINT('Origin',(-2.52990854944832,1.30499999999999,-0.8)); -#34745=CARTESIAN_POINT('Origin',(-2.39778340324652,1.12266941344974,-6.1)); -#34746=CARTESIAN_POINT('',(-2.39778340324652,1.12266941344974,-6.25)); -#34747=CARTESIAN_POINT('',(-2.39778340324652,1.12266941344974,-6.65)); -#34748=CARTESIAN_POINT('',(-2.39778340324652,1.12266941344974,-6.1)); -#34749=CARTESIAN_POINT('',(-2.44987785654659,1.4181117393534,-6.25)); -#34750=CARTESIAN_POINT('',(-2.44987785654659,1.4181117393534,-6.25)); -#34751=CARTESIAN_POINT('',(-2.44987785654659,1.4181117393534,-6.65)); -#34752=CARTESIAN_POINT('',(-2.44987785654659,1.4181117393534,-6.1)); -#34753=CARTESIAN_POINT('',(-2.39778340324652,1.12266941344974,-6.65)); -#34754=CARTESIAN_POINT('Origin',(-2.25006224029468,1.14871664009978,-6.1)); -#34755=CARTESIAN_POINT('Origin',(-2.25006224029468,1.14871664009978,-6.25)); -#34756=CARTESIAN_POINT('Origin',(-2.25006224029468,1.14871664009978,-6.65)); -#34757=CARTESIAN_POINT('',(-1.475742630967,1.28525007891588,-6.1)); -#34758=CARTESIAN_POINT('Origin',(-1.25000000000001,0.00500000000000739, --6.1)); -#34759=CARTESIAN_POINT('Origin',(-1.25000000000001,0.00500000000000739, --6.1)); -#34760=CARTESIAN_POINT('',(-1.52783708426708,1.58069240481954,-6.1)); -#34761=CARTESIAN_POINT('Origin',(-2.30215669359476,1.44415896600344,-6.1)); -#34762=CARTESIAN_POINT('Origin',(-2.30215669359476,1.44415896600344,-6.25)); -#34763=CARTESIAN_POINT('Origin',(-2.30215669359476,1.44415896600344,-6.65)); -#34764=CARTESIAN_POINT('Origin',(1.24999999999999,0.00500000000000739,-6.1)); -#34765=CARTESIAN_POINT('',(1.52783708426706,1.58069240481954,-6.1)); -#34766=CARTESIAN_POINT('Origin',(1.24999999999999,0.00500000000000739,-6.1)); -#34767=CARTESIAN_POINT('',(1.47574263096699,1.28525007891588,-6.1)); -#34768=CARTESIAN_POINT('Origin',(2.25006224029467,1.14871664009978,-6.1)); -#34769=CARTESIAN_POINT('',(2.3977834032465,1.12266941344974,-6.25)); -#34770=CARTESIAN_POINT('',(2.3977834032465,1.12266941344974,-6.65)); -#34771=CARTESIAN_POINT('',(2.3977834032465,1.12266941344974,-6.1)); -#34772=CARTESIAN_POINT('Origin',(2.25006224029467,1.14871664009978,-6.25)); -#34773=CARTESIAN_POINT('Origin',(2.25006224029467,1.14871664009978,-6.65)); -#34774=CARTESIAN_POINT('Origin',(2.44987785654658,1.4181117393534,-6.1)); -#34775=CARTESIAN_POINT('',(2.44987785654658,1.4181117393534,-6.25)); -#34776=CARTESIAN_POINT('',(2.44987785654658,1.4181117393534,-6.65)); -#34777=CARTESIAN_POINT('',(2.44987785654658,1.4181117393534,-6.1)); -#34778=CARTESIAN_POINT('',(2.3977834032465,1.12266941344974,-6.25)); -#34779=CARTESIAN_POINT('',(2.44987785654658,1.4181117393534,-6.65)); -#34780=CARTESIAN_POINT('Origin',(2.30215669359475,1.44415896600344,-6.1)); -#34781=CARTESIAN_POINT('Origin',(2.30215669359475,1.44415896600344,-6.25)); -#34782=CARTESIAN_POINT('Origin',(2.30215669359475,1.44415896600344,-6.65)); -#34783=CARTESIAN_POINT('Origin',(-1.52990854944832,1.58714522231078,-1.21120844800814)); -#34784=CARTESIAN_POINT('',(-1.69208367765303,1.46726110271085,-2.92563123188648)); -#34785=CARTESIAN_POINT('',(-1.52990854944832,1.58714522231078,-1.21120844800814)); -#34786=CARTESIAN_POINT('',(-1.84142354009313,1.4577806202153,-3.06120844800813)); -#34787=CARTESIAN_POINT('Origin',(-1.8414221210349,1.46824423170259,-2.91157183228852)); -#34788=CARTESIAN_POINT('',(-1.91839355880351,1.4577806202153,-3.06120844800813)); -#34789=CARTESIAN_POINT('',(-1.52990854944832,1.4577806202153,-3.06120844800813)); -#34790=CARTESIAN_POINT('',(-2.06773342124361,1.46726110271085,-2.92563123188648)); -#34791=CARTESIAN_POINT('Origin',(-1.91839497786174,1.46824423170259,-2.91157183228852)); -#34792=CARTESIAN_POINT('',(-2.22373034664975,1.58257813232962,-1.27652087759306)); -#34793=CARTESIAN_POINT('',(-1.52990854944832,1.60110248041572,-1.01161035799059)); -#34794=CARTESIAN_POINT('Origin',(-1.52990854944832,0.0049999999999977,-0.9)); -#34795=CARTESIAN_POINT('Origin',(-1.52990854944832,0.0049999999999977,-0.9)); -#34796=CARTESIAN_POINT('',(-1.52990854944832,1.30183326533777,-0.99068341586735)); -#34797=CARTESIAN_POINT('Origin',(-1.52990854944832,1.28787600723283,-1.1902815058849)); -#34798=CARTESIAN_POINT('',(-1.83944396448688,1.15851140513735,-3.0402815058849)); -#34799=CARTESIAN_POINT('',(-1.92037313440976,1.15851140513735,-3.0402815058849)); -#34800=CARTESIAN_POINT('',(-1.52990854944832,1.15851140513735,-3.0402815058849)); -#34801=CARTESIAN_POINT('',(-1.69010410204678,1.16799188763296,-2.90470428976325)); -#34802=CARTESIAN_POINT('Origin',(-1.83944254542865,1.16897501662461,-2.89064489016528)); -#34803=CARTESIAN_POINT('',(-1.52794644558428,1.28786309166152,-1.19046620715974)); -#34804=CARTESIAN_POINT('',(-2.06971299684986,1.16799188763295,-2.90470428976325)); -#34805=CARTESIAN_POINT('',(-2.22569245051378,1.28329600168036,-1.25577863674467)); -#34806=CARTESIAN_POINT('Origin',(-1.92037455346799,1.16897501662461,-2.89064489016528)); -#34807=CARTESIAN_POINT('Origin',(-1.52990854944832,1.4577806202153,-3.06120844800813)); -#34808=CARTESIAN_POINT('',(-1.8394380207016,1.15761283276219,-3.0402186715834)); -#34809=CARTESIAN_POINT('',(-1.91839950258879,1.45688204784014,-3.06114561370664)); -#34810=CARTESIAN_POINT('Origin',(1.52990854944832,1.46477330140965,-2.96120844800813)); -#34811=CARTESIAN_POINT('',(2.0677334212436,1.46726110271086,-2.92563123188648)); -#34812=CARTESIAN_POINT('',(2.05965086758183,1.4612862664916,-3.01107537059662)); -#34813=CARTESIAN_POINT('',(1.9183935588035,1.4577806202153,-3.06120844800813)); -#34814=CARTESIAN_POINT('Origin',(1.91839497786173,1.46824423170258,-2.91157183228852)); -#34815=CARTESIAN_POINT('',(1.84142354009312,1.4577806202153,-3.06120844800813)); -#34816=CARTESIAN_POINT('',(1.52990854944832,1.4577806202153,-3.06120844800813)); -#34817=CARTESIAN_POINT('',(1.69208367765302,1.46726110271086,-2.92563123188648)); -#34818=CARTESIAN_POINT('Origin',(1.84142212103489,1.46824423170259,-2.91157183228852)); -#34819=CARTESIAN_POINT('',(1.69398802851622,1.46585335647276,-2.9457629410117)); -#34820=CARTESIAN_POINT('',(1.52990854944832,1.60110248041572,-1.01161035799059)); -#34821=CARTESIAN_POINT('Origin',(1.52990854944832,1.4577806202153,-3.06120844800813)); -#34822=CARTESIAN_POINT('',(1.92037313440975,1.15851140513735,-3.0402815058849)); -#34823=CARTESIAN_POINT('',(1.9183766443865,1.46033771593,-3.0613872575593)); -#34824=CARTESIAN_POINT('',(1.83944396448687,1.15851140513735,-3.0402815058849)); -#34825=CARTESIAN_POINT('',(1.52990854944832,1.15851140513735,-3.0402815058849)); -#34826=CARTESIAN_POINT('',(1.84140997690709,1.45573015847685,-3.06106506575575)); -#34827=CARTESIAN_POINT('Origin',(1.52990854944832,1.15851140513735,-3.0402815058849)); -#34828=CARTESIAN_POINT('',(2.06971299684985,1.16799188763291,-2.90470428976325)); -#34829=CARTESIAN_POINT('Origin',(1.92037455346798,1.16897501662463,-2.89064489016528)); -#34830=CARTESIAN_POINT('',(2.05223700121342,1.15507317208017,-3.08945052934843)); -#34831=CARTESIAN_POINT('',(1.52990854944832,1.30183326533777,-0.99068341586735)); -#34832=CARTESIAN_POINT('',(1.69010410204677,1.16799188763291,-2.90470428976325)); -#34833=CARTESIAN_POINT('',(1.70140189488463,1.15964026206133,-3.02413809976351)); -#34834=CARTESIAN_POINT('Origin',(1.83944254542864,1.16897501662463,-2.89064489016528)); -#34835=CARTESIAN_POINT('Origin',(1.52990854944832,0.0049999999999977,-0.9)); -#34836=CARTESIAN_POINT('Origin',(1.52990854944832,0.0049999999999977,-0.9)); -#34837=CARTESIAN_POINT('Origin',(2.22990854944831,0.905000000000011,-1.21120844800813)); -#34838=CARTESIAN_POINT('',(2.07223051554699,0.787397258129503,-2.87809052067925)); -#34839=CARTESIAN_POINT('Origin',(1.70490854944831,0.905000000000009,-3.06120844800813)); -#34840=CARTESIAN_POINT('',(1.68844570965976,0.917278660826791,-2.88717271310063)); -#34841=CARTESIAN_POINT('Origin',(-1.70490854944832,0.904999999999997,-3.06120844800813)); -#34842=CARTESIAN_POINT('',(-1.69208423604067,1.46734551890173,-2.92563713484159)); -#34843=CARTESIAN_POINT('Origin',(-2.22990854944832,0.904999999999995,-1.21120844800813)); -#34844=CARTESIAN_POINT('',(-2.06971243846222,1.1680763038238,-2.90471019271835)); -#34845=CARTESIAN_POINT('Origin',(2.30215669359475,1.44415896600344,-6.25)); -#34846=CARTESIAN_POINT('Origin',(1.77767805066812,-1.53030722640433,-6.65)); -#34847=CARTESIAN_POINT('Origin',(-2.25006224029468,1.14871664009978,-6.25)); -#34848=CARTESIAN_POINT('Origin',(-1.986944656541,-0.343497329064349,-6.65)); -#34849=CARTESIAN_POINT('Origin',(1.9183766443865,1.47080118699162,-2.91175265002033)); -#34850=CARTESIAN_POINT('Origin',(1.84140997690709,1.46619362953847,-2.91143045821678)); -#34851=CARTESIAN_POINT('Origin',(-1.83777906992695,0.917278660826778,-2.8730465844267)); -#34852=CARTESIAN_POINT('Origin',(-1.92289715527981,0.787397258129489,-2.86396439200533)); -#34853=CARTESIAN_POINT('Origin',(-2.34000000000001,1.155,-5.01250000000001)); -#34854=CARTESIAN_POINT('',(-1.97138158006996,1.41310939635797,-5.01250000000001)); -#34855=CARTESIAN_POINT('Origin',(-1.26000000000001,1.155,-5.01250000000001)); -#34856=CARTESIAN_POINT('',(-1.62861841993005,1.41310939635797,-5.01250000000001)); -#34857=CARTESIAN_POINT('Origin',(-1.26000000000001,1.155,-5.01250000000001)); -#34858=CARTESIAN_POINT('',(-1.38287280664335,1.24103646545265,-5.01250000000001)); -#34859=CARTESIAN_POINT('Origin',(-1.43138158006996,1.17175875737394,-5.01250000000001)); -#34860=CARTESIAN_POINT('',(-1.43138158006996,1.17175875737394,-5.01250000000001)); -#34861=CARTESIAN_POINT('Origin',(-1.80000000000001,1.42986815373191,-5.01250000000001)); -#34862=CARTESIAN_POINT('',(-2.16861841993005,1.17175875737394,-5.01250000000001)); -#34863=CARTESIAN_POINT('Origin',(-2.21712719335666,1.24103646545265,-5.01250000000001)); -#34864=CARTESIAN_POINT('',(-2.21712719335666,1.24103646545265,-5.01250000000001)); -#34865=CARTESIAN_POINT('Origin',(-2.34000000000001,1.155,-5.01250000000001)); -#34866=CARTESIAN_POINT('Origin',(-1.92287280664336,1.34383168827926,-5.01250000000001)); -#34867=CARTESIAN_POINT('',(-1.92287280664336,1.34383168827926,-5.01250000000001)); -#34868=CARTESIAN_POINT('Origin',(-1.80000000000001,1.42986815373191,-5.01250000000001)); -#34869=CARTESIAN_POINT('',(-1.67712719335666,1.34383168827926,-5.01250000000001)); -#34870=CARTESIAN_POINT('Origin',(-1.62861841993005,1.41310939635797,-5.01250000000001)); -#34871=CARTESIAN_POINT('Origin',(-0.949999999999994,-1.15500000000001,-4.6875)); -#34872=CARTESIAN_POINT('',(-1.31861841993004,-1.41310939635798,-4.6875)); -#34873=CARTESIAN_POINT('Origin',(-0.949999999999994,-1.15500000000001,-4.6875)); -#34874=CARTESIAN_POINT('',(-1.07287280664334,-1.24103646545266,-4.6875)); -#34875=CARTESIAN_POINT('Origin',(-1.12138158006995,-1.17175875737395,-4.6875)); -#34876=CARTESIAN_POINT('',(-1.12138158006995,-1.17175875737395,-4.6875)); -#34877=CARTESIAN_POINT('Origin',(-1.49,-1.42986815373192,-4.6875)); -#34878=CARTESIAN_POINT('',(-1.85861841993004,-1.17175875737395,-4.6875)); -#34879=CARTESIAN_POINT('Origin',(-1.90712719335664,-1.24103646545266,-4.6875)); -#34880=CARTESIAN_POINT('',(-1.90712719335664,-1.24103646545266,-4.6875)); -#34881=CARTESIAN_POINT('Origin',(-2.02999999999999,-1.155,-4.6875)); -#34882=CARTESIAN_POINT('Origin',(-2.02999999999999,-1.155,-4.6875)); -#34883=CARTESIAN_POINT('',(-1.66138158006995,-1.41310939635797,-4.6875)); -#34884=CARTESIAN_POINT('Origin',(-1.61287280664335,-1.34383168827926,-4.6875)); -#34885=CARTESIAN_POINT('',(-1.61287280664335,-1.34383168827926,-4.6875)); -#34886=CARTESIAN_POINT('Origin',(-1.49,-1.42986815373192,-4.6875)); -#34887=CARTESIAN_POINT('',(-1.36712719335665,-1.34383168827927,-4.6875)); -#34888=CARTESIAN_POINT('Origin',(-1.31861841993004,-1.41310939635798,-4.6875)); -#34889=CARTESIAN_POINT('Origin',(2.34000000000001,1.155,-5.01250000000001)); -#34890=CARTESIAN_POINT('',(1.97138158006996,1.41310939635797,-5.01250000000001)); -#34891=CARTESIAN_POINT('Origin',(1.26000000000001,1.155,-5.01250000000001)); -#34892=CARTESIAN_POINT('',(1.62861841993005,1.41310939635797,-5.01250000000001)); -#34893=CARTESIAN_POINT('Origin',(1.62861841993005,1.41310939635797,-5.01250000000001)); -#34894=CARTESIAN_POINT('',(1.67712719335666,1.34383168827926,-5.01250000000001)); -#34895=CARTESIAN_POINT('Origin',(1.80000000000001,1.42986815373191,-5.01250000000001)); -#34896=CARTESIAN_POINT('',(1.92287280664336,1.34383168827926,-5.01250000000001)); -#34897=CARTESIAN_POINT('Origin',(1.92287280664336,1.34383168827926,-5.01250000000001)); -#34898=CARTESIAN_POINT('Origin',(2.34000000000001,1.155,-5.01250000000001)); -#34899=CARTESIAN_POINT('',(2.21712719335666,1.24103646545265,-5.01250000000001)); -#34900=CARTESIAN_POINT('Origin',(2.21712719335666,1.24103646545265,-5.01250000000001)); -#34901=CARTESIAN_POINT('',(2.16861841993005,1.17175875737394,-5.01250000000001)); -#34902=CARTESIAN_POINT('Origin',(1.80000000000001,1.42986815373191,-5.01250000000001)); -#34903=CARTESIAN_POINT('',(1.43138158006996,1.17175875737394,-5.01250000000001)); -#34904=CARTESIAN_POINT('Origin',(1.43138158006996,1.17175875737394,-5.01250000000001)); -#34905=CARTESIAN_POINT('',(1.38287280664335,1.24103646545265,-5.01250000000001)); -#34906=CARTESIAN_POINT('Origin',(1.26000000000001,1.155,-5.01250000000001)); -#34907=CARTESIAN_POINT('Origin',(0.949999999999994,-1.15500000000001,-4.6875)); -#34908=CARTESIAN_POINT('',(1.31861841993004,-1.41310939635798,-4.6875)); -#34909=CARTESIAN_POINT('Origin',(1.31861841993004,-1.41310939635798,-4.6875)); -#34910=CARTESIAN_POINT('',(1.36712719335665,-1.34383168827927,-4.6875)); -#34911=CARTESIAN_POINT('Origin',(1.49,-1.42986815373192,-4.6875)); -#34912=CARTESIAN_POINT('',(1.61287280664335,-1.34383168827926,-4.6875)); -#34913=CARTESIAN_POINT('Origin',(1.61287280664335,-1.34383168827926,-4.6875)); -#34914=CARTESIAN_POINT('',(1.66138158006995,-1.41310939635797,-4.6875)); -#34915=CARTESIAN_POINT('Origin',(2.02999999999999,-1.155,-4.6875)); -#34916=CARTESIAN_POINT('Origin',(2.02999999999999,-1.155,-4.6875)); -#34917=CARTESIAN_POINT('',(1.90712719335664,-1.24103646545266,-4.6875)); -#34918=CARTESIAN_POINT('Origin',(1.90712719335664,-1.24103646545266,-4.6875)); -#34919=CARTESIAN_POINT('',(1.85861841993004,-1.17175875737395,-4.6875)); -#34920=CARTESIAN_POINT('Origin',(1.49,-1.42986815373192,-4.6875)); -#34921=CARTESIAN_POINT('',(1.12138158006995,-1.17175875737395,-4.6875)); -#34922=CARTESIAN_POINT('Origin',(1.12138158006995,-1.17175875737395,-4.6875)); -#34923=CARTESIAN_POINT('',(1.07287280664334,-1.24103646545266,-4.6875)); -#34924=CARTESIAN_POINT('Origin',(0.949999999999994,-1.15500000000001,-4.6875)); -#34925=CARTESIAN_POINT('Origin',(2.45000000000001,-1.70506860951197,-6.87)); -#34926=CARTESIAN_POINT('',(3.05000000000001,-1.70506860951197,-6.87)); -#34927=CARTESIAN_POINT('',(3.05000000000001,-1.50506860951197,-6.87)); -#34928=CARTESIAN_POINT('',(3.05000000000001,-1.70506860951197,-6.87)); -#34929=CARTESIAN_POINT('',(2.45000000000001,-1.70506860951197,-6.87)); -#34930=CARTESIAN_POINT('',(2.45000000000001,-1.70506860951197,-6.87)); -#34931=CARTESIAN_POINT('',(2.45,-1.50506860951197,-6.87)); -#34932=CARTESIAN_POINT('',(2.45000000000001,-1.70506860951197,-6.87)); -#34933=CARTESIAN_POINT('',(2.45,-1.50506860951197,-6.87)); -#34934=CARTESIAN_POINT('Origin',(2.45000000000001,-1.70506860951197,-6.42)); -#34935=CARTESIAN_POINT('',(3.05000000000001,-1.70506860951197,-6.42)); -#34936=CARTESIAN_POINT('',(3.05000000000001,-1.70506860951197,-6.42)); -#34937=CARTESIAN_POINT('',(2.45000000000001,-1.70506860951197,-6.42)); -#34938=CARTESIAN_POINT('',(2.45000000000001,-1.70506860951197,-6.42)); -#34939=CARTESIAN_POINT('',(2.45000000000001,-1.70506860951197,-6.42)); -#34940=CARTESIAN_POINT('Origin',(2.45,-1.50506860951197,-6.42)); -#34941=CARTESIAN_POINT('',(3.05000000000001,-1.50506860951197,-6.22)); -#34942=CARTESIAN_POINT('Origin',(3.05000000000001,-1.50506860951197,-6.42)); -#34943=CARTESIAN_POINT('',(2.45,-1.50506860951197,-6.22)); -#34944=CARTESIAN_POINT('',(2.45,-1.50506860951197,-6.22)); -#34945=CARTESIAN_POINT('Origin',(2.45,-1.50506860951197,-6.42)); -#34946=CARTESIAN_POINT('Origin',(2.45,-1.50506860951197,-6.22)); -#34947=CARTESIAN_POINT('',(3.05,-1.29506860951197,-6.22)); -#34948=CARTESIAN_POINT('',(3.05000000000001,-1.50506860951197,-6.22)); -#34949=CARTESIAN_POINT('',(2.45,-1.29506860951197,-6.22)); -#34950=CARTESIAN_POINT('',(2.45,-1.29506860951197,-6.22)); -#34951=CARTESIAN_POINT('',(2.45,-1.50506860951197,-6.22)); -#34952=CARTESIAN_POINT('Origin',(2.45,-1.29506860951197,-6.22)); -#34953=CARTESIAN_POINT('',(3.05,-1.29499999999999,-6.42)); -#34954=CARTESIAN_POINT('',(3.05,-1.29506860951197,-6.22)); -#34955=CARTESIAN_POINT('',(2.45,-1.29499999999999,-6.42)); -#34956=CARTESIAN_POINT('',(2.45,-1.29499999999999,-6.42)); -#34957=CARTESIAN_POINT('',(2.45,-1.29506860951197,-6.22)); -#34958=CARTESIAN_POINT('Origin',(2.45,-1.29499999999999,-6.42)); -#34959=CARTESIAN_POINT('',(3.05000000000001,-1.50506860951197,-6.42)); -#34960=CARTESIAN_POINT('',(3.05,-1.29499999999999,-6.42)); -#34961=CARTESIAN_POINT('',(2.45,-1.50506860951197,-6.42)); -#34962=CARTESIAN_POINT('',(2.45,-1.50506860951197,-6.42)); -#34963=CARTESIAN_POINT('',(2.45,-1.29499999999999,-6.42)); -#34964=CARTESIAN_POINT('Origin',(2.45,-1.50506860951197,-6.87)); -#34965=CARTESIAN_POINT('',(3.05000000000001,-1.50506860951197,-6.87)); -#34966=CARTESIAN_POINT('',(2.45,-1.50506860951197,-6.87)); -#34967=CARTESIAN_POINT('Origin',(2.45,-1.50506860951197,-6.42)); -#34968=CARTESIAN_POINT('Origin',(3.05000000000001,-1.50506860951197,-6.42)); -#34969=CARTESIAN_POINT('Origin',(1.20000000000001,-1.70506860951198,-6.87)); -#34970=CARTESIAN_POINT('',(1.8,-1.70506860951197,-6.87)); -#34971=CARTESIAN_POINT('',(1.8,-1.50506860951197,-6.87)); -#34972=CARTESIAN_POINT('',(1.8,-1.70506860951197,-6.87)); -#34973=CARTESIAN_POINT('',(1.20000000000001,-1.70506860951198,-6.87)); -#34974=CARTESIAN_POINT('',(1.20000000000001,-1.70506860951198,-6.87)); -#34975=CARTESIAN_POINT('',(1.2,-1.50506860951197,-6.87)); -#34976=CARTESIAN_POINT('',(1.20000000000001,-1.70506860951198,-6.87)); -#34977=CARTESIAN_POINT('',(1.2,-1.50506860951197,-6.87)); -#34978=CARTESIAN_POINT('Origin',(1.20000000000001,-1.70506860951198,-6.42)); -#34979=CARTESIAN_POINT('',(1.8,-1.70506860951198,-6.42)); -#34980=CARTESIAN_POINT('',(1.8,-1.70506860951197,-6.42)); -#34981=CARTESIAN_POINT('',(1.20000000000001,-1.70506860951198,-6.42)); -#34982=CARTESIAN_POINT('',(1.20000000000001,-1.70506860951198,-6.42)); -#34983=CARTESIAN_POINT('',(1.20000000000001,-1.70506860951198,-6.42)); -#34984=CARTESIAN_POINT('Origin',(1.2,-1.50506860951198,-6.42)); -#34985=CARTESIAN_POINT('',(1.8,-1.50506860951197,-6.22)); -#34986=CARTESIAN_POINT('Origin',(1.8,-1.50506860951197,-6.42)); -#34987=CARTESIAN_POINT('',(1.2,-1.50506860951197,-6.22)); -#34988=CARTESIAN_POINT('',(1.2,-1.50506860951197,-6.22)); -#34989=CARTESIAN_POINT('Origin',(1.2,-1.50506860951198,-6.42)); -#34990=CARTESIAN_POINT('Origin',(1.2,-1.50506860951197,-6.22)); -#34991=CARTESIAN_POINT('',(1.8,-1.29506860951198,-6.22)); -#34992=CARTESIAN_POINT('',(1.8,-1.50506860951197,-6.22)); -#34993=CARTESIAN_POINT('',(1.2,-1.29506860951198,-6.22)); -#34994=CARTESIAN_POINT('',(1.2,-1.29506860951198,-6.22)); -#34995=CARTESIAN_POINT('',(1.2,-1.50506860951197,-6.22)); -#34996=CARTESIAN_POINT('Origin',(1.2,-1.29506860951198,-6.22)); -#34997=CARTESIAN_POINT('',(1.8,-1.295,-6.42)); -#34998=CARTESIAN_POINT('',(1.8,-1.29506860951198,-6.22)); -#34999=CARTESIAN_POINT('',(1.2,-1.295,-6.42)); -#35000=CARTESIAN_POINT('',(1.2,-1.295,-6.42)); -#35001=CARTESIAN_POINT('',(1.2,-1.29506860951198,-6.22)); -#35002=CARTESIAN_POINT('Origin',(1.2,-1.295,-6.42)); -#35003=CARTESIAN_POINT('',(1.8,-1.50506860951197,-6.42)); -#35004=CARTESIAN_POINT('',(1.8,-1.295,-6.42)); -#35005=CARTESIAN_POINT('',(1.2,-1.50506860951198,-6.42)); -#35006=CARTESIAN_POINT('',(1.2,-1.50506860951198,-6.42)); -#35007=CARTESIAN_POINT('',(1.2,-1.295,-6.42)); -#35008=CARTESIAN_POINT('Origin',(1.2,-1.50506860951197,-6.87)); -#35009=CARTESIAN_POINT('',(1.8,-1.50506860951197,-6.87)); -#35010=CARTESIAN_POINT('',(1.2,-1.50506860951197,-6.87)); -#35011=CARTESIAN_POINT('Origin',(1.2,-1.50506860951198,-6.42)); -#35012=CARTESIAN_POINT('Origin',(1.8,-1.50506860951197,-6.42)); -#35013=CARTESIAN_POINT('Origin',(0.160000000000005,-1.70506860951198,-6.87)); -#35014=CARTESIAN_POINT('',(0.760000000000005,-1.70506860951198,-6.87)); -#35015=CARTESIAN_POINT('',(0.760000000000004,-1.50506860951198,-6.87)); -#35016=CARTESIAN_POINT('',(0.760000000000005,-1.70506860951198,-6.87)); -#35017=CARTESIAN_POINT('',(0.160000000000005,-1.70506860951198,-6.87)); -#35018=CARTESIAN_POINT('',(0.160000000000005,-1.70506860951198,-6.87)); -#35019=CARTESIAN_POINT('',(0.160000000000005,-1.50506860951198,-6.87)); -#35020=CARTESIAN_POINT('',(0.160000000000005,-1.70506860951198,-6.87)); -#35021=CARTESIAN_POINT('',(0.160000000000005,-1.50506860951198,-6.87)); -#35022=CARTESIAN_POINT('Origin',(0.160000000000005,-1.70506860951198,-6.42)); -#35023=CARTESIAN_POINT('',(0.760000000000005,-1.70506860951198,-6.42)); -#35024=CARTESIAN_POINT('',(0.760000000000005,-1.70506860951198,-6.42)); -#35025=CARTESIAN_POINT('',(0.160000000000005,-1.70506860951198,-6.42)); -#35026=CARTESIAN_POINT('',(0.160000000000005,-1.70506860951198,-6.42)); -#35027=CARTESIAN_POINT('',(0.160000000000005,-1.70506860951198,-6.42)); -#35028=CARTESIAN_POINT('Origin',(0.160000000000005,-1.50506860951198,-6.42)); -#35029=CARTESIAN_POINT('',(0.760000000000004,-1.50506860951198,-6.22)); -#35030=CARTESIAN_POINT('Origin',(0.760000000000004,-1.50506860951198,-6.42)); -#35031=CARTESIAN_POINT('',(0.160000000000005,-1.50506860951198,-6.22)); -#35032=CARTESIAN_POINT('',(0.160000000000005,-1.50506860951198,-6.22)); -#35033=CARTESIAN_POINT('Origin',(0.160000000000005,-1.50506860951198,-6.42)); -#35034=CARTESIAN_POINT('Origin',(0.160000000000005,-1.50506860951198,-6.22)); -#35035=CARTESIAN_POINT('',(0.760000000000004,-1.29506860951198,-6.22)); -#35036=CARTESIAN_POINT('',(0.760000000000004,-1.50506860951198,-6.22)); -#35037=CARTESIAN_POINT('',(0.160000000000004,-1.29506860951198,-6.22)); -#35038=CARTESIAN_POINT('',(0.160000000000004,-1.29506860951198,-6.22)); -#35039=CARTESIAN_POINT('',(0.160000000000005,-1.50506860951198,-6.22)); -#35040=CARTESIAN_POINT('Origin',(0.160000000000004,-1.29506860951198,-6.22)); -#35041=CARTESIAN_POINT('',(0.760000000000004,-1.295,-6.42)); -#35042=CARTESIAN_POINT('',(0.760000000000004,-1.29506860951198,-6.22)); -#35043=CARTESIAN_POINT('',(0.160000000000004,-1.295,-6.42)); -#35044=CARTESIAN_POINT('',(0.160000000000004,-1.295,-6.42)); -#35045=CARTESIAN_POINT('',(0.160000000000004,-1.29506860951198,-6.22)); -#35046=CARTESIAN_POINT('Origin',(0.160000000000004,-1.295,-6.42)); -#35047=CARTESIAN_POINT('',(0.760000000000004,-1.50506860951198,-6.42)); -#35048=CARTESIAN_POINT('',(0.760000000000004,-1.295,-6.42)); -#35049=CARTESIAN_POINT('',(0.160000000000005,-1.50506860951198,-6.42)); -#35050=CARTESIAN_POINT('',(0.160000000000005,-1.50506860951198,-6.42)); -#35051=CARTESIAN_POINT('',(0.160000000000004,-1.295,-6.42)); -#35052=CARTESIAN_POINT('Origin',(0.160000000000005,-1.50506860951198,-6.87)); -#35053=CARTESIAN_POINT('',(0.760000000000004,-1.50506860951198,-6.87)); -#35054=CARTESIAN_POINT('',(0.160000000000005,-1.50506860951198,-6.87)); -#35055=CARTESIAN_POINT('Origin',(0.160000000000005,-1.50506860951198,-6.42)); -#35056=CARTESIAN_POINT('Origin',(0.760000000000004,-1.50506860951198,-6.42)); -#35057=CARTESIAN_POINT('Origin',(-0.160000000000005,-1.70506860951198,-6.87)); -#35058=CARTESIAN_POINT('',(-0.760000000000005,-1.70506860951198,-6.87)); -#35059=CARTESIAN_POINT('',(-0.760000000000004,-1.50506860951198,-6.87)); -#35060=CARTESIAN_POINT('',(-0.760000000000005,-1.70506860951198,-6.87)); -#35061=CARTESIAN_POINT('',(-0.160000000000005,-1.50506860951198,-6.87)); -#35062=CARTESIAN_POINT('',(-0.160000000000005,-1.50506860951198,-6.87)); -#35063=CARTESIAN_POINT('',(-0.160000000000005,-1.70506860951198,-6.87)); -#35064=CARTESIAN_POINT('',(-0.160000000000005,-1.70506860951198,-6.87)); -#35065=CARTESIAN_POINT('',(-0.160000000000005,-1.70506860951198,-6.87)); -#35066=CARTESIAN_POINT('Origin',(-0.160000000000005,-1.70506860951198,-6.42)); -#35067=CARTESIAN_POINT('',(-0.760000000000005,-1.70506860951198,-6.42)); -#35068=CARTESIAN_POINT('',(-0.760000000000005,-1.70506860951198,-6.42)); -#35069=CARTESIAN_POINT('',(-0.160000000000005,-1.70506860951198,-6.42)); -#35070=CARTESIAN_POINT('',(-0.160000000000005,-1.70506860951198,-6.42)); -#35071=CARTESIAN_POINT('',(-0.160000000000005,-1.70506860951198,-6.42)); -#35072=CARTESIAN_POINT('Origin',(-0.160000000000005,-1.50506860951198,-6.42)); -#35073=CARTESIAN_POINT('',(-0.760000000000004,-1.50506860951198,-6.22)); -#35074=CARTESIAN_POINT('Origin',(-0.760000000000004,-1.50506860951198,-6.42)); -#35075=CARTESIAN_POINT('',(-0.160000000000005,-1.50506860951198,-6.22)); -#35076=CARTESIAN_POINT('Origin',(-0.160000000000005,-1.50506860951198,-6.42)); -#35077=CARTESIAN_POINT('',(-0.160000000000005,-1.50506860951198,-6.22)); -#35078=CARTESIAN_POINT('Origin',(-0.160000000000005,-1.50506860951198,-6.22)); -#35079=CARTESIAN_POINT('',(-0.760000000000004,-1.29506860951198,-6.22)); -#35080=CARTESIAN_POINT('',(-0.760000000000004,-1.50506860951198,-6.22)); -#35081=CARTESIAN_POINT('',(-0.160000000000004,-1.29506860951198,-6.22)); -#35082=CARTESIAN_POINT('',(-0.160000000000005,-1.50506860951198,-6.22)); -#35083=CARTESIAN_POINT('',(-0.160000000000004,-1.29506860951198,-6.22)); -#35084=CARTESIAN_POINT('Origin',(-0.160000000000004,-1.29506860951198,-6.22)); -#35085=CARTESIAN_POINT('',(-0.760000000000004,-1.295,-6.42)); -#35086=CARTESIAN_POINT('',(-0.760000000000004,-1.29506860951198,-6.22)); -#35087=CARTESIAN_POINT('',(-0.160000000000004,-1.295,-6.42)); -#35088=CARTESIAN_POINT('',(-0.160000000000004,-1.29506860951198,-6.22)); -#35089=CARTESIAN_POINT('',(-0.160000000000004,-1.295,-6.42)); -#35090=CARTESIAN_POINT('Origin',(-0.160000000000004,-1.295,-6.42)); -#35091=CARTESIAN_POINT('',(-0.760000000000004,-1.50506860951198,-6.42)); -#35092=CARTESIAN_POINT('',(-0.760000000000004,-1.295,-6.42)); -#35093=CARTESIAN_POINT('',(-0.160000000000005,-1.50506860951198,-6.42)); -#35094=CARTESIAN_POINT('',(-0.160000000000004,-1.295,-6.42)); -#35095=CARTESIAN_POINT('',(-0.160000000000005,-1.50506860951198,-6.42)); -#35096=CARTESIAN_POINT('Origin',(-0.160000000000005,-1.50506860951198,-6.87)); -#35097=CARTESIAN_POINT('',(-0.760000000000004,-1.50506860951198,-6.87)); -#35098=CARTESIAN_POINT('',(-0.160000000000005,-1.50506860951198,-6.87)); -#35099=CARTESIAN_POINT('Origin',(-0.160000000000005,-1.50506860951198,-6.42)); -#35100=CARTESIAN_POINT('Origin',(-0.760000000000004,-1.50506860951198,-6.42)); -#35101=CARTESIAN_POINT('Origin',(-1.20000000000001,-1.70506860951198,-6.87)); -#35102=CARTESIAN_POINT('',(-1.8,-1.70506860951197,-6.87)); -#35103=CARTESIAN_POINT('',(-1.8,-1.50506860951197,-6.87)); -#35104=CARTESIAN_POINT('',(-1.8,-1.70506860951197,-6.87)); -#35105=CARTESIAN_POINT('',(-1.2,-1.50506860951197,-6.87)); -#35106=CARTESIAN_POINT('',(-1.2,-1.50506860951197,-6.87)); -#35107=CARTESIAN_POINT('',(-1.20000000000001,-1.70506860951198,-6.87)); -#35108=CARTESIAN_POINT('',(-1.20000000000001,-1.70506860951198,-6.87)); -#35109=CARTESIAN_POINT('',(-1.20000000000001,-1.70506860951198,-6.87)); -#35110=CARTESIAN_POINT('Origin',(-1.20000000000001,-1.70506860951198,-6.42)); -#35111=CARTESIAN_POINT('',(-1.8,-1.70506860951198,-6.42)); -#35112=CARTESIAN_POINT('',(-1.8,-1.70506860951197,-6.42)); -#35113=CARTESIAN_POINT('',(-1.20000000000001,-1.70506860951198,-6.42)); -#35114=CARTESIAN_POINT('',(-1.20000000000001,-1.70506860951198,-6.42)); -#35115=CARTESIAN_POINT('',(-1.20000000000001,-1.70506860951198,-6.42)); -#35116=CARTESIAN_POINT('Origin',(-1.2,-1.50506860951198,-6.42)); -#35117=CARTESIAN_POINT('',(-1.8,-1.50506860951197,-6.22)); -#35118=CARTESIAN_POINT('Origin',(-1.8,-1.50506860951197,-6.42)); -#35119=CARTESIAN_POINT('',(-1.2,-1.50506860951197,-6.22)); -#35120=CARTESIAN_POINT('Origin',(-1.2,-1.50506860951198,-6.42)); -#35121=CARTESIAN_POINT('',(-1.2,-1.50506860951197,-6.22)); -#35122=CARTESIAN_POINT('Origin',(-1.2,-1.50506860951197,-6.22)); -#35123=CARTESIAN_POINT('',(-1.8,-1.29506860951198,-6.22)); -#35124=CARTESIAN_POINT('',(-1.8,-1.50506860951197,-6.22)); -#35125=CARTESIAN_POINT('',(-1.2,-1.29506860951198,-6.22)); -#35126=CARTESIAN_POINT('',(-1.2,-1.50506860951197,-6.22)); -#35127=CARTESIAN_POINT('',(-1.2,-1.29506860951198,-6.22)); -#35128=CARTESIAN_POINT('Origin',(-1.2,-1.29506860951198,-6.22)); -#35129=CARTESIAN_POINT('',(-1.8,-1.295,-6.42)); -#35130=CARTESIAN_POINT('',(-1.8,-1.29506860951198,-6.22)); -#35131=CARTESIAN_POINT('',(-1.2,-1.295,-6.42)); -#35132=CARTESIAN_POINT('',(-1.2,-1.29506860951198,-6.22)); -#35133=CARTESIAN_POINT('',(-1.2,-1.295,-6.42)); -#35134=CARTESIAN_POINT('Origin',(-1.2,-1.295,-6.42)); -#35135=CARTESIAN_POINT('',(-1.8,-1.50506860951197,-6.42)); -#35136=CARTESIAN_POINT('',(-1.8,-1.295,-6.42)); -#35137=CARTESIAN_POINT('',(-1.2,-1.50506860951198,-6.42)); -#35138=CARTESIAN_POINT('',(-1.2,-1.295,-6.42)); -#35139=CARTESIAN_POINT('',(-1.2,-1.50506860951198,-6.42)); -#35140=CARTESIAN_POINT('Origin',(-1.2,-1.50506860951197,-6.87)); -#35141=CARTESIAN_POINT('',(-1.8,-1.50506860951197,-6.87)); -#35142=CARTESIAN_POINT('',(-1.2,-1.50506860951197,-6.87)); -#35143=CARTESIAN_POINT('Origin',(-1.2,-1.50506860951198,-6.42)); -#35144=CARTESIAN_POINT('Origin',(-1.8,-1.50506860951197,-6.42)); -#35145=CARTESIAN_POINT('Origin',(-2.45000000000001,-1.70506860951197,-6.87)); -#35146=CARTESIAN_POINT('',(-3.05000000000001,-1.70506860951197,-6.87)); -#35147=CARTESIAN_POINT('',(-3.05000000000001,-1.50506860951197,-6.87)); -#35148=CARTESIAN_POINT('',(-3.05000000000001,-1.70506860951197,-6.87)); -#35149=CARTESIAN_POINT('',(-2.45,-1.50506860951197,-6.87)); -#35150=CARTESIAN_POINT('',(-2.45,-1.50506860951197,-6.87)); -#35151=CARTESIAN_POINT('',(-2.45000000000001,-1.70506860951197,-6.87)); -#35152=CARTESIAN_POINT('',(-2.45000000000001,-1.70506860951197,-6.87)); -#35153=CARTESIAN_POINT('',(-2.45000000000001,-1.70506860951197,-6.87)); -#35154=CARTESIAN_POINT('Origin',(-2.45000000000001,-1.70506860951197,-6.42)); -#35155=CARTESIAN_POINT('',(-3.05000000000001,-1.70506860951197,-6.42)); -#35156=CARTESIAN_POINT('',(-3.05000000000001,-1.70506860951197,-6.42)); -#35157=CARTESIAN_POINT('',(-2.45000000000001,-1.70506860951197,-6.42)); -#35158=CARTESIAN_POINT('',(-2.45000000000001,-1.70506860951197,-6.42)); -#35159=CARTESIAN_POINT('',(-2.45000000000001,-1.70506860951197,-6.42)); -#35160=CARTESIAN_POINT('Origin',(-2.45,-1.50506860951197,-6.42)); -#35161=CARTESIAN_POINT('',(-3.05000000000001,-1.50506860951197,-6.22)); -#35162=CARTESIAN_POINT('Origin',(-3.05000000000001,-1.50506860951197,-6.42)); -#35163=CARTESIAN_POINT('',(-2.45,-1.50506860951197,-6.22)); -#35164=CARTESIAN_POINT('Origin',(-2.45,-1.50506860951197,-6.42)); -#35165=CARTESIAN_POINT('',(-2.45,-1.50506860951197,-6.22)); -#35166=CARTESIAN_POINT('Origin',(-2.45,-1.50506860951197,-6.22)); -#35167=CARTESIAN_POINT('',(-3.05,-1.29506860951197,-6.22)); -#35168=CARTESIAN_POINT('',(-3.05000000000001,-1.50506860951197,-6.22)); -#35169=CARTESIAN_POINT('',(-2.45,-1.29506860951197,-6.22)); -#35170=CARTESIAN_POINT('',(-2.45,-1.50506860951197,-6.22)); -#35171=CARTESIAN_POINT('',(-2.45,-1.29506860951197,-6.22)); -#35172=CARTESIAN_POINT('Origin',(-2.45,-1.29506860951197,-6.22)); -#35173=CARTESIAN_POINT('',(-3.05,-1.29499999999999,-6.42)); -#35174=CARTESIAN_POINT('',(-3.05,-1.29506860951197,-6.22)); -#35175=CARTESIAN_POINT('',(-2.45,-1.29499999999999,-6.42)); -#35176=CARTESIAN_POINT('',(-2.45,-1.29506860951197,-6.22)); -#35177=CARTESIAN_POINT('',(-2.45,-1.29499999999999,-6.42)); -#35178=CARTESIAN_POINT('Origin',(-2.45,-1.29499999999999,-6.42)); -#35179=CARTESIAN_POINT('',(-3.05000000000001,-1.50506860951197,-6.42)); -#35180=CARTESIAN_POINT('',(-3.05,-1.29499999999999,-6.42)); -#35181=CARTESIAN_POINT('',(-2.45,-1.50506860951197,-6.42)); -#35182=CARTESIAN_POINT('',(-2.45,-1.29499999999999,-6.42)); -#35183=CARTESIAN_POINT('',(-2.45,-1.50506860951197,-6.42)); -#35184=CARTESIAN_POINT('Origin',(-2.45,-1.50506860951197,-6.87)); -#35185=CARTESIAN_POINT('',(-3.05000000000001,-1.50506860951197,-6.87)); -#35186=CARTESIAN_POINT('',(-2.45,-1.50506860951197,-6.87)); -#35187=CARTESIAN_POINT('Origin',(-2.45,-1.50506860951197,-6.42)); -#35188=CARTESIAN_POINT('Origin',(-3.05000000000001,-1.50506860951197,-6.42)); -#35189=CARTESIAN_POINT('Origin',(3.05042058163649,0.075,-1.10179347282039)); -#35190=CARTESIAN_POINT('',(3.32616118341306,-0.075,-0.983610899943105)); -#35191=CARTESIAN_POINT('',(3.35,-0.075,-1.08591354545973)); -#35192=CARTESIAN_POINT('Origin',(3.05042058163649,-0.075,-1.10179347282039)); -#35193=CARTESIAN_POINT('',(3.35,0.075,-1.08591354545973)); -#35194=CARTESIAN_POINT('',(3.35,0.075,-1.08591354545973)); -#35195=CARTESIAN_POINT('',(3.32616118341306,0.075,-0.983610899943105)); -#35196=CARTESIAN_POINT('Origin',(3.05042058163649,0.075,-1.10179347282039)); -#35197=CARTESIAN_POINT('',(3.32616118341306,0.075,-0.983610899943105)); -#35198=CARTESIAN_POINT('Origin',(3.35,0.075,-1.08591354545973)); -#35199=CARTESIAN_POINT('',(3.35,-0.075,-1.20091354545973)); -#35200=CARTESIAN_POINT('',(3.35,-0.075,-1.08591354545973)); -#35201=CARTESIAN_POINT('',(3.35,0.075,-1.20091354545973)); -#35202=CARTESIAN_POINT('',(3.35,0.075,-1.20091354545973)); -#35203=CARTESIAN_POINT('',(3.35,0.075,-1.08591354545973)); -#35204=CARTESIAN_POINT('Origin',(3.25,0.075,-1.20091354545973)); -#35205=CARTESIAN_POINT('',(3.28238765962172,-0.075,-1.29552347889289)); -#35206=CARTESIAN_POINT('Origin',(3.25,-0.075,-1.20091354545973)); -#35207=CARTESIAN_POINT('',(3.28238765962172,0.075,-1.29552347889289)); -#35208=CARTESIAN_POINT('',(3.28238765962172,0.075,-1.29552347889289)); -#35209=CARTESIAN_POINT('Origin',(3.25,0.075,-1.20091354545973)); -#35210=CARTESIAN_POINT('Origin',(3.34580398915498,0.075,-1.4807735026919)); -#35211=CARTESIAN_POINT('',(3.15,-0.075,-1.4807735026919)); -#35212=CARTESIAN_POINT('Origin',(3.34580398915498,-0.075,-1.4807735026919)); -#35213=CARTESIAN_POINT('',(3.15,0.075,-1.4807735026919)); -#35214=CARTESIAN_POINT('',(3.15,0.075,-1.4807735026919)); -#35215=CARTESIAN_POINT('Origin',(3.34580398915498,0.075,-1.4807735026919)); -#35216=CARTESIAN_POINT('Origin',(3.15,0.075,-1.4807735026919)); -#35217=CARTESIAN_POINT('',(3.15,-0.075,-1.7507735026919)); -#35218=CARTESIAN_POINT('',(3.15,-0.075,-1.4807735026919)); -#35219=CARTESIAN_POINT('',(3.15,0.075,-1.7507735026919)); -#35220=CARTESIAN_POINT('',(3.15,0.075,-1.7507735026919)); -#35221=CARTESIAN_POINT('',(3.15,0.075,-1.4807735026919)); -#35222=CARTESIAN_POINT('Origin',(3.15,0.075,-1.8007735026919)); -#35223=CARTESIAN_POINT('',(3.15,-0.075,-1.8507735026919)); -#35224=CARTESIAN_POINT('Origin',(3.15,-0.075,-1.8007735026919)); -#35225=CARTESIAN_POINT('',(3.15,0.075,-1.8507735026919)); -#35226=CARTESIAN_POINT('',(3.15,0.075,-1.8507735026919)); -#35227=CARTESIAN_POINT('Origin',(3.15,0.075,-1.8007735026919)); -#35228=CARTESIAN_POINT('Origin',(3.15,0.075,-1.8507735026919)); -#35229=CARTESIAN_POINT('',(3.15,-0.075,-2.7107735026919)); -#35230=CARTESIAN_POINT('',(3.15,-0.075,-1.8507735026919)); -#35231=CARTESIAN_POINT('',(3.15,0.075,-2.7107735026919)); -#35232=CARTESIAN_POINT('',(3.15,0.075,-2.7107735026919)); -#35233=CARTESIAN_POINT('',(3.15,0.075,-1.8507735026919)); -#35234=CARTESIAN_POINT('Origin',(3.15,0.075,-2.7607735026919)); -#35235=CARTESIAN_POINT('',(3.15,-0.075,-2.8107735026919)); -#35236=CARTESIAN_POINT('Origin',(3.15,-0.075,-2.7607735026919)); -#35237=CARTESIAN_POINT('',(3.15,0.075,-2.8107735026919)); -#35238=CARTESIAN_POINT('',(3.15,0.075,-2.8107735026919)); -#35239=CARTESIAN_POINT('Origin',(3.15,0.075,-2.7607735026919)); -#35240=CARTESIAN_POINT('Origin',(3.15,0.075,-2.8107735026919)); -#35241=CARTESIAN_POINT('',(3.15,-0.075,-2.9807735026919)); -#35242=CARTESIAN_POINT('',(3.15,-0.075,-2.8107735026919)); -#35243=CARTESIAN_POINT('',(3.15,0.075,-2.9807735026919)); -#35244=CARTESIAN_POINT('',(3.15,0.075,-2.9807735026919)); -#35245=CARTESIAN_POINT('',(3.15,0.075,-2.8107735026919)); -#35246=CARTESIAN_POINT('Origin',(3.15,0.075,-2.9807735026919)); -#35247=CARTESIAN_POINT('',(2.625,-0.075,-2.98077350269189)); -#35248=CARTESIAN_POINT('',(3.15,-0.075,-2.9807735026919)); -#35249=CARTESIAN_POINT('',(2.625,0.075,-2.98077350269189)); -#35250=CARTESIAN_POINT('',(2.625,0.075,-2.98077350269189)); -#35251=CARTESIAN_POINT('',(3.15,0.075,-2.9807735026919)); -#35252=CARTESIAN_POINT('Origin',(2.625,0.075,-2.98077350269189)); -#35253=CARTESIAN_POINT('',(2.625,-0.075,-0.805913545459726)); -#35254=CARTESIAN_POINT('',(2.625,-0.075,-2.98077350269189)); -#35255=CARTESIAN_POINT('',(2.625,0.075,-0.805913545459726)); -#35256=CARTESIAN_POINT('',(2.625,0.075,-0.805913545459726)); -#35257=CARTESIAN_POINT('',(2.625,0.075,-2.98077350269189)); -#35258=CARTESIAN_POINT('Origin',(2.625,0.075,-0.805913545459726)); -#35259=CARTESIAN_POINT('',(3.25,-0.075,-0.805913545459726)); -#35260=CARTESIAN_POINT('',(2.625,-0.075,-0.805913545459726)); -#35261=CARTESIAN_POINT('',(3.25,0.075,-0.805913545459726)); -#35262=CARTESIAN_POINT('',(3.25,0.075,-0.805913545459726)); -#35263=CARTESIAN_POINT('',(2.625,0.075,-0.805913545459726)); -#35264=CARTESIAN_POINT('Origin',(3.25,0.075,-0.805913545459726)); -#35265=CARTESIAN_POINT('',(3.25,-0.075,-0.805913545459726)); -#35266=CARTESIAN_POINT('',(3.25,0.075,-0.805913545459726)); -#35267=CARTESIAN_POINT('Origin',(3.05042058163649,0.075,-1.10179347282039)); -#35268=CARTESIAN_POINT('Origin',(3.05042058163649,-0.075,-1.10179347282039)); -#35269=CARTESIAN_POINT('Origin',(-3.15,0.075,-2.7607735026919)); -#35270=CARTESIAN_POINT('',(-3.15,-0.075,-2.81077350269189)); -#35271=CARTESIAN_POINT('',(-3.15,-0.075,-2.7107735026919)); -#35272=CARTESIAN_POINT('Origin',(-3.15,-0.075,-2.7607735026919)); -#35273=CARTESIAN_POINT('',(-3.15,0.075,-2.7107735026919)); -#35274=CARTESIAN_POINT('',(-3.15,0.075,-2.7107735026919)); -#35275=CARTESIAN_POINT('',(-3.15,0.075,-2.81077350269189)); -#35276=CARTESIAN_POINT('Origin',(-3.15,0.075,-2.7607735026919)); -#35277=CARTESIAN_POINT('',(-3.15,0.075,-2.81077350269189)); -#35278=CARTESIAN_POINT('Origin',(-3.15,0.075,-2.7107735026919)); -#35279=CARTESIAN_POINT('',(-3.15,-0.075,-1.8507735026919)); -#35280=CARTESIAN_POINT('',(-3.15,-0.075,-2.7107735026919)); -#35281=CARTESIAN_POINT('',(-3.15,0.075,-1.8507735026919)); -#35282=CARTESIAN_POINT('',(-3.15,0.075,-1.8507735026919)); -#35283=CARTESIAN_POINT('',(-3.15,0.075,-2.7107735026919)); -#35284=CARTESIAN_POINT('Origin',(-3.15,0.075,-1.8007735026919)); -#35285=CARTESIAN_POINT('',(-3.15,-0.075,-1.7507735026919)); -#35286=CARTESIAN_POINT('Origin',(-3.15,-0.075,-1.8007735026919)); -#35287=CARTESIAN_POINT('',(-3.15,0.075,-1.7507735026919)); -#35288=CARTESIAN_POINT('',(-3.15,0.075,-1.7507735026919)); -#35289=CARTESIAN_POINT('Origin',(-3.15,0.075,-1.8007735026919)); -#35290=CARTESIAN_POINT('Origin',(-3.15,0.075,-1.7507735026919)); -#35291=CARTESIAN_POINT('',(-3.15,-0.075,-1.4807735026919)); -#35292=CARTESIAN_POINT('',(-3.15,-0.075,-1.7507735026919)); -#35293=CARTESIAN_POINT('',(-3.15,0.075,-1.4807735026919)); -#35294=CARTESIAN_POINT('',(-3.15,0.075,-1.4807735026919)); -#35295=CARTESIAN_POINT('',(-3.15,0.075,-1.7507735026919)); -#35296=CARTESIAN_POINT('Origin',(-3.34580398915498,0.075,-1.4807735026919)); -#35297=CARTESIAN_POINT('',(-3.28238765962172,-0.075,-1.29552347889289)); -#35298=CARTESIAN_POINT('Origin',(-3.34580398915498,-0.075,-1.4807735026919)); -#35299=CARTESIAN_POINT('',(-3.28238765962172,0.075,-1.29552347889289)); -#35300=CARTESIAN_POINT('',(-3.28238765962172,0.075,-1.29552347889289)); -#35301=CARTESIAN_POINT('Origin',(-3.34580398915498,0.075,-1.4807735026919)); -#35302=CARTESIAN_POINT('Origin',(-3.25,0.075,-1.20091354545973)); -#35303=CARTESIAN_POINT('',(-3.35,-0.075,-1.20091354545973)); -#35304=CARTESIAN_POINT('Origin',(-3.25,-0.075,-1.20091354545973)); -#35305=CARTESIAN_POINT('',(-3.35,0.075,-1.20091354545973)); -#35306=CARTESIAN_POINT('',(-3.35,0.075,-1.20091354545973)); -#35307=CARTESIAN_POINT('Origin',(-3.25,0.075,-1.20091354545973)); -#35308=CARTESIAN_POINT('Origin',(-3.35,0.075,-1.20091354545973)); -#35309=CARTESIAN_POINT('',(-3.35,-0.075,-1.08591354545973)); -#35310=CARTESIAN_POINT('',(-3.35,-0.075,-1.20091354545973)); -#35311=CARTESIAN_POINT('',(-3.35,0.075,-1.08591354545973)); -#35312=CARTESIAN_POINT('',(-3.35,0.075,-1.08591354545973)); -#35313=CARTESIAN_POINT('',(-3.35,0.075,-1.20091354545973)); -#35314=CARTESIAN_POINT('Origin',(-3.05042058163649,0.075,-1.10179347282039)); -#35315=CARTESIAN_POINT('',(-3.32616118341306,-0.075,-0.983610899943106)); -#35316=CARTESIAN_POINT('Origin',(-3.05042058163649,-0.075,-1.10179347282039)); -#35317=CARTESIAN_POINT('',(-3.32616118341306,0.075,-0.983610899943106)); -#35318=CARTESIAN_POINT('',(-3.32616118341306,0.075,-0.983610899943106)); -#35319=CARTESIAN_POINT('Origin',(-3.05042058163649,0.075,-1.10179347282039)); -#35320=CARTESIAN_POINT('Origin',(-3.32616118341306,0.075,-0.983610899943105)); -#35321=CARTESIAN_POINT('',(-3.25,-0.075,-0.805913545459726)); -#35322=CARTESIAN_POINT('',(-3.32616118341306,-0.075,-0.983610899943105)); -#35323=CARTESIAN_POINT('',(-3.25,0.075,-0.805913545459726)); -#35324=CARTESIAN_POINT('',(-3.25,0.075,-0.805913545459726)); -#35325=CARTESIAN_POINT('',(-3.32616118341306,0.075,-0.983610899943105)); -#35326=CARTESIAN_POINT('Origin',(-3.25,0.075,-0.805913545459726)); -#35327=CARTESIAN_POINT('',(-2.625,-0.075,-0.805913545459726)); -#35328=CARTESIAN_POINT('',(-3.25,-0.075,-0.805913545459726)); -#35329=CARTESIAN_POINT('',(-2.625,0.075,-0.805913545459726)); -#35330=CARTESIAN_POINT('',(-2.625,0.075,-0.805913545459726)); -#35331=CARTESIAN_POINT('',(-3.25,0.075,-0.805913545459726)); -#35332=CARTESIAN_POINT('Origin',(-2.625,0.075,-0.805913545459726)); -#35333=CARTESIAN_POINT('',(-2.625,-0.075,-2.98077350269189)); -#35334=CARTESIAN_POINT('',(-2.625,-0.075,-0.805913545459726)); -#35335=CARTESIAN_POINT('',(-2.625,0.075,-2.98077350269189)); -#35336=CARTESIAN_POINT('',(-2.625,0.075,-2.98077350269189)); -#35337=CARTESIAN_POINT('',(-2.625,0.075,-0.805913545459726)); -#35338=CARTESIAN_POINT('Origin',(-2.625,0.075,-2.98077350269189)); -#35339=CARTESIAN_POINT('',(-3.15,-0.075,-2.98077350269189)); -#35340=CARTESIAN_POINT('',(-2.625,-0.075,-2.98077350269189)); -#35341=CARTESIAN_POINT('',(-3.15,0.075,-2.98077350269189)); -#35342=CARTESIAN_POINT('',(-3.15,0.075,-2.98077350269189)); -#35343=CARTESIAN_POINT('',(-2.625,0.075,-2.98077350269189)); -#35344=CARTESIAN_POINT('Origin',(-3.15,0.075,-2.98077350269189)); -#35345=CARTESIAN_POINT('',(-3.15,-0.075,-2.98077350269189)); -#35346=CARTESIAN_POINT('',(-3.15,0.075,-2.98077350269189)); -#35347=CARTESIAN_POINT('Origin',(-3.15,0.075,-2.7607735026919)); -#35348=CARTESIAN_POINT('Origin',(-3.15,-0.075,-2.7607735026919)); -#35349=CARTESIAN_POINT('Origin',(-3.24095389311789,0.35,-0.25)); -#35350=CARTESIAN_POINT('',(-3.24095389311789,-0.085,-1.19259336796728)); -#35351=CARTESIAN_POINT('',(-3.24095389311789,-0.085,-0.785913545459726)); -#35352=CARTESIAN_POINT('',(-3.24095389311789,-0.085,-0.25)); -#35353=CARTESIAN_POINT('',(-3.24095389311789,-0.35,-1.19259336796728)); -#35354=CARTESIAN_POINT('',(-3.24095389311789,-0.35,-1.19259336796728)); -#35355=CARTESIAN_POINT('',(-3.24095389311791,-0.35,-0.753837021268978)); -#35356=CARTESIAN_POINT('',(-3.24095389311789,-0.35,-0.25)); -#35357=CARTESIAN_POINT('',(-3.24095389311791,0.35,-0.753837021268978)); -#35358=CARTESIAN_POINT('',(-3.24095389311791,-0.35,-0.753837021268978)); -#35359=CARTESIAN_POINT('',(-3.24095389311789,0.35,-1.19259336796728)); -#35360=CARTESIAN_POINT('',(-3.24095389311789,0.35,-0.25)); -#35361=CARTESIAN_POINT('',(-3.24095389311789,0.085,-1.19259336796728)); -#35362=CARTESIAN_POINT('',(-3.24095389311789,-0.35,-1.19259336796728)); -#35363=CARTESIAN_POINT('',(-3.24095389311789,0.085,-0.785913545459726)); -#35364=CARTESIAN_POINT('',(-3.24095389311789,0.085,-0.25)); -#35365=CARTESIAN_POINT('',(-3.24095389311789,0.35,-0.785913545459726)); -#35366=CARTESIAN_POINT('Origin',(3.24095389311789,-0.35,-0.25)); -#35367=CARTESIAN_POINT('',(3.24095389311789,-0.085,-0.785913545459726)); -#35368=CARTESIAN_POINT('',(3.24095389311789,-0.085,-1.1925933679672)); -#35369=CARTESIAN_POINT('',(3.24095389311789,-0.085,-0.25)); -#35370=CARTESIAN_POINT('',(3.24095389311789,0.085,-0.785913545459726)); -#35371=CARTESIAN_POINT('',(3.24095389311789,-0.35,-0.785913545459726)); -#35372=CARTESIAN_POINT('',(3.24095389311789,0.085,-1.1925933679672)); -#35373=CARTESIAN_POINT('',(3.24095389311789,0.085,-0.25)); -#35374=CARTESIAN_POINT('',(3.24095389311789,0.35,-1.1925933679672)); -#35375=CARTESIAN_POINT('',(3.24095389311789,-0.35,-1.1925933679672)); -#35376=CARTESIAN_POINT('',(3.24095389311787,0.35,-0.753837021268978)); -#35377=CARTESIAN_POINT('',(3.24095389311789,0.35,-0.25)); -#35378=CARTESIAN_POINT('',(3.24095389311787,-0.35,-0.753837021268978)); -#35379=CARTESIAN_POINT('',(3.24095389311787,-0.35,-0.753837021268978)); -#35380=CARTESIAN_POINT('',(3.24095389311789,-0.35,-1.1925933679672)); -#35381=CARTESIAN_POINT('',(3.24095389311789,-0.35,-0.25)); -#35382=CARTESIAN_POINT('',(3.24095389311789,-0.35,-1.1925933679672)); -#35383=CARTESIAN_POINT('Origin',(-3.13000000000002,-0.35,-1.14688523300218)); -#35384=CARTESIAN_POINT('',(-3.21053254624118,-0.085,-1.23584875921329)); -#35385=CARTESIAN_POINT('Origin',(-3.13000000000002,-0.085,-1.14688523300218)); -#35386=CARTESIAN_POINT('',(-3.21053254624118,-0.35,-1.23584875921329)); -#35387=CARTESIAN_POINT('',(-3.21053254624118,-0.35,-1.23584875921329)); -#35388=CARTESIAN_POINT('Origin',(-3.13000000000002,-0.35,-1.14688523300218)); -#35389=CARTESIAN_POINT('Origin',(-3.22500000000002,-0.35,-3.06)); -#35390=CARTESIAN_POINT('',(-3.16577350269191,-0.085,-3.00077350269189)); -#35391=CARTESIAN_POINT('',(-3.10000000000002,-0.085,-2.935)); -#35392=CARTESIAN_POINT('',(-3.22500000000002,-0.085,-3.06)); -#35393=CARTESIAN_POINT('',(-3.16577350269191,0.085,-3.00077350269189)); -#35394=CARTESIAN_POINT('',(-3.16577350269191,-0.35,-3.00077350269189)); -#35395=CARTESIAN_POINT('',(-3.10000000000002,0.085,-2.935)); -#35396=CARTESIAN_POINT('',(-3.22500000000002,0.085,-3.06)); -#35397=CARTESIAN_POINT('',(-3.10000000000002,0.35,-2.935)); -#35398=CARTESIAN_POINT('',(-3.10000000000002,-0.35,-2.935)); -#35399=CARTESIAN_POINT('',(-3.22500000000002,0.35,-3.06)); -#35400=CARTESIAN_POINT('',(-3.22500000000002,0.35,-3.06)); -#35401=CARTESIAN_POINT('',(-3.22500000000002,-0.35,-3.06)); -#35402=CARTESIAN_POINT('',(-3.22500000000002,-0.35,-3.06)); -#35403=CARTESIAN_POINT('',(-3.10000000000002,-0.35,-2.935)); -#35404=CARTESIAN_POINT('',(-3.22500000000002,-0.35,-3.06)); -#35405=CARTESIAN_POINT('',(-3.10000000000002,-0.35,-2.935)); -#35406=CARTESIAN_POINT('Origin',(-3.10000000000002,-0.35,-2.935)); -#35407=CARTESIAN_POINT('',(-3.10000000000002,-0.085,-1.485)); -#35408=CARTESIAN_POINT('',(-3.10000000000002,-0.085,-2.935)); -#35409=CARTESIAN_POINT('',(-3.10000000000002,-0.35,-1.485)); -#35410=CARTESIAN_POINT('',(-3.10000000000002,-0.35,-2.935)); -#35411=CARTESIAN_POINT('',(-3.10000000000002,-0.35,-1.485)); -#35412=CARTESIAN_POINT('Origin',(-3.43607198554,-0.35,-1.485)); -#35413=CARTESIAN_POINT('Origin',(-3.43607198554,-0.085,-1.485)); -#35414=CARTESIAN_POINT('Origin',(-3.43607198554,-0.35,-1.485)); -#35415=CARTESIAN_POINT('Origin',(3.12999999999998,-0.35,-1.14688523300218)); -#35416=CARTESIAN_POINT('',(3.21053254624115,-0.085,-1.23584875921329)); -#35417=CARTESIAN_POINT('Origin',(3.12999999999998,-0.085,-1.14688523300218)); -#35418=CARTESIAN_POINT('',(3.21053254624115,-0.35,-1.23584875921329)); -#35419=CARTESIAN_POINT('Origin',(3.12999999999998,-0.35,-1.14688523300218)); -#35420=CARTESIAN_POINT('',(3.21053254624115,-0.35,-1.23584875921329)); -#35421=CARTESIAN_POINT('Origin',(3.43607198553996,-0.35,-1.485)); -#35422=CARTESIAN_POINT('',(3.09999999999998,-0.085,-1.485)); -#35423=CARTESIAN_POINT('Origin',(3.43607198553996,-0.085,-1.485)); -#35424=CARTESIAN_POINT('',(3.09999999999998,-0.35,-1.485)); -#35425=CARTESIAN_POINT('Origin',(3.43607198553996,-0.35,-1.485)); -#35426=CARTESIAN_POINT('',(3.09999999999998,-0.35,-1.485)); -#35427=CARTESIAN_POINT('Origin',(3.09999999999998,-0.35,-1.485)); -#35428=CARTESIAN_POINT('',(3.09999999999998,-0.085,-2.935)); -#35429=CARTESIAN_POINT('',(3.09999999999998,-0.085,-1.485)); -#35430=CARTESIAN_POINT('',(3.09999999999998,-0.35,-2.935)); -#35431=CARTESIAN_POINT('',(3.09999999999998,-0.35,-1.485)); -#35432=CARTESIAN_POINT('',(3.09999999999998,-0.35,-2.935)); -#35433=CARTESIAN_POINT('Origin',(3.09999999999998,-0.35,-2.935)); -#35434=CARTESIAN_POINT('',(3.16577350269188,-0.085,-3.0007735026919)); -#35435=CARTESIAN_POINT('',(3.09999999999998,-0.085,-2.935)); -#35436=CARTESIAN_POINT('',(3.34580398915498,-0.35,-3.180803989155)); -#35437=CARTESIAN_POINT('',(3.09999999999998,-0.35,-2.935)); -#35438=CARTESIAN_POINT('',(3.34580398915498,0.35,-3.180803989155)); -#35439=CARTESIAN_POINT('',(3.34580398915498,-0.35,-3.180803989155)); -#35440=CARTESIAN_POINT('',(3.09999999999998,0.35,-2.935)); -#35441=CARTESIAN_POINT('',(3.09999999999998,0.35,-2.935)); -#35442=CARTESIAN_POINT('',(3.09999999999998,0.085,-2.935)); -#35443=CARTESIAN_POINT('',(3.09999999999998,-0.35,-2.935)); -#35444=CARTESIAN_POINT('',(3.16577350269188,0.085,-3.0007735026919)); -#35445=CARTESIAN_POINT('',(3.09999999999998,0.085,-2.935)); -#35446=CARTESIAN_POINT('',(3.16577350269188,-0.35,-3.0007735026919)); -#35447=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-6.8)); -#35448=CARTESIAN_POINT('',(-4.16,-0.605000000000012,-5.8)); -#35449=CARTESIAN_POINT('',(-4.16,-0.605000000000012,-4.7)); -#35450=CARTESIAN_POINT('',(-4.16,-0.605000000000012,-6.8)); -#35451=CARTESIAN_POINT('',(-3.46999999999999,-1.29500000000001,-5.8)); -#35452=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-5.8)); -#35453=CARTESIAN_POINT('',(-3.46999999999999,-1.29500000000001,-4.7)); -#35454=CARTESIAN_POINT('',(-3.46999999999999,-1.29500000000001,-6.8)); -#35455=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-4.7)); -#35456=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-6.8)); -#35457=CARTESIAN_POINT('',(3.47000000000001,-1.29499999999999,-5.8)); -#35458=CARTESIAN_POINT('',(3.47000000000001,-1.29499999999999,-4.7)); -#35459=CARTESIAN_POINT('',(3.47000000000001,-1.29499999999999,-6.8)); -#35460=CARTESIAN_POINT('',(4.16000000000001,-0.604999999999984,-5.8)); -#35461=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-5.8)); -#35462=CARTESIAN_POINT('',(4.16000000000001,-0.604999999999984,-4.7)); -#35463=CARTESIAN_POINT('',(4.16000000000001,-0.604999999999984,-6.8)); -#35464=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-4.7)); -#35465=CARTESIAN_POINT('Origin',(3.11370606880992,-0.35,-0.46)); -#35466=CARTESIAN_POINT('',(-2.91836269472239,-0.14,-0.25)); -#35467=CARTESIAN_POINT('',(2.91836269472236,-0.14,-0.25)); -#35468=CARTESIAN_POINT('',(3.13400596365052,-0.14,-0.25)); -#35469=CARTESIAN_POINT('',(-3.13413933076015,-0.35,-0.460233749479925)); -#35470=CARTESIAN_POINT('Ctrl Pts',(-2.91836269472239,-0.14,-0.25)); -#35471=CARTESIAN_POINT('Ctrl Pts',(-2.93083701867972,-0.14,-0.25)); -#35472=CARTESIAN_POINT('Ctrl Pts',(-2.94298267541718,-0.14189484823353, --0.251894848233531)); -#35473=CARTESIAN_POINT('Ctrl Pts',(-2.96819035008938,-0.148996843717366, --0.258996843717366)); -#35474=CARTESIAN_POINT('Ctrl Pts',(-2.98083283984685,-0.154659091341204, --0.264659091343357)); -#35475=CARTESIAN_POINT('Ctrl Pts',(-3.01725185310113,-0.175368831546761, --0.285368831548915)); -#35476=CARTESIAN_POINT('Ctrl Pts',(-3.04136094209818,-0.196376847809928, --0.306376847809928)); -#35477=CARTESIAN_POINT('Ctrl Pts',(-3.09191410351906,-0.257869949907329, --0.367869949907329)); -#35478=CARTESIAN_POINT('Ctrl Pts',(-3.1161605802253,-0.301391123501264, --0.411391123501264)); -#35479=CARTESIAN_POINT('Ctrl Pts',(-3.1340038895052,-0.35,-0.46)); -#35480=CARTESIAN_POINT('',(3.13419658267071,-0.35,-0.460333841758774)); -#35481=CARTESIAN_POINT('',(3.13400596365052,-0.35,-0.46)); -#35482=CARTESIAN_POINT('Ctrl Pts',(3.13400388950517,-0.35,-0.46)); -#35483=CARTESIAN_POINT('Ctrl Pts',(3.11612819300278,-0.301302893987714, --0.411302893987714)); -#35484=CARTESIAN_POINT('Ctrl Pts',(3.09182601502634,-0.257711939653412, --0.367711939653412)); -#35485=CARTESIAN_POINT('Ctrl Pts',(3.04121939415761,-0.196243961789419, --0.306243961789419)); -#35486=CARTESIAN_POINT('Ctrl Pts',(3.01713306715922,-0.175283605026817, --0.285283605028917)); -#35487=CARTESIAN_POINT('Ctrl Pts',(2.98075367481115,-0.154622207791285, --0.264622207793385)); -#35488=CARTESIAN_POINT('Ctrl Pts',(2.96812677331702,-0.14897430437005,-0.25897430437005)); -#35489=CARTESIAN_POINT('Ctrl Pts',(2.94295098501974,-0.141889877640997, --0.251889877640997)); -#35490=CARTESIAN_POINT('Ctrl Pts',(2.93082062209262,-0.14,-0.25)); -#35491=CARTESIAN_POINT('Ctrl Pts',(2.91836269472236,-0.14,-0.25)); -#35492=CARTESIAN_POINT('Origin',(3.24095389311789,0.35,-0.46)); -#35493=CARTESIAN_POINT('',(-3.13419658267074,0.35,-0.460333841758774)); -#35494=CARTESIAN_POINT('',(3.13413933076012,0.35,-0.460233749479925)); -#35495=CARTESIAN_POINT('',(-3.13400596365055,0.35,-0.46)); -#35496=CARTESIAN_POINT('',(-2.91836269472239,0.14,-0.25)); -#35497=CARTESIAN_POINT('Ctrl Pts',(-3.1340038895052,0.35,-0.46)); -#35498=CARTESIAN_POINT('Ctrl Pts',(-3.11612819300282,0.301302893987733, --0.411302893987734)); -#35499=CARTESIAN_POINT('Ctrl Pts',(-3.09182601502638,0.257711939653426, --0.367711939653426)); -#35500=CARTESIAN_POINT('Ctrl Pts',(-3.04121939415765,0.196243961789427, --0.306243961789427)); -#35501=CARTESIAN_POINT('Ctrl Pts',(-3.01713306715926,0.175283605026821, --0.285283605028921)); -#35502=CARTESIAN_POINT('Ctrl Pts',(-2.98075367481118,0.154622207791286, --0.264622207793386)); -#35503=CARTESIAN_POINT('Ctrl Pts',(-2.96812677331705,0.14897430437005,-0.25897430437005)); -#35504=CARTESIAN_POINT('Ctrl Pts',(-2.94295098501977,0.141889877640997, --0.251889877640997)); -#35505=CARTESIAN_POINT('Ctrl Pts',(-2.93082062209265,0.14,-0.25)); -#35506=CARTESIAN_POINT('Ctrl Pts',(-2.91836269472239,0.14,-0.25)); -#35507=CARTESIAN_POINT('',(2.91836269472236,0.14,-0.25)); -#35508=CARTESIAN_POINT('',(-3.13400596365055,0.14,-0.25)); -#35509=CARTESIAN_POINT('Ctrl Pts',(2.91836269472236,0.14,-0.25)); -#35510=CARTESIAN_POINT('Ctrl Pts',(2.93083701867969,0.14,-0.25)); -#35511=CARTESIAN_POINT('Ctrl Pts',(2.94298267541715,0.141894848233532,-0.251894848233532)); -#35512=CARTESIAN_POINT('Ctrl Pts',(2.96819035008935,0.148996843717366,-0.258996843717366)); -#35513=CARTESIAN_POINT('Ctrl Pts',(2.98083283984682,0.154659091341204,-0.264659091343358)); -#35514=CARTESIAN_POINT('Ctrl Pts',(3.01725185310109,0.175368831546757,-0.285368831548911)); -#35515=CARTESIAN_POINT('Ctrl Pts',(3.04136094209815,0.196376847809921,-0.306376847809921)); -#35516=CARTESIAN_POINT('Ctrl Pts',(3.09191410351904,0.257869949907339,-0.367869949907339)); -#35517=CARTESIAN_POINT('Ctrl Pts',(3.11616058022527,0.301391123501275,-0.411391123501276)); -#35518=CARTESIAN_POINT('Ctrl Pts',(3.13400388950517,0.35,-0.46)); -#35519=CARTESIAN_POINT('Origin',(-3.05,-0.6,-3.31)); -#35520=CARTESIAN_POINT('',(-3.05,-0.6,-3.31)); -#35521=CARTESIAN_POINT('',(-3.04999999999736,-0.35,-3.06)); -#35522=CARTESIAN_POINT('',(-3.05,-0.6,-3.31)); -#35523=CARTESIAN_POINT('',(3.05,-0.6,-3.31)); -#35524=CARTESIAN_POINT('',(3.05,-0.6,-3.31)); -#35525=CARTESIAN_POINT('',(3.05,-0.35,-3.06)); -#35526=CARTESIAN_POINT('',(3.05,-0.6,-3.31)); -#35527=CARTESIAN_POINT('',(0.973882150323862,-0.35,-3.05999999999997)); -#35528=CARTESIAN_POINT('',(3.11370606880992,-0.35,-3.06)); -#35529=CARTESIAN_POINT('',(0.723882150323863,-0.35,-3.06)); -#35530=CARTESIAN_POINT('',(0.723882150323863,-0.35,-3.05999999999997)); -#35531=CARTESIAN_POINT('',(-1.02611784967614,-0.35,-3.05999999999999)); -#35532=CARTESIAN_POINT('',(3.11370606880992,-0.35,-3.06)); -#35533=CARTESIAN_POINT('',(-1.27611784967614,-0.35,-3.06)); -#35534=CARTESIAN_POINT('',(-1.27611784967614,-0.35,-3.05999999999999)); -#35535=CARTESIAN_POINT('',(-2.52611784967614,-0.35,-3.06)); -#35536=CARTESIAN_POINT('',(3.11370606880992,-0.35,-3.06)); -#35537=CARTESIAN_POINT('',(-2.77611784967614,-0.35,-3.06)); -#35538=CARTESIAN_POINT('',(-2.77611784967614,-0.35,-3.06)); -#35539=CARTESIAN_POINT('',(3.11370606880992,-0.35,-3.06)); -#35540=CARTESIAN_POINT('Origin',(3.05,-0.3,-3.31)); -#35541=CARTESIAN_POINT('',(3.34580398915498,-0.35,-3.31)); -#35542=CARTESIAN_POINT('Origin',(3.05,-0.3,-3.31)); -#35543=CARTESIAN_POINT('Ctrl Pts',(3.34580398915498,-0.35,-3.31)); -#35544=CARTESIAN_POINT('Ctrl Pts',(3.27560603078334,-0.35,-3.24078387961045)); -#35545=CARTESIAN_POINT('Ctrl Pts',(3.13054587292408,-0.35,-3.10093094120235)); -#35546=CARTESIAN_POINT('Ctrl Pts',(3.06906179671895,-0.35,-3.06)); -#35547=CARTESIAN_POINT('Ctrl Pts',(3.05,-0.35,-3.06)); -#35548=CARTESIAN_POINT('Origin',(-3.05,-0.3,-3.31)); -#35549=CARTESIAN_POINT('',(-3.34580398915498,-0.35,-3.31)); -#35550=CARTESIAN_POINT('Origin',(-3.05,-0.3,-3.31)); -#35551=CARTESIAN_POINT('Ctrl Pts',(-3.0499999999973,-0.35,-3.06)); -#35552=CARTESIAN_POINT('Ctrl Pts',(-3.06333253692077,-0.35,-3.05999999999928)); -#35553=CARTESIAN_POINT('Ctrl Pts',(-3.10938854807604,-0.35,-3.0829312657759)); -#35554=CARTESIAN_POINT('Ctrl Pts',(-3.2389477283866,-0.35,-3.20463830599509)); -#35555=CARTESIAN_POINT('Ctrl Pts',(-3.34580398915496,-0.35,-3.30999999999998)); -#35556=CARTESIAN_POINT('Origin',(3.05,0.475,-3.185)); -#35557=CARTESIAN_POINT('',(3.05,0.6,-3.31)); -#35558=CARTESIAN_POINT('',(3.04998489218252,0.35,-3.06000000084139)); -#35559=CARTESIAN_POINT('',(3.05,0.6,-3.31)); -#35560=CARTESIAN_POINT('',(-3.05,0.599999999999999,-3.31)); -#35561=CARTESIAN_POINT('',(-3.05,0.599999999999999,-3.31)); -#35562=CARTESIAN_POINT('',(-3.05,0.35,-3.06)); -#35563=CARTESIAN_POINT('',(-3.05,0.599999999999999,-3.31)); -#35564=CARTESIAN_POINT('',(-0.973897258139027,0.350000000000037,-3.06)); -#35565=CARTESIAN_POINT('',(-0.973897258139027,0.350000000000037,-3.06)); -#35566=CARTESIAN_POINT('',(-0.723897258139026,0.34999999999999,-3.06)); -#35567=CARTESIAN_POINT('',(-0.723897258139026,0.34999999999999,-3.06)); -#35568=CARTESIAN_POINT('',(1.02610274186097,0.350000000000018,-3.06)); -#35569=CARTESIAN_POINT('',(1.02610274186097,0.350000000000018,-3.06)); -#35570=CARTESIAN_POINT('',(1.27610274186097,0.349999999999996,-3.06)); -#35571=CARTESIAN_POINT('',(1.27610274186097,0.349999999999996,-3.06)); -#35572=CARTESIAN_POINT('',(2.52610274186097,0.350000000000002,-3.06)); -#35573=CARTESIAN_POINT('',(2.52610274186097,0.350000000000002,-3.06)); -#35574=CARTESIAN_POINT('',(2.77610274186097,0.35,-3.06)); -#35575=CARTESIAN_POINT('',(2.77610274186097,0.35,-3.06)); -#35576=CARTESIAN_POINT('',(3.0499848921822,0.35,-3.06)); -#35577=CARTESIAN_POINT('Ctrl Pts',(-3.05,0.349999999999999,-3.06)); -#35578=CARTESIAN_POINT('Ctrl Pts',(-3.07338922415765,0.34999999927787,-3.06)); -#35579=CARTESIAN_POINT('Ctrl Pts',(-3.09544767263546,0.331127033032089, --3.06)); -#35580=CARTESIAN_POINT('Ctrl Pts',(-3.09968596408153,0.306053963363458, --3.06)); -#35581=CARTESIAN_POINT('Ctrl Pts',(-3.09991567380019,0.303751004167839, --3.06)); -#35582=CARTESIAN_POINT('Ctrl Pts',(-3.09998106158503,0.301376038819792, --3.06)); -#35583=CARTESIAN_POINT('Ctrl Pts',(-3.05,0.6,-3.31)); -#35584=CARTESIAN_POINT('Ctrl Pts',(-3.19033534494572,0.6,-3.31)); -#35585=CARTESIAN_POINT('Ctrl Pts',(-3.32268693278939,0.48676234980924,-3.31)); -#35586=CARTESIAN_POINT('Ctrl Pts',(-3.34811569479154,0.336323765019076, --3.31)); -#35587=CARTESIAN_POINT('Ctrl Pts',(-3.34949405530064,0.322506034875901, --3.31)); -#35588=CARTESIAN_POINT('Ctrl Pts',(-3.34988636951017,0.308256232918753, --3.31)); -#35589=CARTESIAN_POINT('',(-3.34580398915498,0.35,-3.31)); -#35590=CARTESIAN_POINT('Ctrl Pts',(-3.34580398915498,0.35,-3.31)); -#35591=CARTESIAN_POINT('Ctrl Pts',(-3.33410942042519,0.419186001634402, --3.31)); -#35592=CARTESIAN_POINT('Ctrl Pts',(-3.29724041373451,0.483835914816226, --3.31)); -#35593=CARTESIAN_POINT('Ctrl Pts',(-3.19005792088623,0.57442165467936,-3.31)); -#35594=CARTESIAN_POINT('Ctrl Pts',(-3.12016741237876,0.6,-3.31)); -#35595=CARTESIAN_POINT('Ctrl Pts',(-3.05,0.599999999999999,-3.31)); -#35596=CARTESIAN_POINT('Ctrl Pts',(-3.34580398915498,0.35,-3.31)); -#35597=CARTESIAN_POINT('Ctrl Pts',(-3.30376003419455,0.35,-3.26854410134284)); -#35598=CARTESIAN_POINT('Ctrl Pts',(-3.24943651877315,0.35,-3.21522130432481)); -#35599=CARTESIAN_POINT('Ctrl Pts',(-3.18620018105972,0.35,-3.15527648952768)); -#35600=CARTESIAN_POINT('Ctrl Pts',(-3.16213582103365,0.35,-3.13281751741851)); -#35601=CARTESIAN_POINT('Ctrl Pts',(-3.12965612920285,0.35,-3.10456601869478)); -#35602=CARTESIAN_POINT('Ctrl Pts',(-3.11628680301397,0.35,-3.09337272872057)); -#35603=CARTESIAN_POINT('Ctrl Pts',(-3.0866539870181,0.35,-3.07166043496873)); -#35604=CARTESIAN_POINT('Ctrl Pts',(-3.07365215861407,0.35,-3.064691590772)); -#35605=CARTESIAN_POINT('Ctrl Pts',(-3.05843381070866,0.35,-3.06063085633531)); -#35606=CARTESIAN_POINT('Ctrl Pts',(-3.05426831092015,0.35,-3.06000000013178)); -#35607=CARTESIAN_POINT('Ctrl Pts',(-3.05,0.35,-3.06)); -#35608=CARTESIAN_POINT('Ctrl Pts',(3.09930063516152,0.308333328313516,-3.05999996988109)); -#35609=CARTESIAN_POINT('Ctrl Pts',(3.09544764525869,0.331127014281828,-3.05999996988109)); -#35610=CARTESIAN_POINT('Ctrl Pts',(3.07338921006846,0.34999996915896,-3.05999996988109)); -#35611=CARTESIAN_POINT('Ctrl Pts',(3.05,0.349999969881093,-3.05999996988109)); -#35612=CARTESIAN_POINT('Ctrl Pts',(3.0370980385735,0.349999970279436,-3.05999996988109)); -#35613=CARTESIAN_POINT('Ctrl Pts',(3.02577268264036,0.344476007646466,-3.05999996988109)); -#35614=CARTESIAN_POINT('Ctrl Pts',(3.01301390458528,0.334599311189169,-3.05999996988109)); -#35615=CARTESIAN_POINT('Ctrl Pts',(3.01005997977334,0.330318577665528,-3.05999996988109)); -#35616=CARTESIAN_POINT('Ctrl Pts',(3.00387795942662,0.320747416117916,-3.05999996988109)); -#35617=CARTESIAN_POINT('Ctrl Pts',(3.00316868735947,0.317685145466932,-3.05999996988109)); -#35618=CARTESIAN_POINT('Ctrl Pts',(3.00092180215855,0.310727760080222,-3.05999996988109)); -#35619=CARTESIAN_POINT('Ctrl Pts',(3.00084845929171,0.309265142754446,-3.05999996988109)); -#35620=CARTESIAN_POINT('Ctrl Pts',(3.00022129595503,0.305381170061895,-3.05999996988109)); -#35621=CARTESIAN_POINT('Ctrl Pts',(3.00021599462416,0.304690056119406,-3.05999996988109)); -#35622=CARTESIAN_POINT('Ctrl Pts',(3.00010840642243,0.303357485475387,-3.05999996988109)); -#35623=CARTESIAN_POINT('Ctrl Pts',(3.00008832643991,0.302985084882389,-3.05999996988109)); -#35624=CARTESIAN_POINT('Ctrl Pts',(3.00005627563678,0.302389620506494,-3.05999996988109)); -#35625=CARTESIAN_POINT('Ctrl Pts',(2.99995562939402,0.297058885188815,-3.05999996988109)); -#35626=CARTESIAN_POINT('Ctrl Pts',(3.00090051193356,0.289884191744002,-3.05999996988109)); -#35627=CARTESIAN_POINT('Ctrl Pts',(3.00072359671334,0.291417643771396,-3.05999996988109)); -#35628=CARTESIAN_POINT('Ctrl Pts',(3.00125871046594,0.288770242597196,-3.05999996988109)); -#35629=CARTESIAN_POINT('Ctrl Pts',(3.00155020252978,0.287105622233459,-3.05999996988109)); -#35630=CARTESIAN_POINT('Ctrl Pts',(3.00397172416776,0.280124244756487,-3.05999996988109)); -#35631=CARTESIAN_POINT('Ctrl Pts',(3.00238779402917,0.274361432662079,-3.05999996988109)); -#35632=CARTESIAN_POINT('Ctrl Pts',(3.02629365750732,0.254788595684592,-3.05999996988109)); -#35633=CARTESIAN_POINT('Ctrl Pts',(3.04439982708645,0.247676488939217,-3.05999996988109)); -#35634=CARTESIAN_POINT('Ctrl Pts',(3.0684642543629,0.252815814490299,-3.05999996988109)); -#35635=CARTESIAN_POINT('Ctrl Pts',(3.07182984051887,0.254156305614263,-3.05999996988109)); -#35636=CARTESIAN_POINT('Ctrl Pts',(3.0826290076058,0.261856967193681,-3.05999996988109)); -#35637=CARTESIAN_POINT('Ctrl Pts',(3.08760870758001,0.265704685682897,-3.05999996988109)); -#35638=CARTESIAN_POINT('Ctrl Pts',(3.09757828722975,0.281920092430005,-3.05999996988109)); -#35639=CARTESIAN_POINT('Ctrl Pts',(3.1014260223361,0.295759870027833,-3.05999996988109)); -#35640=CARTESIAN_POINT('Ctrl Pts',(3.09930063516152,0.308333328313516,-3.05999996988109)); -#35641=CARTESIAN_POINT('Ctrl Pts',(3.34580398915498,0.350000000000002,-3.31)); -#35642=CARTESIAN_POINT('Ctrl Pts',(3.32268693278939,0.486762349809252,-3.31)); -#35643=CARTESIAN_POINT('Ctrl Pts',(3.19033534494572,0.6,-3.31)); -#35644=CARTESIAN_POINT('Ctrl Pts',(3.05,0.6,-3.31)); -#35645=CARTESIAN_POINT('Ctrl Pts',(2.97258818480983,0.6,-3.31)); -#35646=CARTESIAN_POINT('Ctrl Pts',(2.90463590863141,0.566856335350391,-3.31)); -#35647=CARTESIAN_POINT('Ctrl Pts',(2.8280833934806,0.50759586346305,-3.31)); -#35648=CARTESIAN_POINT('Ctrl Pts',(2.81035970574993,0.481911594004109,-3.31)); -#35649=CARTESIAN_POINT('Ctrl Pts',(2.77326764693428,0.424484534831512,-3.31)); -#35650=CARTESIAN_POINT('Ctrl Pts',(2.76901195062545,0.406110938099633,-3.31)); -#35651=CARTESIAN_POINT('Ctrl Pts',(2.75553064410996,0.364366596496101,-3.31)); -#35652=CARTESIAN_POINT('Ctrl Pts',(2.75509057775848,0.355590890069108,-3.31)); -#35653=CARTESIAN_POINT('Ctrl Pts',(2.75132759650608,0.332287039708982,-3.31)); -#35654=CARTESIAN_POINT('Ctrl Pts',(2.75129578778929,0.328140353669445,-3.31)); -#35655=CARTESIAN_POINT('Ctrl Pts',(2.75065025823563,0.320144924985309,-3.31)); -#35656=CARTESIAN_POINT('Ctrl Pts',(2.75052977824183,0.317910520083488,-3.31)); -#35657=CARTESIAN_POINT('Ctrl Pts',(2.75033747331381,0.314337731675449,-3.31)); -#35658=CARTESIAN_POINT('Ctrl Pts',(2.74973359193951,0.282353300035276,-3.31)); -#35659=CARTESIAN_POINT('Ctrl Pts',(2.75540289769314,0.239305114370368,-3.31)); -#35660=CARTESIAN_POINT('Ctrl Pts',(2.75434140225633,0.248505831624416,-3.31)); -#35661=CARTESIAN_POINT('Ctrl Pts',(2.75755208655685,0.232621414980788,-3.31)); -#35662=CARTESIAN_POINT('Ctrl Pts',(2.75930104092683,0.222633687095035,-3.31)); -#35663=CARTESIAN_POINT('Ctrl Pts',(2.77383017778908,0.180745396404501,-3.31)); -#35664=CARTESIAN_POINT('Ctrl Pts',(2.76432662045587,0.146168537950762,-3.31)); -#35665=CARTESIAN_POINT('Ctrl Pts',(2.90776184518065,0.0287313933797762, --3.31)); -#35666=CARTESIAN_POINT('Ctrl Pts',(3.01639894852206,-0.0139412847137369, --3.31)); -#35667=CARTESIAN_POINT('Ctrl Pts',(3.16078558979026,0.016894731024206,-3.31)); -#35668=CARTESIAN_POINT('Ctrl Pts',(3.18097906557526,0.0249377471395958, --3.31)); -#35669=CARTESIAN_POINT('Ctrl Pts',(3.24577419178334,0.0711416257300511, --3.31)); -#35670=CARTESIAN_POINT('Ctrl Pts',(3.27565220260478,0.0942281000778582, --3.31)); -#35671=CARTESIAN_POINT('Ctrl Pts',(3.33547007414267,0.19152037930131,-3.31)); -#35672=CARTESIAN_POINT('Ctrl Pts',(3.35855582509343,0.274559121207116,-3.31)); -#35673=CARTESIAN_POINT('Ctrl Pts',(3.34580398915498,0.350000000000002,-3.31)); -#35674=CARTESIAN_POINT('',(3.34580398915498,0.35,-3.31)); -#35675=CARTESIAN_POINT('Ctrl Pts',(3.05,0.6,-3.31)); -#35676=CARTESIAN_POINT('Ctrl Pts',(3.12016741237876,0.6,-3.31)); -#35677=CARTESIAN_POINT('Ctrl Pts',(3.19005792088623,0.574421654679359,-3.31)); -#35678=CARTESIAN_POINT('Ctrl Pts',(3.29724041373451,0.483835914816222,-3.31)); -#35679=CARTESIAN_POINT('Ctrl Pts',(3.33410942042519,0.419186001634397,-3.31)); -#35680=CARTESIAN_POINT('Ctrl Pts',(3.34580398915498,0.35,-3.31)); -#35681=CARTESIAN_POINT('Ctrl Pts',(3.04998489218308,0.35,-3.06000000252418)); -#35682=CARTESIAN_POINT('Ctrl Pts',(3.05005167835971,0.35,-3.05999998020571)); -#35683=CARTESIAN_POINT('Ctrl Pts',(3.05010705644933,0.35,-3.06000008155356)); -#35684=CARTESIAN_POINT('Ctrl Pts',(3.05076929948965,0.35,-3.06000271770539)); -#35685=CARTESIAN_POINT('Ctrl Pts',(3.0513649472986,0.35,-3.06001733479829)); -#35686=CARTESIAN_POINT('Ctrl Pts',(3.05284980117426,0.35,-3.06008379585114)); -#35687=CARTESIAN_POINT('Ctrl Pts',(3.05373668426855,0.349999999999916,-3.06015035042906)); -#35688=CARTESIAN_POINT('Ctrl Pts',(3.05687211181709,0.349999999999916,-3.06047687384335)); -#35689=CARTESIAN_POINT('Ctrl Pts',(3.05909359594037,0.349999999999961,-3.06087497314872)); -#35690=CARTESIAN_POINT('Ctrl Pts',(3.06157643116636,0.349999999999961,-3.06147758776183)); -#35691=CARTESIAN_POINT('Ctrl Pts',(3.06186139666755,0.35,-3.06154913444967)); -#35692=CARTESIAN_POINT('Ctrl Pts',(3.07348528274287,0.35,-3.06456217971897)); -#35693=CARTESIAN_POINT('Ctrl Pts',(3.08678619098034,0.35,-3.071690063678)); -#35694=CARTESIAN_POINT('Ctrl Pts',(3.12747232632257,0.35,-3.10165911589839)); -#35695=CARTESIAN_POINT('Ctrl Pts',(3.15849769975353,0.350000000000006,-3.12901435335892)); -#35696=CARTESIAN_POINT('Ctrl Pts',(3.24950388913005,0.350000000000006,-3.21528665556481)); -#35697=CARTESIAN_POINT('Ctrl Pts',(3.3072292872618,0.35,-3.27196483099844)); -#35698=CARTESIAN_POINT('Ctrl Pts',(3.34580398915498,0.35,-3.31)); -#35699=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,-4.7)); -#35700=CARTESIAN_POINT('',(-1.24000000000001,0.945000000000016,-4.7)); -#35701=CARTESIAN_POINT('',(-1.24000000000001,1.29500000000001,-4.7)); -#35702=CARTESIAN_POINT('',(-1.24000000000001,1.29500000000001,-4.7)); -#35703=CARTESIAN_POINT('',(-2.34000000000001,0.945000000000016,-4.7)); -#35704=CARTESIAN_POINT('',(-2.34000000000001,0.945000000000016,-4.7)); -#35705=CARTESIAN_POINT('',(-2.34000000000001,1.29500000000002,-4.7)); -#35706=CARTESIAN_POINT('',(-2.34000000000001,1.29500000000002,-4.7)); -#35707=CARTESIAN_POINT('',(-3.47,1.29500000000002,-4.7)); -#35708=CARTESIAN_POINT('',(3.47,1.29500000000002,-4.7)); -#35709=CARTESIAN_POINT('',(-4.16000000000002,0.604999999999979,-4.7)); -#35710=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,-4.7)); -#35711=CARTESIAN_POINT('',(-4.16000000000002,0.604999999999979,-4.7)); -#35712=CARTESIAN_POINT('',(-2.05,-1.29500000000001,-4.7)); -#35713=CARTESIAN_POINT('',(-3.46999999999999,-1.29500000000001,-4.7)); -#35714=CARTESIAN_POINT('',(-2.05,-0.945000000000006,-4.7)); -#35715=CARTESIAN_POINT('',(-2.05,-1.29500000000001,-4.7)); -#35716=CARTESIAN_POINT('',(-0.949999999999995,-0.945000000000005,-4.7)); -#35717=CARTESIAN_POINT('',(-2.05,-0.945000000000006,-4.7)); -#35718=CARTESIAN_POINT('',(-0.949999999999995,-1.29500000000001,-4.7)); -#35719=CARTESIAN_POINT('',(-0.949999999999995,-1.29500000000001,-4.7)); -#35720=CARTESIAN_POINT('',(0.949999999999995,-1.29500000000001,-4.7)); -#35721=CARTESIAN_POINT('',(-3.46999999999999,-1.29500000000001,-4.7)); -#35722=CARTESIAN_POINT('',(0.949999999999995,-0.945000000000005,-4.7)); -#35723=CARTESIAN_POINT('',(0.949999999999995,-1.29500000000001,-4.7)); -#35724=CARTESIAN_POINT('',(2.05,-0.945000000000006,-4.7)); -#35725=CARTESIAN_POINT('',(2.05,-0.945000000000006,-4.7)); -#35726=CARTESIAN_POINT('',(2.05,-1.29499999999999,-4.7)); -#35727=CARTESIAN_POINT('',(2.05,-1.29500000000001,-4.7)); -#35728=CARTESIAN_POINT('',(-3.46999999999999,-1.29500000000001,-4.7)); -#35729=CARTESIAN_POINT('',(4.16,0.605000000000018,-4.7)); -#35730=CARTESIAN_POINT('',(4.16000000000001,-0.604999999999984,-4.7)); -#35731=CARTESIAN_POINT('',(3.47,1.29500000000002,-4.7)); -#35732=CARTESIAN_POINT('Origin',(3.47,0.605000000000016,-4.7)); -#35733=CARTESIAN_POINT('',(2.34000000000001,1.29500000000002,-4.7)); -#35734=CARTESIAN_POINT('',(3.47,1.29500000000002,-4.7)); -#35735=CARTESIAN_POINT('',(2.34000000000001,0.945000000000016,-4.7)); -#35736=CARTESIAN_POINT('',(2.34000000000001,1.29500000000002,-4.7)); -#35737=CARTESIAN_POINT('',(1.24000000000001,0.945000000000016,-4.7)); -#35738=CARTESIAN_POINT('',(2.34000000000001,0.945000000000016,-4.7)); -#35739=CARTESIAN_POINT('',(1.24,1.29500000000002,-4.7)); -#35740=CARTESIAN_POINT('',(1.24000000000001,1.29500000000001,-4.7)); -#35741=CARTESIAN_POINT('',(3.47,1.29500000000002,-4.7)); -#35742=CARTESIAN_POINT('',(3.05,0.6,-4.7)); -#35743=CARTESIAN_POINT('',(-3.05,0.599999999999999,-4.7)); -#35744=CARTESIAN_POINT('',(3.05,0.6,-4.7)); -#35745=CARTESIAN_POINT('',(3.34580398915498,0.35,-4.7)); -#35746=CARTESIAN_POINT('Origin',(3.05,0.3,-4.7)); -#35747=CARTESIAN_POINT('',(3.34580398915498,-0.35,-4.7)); -#35748=CARTESIAN_POINT('',(3.34580398915498,0.35,-4.7)); -#35749=CARTESIAN_POINT('',(3.05,-0.6,-4.7)); -#35750=CARTESIAN_POINT('Origin',(3.05,-0.3,-4.7)); -#35751=CARTESIAN_POINT('',(-3.05,-0.6,-4.7)); -#35752=CARTESIAN_POINT('',(-3.05,-0.6,-4.7)); -#35753=CARTESIAN_POINT('',(-3.34580398915498,-0.35,-4.7)); -#35754=CARTESIAN_POINT('Origin',(-3.05,-0.3,-4.7)); -#35755=CARTESIAN_POINT('',(-3.34580398915498,0.35,-4.7)); -#35756=CARTESIAN_POINT('',(-3.34580398915498,-0.35,-4.7)); -#35757=CARTESIAN_POINT('Origin',(-3.05,0.3,-4.7)); -#35758=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,-6.8)); -#35759=CARTESIAN_POINT('',(3.175,-1.29499999999999,-6.8)); -#35760=CARTESIAN_POINT('',(4.16000000000001,-1.29499999999999,-6.8)); -#35761=CARTESIAN_POINT('',(-3.46999999999999,-1.29500000000001,-6.8)); -#35762=CARTESIAN_POINT('',(3.175,1.29500000000002,-6.8)); -#35763=CARTESIAN_POINT('',(3.175,-1.29499999999999,-6.8)); -#35764=CARTESIAN_POINT('',(3.47,1.29500000000002,-6.8)); -#35765=CARTESIAN_POINT('',(3.47,1.29500000000002,-6.8)); -#35766=CARTESIAN_POINT('',(4.16,0.605000000000018,-6.8)); -#35767=CARTESIAN_POINT('Origin',(3.47,0.605000000000016,-6.8)); -#35768=CARTESIAN_POINT('',(4.16000000000001,-0.604999999999984,-6.8)); -#35769=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,-6.8)); -#35770=CARTESIAN_POINT('',(-3.47,1.29500000000002,-6.8)); -#35771=CARTESIAN_POINT('',(-3.47,1.29500000000002,-6.8)); -#35772=CARTESIAN_POINT('',(-4.16000000000002,0.604999999999979,-6.8)); -#35773=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,-6.8)); -#35774=CARTESIAN_POINT('',(-4.16000000000002,0.604999999999979,-6.8)); -#35775=CARTESIAN_POINT('Origin',(3.47,1.29500000000002,-6.8)); -#35776=CARTESIAN_POINT('',(2.8,1.29500000000002,-6.05)); -#35777=CARTESIAN_POINT('',(2.8,1.29500000000002,-6.6)); -#35778=CARTESIAN_POINT('',(2.8,1.29500000000002,-6.05)); -#35779=CARTESIAN_POINT('',(1.06093476939424,1.29500000000002,-6.05)); -#35780=CARTESIAN_POINT('',(1.06093476939424,1.29500000000002,-6.05)); -#35781=CARTESIAN_POINT('',(1.06093476939424,1.29500000000002,-6.6)); -#35782=CARTESIAN_POINT('',(1.06093476939424,1.29500000000002,-6.05)); -#35783=CARTESIAN_POINT('',(-1.06093476939422,1.29500000000002,-6.6)); -#35784=CARTESIAN_POINT('',(3.175,1.29500000000002,-6.6)); -#35785=CARTESIAN_POINT('',(-1.06093476939422,1.29500000000002,-6.05)); -#35786=CARTESIAN_POINT('',(-1.06093476939422,1.29500000000002,-6.05)); -#35787=CARTESIAN_POINT('',(-2.8,1.29500000000002,-6.05)); -#35788=CARTESIAN_POINT('',(-1.06093476939422,1.29500000000002,-6.05)); -#35789=CARTESIAN_POINT('',(-2.8,1.29500000000002,-6.6)); -#35790=CARTESIAN_POINT('',(-2.8,1.29500000000002,-6.05)); -#35791=CARTESIAN_POINT('',(-3.175,1.29500000000002,-6.6)); -#35792=CARTESIAN_POINT('',(3.175,1.29500000000002,-6.6)); -#35793=CARTESIAN_POINT('',(-3.175,1.29500000000002,-6.8)); -#35794=CARTESIAN_POINT('',(-3.175,1.29500000000002,-6.6)); -#35795=CARTESIAN_POINT('',(3.47,1.29500000000002,-6.8)); -#35796=CARTESIAN_POINT('',(-2.34000000000001,1.29500000000002,-5.2)); -#35797=CARTESIAN_POINT('',(-2.34000000000001,1.29500000000002,-5.2)); -#35798=CARTESIAN_POINT('',(-1.24000000000001,1.29500000000001,-5.2)); -#35799=CARTESIAN_POINT('',(-2.34000000000001,1.29500000000002,-5.2)); -#35800=CARTESIAN_POINT('',(-1.24000000000001,1.29500000000001,-5.2)); -#35801=CARTESIAN_POINT('',(1.24000000000001,1.29500000000001,-5.2)); -#35802=CARTESIAN_POINT('',(1.24000000000001,1.29500000000001,-5.2)); -#35803=CARTESIAN_POINT('',(2.34000000000001,1.29500000000002,-5.2)); -#35804=CARTESIAN_POINT('',(2.34000000000001,1.29500000000002,-5.2)); -#35805=CARTESIAN_POINT('',(2.34000000000001,1.29500000000002,-5.2)); -#35806=CARTESIAN_POINT('',(3.47,1.29500000000002,-6.8)); -#35807=CARTESIAN_POINT('',(3.175,1.29500000000002,-6.6)); -#35808=CARTESIAN_POINT('',(3.175,1.29500000000002,-6.6)); -#35809=CARTESIAN_POINT('',(3.175,1.29500000000002,-6.6)); -#35810=CARTESIAN_POINT('Origin',(3.47,0.605000000000016,-6.8)); -#35811=CARTESIAN_POINT('',(4.16,0.605000000000018,-6.8)); -#35812=CARTESIAN_POINT('Origin',(4.16000000000001,-0.604999999999984,-6.8)); -#35813=CARTESIAN_POINT('',(4.16000000000001,-1.29499999999999,-5.8)); -#35814=CARTESIAN_POINT('',(4.16000000000001,-0.604999999999984,-5.8)); -#35815=CARTESIAN_POINT('',(4.16000000000001,-1.29499999999999,-5.8)); -#35816=CARTESIAN_POINT('Origin',(-3.46999999999999,-1.29500000000001,-6.8)); -#35817=CARTESIAN_POINT('',(0.949999999999995,-1.29500000000001,-5.2)); -#35818=CARTESIAN_POINT('',(0.949999999999995,-1.29500000000001,-5.2)); -#35819=CARTESIAN_POINT('',(-0.949999999999995,-1.29500000000001,-5.2)); -#35820=CARTESIAN_POINT('',(-0.949999999999995,-1.29500000000001,-5.2)); -#35821=CARTESIAN_POINT('',(-2.05,-1.29500000000001,-5.2)); -#35822=CARTESIAN_POINT('',(-2.05,-1.29500000000001,-5.2)); -#35823=CARTESIAN_POINT('',(-2.05,-1.29500000000001,-5.2)); -#35824=CARTESIAN_POINT('',(-4.16,-1.29499999999999,-5.8)); -#35825=CARTESIAN_POINT('',(-4.16,-1.29499999999999,-5.8)); -#35826=CARTESIAN_POINT('',(-4.16,-1.29499999999999,-6.8)); -#35827=CARTESIAN_POINT('',(-4.16,-1.29499999999999,-5.8)); -#35828=CARTESIAN_POINT('',(-3.175,-1.29500000000001,-6.8)); -#35829=CARTESIAN_POINT('',(-3.46999999999999,-1.29500000000001,-6.8)); -#35830=CARTESIAN_POINT('',(-3.175,-1.29499999999999,-6.6)); -#35831=CARTESIAN_POINT('',(-3.175,-1.29499999999999,-6.6)); -#35832=CARTESIAN_POINT('',(3.175,-1.29499999999999,-6.6)); -#35833=CARTESIAN_POINT('',(3.175,-1.29499999999999,-6.6)); -#35834=CARTESIAN_POINT('',(3.175,-1.29499999999999,-6.6)); -#35835=CARTESIAN_POINT('',(4.16000000000001,-1.29499999999999,-5.8)); -#35836=CARTESIAN_POINT('',(2.05,-1.29500000000001,-5.2)); -#35837=CARTESIAN_POINT('',(2.05,-1.29500000000001,-5.2)); -#35838=CARTESIAN_POINT('',(2.05,-1.29500000000001,-5.2)); -#35839=CARTESIAN_POINT('Origin',(-4.16000000000002,0.604999999999979,-6.8)); -#35840=CARTESIAN_POINT('',(-4.16000000000002,0.604999999999979,-6.8)); -#35841=CARTESIAN_POINT('',(-4.16,-0.605000000000012,-5.8)); -#35842=CARTESIAN_POINT('Origin',(-3.47,0.604999999999983,-6.8)); -#35843=CARTESIAN_POINT('',(-3.175,-1.29499999999999,-6.8)); -#35844=CARTESIAN_POINT('Origin',(3.175,-1.29499999999999,-6.6)); -#35845=CARTESIAN_POINT('',(3.175,-1.29499999999999,-6.6)); -#35846=CARTESIAN_POINT('Origin',(-3.175,-1.29499999999999,-6.6)); -#35847=CARTESIAN_POINT('',(-3.175,-1.29499999999999,-6.6)); -#35848=CARTESIAN_POINT('Origin',(0.,0.,-6.6)); -#35849=CARTESIAN_POINT('',(2.8,0.901747775353363,-6.6)); -#35850=CARTESIAN_POINT('',(2.8,0.,-6.6)); -#35851=CARTESIAN_POINT('',(-2.8,0.901747775353364,-6.6)); -#35852=CARTESIAN_POINT('',(-2.8,0.,-6.6)); -#35853=CARTESIAN_POINT('',(-1.06093476939422,0.901747775353364,-6.6)); -#35854=CARTESIAN_POINT('',(0.,0.901747775353364,-6.6)); -#35855=CARTESIAN_POINT('',(-1.06093476939422,0.,-6.6)); -#35856=CARTESIAN_POINT('',(1.06093476939424,0.901747775353363,-6.6)); -#35857=CARTESIAN_POINT('',(1.06093476939424,1.60593356882227E-15,-6.6)); -#35858=CARTESIAN_POINT('',(0.,0.901747775353363,-6.6)); -#35859=CARTESIAN_POINT('Origin',(2.34000000000001,1.29500000000002,-5.2)); -#35860=CARTESIAN_POINT('',(2.34000000000001,0.945000000000016,-5.2)); -#35861=CARTESIAN_POINT('',(2.34000000000001,1.29500000000002,-5.2)); -#35862=CARTESIAN_POINT('',(2.34000000000001,0.945000000000016,-5.2)); -#35863=CARTESIAN_POINT('Origin',(2.34000000000001,0.945000000000016,-5.2)); -#35864=CARTESIAN_POINT('',(1.24000000000001,0.945000000000016,-5.2)); -#35865=CARTESIAN_POINT('',(2.34000000000001,0.945000000000016,-5.2)); -#35866=CARTESIAN_POINT('',(1.24000000000001,0.945000000000016,-5.2)); -#35867=CARTESIAN_POINT('Origin',(1.24000000000001,1.29500000000001,-5.2)); -#35868=CARTESIAN_POINT('',(1.24000000000001,1.29500000000001,-5.2)); -#35869=CARTESIAN_POINT('Origin',(0.,0.,-5.2)); -#35870=CARTESIAN_POINT('Origin',(-2.05,-1.29500000000001,-5.2)); -#35871=CARTESIAN_POINT('',(-2.05,-0.945000000000006,-5.2)); -#35872=CARTESIAN_POINT('',(-2.05,-1.29500000000001,-5.2)); -#35873=CARTESIAN_POINT('',(-2.05,-0.945000000000006,-5.2)); -#35874=CARTESIAN_POINT('Origin',(-2.05,-0.945000000000006,-5.2)); -#35875=CARTESIAN_POINT('',(-0.949999999999995,-0.945000000000005,-5.2)); -#35876=CARTESIAN_POINT('',(-2.05,-0.945000000000006,-5.2)); -#35877=CARTESIAN_POINT('',(-0.949999999999995,-0.945000000000005,-5.2)); -#35878=CARTESIAN_POINT('Origin',(-0.949999999999995,-1.29500000000001,-5.2)); -#35879=CARTESIAN_POINT('',(-0.949999999999995,-1.29500000000001,-5.2)); -#35880=CARTESIAN_POINT('Origin',(0.,0.,-5.2)); -#35881=CARTESIAN_POINT('Origin',(0.949999999999995,-1.29500000000001,-5.2)); -#35882=CARTESIAN_POINT('',(0.949999999999995,-0.945000000000005,-5.2)); -#35883=CARTESIAN_POINT('',(0.949999999999995,-1.29500000000001,-5.2)); -#35884=CARTESIAN_POINT('',(0.949999999999995,-0.945000000000005,-5.2)); -#35885=CARTESIAN_POINT('Origin',(2.05,-0.945000000000006,-5.2)); -#35886=CARTESIAN_POINT('',(2.05,-0.945000000000006,-5.2)); -#35887=CARTESIAN_POINT('',(2.05,-0.945000000000006,-5.2)); -#35888=CARTESIAN_POINT('',(2.05,-0.945000000000006,-5.2)); -#35889=CARTESIAN_POINT('Origin',(2.05,-1.29500000000001,-5.2)); -#35890=CARTESIAN_POINT('',(2.05,-1.29500000000001,-5.2)); -#35891=CARTESIAN_POINT('Origin',(0.,0.,-5.2)); -#35892=CARTESIAN_POINT('Origin',(-1.24000000000001,1.29500000000001,-5.2)); -#35893=CARTESIAN_POINT('',(-1.24000000000001,0.945000000000016,-5.2)); -#35894=CARTESIAN_POINT('',(-1.24000000000001,1.29500000000001,-5.2)); -#35895=CARTESIAN_POINT('',(-1.24000000000001,0.945000000000016,-5.2)); -#35896=CARTESIAN_POINT('Origin',(-2.34000000000001,0.945000000000016,-5.2)); -#35897=CARTESIAN_POINT('',(-2.34000000000001,0.945000000000016,-5.2)); -#35898=CARTESIAN_POINT('',(-2.34000000000001,0.945000000000016,-5.2)); -#35899=CARTESIAN_POINT('',(-2.34000000000001,0.945000000000016,-5.2)); -#35900=CARTESIAN_POINT('Origin',(-2.34000000000001,1.29500000000002,-5.2)); -#35901=CARTESIAN_POINT('',(-2.34000000000001,1.29500000000002,-5.2)); -#35902=CARTESIAN_POINT('Origin',(0.,0.,-5.2)); -#35903=CARTESIAN_POINT('Origin',(-2.8,1.29500000000002,-6.05)); -#35904=CARTESIAN_POINT('',(-2.8,0.901747775353364,-6.05)); -#35905=CARTESIAN_POINT('',(-2.8,0.901747775353364,-6.05)); -#35906=CARTESIAN_POINT('',(-2.8,0.901747775353364,-6.05)); -#35907=CARTESIAN_POINT('Origin',(-1.06093476939422,0.901747775353364,-6.05)); -#35908=CARTESIAN_POINT('',(-1.06093476939422,0.901747775353364,-6.05)); -#35909=CARTESIAN_POINT('',(-1.06093476939422,0.901747775353364,-6.05)); -#35910=CARTESIAN_POINT('',(-1.06093476939422,0.901747775353364,-6.05)); -#35911=CARTESIAN_POINT('Origin',(-1.06093476939422,1.05174777535336,-6.05)); -#35912=CARTESIAN_POINT('',(-1.06093476939422,1.05174777535336,-6.05)); -#35913=CARTESIAN_POINT('Origin',(0.,0.,-6.05)); -#35914=CARTESIAN_POINT('Origin',(2.8,0.901747775353363,-6.05)); -#35915=CARTESIAN_POINT('',(1.06093476939424,0.901747775353363,-6.05)); -#35916=CARTESIAN_POINT('',(1.06093476939424,0.901747775353363,-6.05)); -#35917=CARTESIAN_POINT('',(2.8,0.901747775353363,-6.05)); -#35918=CARTESIAN_POINT('',(2.8,0.901747775353363,-6.05)); -#35919=CARTESIAN_POINT('',(2.8,0.901747775353363,-6.05)); -#35920=CARTESIAN_POINT('Origin',(2.8,1.05174777535336,-6.05)); -#35921=CARTESIAN_POINT('',(2.8,1.29500000000002,-6.05)); -#35922=CARTESIAN_POINT('Origin',(1.06093476939424,1.15174777535336,-6.05)); -#35923=CARTESIAN_POINT('',(1.06093476939424,0.901747775353363,-6.05)); -#35924=CARTESIAN_POINT('Origin',(0.,0.,-6.05)); -#35925=CARTESIAN_POINT('Origin',(3.05,0.3,-3.06)); -#35926=CARTESIAN_POINT('',(3.05,0.6,-3.06)); -#35927=CARTESIAN_POINT('',(3.34580398915498,0.35,-3.06)); -#35928=CARTESIAN_POINT('Origin',(3.05,0.6,-3.06)); -#35929=CARTESIAN_POINT('',(-3.05,0.599999999999999,-3.06)); -#35930=CARTESIAN_POINT('Origin',(-3.05,0.3,-3.06)); -#35931=CARTESIAN_POINT('',(-3.34580398915498,0.35,-3.06)); -#35932=CARTESIAN_POINT('Origin',(-3.34580398915498,-0.35,-3.06)); -#35933=CARTESIAN_POINT('',(-3.34580398915498,-0.35,-3.185)); -#35934=CARTESIAN_POINT('',(-3.34580398915498,-0.35,-3.06)); -#35935=CARTESIAN_POINT('',(-3.34580398915498,0.35,-3.185)); -#35936=CARTESIAN_POINT('',(-3.34580398915498,-0.35,-3.185)); -#35937=CARTESIAN_POINT('',(-3.34580398915498,0.35,-3.06)); -#35938=CARTESIAN_POINT('',(-3.34580398915498,-0.35,-3.06)); -#35939=CARTESIAN_POINT('Origin',(-3.05,-0.3,-3.06)); -#35940=CARTESIAN_POINT('',(-3.05,-0.6,-3.06)); -#35941=CARTESIAN_POINT('Origin',(-3.05,-0.6,-3.06)); -#35942=CARTESIAN_POINT('',(3.05,-0.6,-3.06)); -#35943=CARTESIAN_POINT('Origin',(3.05,-0.3,-3.06)); -#35944=CARTESIAN_POINT('',(3.34580398915498,-0.35,-3.06)); -#35945=CARTESIAN_POINT('Origin',(3.34580398915498,0.35,-3.06)); -#35946=CARTESIAN_POINT('',(3.34580398915498,0.35,-3.06)); -#35947=CARTESIAN_POINT('',(3.34580398915498,-0.35,-3.06)); -#35948=CARTESIAN_POINT('Origin',(3.11370606880992,-0.35,-0.25)); -#35949=CARTESIAN_POINT('',(0.723882150323846,-0.35,-0.629226497308077)); -#35950=CARTESIAN_POINT('',(0.723882150323846,-0.35,-0.629226497308077)); -#35951=CARTESIAN_POINT('',(0.973882150323846,-0.35,-0.629226497308075)); -#35952=CARTESIAN_POINT('',(0.973882150323846,-0.35,-0.629226497308075)); -#35953=CARTESIAN_POINT('',(0.973882150323862,-0.35,-3.05999999999997)); -#35954=CARTESIAN_POINT('',(2.99209520484207,-0.35,-0.0701033945960995)); -#35955=CARTESIAN_POINT('',(-3.24095389311791,-0.35,-0.753837021268978)); -#35956=CARTESIAN_POINT('',(-3.22500000000002,-0.35,-3.06)); -#35957=CARTESIAN_POINT('',(-2.77611784967616,-0.35,-0.629226497308101)); -#35958=CARTESIAN_POINT('',(-2.77611784967616,-0.35,-0.629226497308101)); -#35959=CARTESIAN_POINT('',(-2.52611784967615,-0.35,-0.6292264973081)); -#35960=CARTESIAN_POINT('',(-2.52611784967615,-0.35,-0.6292264973081)); -#35961=CARTESIAN_POINT('',(-2.52611784967614,-0.35,-3.06)); -#35962=CARTESIAN_POINT('',(-1.27611784967615,-0.35,-0.629226497308091)); -#35963=CARTESIAN_POINT('',(-1.27611784967615,-0.35,-0.629226497308091)); -#35964=CARTESIAN_POINT('',(-1.02611784967615,-0.35,-0.629226497308089)); -#35965=CARTESIAN_POINT('',(-1.02611784967615,-0.35,-0.629226497308089)); -#35966=CARTESIAN_POINT('',(-1.02611784967614,-0.35,-3.05999999999999)); -#35967=CARTESIAN_POINT('Origin',(3.24095389311789,0.35,-0.25)); -#35968=CARTESIAN_POINT('',(-0.723897258139026,0.34999999999999,-0.629226497308103)); -#35969=CARTESIAN_POINT('',(-0.723897258139026,0.34999999999999,-0.629226497308103)); -#35970=CARTESIAN_POINT('',(-0.973897258139025,0.34999999999999,-0.629226497308103)); -#35971=CARTESIAN_POINT('',(-0.973897258139025,0.34999999999999,-0.629226497308103)); -#35972=CARTESIAN_POINT('',(-0.973897258139025,0.34999999999999,-3.06)); -#35973=CARTESIAN_POINT('',(-3.22500000000002,0.35,-3.06)); -#35974=CARTESIAN_POINT('',(-3.10000000000002,0.35,-1.485)); -#35975=CARTESIAN_POINT('',(-3.10000000000002,0.35,-2.935)); -#35976=CARTESIAN_POINT('',(-3.21053254624118,0.35,-1.23584875921329)); -#35977=CARTESIAN_POINT('Origin',(-3.43607198554,0.35,-1.485)); -#35978=CARTESIAN_POINT('Origin',(-3.13000000000002,0.35,-1.14688523300218)); -#35979=CARTESIAN_POINT('',(-3.24095389311791,0.35,-0.753837021268978)); -#35980=CARTESIAN_POINT('',(2.99209520484207,0.35,-0.0701033945960995)); -#35981=CARTESIAN_POINT('',(3.21053254624115,0.35,-1.23584875921329)); -#35982=CARTESIAN_POINT('Origin',(3.12999999999998,0.35,-1.14688523300218)); -#35983=CARTESIAN_POINT('',(3.09999999999998,0.35,-1.485)); -#35984=CARTESIAN_POINT('Origin',(3.43607198553996,0.35,-1.485)); -#35985=CARTESIAN_POINT('',(3.09999999999998,0.35,-1.485)); -#35986=CARTESIAN_POINT('',(2.77610274186097,0.35,-0.629226497308103)); -#35987=CARTESIAN_POINT('',(2.77610274186097,0.35,-0.629226497308103)); -#35988=CARTESIAN_POINT('',(2.52610274186098,0.35,-0.629226497308103)); -#35989=CARTESIAN_POINT('',(2.52610274186098,0.35,-0.629226497308103)); -#35990=CARTESIAN_POINT('',(2.52610274186098,0.35,-3.06)); -#35991=CARTESIAN_POINT('',(1.27610274186097,0.349999999999996,-0.629226497308103)); -#35992=CARTESIAN_POINT('',(1.27610274186097,0.349999999999996,-0.629226497308103)); -#35993=CARTESIAN_POINT('',(1.02610274186097,0.349999999999996,-0.629226497308103)); -#35994=CARTESIAN_POINT('',(1.02610274186097,0.349999999999996,-0.629226497308103)); -#35995=CARTESIAN_POINT('',(1.02610274186097,0.349999999999996,-3.06)); -#35996=CARTESIAN_POINT('Origin',(0.,0.,-0.25)); -#35997=CARTESIAN_POINT('',(-2.91836269472239,0.14,-0.25)); -#35998=CARTESIAN_POINT('',(2.91836269472236,-0.14,-0.25)); -#35999=CARTESIAN_POINT('Origin',(-3.24095389311791,-0.35,-0.753837021268978)); -#36000=CARTESIAN_POINT('Ctrl Pts',(-3.13417611935649,-0.35,-0.46046749895985)); -#36001=CARTESIAN_POINT('Ctrl Pts',(-3.13449199750315,-0.116666666666667, --0.461335367035096)); -#36002=CARTESIAN_POINT('Ctrl Pts',(-3.13449199750315,0.116666666666667, --0.461335367035096)); -#36003=CARTESIAN_POINT('Ctrl Pts',(-3.13424898057685,0.35,-0.460667683517548)); -#36004=CARTESIAN_POINT('Origin',(2.99209520484207,-0.35,-0.0701033945960995)); -#36005=CARTESIAN_POINT('Ctrl Pts',(3.13417611935646,0.35,-0.46046749895985)); -#36006=CARTESIAN_POINT('Ctrl Pts',(3.13449199750312,0.116666666666667,-0.461335367035096)); -#36007=CARTESIAN_POINT('Ctrl Pts',(3.13449199750312,-0.116666666666667, --0.461335367035096)); -#36008=CARTESIAN_POINT('Ctrl Pts',(3.13424898057682,-0.35,-0.460667683517548)); -#36009=CARTESIAN_POINT('Origin',(-3.13000000000002,-0.35,-1.14688523300218)); -#36010=CARTESIAN_POINT('',(-3.21053254624118,0.085,-1.23584875921329)); -#36011=CARTESIAN_POINT('',(-3.21053254624118,-0.35,-1.23584875921329)); -#36012=CARTESIAN_POINT('Origin',(-3.13000000000002,0.085,-1.14688523300218)); -#36013=CARTESIAN_POINT('Origin',(-3.10000000000002,-0.35,-2.935)); -#36014=CARTESIAN_POINT('',(-3.10000000000002,0.085,-1.485)); -#36015=CARTESIAN_POINT('',(-3.10000000000002,0.085,-2.935)); -#36016=CARTESIAN_POINT('',(-3.10000000000002,-0.35,-1.485)); -#36017=CARTESIAN_POINT('Origin',(-3.43607198554,-0.35,-1.485)); -#36018=CARTESIAN_POINT('Origin',(-3.43607198554,0.085,-1.485)); -#36019=CARTESIAN_POINT('Origin',(3.12999999999998,-0.35,-1.14688523300218)); -#36020=CARTESIAN_POINT('',(3.21053254624115,0.085,-1.23584875921329)); -#36021=CARTESIAN_POINT('Origin',(3.12999999999998,0.085,-1.14688523300218)); -#36022=CARTESIAN_POINT('',(3.21053254624115,-0.35,-1.23584875921329)); -#36023=CARTESIAN_POINT('Origin',(3.43607198553996,-0.35,-1.485)); -#36024=CARTESIAN_POINT('',(3.09999999999998,0.085,-1.485)); -#36025=CARTESIAN_POINT('Origin',(3.43607198553996,0.085,-1.485)); -#36026=CARTESIAN_POINT('',(3.09999999999998,-0.35,-1.485)); -#36027=CARTESIAN_POINT('Origin',(3.09999999999998,-0.35,-1.485)); -#36028=CARTESIAN_POINT('',(3.09999999999998,0.085,-1.485)); -#36029=CARTESIAN_POINT('Origin',(-3.22500000000002,-0.35,-3.06)); -#36030=CARTESIAN_POINT('Ctrl Pts',(-2.91836269472239,0.385,-0.25)); -#36031=CARTESIAN_POINT('Ctrl Pts',(-2.91836269472239,-0.539,-0.25)); -#36032=CARTESIAN_POINT('Ctrl Pts',(-3.05757221445465,0.385,-0.25)); -#36033=CARTESIAN_POINT('Ctrl Pts',(-3.05757221445465,-0.539,-0.25)); -#36034=CARTESIAN_POINT('Ctrl Pts',(-3.13449199750315,0.385,-0.461335367035096)); -#36035=CARTESIAN_POINT('Ctrl Pts',(-3.13449199750315,-0.539,-0.461335367035096)); -#36036=CARTESIAN_POINT('Ctrl Pts',(2.91836269472236,-0.385,-0.25)); -#36037=CARTESIAN_POINT('Ctrl Pts',(2.91836269472236,0.539,-0.25)); -#36038=CARTESIAN_POINT('Ctrl Pts',(3.05757221445462,-0.385,-0.25)); -#36039=CARTESIAN_POINT('Ctrl Pts',(3.05757221445462,0.539,-0.25)); -#36040=CARTESIAN_POINT('Ctrl Pts',(3.13449199750312,-0.385,-0.461335367035096)); -#36041=CARTESIAN_POINT('Ctrl Pts',(3.13449199750312,0.539,-0.461335367035096)); -#36042=CARTESIAN_POINT('Origin',(3.47,-0.604999999999984,-5.8)); -#36043=CARTESIAN_POINT('Origin',(-3.46999999999999,-0.605000000000008,-5.8)); -#36044=CARTESIAN_POINT('Origin',(2.605,-0.085,-0.785913545459726)); -#36045=CARTESIAN_POINT('',(2.605,-0.085,-0.785913545459726)); -#36046=CARTESIAN_POINT('',(2.605,-0.085,-0.785913545459726)); -#36047=CARTESIAN_POINT('',(2.605,0.085,-0.785913545459726)); -#36048=CARTESIAN_POINT('',(2.605,-0.085,-0.785913545459726)); -#36049=CARTESIAN_POINT('',(2.605,0.085,-0.785913545459726)); -#36050=CARTESIAN_POINT('Origin',(3.17,-0.085,-3.0007735026919)); -#36051=CARTESIAN_POINT('',(2.605,0.085,-3.0007735026919)); -#36052=CARTESIAN_POINT('',(3.17,0.085,-3.0007735026919)); -#36053=CARTESIAN_POINT('',(2.605,-0.085,-3.0007735026919)); -#36054=CARTESIAN_POINT('',(2.605,-0.085,-3.0007735026919)); -#36055=CARTESIAN_POINT('',(3.17,-0.085,-3.0007735026919)); -#36056=CARTESIAN_POINT('Origin',(3.05042058163649,-0.085,-1.10179347282039)); -#36057=CARTESIAN_POINT('',(2.605,-0.085,-3.0007735026919)); -#36058=CARTESIAN_POINT('Origin',(3.05042058163649,0.085,-1.10179347282039)); -#36059=CARTESIAN_POINT('',(2.605,0.085,-3.0007735026919)); -#36060=CARTESIAN_POINT('Origin',(2.605,-0.085,-3.0007735026919)); -#36061=CARTESIAN_POINT('Origin',(-3.26318757019832,-0.085,-0.785913545459726)); -#36062=CARTESIAN_POINT('',(-2.605,0.085,-0.785913545459726)); -#36063=CARTESIAN_POINT('',(-3.26318757019832,0.085,-0.785913545459726)); -#36064=CARTESIAN_POINT('',(-2.605,-0.085,-0.785913545459726)); -#36065=CARTESIAN_POINT('',(-2.605,-0.085,-0.785913545459726)); -#36066=CARTESIAN_POINT('',(-3.26318757019832,-0.085,-0.785913545459726)); -#36067=CARTESIAN_POINT('Origin',(-2.605,-0.085,-3.00077350269189)); -#36068=CARTESIAN_POINT('',(-2.605,-0.085,-3.00077350269189)); -#36069=CARTESIAN_POINT('',(-2.605,-0.085,-3.00077350269189)); -#36070=CARTESIAN_POINT('',(-2.605,0.085,-3.00077350269189)); -#36071=CARTESIAN_POINT('',(-2.605,-0.085,-3.00077350269189)); -#36072=CARTESIAN_POINT('',(-2.605,0.085,-3.00077350269189)); -#36073=CARTESIAN_POINT('Origin',(-3.15,-0.085,-1.8007735026919)); -#36074=CARTESIAN_POINT('',(-2.605,-0.085,-0.785913545459726)); -#36075=CARTESIAN_POINT('Origin',(-3.15,0.085,-1.8007735026919)); -#36076=CARTESIAN_POINT('',(-2.605,0.085,-0.785913545459726)); -#36077=CARTESIAN_POINT('Origin',(-2.605,-0.085,-0.785913545459726)); -#36078=CARTESIAN_POINT('Origin',(2.77610274186097,0.15,-3.06)); -#36079=CARTESIAN_POINT('',(2.52610274186098,0.15,-3.06)); -#36080=CARTESIAN_POINT('',(2.52610274186098,0.15,-3.06)); -#36081=CARTESIAN_POINT('',(2.77610274186097,0.15,-3.06)); -#36082=CARTESIAN_POINT('',(2.77610274186097,0.15,-3.06)); -#36083=CARTESIAN_POINT('',(2.77610274186097,0.15,-3.06)); -#36084=CARTESIAN_POINT('Origin',(2.77610274186097,0.15,-0.629226497308103)); -#36085=CARTESIAN_POINT('',(2.77610274186097,0.15,-0.629226497308103)); -#36086=CARTESIAN_POINT('',(2.77610274186097,0.15,-0.629226497308103)); -#36087=CARTESIAN_POINT('',(2.77610274186097,0.15,-0.629226497308103)); -#36088=CARTESIAN_POINT('Origin',(2.52610274186098,0.15,-0.629226497308103)); -#36089=CARTESIAN_POINT('',(2.52610274186098,0.15,-0.629226497308103)); -#36090=CARTESIAN_POINT('',(2.52610274186098,0.15,-0.629226497308103)); -#36091=CARTESIAN_POINT('',(2.52610274186098,0.15,-0.629226497308103)); -#36092=CARTESIAN_POINT('Origin',(2.52610274186098,0.15,-3.06)); -#36093=CARTESIAN_POINT('',(2.52610274186098,0.15,-3.06)); -#36094=CARTESIAN_POINT('Origin',(0.,0.15,0.)); -#36095=CARTESIAN_POINT('Origin',(1.27610274186097,0.149999999999996,-3.06)); -#36096=CARTESIAN_POINT('',(1.02610274186097,0.149999999999996,-3.06)); -#36097=CARTESIAN_POINT('',(1.02610274186097,0.149999999999996,-3.06)); -#36098=CARTESIAN_POINT('',(1.27610274186097,0.149999999999996,-3.06)); -#36099=CARTESIAN_POINT('',(1.27610274186097,0.149999999999996,-3.06)); -#36100=CARTESIAN_POINT('',(1.27610274186097,0.149999999999996,-3.06)); -#36101=CARTESIAN_POINT('Origin',(1.27610274186097,0.149999999999996,-0.629226497308103)); -#36102=CARTESIAN_POINT('',(1.27610274186097,0.149999999999996,-0.629226497308103)); -#36103=CARTESIAN_POINT('',(1.27610274186097,0.149999999999996,-0.629226497308103)); -#36104=CARTESIAN_POINT('',(1.27610274186097,0.149999999999996,-0.629226497308103)); -#36105=CARTESIAN_POINT('Origin',(1.02610274186097,0.149999999999996,-0.629226497308103)); -#36106=CARTESIAN_POINT('',(1.02610274186097,0.149999999999996,-0.629226497308103)); -#36107=CARTESIAN_POINT('',(1.02610274186097,0.149999999999996,-0.629226497308103)); -#36108=CARTESIAN_POINT('',(1.02610274186097,0.149999999999996,-0.629226497308103)); -#36109=CARTESIAN_POINT('Origin',(1.02610274186097,0.149999999999996,-3.06)); -#36110=CARTESIAN_POINT('',(1.02610274186097,0.149999999999996,-3.06)); -#36111=CARTESIAN_POINT('Origin',(-1.5,0.149999999999996,0.)); -#36112=CARTESIAN_POINT('Origin',(-0.723897258139026,0.14999999999999,-3.06)); -#36113=CARTESIAN_POINT('',(-0.973897258139025,0.14999999999999,-3.06)); -#36114=CARTESIAN_POINT('',(-0.973897258139025,0.14999999999999,-3.06)); -#36115=CARTESIAN_POINT('',(-0.723897258139026,0.14999999999999,-3.06)); -#36116=CARTESIAN_POINT('',(-0.723897258139026,0.14999999999999,-3.06)); -#36117=CARTESIAN_POINT('',(-0.723897258139026,0.14999999999999,-3.06)); -#36118=CARTESIAN_POINT('Origin',(-0.723897258139026,0.14999999999999,-0.629226497308103)); -#36119=CARTESIAN_POINT('',(-0.723897258139026,0.14999999999999,-0.629226497308103)); -#36120=CARTESIAN_POINT('',(-0.723897258139026,0.14999999999999,-0.629226497308103)); -#36121=CARTESIAN_POINT('',(-0.723897258139026,0.14999999999999,-0.629226497308103)); -#36122=CARTESIAN_POINT('Origin',(-0.973897258139025,0.14999999999999,-0.629226497308103)); -#36123=CARTESIAN_POINT('',(-0.973897258139025,0.14999999999999,-0.629226497308103)); -#36124=CARTESIAN_POINT('',(-0.973897258139025,0.14999999999999,-0.629226497308103)); -#36125=CARTESIAN_POINT('',(-0.973897258139025,0.14999999999999,-0.629226497308103)); -#36126=CARTESIAN_POINT('Origin',(-0.973897258139025,0.14999999999999,-3.06)); -#36127=CARTESIAN_POINT('',(-0.973897258139025,0.14999999999999,-3.06)); -#36128=CARTESIAN_POINT('Origin',(-3.5,0.14999999999999,0.)); -#36129=CARTESIAN_POINT('Origin',(-2.77611784967616,-0.15,-0.629226497308101)); -#36130=CARTESIAN_POINT('',(-2.77611784967614,-0.15,-3.06)); -#36131=CARTESIAN_POINT('',(-2.77611784967614,-0.15,-3.06)); -#36132=CARTESIAN_POINT('',(-2.77611784967616,-0.15,-0.629226497308101)); -#36133=CARTESIAN_POINT('',(-2.77611784967616,-0.15,-0.629226497308101)); -#36134=CARTESIAN_POINT('',(-2.77611784967616,-0.15,-0.629226497308101)); -#36135=CARTESIAN_POINT('Origin',(-2.52611784967615,-0.15,-0.6292264973081)); -#36136=CARTESIAN_POINT('',(-2.52611784967615,-0.15,-0.6292264973081)); -#36137=CARTESIAN_POINT('',(-2.52611784967615,-0.15,-0.6292264973081)); -#36138=CARTESIAN_POINT('',(-2.52611784967615,-0.15,-0.6292264973081)); -#36139=CARTESIAN_POINT('Origin',(-2.52611784967614,-0.15,-3.06)); -#36140=CARTESIAN_POINT('',(-2.52611784967614,-0.15,-3.06)); -#36141=CARTESIAN_POINT('',(-2.52611784967614,-0.15,-3.06)); -#36142=CARTESIAN_POINT('',(-2.52611784967614,-0.15,-3.06)); -#36143=CARTESIAN_POINT('Origin',(-2.77611784967614,-0.15,-3.06)); -#36144=CARTESIAN_POINT('',(-2.77611784967614,-0.15,-3.06)); -#36145=CARTESIAN_POINT('Origin',(0.,-0.15,0.)); -#36146=CARTESIAN_POINT('Origin',(-1.27611784967615,-0.15,-0.629226497308091)); -#36147=CARTESIAN_POINT('',(-1.27611784967614,-0.15,-3.05999999999999)); -#36148=CARTESIAN_POINT('',(-1.27611784967614,-0.15,-3.05999999999999)); -#36149=CARTESIAN_POINT('',(-1.27611784967615,-0.15,-0.629226497308091)); -#36150=CARTESIAN_POINT('',(-1.27611784967615,-0.15,-0.629226497308091)); -#36151=CARTESIAN_POINT('',(-1.27611784967615,-0.15,-0.629226497308091)); -#36152=CARTESIAN_POINT('Origin',(-1.02611784967615,-0.15,-0.629226497308089)); -#36153=CARTESIAN_POINT('',(-1.02611784967615,-0.15,-0.629226497308089)); -#36154=CARTESIAN_POINT('',(-1.02611784967615,-0.15,-0.629226497308089)); -#36155=CARTESIAN_POINT('',(-1.02611784967615,-0.15,-0.629226497308089)); -#36156=CARTESIAN_POINT('Origin',(-1.02611784967614,-0.15,-3.05999999999999)); -#36157=CARTESIAN_POINT('',(-1.02611784967614,-0.15,-3.05999999999999)); -#36158=CARTESIAN_POINT('',(-1.02611784967614,-0.15,-3.05999999999999)); -#36159=CARTESIAN_POINT('',(-1.02611784967614,-0.15,-3.05999999999999)); -#36160=CARTESIAN_POINT('Origin',(-1.27611784967614,-0.15,-3.05999999999999)); -#36161=CARTESIAN_POINT('',(-1.27611784967614,-0.15,-3.05999999999999)); -#36162=CARTESIAN_POINT('Origin',(0.,-0.15,0.)); -#36163=CARTESIAN_POINT('Origin',(0.723882150323846,-0.15,-0.629226497308077)); -#36164=CARTESIAN_POINT('',(0.723882150323863,-0.15,-3.05999999999997)); -#36165=CARTESIAN_POINT('',(0.723882150323863,-0.15,-3.05999999999997)); -#36166=CARTESIAN_POINT('',(0.723882150323846,-0.15,-0.629226497308077)); -#36167=CARTESIAN_POINT('',(0.723882150323846,-0.15,-0.629226497308077)); -#36168=CARTESIAN_POINT('',(0.723882150323846,-0.15,-0.629226497308077)); -#36169=CARTESIAN_POINT('Origin',(0.973882150323846,-0.15,-0.629226497308075)); -#36170=CARTESIAN_POINT('',(0.973882150323846,-0.15,-0.629226497308075)); -#36171=CARTESIAN_POINT('',(0.973882150323846,-0.15,-0.629226497308075)); -#36172=CARTESIAN_POINT('',(0.973882150323846,-0.15,-0.629226497308075)); -#36173=CARTESIAN_POINT('Origin',(0.973882150323862,-0.15,-3.05999999999997)); -#36174=CARTESIAN_POINT('',(0.973882150323862,-0.15,-3.05999999999997)); -#36175=CARTESIAN_POINT('',(0.973882150323862,-0.15,-3.05999999999997)); -#36176=CARTESIAN_POINT('',(0.973882150323862,-0.15,-3.05999999999997)); -#36177=CARTESIAN_POINT('Origin',(0.723882150323863,-0.15,-3.05999999999997)); -#36178=CARTESIAN_POINT('',(0.723882150323863,-0.15,-3.05999999999997)); -#36179=CARTESIAN_POINT('Origin',(0.,-0.15,0.)); -#36180=CARTESIAN_POINT('Origin',(-0.733897258139026,0.36,-0.639226497308103)); -#36181=CARTESIAN_POINT('',(-0.733897258139026,0.16,-0.639226497308103)); -#36182=CARTESIAN_POINT('',(-0.733897258139026,0.16,-3.05)); -#36183=CARTESIAN_POINT('',(-0.733897258139026,0.16,-0.639226497308103)); -#36184=CARTESIAN_POINT('',(-0.733897258139026,0.36,-3.05)); -#36185=CARTESIAN_POINT('',(-0.733897258139026,0.36,-3.05)); -#36186=CARTESIAN_POINT('',(-0.733897258139026,0.36,-0.639226497308103)); -#36187=CARTESIAN_POINT('',(-0.733897258139026,0.36,-0.639226497308103)); -#36188=CARTESIAN_POINT('',(-0.733897258139026,0.36,-0.639226497308103)); -#36189=CARTESIAN_POINT('Origin',(-0.733897258139026,0.36,-3.05)); -#36190=CARTESIAN_POINT('',(-0.963897258139025,0.16,-3.05)); -#36191=CARTESIAN_POINT('',(-0.733897258139026,0.16,-3.05)); -#36192=CARTESIAN_POINT('',(-0.963897258139025,0.36,-3.05)); -#36193=CARTESIAN_POINT('',(-0.963897258139025,0.36,-3.05)); -#36194=CARTESIAN_POINT('',(-0.733897258139026,0.36,-3.05)); -#36195=CARTESIAN_POINT('Origin',(-0.963897258139025,0.36,-3.05)); -#36196=CARTESIAN_POINT('',(-0.963897258139025,0.16,-0.639226497308103)); -#36197=CARTESIAN_POINT('',(-0.963897258139025,0.16,-3.05)); -#36198=CARTESIAN_POINT('',(-0.963897258139025,0.36,-0.639226497308103)); -#36199=CARTESIAN_POINT('',(-0.963897258139025,0.36,-0.639226497308103)); -#36200=CARTESIAN_POINT('',(-0.963897258139025,0.36,-3.05)); -#36201=CARTESIAN_POINT('Origin',(-0.963897258139025,0.36,-0.639226497308103)); -#36202=CARTESIAN_POINT('',(-0.963897258139025,0.16,-0.639226497308103)); -#36203=CARTESIAN_POINT('',(-0.963897258139025,0.36,-0.639226497308103)); -#36204=CARTESIAN_POINT('Origin',(0.,0.36,0.)); -#36205=CARTESIAN_POINT('Origin',(0.,0.16,0.)); -#36206=CARTESIAN_POINT('Origin',(1.26610274186097,0.36,-0.639226497308103)); -#36207=CARTESIAN_POINT('',(1.26610274186097,0.16,-0.639226497308103)); -#36208=CARTESIAN_POINT('',(1.26610274186097,0.16,-3.05)); -#36209=CARTESIAN_POINT('',(1.26610274186097,0.16,-0.639226497308103)); -#36210=CARTESIAN_POINT('',(1.26610274186097,0.36,-3.05)); -#36211=CARTESIAN_POINT('',(1.26610274186097,0.36,-3.05)); -#36212=CARTESIAN_POINT('',(1.26610274186097,0.36,-0.639226497308103)); -#36213=CARTESIAN_POINT('',(1.26610274186097,0.36,-0.639226497308103)); -#36214=CARTESIAN_POINT('',(1.26610274186097,0.36,-0.639226497308103)); -#36215=CARTESIAN_POINT('Origin',(1.26610274186097,0.36,-3.05)); -#36216=CARTESIAN_POINT('',(1.03610274186097,0.16,-3.05)); -#36217=CARTESIAN_POINT('',(1.26610274186097,0.16,-3.05)); -#36218=CARTESIAN_POINT('',(1.03610274186097,0.36,-3.05)); -#36219=CARTESIAN_POINT('',(1.03610274186097,0.36,-3.05)); -#36220=CARTESIAN_POINT('',(1.26610274186097,0.36,-3.05)); -#36221=CARTESIAN_POINT('Origin',(1.03610274186097,0.36,-3.05)); -#36222=CARTESIAN_POINT('',(1.03610274186097,0.16,-0.639226497308103)); -#36223=CARTESIAN_POINT('',(1.03610274186097,0.16,-3.05)); -#36224=CARTESIAN_POINT('',(1.03610274186097,0.36,-0.639226497308103)); -#36225=CARTESIAN_POINT('',(1.03610274186097,0.36,-0.639226497308103)); -#36226=CARTESIAN_POINT('',(1.03610274186097,0.36,-3.05)); -#36227=CARTESIAN_POINT('Origin',(1.03610274186097,0.36,-0.639226497308103)); -#36228=CARTESIAN_POINT('',(1.03610274186097,0.16,-0.639226497308103)); -#36229=CARTESIAN_POINT('',(1.03610274186097,0.36,-0.639226497308103)); -#36230=CARTESIAN_POINT('Origin',(0.,0.36,0.)); -#36231=CARTESIAN_POINT('Origin',(0.,0.16,0.)); -#36232=CARTESIAN_POINT('Origin',(2.76610274186097,0.36,-0.639226497308103)); -#36233=CARTESIAN_POINT('',(2.76610274186097,0.16,-0.639226497308103)); -#36234=CARTESIAN_POINT('',(2.76610274186097,0.16,-3.05)); -#36235=CARTESIAN_POINT('',(2.76610274186097,0.16,-0.639226497308103)); -#36236=CARTESIAN_POINT('',(2.76610274186097,0.36,-3.05)); -#36237=CARTESIAN_POINT('',(2.76610274186097,0.36,-3.05)); -#36238=CARTESIAN_POINT('',(2.76610274186097,0.36,-0.639226497308103)); -#36239=CARTESIAN_POINT('',(2.76610274186097,0.36,-0.639226497308103)); -#36240=CARTESIAN_POINT('',(2.76610274186097,0.36,-0.639226497308103)); -#36241=CARTESIAN_POINT('Origin',(2.76610274186097,0.36,-3.05)); -#36242=CARTESIAN_POINT('',(2.53610274186097,0.16,-3.05)); -#36243=CARTESIAN_POINT('',(2.76610274186097,0.16,-3.05)); -#36244=CARTESIAN_POINT('',(2.53610274186097,0.36,-3.05)); -#36245=CARTESIAN_POINT('',(2.53610274186097,0.36,-3.05)); -#36246=CARTESIAN_POINT('',(2.76610274186097,0.36,-3.05)); -#36247=CARTESIAN_POINT('Origin',(2.53610274186097,0.36,-3.05)); -#36248=CARTESIAN_POINT('',(2.53610274186097,0.16,-0.639226497308103)); -#36249=CARTESIAN_POINT('',(2.53610274186097,0.16,-3.05)); -#36250=CARTESIAN_POINT('',(2.53610274186097,0.36,-0.639226497308103)); -#36251=CARTESIAN_POINT('',(2.53610274186097,0.36,-0.639226497308103)); -#36252=CARTESIAN_POINT('',(2.53610274186097,0.36,-3.05)); -#36253=CARTESIAN_POINT('Origin',(2.53610274186097,0.36,-0.639226497308103)); -#36254=CARTESIAN_POINT('',(2.53610274186097,0.16,-0.639226497308103)); -#36255=CARTESIAN_POINT('',(2.53610274186097,0.36,-0.639226497308103)); -#36256=CARTESIAN_POINT('Origin',(0.,0.36,0.)); -#36257=CARTESIAN_POINT('Origin',(0.,0.16,0.)); -#36258=CARTESIAN_POINT('Origin',(0.963882150323846,-0.36,-0.639226497308075)); -#36259=CARTESIAN_POINT('',(0.963882150323846,-0.16,-0.639226497308075)); -#36260=CARTESIAN_POINT('',(0.733882150323846,-0.16,-0.639226497308077)); -#36261=CARTESIAN_POINT('',(0.963882150323846,-0.16,-0.639226497308075)); -#36262=CARTESIAN_POINT('',(0.733882150323846,-0.36,-0.639226497308077)); -#36263=CARTESIAN_POINT('',(0.733882150323846,-0.36,-0.639226497308077)); -#36264=CARTESIAN_POINT('',(0.963882150323846,-0.36,-0.639226497308075)); -#36265=CARTESIAN_POINT('',(0.963882150323846,-0.36,-0.639226497308075)); -#36266=CARTESIAN_POINT('',(0.963882150323846,-0.36,-0.639226497308075)); -#36267=CARTESIAN_POINT('Origin',(0.733882150323846,-0.36,-0.639226497308077)); -#36268=CARTESIAN_POINT('',(0.733882150323863,-0.16,-3.04999999999997)); -#36269=CARTESIAN_POINT('',(0.733882150323846,-0.16,-0.639226497308077)); -#36270=CARTESIAN_POINT('',(0.733882150323863,-0.36,-3.04999999999997)); -#36271=CARTESIAN_POINT('',(0.733882150323863,-0.36,-3.04999999999997)); -#36272=CARTESIAN_POINT('',(0.733882150323846,-0.36,-0.639226497308077)); -#36273=CARTESIAN_POINT('Origin',(0.733882150323863,-0.36,-3.04999999999997)); -#36274=CARTESIAN_POINT('',(0.963882150323862,-0.16,-3.04999999999997)); -#36275=CARTESIAN_POINT('',(0.733882150323863,-0.16,-3.04999999999997)); -#36276=CARTESIAN_POINT('',(0.963882150323862,-0.36,-3.04999999999997)); -#36277=CARTESIAN_POINT('',(0.963882150323862,-0.36,-3.04999999999997)); -#36278=CARTESIAN_POINT('',(0.733882150323863,-0.36,-3.04999999999997)); -#36279=CARTESIAN_POINT('Origin',(0.963882150323862,-0.36,-3.04999999999997)); -#36280=CARTESIAN_POINT('',(0.963882150323862,-0.16,-3.04999999999997)); -#36281=CARTESIAN_POINT('',(0.963882150323862,-0.36,-3.04999999999997)); -#36282=CARTESIAN_POINT('Origin',(0.,-0.36,0.)); -#36283=CARTESIAN_POINT('Origin',(0.,-0.16,0.)); -#36284=CARTESIAN_POINT('Origin',(-1.03611784967615,-0.36,-0.639226497308089)); -#36285=CARTESIAN_POINT('',(-1.03611784967615,-0.16,-0.639226497308089)); -#36286=CARTESIAN_POINT('',(-1.26611784967615,-0.16,-0.639226497308091)); -#36287=CARTESIAN_POINT('',(-1.03611784967615,-0.16,-0.639226497308089)); -#36288=CARTESIAN_POINT('',(-1.26611784967615,-0.36,-0.639226497308091)); -#36289=CARTESIAN_POINT('',(-1.26611784967615,-0.36,-0.639226497308091)); -#36290=CARTESIAN_POINT('',(-1.03611784967615,-0.36,-0.639226497308089)); -#36291=CARTESIAN_POINT('',(-1.03611784967615,-0.36,-0.639226497308089)); -#36292=CARTESIAN_POINT('',(-1.03611784967615,-0.36,-0.639226497308089)); -#36293=CARTESIAN_POINT('Origin',(-1.26611784967615,-0.36,-0.639226497308091)); -#36294=CARTESIAN_POINT('',(-1.26611784967614,-0.16,-3.04999999999999)); -#36295=CARTESIAN_POINT('',(-1.26611784967615,-0.16,-0.639226497308091)); -#36296=CARTESIAN_POINT('',(-1.26611784967614,-0.36,-3.04999999999999)); -#36297=CARTESIAN_POINT('',(-1.26611784967614,-0.36,-3.04999999999999)); -#36298=CARTESIAN_POINT('',(-1.26611784967615,-0.36,-0.639226497308091)); -#36299=CARTESIAN_POINT('Origin',(-1.26611784967614,-0.36,-3.04999999999999)); -#36300=CARTESIAN_POINT('',(-1.03611784967614,-0.16,-3.04999999999999)); -#36301=CARTESIAN_POINT('',(-1.26611784967614,-0.16,-3.04999999999999)); -#36302=CARTESIAN_POINT('',(-1.03611784967614,-0.36,-3.04999999999999)); -#36303=CARTESIAN_POINT('',(-1.03611784967614,-0.36,-3.04999999999999)); -#36304=CARTESIAN_POINT('',(-1.26611784967614,-0.36,-3.04999999999999)); -#36305=CARTESIAN_POINT('Origin',(-1.03611784967614,-0.36,-3.04999999999999)); -#36306=CARTESIAN_POINT('',(-1.03611784967614,-0.16,-3.04999999999999)); -#36307=CARTESIAN_POINT('',(-1.03611784967614,-0.36,-3.04999999999999)); -#36308=CARTESIAN_POINT('Origin',(0.,-0.36,0.)); -#36309=CARTESIAN_POINT('Origin',(0.,-0.16,0.)); -#36310=CARTESIAN_POINT('Origin',(-2.53611784967616,-0.36,-0.6392264973081)); -#36311=CARTESIAN_POINT('',(-2.53611784967616,-0.16,-0.6392264973081)); -#36312=CARTESIAN_POINT('',(-2.76611784967615,-0.16,-0.639226497308101)); -#36313=CARTESIAN_POINT('',(-2.53611784967616,-0.16,-0.6392264973081)); -#36314=CARTESIAN_POINT('',(-2.76611784967615,-0.36,-0.639226497308101)); -#36315=CARTESIAN_POINT('',(-2.76611784967615,-0.36,-0.639226497308101)); -#36316=CARTESIAN_POINT('',(-2.53611784967616,-0.36,-0.6392264973081)); -#36317=CARTESIAN_POINT('',(-2.53611784967616,-0.36,-0.6392264973081)); -#36318=CARTESIAN_POINT('',(-2.53611784967616,-0.36,-0.6392264973081)); -#36319=CARTESIAN_POINT('Origin',(-2.76611784967615,-0.36,-0.639226497308101)); -#36320=CARTESIAN_POINT('',(-2.76611784967614,-0.16,-3.05)); -#36321=CARTESIAN_POINT('',(-2.76611784967615,-0.16,-0.639226497308101)); -#36322=CARTESIAN_POINT('',(-2.76611784967614,-0.36,-3.05)); -#36323=CARTESIAN_POINT('',(-2.76611784967614,-0.36,-3.05)); -#36324=CARTESIAN_POINT('',(-2.76611784967615,-0.36,-0.639226497308101)); -#36325=CARTESIAN_POINT('Origin',(-2.76611784967614,-0.36,-3.05)); -#36326=CARTESIAN_POINT('',(-2.53611784967614,-0.16,-3.05)); -#36327=CARTESIAN_POINT('',(-2.76611784967614,-0.16,-3.05)); -#36328=CARTESIAN_POINT('',(-2.53611784967614,-0.36,-3.05)); -#36329=CARTESIAN_POINT('',(-2.53611784967614,-0.36,-3.05)); -#36330=CARTESIAN_POINT('',(-2.76611784967614,-0.36,-3.05)); -#36331=CARTESIAN_POINT('Origin',(-2.53611784967614,-0.36,-3.05)); -#36332=CARTESIAN_POINT('',(-2.53611784967614,-0.16,-3.05)); -#36333=CARTESIAN_POINT('',(-2.53611784967614,-0.36,-3.05)); -#36334=CARTESIAN_POINT('Origin',(0.,-0.36,0.)); -#36335=CARTESIAN_POINT('Origin',(0.,-0.16,0.)); -#36336=CARTESIAN_POINT('',(0.,0.,0.)); -#36337=CARTESIAN_POINT('Origin',(104.082487133785,100.4627,-5.46827521545501)); -#36338=CARTESIAN_POINT('',(104.082487133785,100.4627,-5.46827521545501)); -#36339=CARTESIAN_POINT('',(81.3874871337851,100.4627,-5.46827521545501)); -#36340=CARTESIAN_POINT('',(98.4087371337851,100.4627,-5.46827521545501)); -#36341=CARTESIAN_POINT('',(104.082487133785,100.4627,-5.36827521545501)); -#36342=CARTESIAN_POINT('',(104.082487133785,100.4627,-5.46827521545501)); -#36343=CARTESIAN_POINT('',(81.3874871337851,100.4627,-5.36827521545501)); -#36344=CARTESIAN_POINT('',(104.082487133785,100.4627,-5.36827521545501)); -#36345=CARTESIAN_POINT('',(81.3874871337851,100.4627,-5.46827521545501)); -#36346=CARTESIAN_POINT('Origin',(104.082487133785,57.7427,-5.468275215455)); -#36347=CARTESIAN_POINT('',(104.082487133785,57.7427,-5.468275215455)); -#36348=CARTESIAN_POINT('',(104.082487133785,67.44895,-5.468275215455)); -#36349=CARTESIAN_POINT('',(104.082487133785,57.7427,-5.368275215455)); -#36350=CARTESIAN_POINT('',(104.082487133785,57.7427,-5.468275215455)); -#36351=CARTESIAN_POINT('',(104.082487133785,57.7427,-5.368275215455)); -#36352=CARTESIAN_POINT('Origin',(81.387487133785,57.7427,-5.468275215455)); -#36353=CARTESIAN_POINT('',(81.387487133785,57.7427,-5.468275215455)); -#36354=CARTESIAN_POINT('',(87.061237133785,57.7427,-5.468275215455)); -#36355=CARTESIAN_POINT('',(81.387487133785,57.7427,-5.368275215455)); -#36356=CARTESIAN_POINT('',(81.387487133785,57.7427,-5.468275215455)); -#36357=CARTESIAN_POINT('',(81.387487133785,57.7427,-5.368275215455)); -#36358=CARTESIAN_POINT('Origin',(81.3874871337851,100.4627,-5.46827521545501)); -#36359=CARTESIAN_POINT('',(81.3874871337851,88.8089500000001,-5.46827521545501)); -#36360=CARTESIAN_POINT('',(81.3874871337851,100.4627,-5.36827521545501)); -#36361=CARTESIAN_POINT('Origin',(92.734987133785,79.1027,-5.36827521545501)); -#36362=CARTESIAN_POINT('Origin',(105.709987133785,52.2202,-5.468275215455)); -#36363=CARTESIAN_POINT('',(105.709987133785,102.0902,-5.46827521545501)); -#36364=CARTESIAN_POINT('',(105.709987133785,52.2202,-5.468275215455)); -#36365=CARTESIAN_POINT('',(105.709987133785,102.0902,-5.46827521545501)); -#36366=CARTESIAN_POINT('',(105.709987133785,102.0902,-3.93827521545501)); -#36367=CARTESIAN_POINT('',(105.709987133785,102.0902,-5.46827521545501)); -#36368=CARTESIAN_POINT('',(105.709987133785,52.2202,-3.938275215455)); -#36369=CARTESIAN_POINT('',(105.709987133785,102.0902,-3.93827521545501)); -#36370=CARTESIAN_POINT('',(105.709987133785,52.2202,-5.468275215455)); -#36371=CARTESIAN_POINT('Origin',(79.759987133785,52.2202,-5.468275215455)); -#36372=CARTESIAN_POINT('',(79.759987133785,52.2202,-5.468275215455)); -#36373=CARTESIAN_POINT('',(105.709987133785,52.2202,-5.468275215455)); -#36374=CARTESIAN_POINT('',(79.759987133785,52.2202,-3.938275215455)); -#36375=CARTESIAN_POINT('',(105.709987133785,52.2202,-3.938275215455)); -#36376=CARTESIAN_POINT('',(79.759987133785,52.2202,-5.468275215455)); -#36377=CARTESIAN_POINT('Origin',(79.7599871337851,102.0902,-5.46827521545501)); -#36378=CARTESIAN_POINT('',(79.7599871337851,102.0902,-5.46827521545501)); -#36379=CARTESIAN_POINT('',(79.759987133785,52.2202,-5.468275215455)); -#36380=CARTESIAN_POINT('',(79.7599871337851,102.0902,-3.93827521545501)); -#36381=CARTESIAN_POINT('',(79.759987133785,52.2202,-3.938275215455)); -#36382=CARTESIAN_POINT('',(79.7599871337851,102.0902,-5.46827521545501)); -#36383=CARTESIAN_POINT('Origin',(105.709987133785,102.0902,-5.46827521545501)); -#36384=CARTESIAN_POINT('',(79.7599871337851,102.0902,-5.46827521545501)); -#36385=CARTESIAN_POINT('',(79.7599871337851,102.0902,-3.93827521545501)); -#36386=CARTESIAN_POINT('Origin',(92.734987133785,77.1552,-5.468275215455)); -#36387=CARTESIAN_POINT('Origin',(92.734987133785,77.1552,-3.93827521545501)); -#36388=CARTESIAN_POINT('Origin',(102.65551470398,103.566003,-4.96827521545501)); -#36389=CARTESIAN_POINT('',(101.71434070398,103.566003,-4.96827521545501)); -#36390=CARTESIAN_POINT('Origin',(102.65551470398,103.566003,-4.96827521545501)); -#36391=CARTESIAN_POINT('Origin',(82.6441582039801,103.569602,-4.96827521545501)); -#36392=CARTESIAN_POINT('',(81.6693087039801,103.569602,-4.96827521545501)); -#36393=CARTESIAN_POINT('Origin',(82.6441582039801,103.569602,-4.96827521545501)); -#36394=CARTESIAN_POINT('Origin',(105.709987133785,67.9579920000001,-3.93827521545501)); -#36395=CARTESIAN_POINT('',(101.98526142982,67.9579920000001,-2.68827521545501)); -#36396=CARTESIAN_POINT('',(105.709987133785,67.9579920000001,-2.68827521545501)); -#36397=CARTESIAN_POINT('',(99.222487133785,67.9579920000001,-2.68827521545501)); -#36398=CARTESIAN_POINT('',(101.98526142982,67.9579920000001,-0.41827521545501)); -#36399=CARTESIAN_POINT('',(101.98526142982,67.9579920000001,-3.93827521545501)); -#36400=CARTESIAN_POINT('',(105.709987133785,67.9579920000001,-0.41827521545501)); -#36401=CARTESIAN_POINT('',(101.98526142982,67.9579920000001,-0.41827521545501)); -#36402=CARTESIAN_POINT('',(105.709987133785,67.9579920000001,-3.93827521545501)); -#36403=CARTESIAN_POINT('Origin',(101.98526142982,67.9579920000001,-3.93827521545501)); -#36404=CARTESIAN_POINT('',(101.98526142982,55.7428758528693,-2.68827521545501)); -#36405=CARTESIAN_POINT('',(101.98526142982,72.556596,-2.68827521545501)); -#36406=CARTESIAN_POINT('',(101.98526142982,55.7428758528693,-0.41827521545501)); -#36407=CARTESIAN_POINT('',(101.98526142982,55.7428758528693,-3.93827521545501)); -#36408=CARTESIAN_POINT('',(101.98526142982,55.7428758528693,-0.41827521545501)); -#36409=CARTESIAN_POINT('Origin',(101.98526142982,55.7428758528693,-3.93827521545501)); -#36410=CARTESIAN_POINT('',(83.4847128377501,55.7428758528693,-2.68827521545501)); -#36411=CARTESIAN_POINT('',(97.3601242818024,55.7428758528693,-2.68827521545501)); -#36412=CARTESIAN_POINT('',(83.4847128377501,55.7428758528693,-0.41827521545501)); -#36413=CARTESIAN_POINT('',(83.4847128377501,55.7428758528693,-3.93827521545501)); -#36414=CARTESIAN_POINT('',(83.4847128377501,55.7428758528693,-0.41827521545501)); -#36415=CARTESIAN_POINT('Origin',(83.4847128377501,55.7428758528693,-3.93827521545501)); -#36416=CARTESIAN_POINT('',(83.4847128377501,67.9579920000001,-2.68827521545501)); -#36417=CARTESIAN_POINT('',(83.4847128377501,66.4490379264347,-2.68827521545501)); -#36418=CARTESIAN_POINT('',(83.4847128377501,67.9579920000001,-0.41827521545501)); -#36419=CARTESIAN_POINT('',(83.4847128377501,67.9579920000001,-3.93827521545501)); -#36420=CARTESIAN_POINT('',(83.4847128377501,67.9579920000001,-0.41827521545501)); -#36421=CARTESIAN_POINT('Origin',(83.4847128377501,67.9579920000001,-3.93827521545501)); -#36422=CARTESIAN_POINT('',(79.759987133785,67.9579920000001,-2.68827521545501)); -#36423=CARTESIAN_POINT('',(88.1098499857676,67.9579920000001,-2.68827521545501)); -#36424=CARTESIAN_POINT('',(79.759987133785,67.9579920000001,-0.41827521545501)); -#36425=CARTESIAN_POINT('',(79.759987133785,67.9579920000001,-3.93827521545501)); -#36426=CARTESIAN_POINT('',(79.759987133785,67.9579920000001,-0.41827521545501)); -#36427=CARTESIAN_POINT('Origin',(92.734987133785,77.1552,-3.93827521545501)); -#36428=CARTESIAN_POINT('',(105.709987133785,102.0902,-3.93827521545501)); -#36429=CARTESIAN_POINT('',(105.709987133785,52.2202,-3.93827521545501)); -#36430=CARTESIAN_POINT('',(105.709987133785,102.0902,-3.93827521545501)); -#36431=CARTESIAN_POINT('',(79.759987133785,52.2202,-3.93827521545501)); -#36432=CARTESIAN_POINT('',(99.222487133785,52.2202,-3.93827521545501)); -#36433=CARTESIAN_POINT('',(79.7599871337851,102.0902,-3.93827521545501)); -#36434=CARTESIAN_POINT('',(79.7599871337851,102.0902,-3.93827521545501)); -#36435=CARTESIAN_POINT('',(86.2474871337851,102.0902,-3.93827521545501)); -#36436=CARTESIAN_POINT('Origin',(79.7599871337851,105.690200000001,-5.46827521545501)); -#36437=CARTESIAN_POINT('',(79.7599871337851,102.0902,-2.68827521545501)); -#36438=CARTESIAN_POINT('',(79.7599871337851,102.0902,-2.68827521545501)); -#36439=CARTESIAN_POINT('',(79.7599871337851,102.0902,-0.418275067655011)); -#36440=CARTESIAN_POINT('',(79.7599871337851,102.0902,-5.46827521545501)); -#36441=CARTESIAN_POINT('',(79.7599871337851,105.690200000001,-0.418275067655012)); -#36442=CARTESIAN_POINT('',(79.7599871337851,102.0902,-0.418275067655011)); -#36443=CARTESIAN_POINT('',(79.7599871337851,105.690200000001,-5.46827521545501)); -#36444=CARTESIAN_POINT('',(79.7599871337851,105.690200000001,-5.46827521545501)); -#36445=CARTESIAN_POINT('',(79.7599871337851,102.0902,-5.46827521545501)); -#36446=CARTESIAN_POINT('',(79.7599871337851,102.0902,-5.46827521545501)); -#36447=CARTESIAN_POINT('',(79.7599871337851,102.0902,-5.46827521545501)); -#36448=CARTESIAN_POINT('',(79.759987133785,52.2202,-0.418275067654997)); -#36449=CARTESIAN_POINT('',(79.759987133785,52.2202,-5.468275215455)); -#36450=CARTESIAN_POINT('',(79.7599871337851,102.0902,-0.41827521545501)); -#36451=CARTESIAN_POINT('Origin',(83.0599871337851,85.6902,-3.93827521545501)); -#36452=CARTESIAN_POINT('',(102.409987133785,85.6902,-2.68827521545501)); -#36453=CARTESIAN_POINT('',(83.0599871337851,85.6902,-2.68827521545501)); -#36454=CARTESIAN_POINT('',(87.897487133785,85.6902,-2.68827521545501)); -#36455=CARTESIAN_POINT('',(102.409987133785,85.6902,-0.41827521545501)); -#36456=CARTESIAN_POINT('',(102.409987133785,85.6902,-3.93827521545501)); -#36457=CARTESIAN_POINT('',(83.0599871337851,85.6902,-0.41827521545501)); -#36458=CARTESIAN_POINT('',(83.0599871337851,85.6902,-0.41827521545501)); -#36459=CARTESIAN_POINT('',(83.0599871337851,85.6902,-3.93827521545501)); -#36460=CARTESIAN_POINT('Origin',(102.409987133785,85.6902,-3.93827521545501)); -#36461=CARTESIAN_POINT('',(102.409987133785,102.0902,-2.68827521545501)); -#36462=CARTESIAN_POINT('',(102.409987133785,81.4227,-2.68827521545501)); -#36463=CARTESIAN_POINT('',(102.409987133785,102.0902,-0.41827521545501)); -#36464=CARTESIAN_POINT('',(102.409987133785,102.0902,-4.70327521545501)); -#36465=CARTESIAN_POINT('',(102.409987133785,85.6902,-0.41827521545501)); -#36466=CARTESIAN_POINT('Origin',(83.0599871337851,102.0902,-3.93827521545501)); -#36467=CARTESIAN_POINT('',(83.0599871337851,102.0902,-2.68827521545501)); -#36468=CARTESIAN_POINT('',(83.059987133785,89.6227000000001,-2.68827521545501)); -#36469=CARTESIAN_POINT('',(83.0599871337851,102.0902,-0.41827521545501)); -#36470=CARTESIAN_POINT('',(83.0599871337851,102.0902,-0.41827521545501)); -#36471=CARTESIAN_POINT('',(83.0599871337851,102.0902,-4.70327521545501)); -#36472=CARTESIAN_POINT('Origin',(79.7599871337851,102.0902,-5.46827521545501)); -#36473=CARTESIAN_POINT('',(105.709987133785,102.0902,-2.68827521545501)); -#36474=CARTESIAN_POINT('',(86.2474871337851,102.0902,-2.68827521545501)); -#36475=CARTESIAN_POINT('',(105.709987133785,102.0902,-0.418275067655011)); -#36476=CARTESIAN_POINT('',(105.709987133785,102.0902,-5.46827521545501)); -#36477=CARTESIAN_POINT('',(79.7599871337851,102.0902,-0.418275067655011)); -#36478=CARTESIAN_POINT('Origin',(79.7599871337851,102.0902,-5.46827521545501)); -#36479=CARTESIAN_POINT('',(79.7599871337851,102.0902,-0.418275067655011)); -#36480=CARTESIAN_POINT('',(86.2474871337851,102.0902,-2.68827521545501)); -#36481=CARTESIAN_POINT('Origin',(92.734987133785,77.1552,-2.68827521545501)); -#36482=CARTESIAN_POINT('',(105.709987133785,102.0902,-2.68827521545501)); -#36483=CARTESIAN_POINT('Origin',(105.709987133785,52.2202,-5.468275215455)); -#36484=CARTESIAN_POINT('',(105.709987133785,52.2202,-5.468275215455)); -#36485=CARTESIAN_POINT('',(105.709987133785,52.2202,-5.468275215455)); -#36486=CARTESIAN_POINT('',(79.759987133785,52.2202,-5.468275215455)); -#36487=CARTESIAN_POINT('',(105.709987133785,52.2202,-5.468275215455)); -#36488=CARTESIAN_POINT('',(79.759987133785,52.2202,-5.468275215455)); -#36489=CARTESIAN_POINT('Origin',(104.147779133785,52.2202,-5.468275215455)); -#36490=CARTESIAN_POINT('',(104.147779133785,50.6579920000001,-5.468275215455)); -#36491=CARTESIAN_POINT('Origin',(104.147779133785,52.2202,-5.468275215455)); -#36492=CARTESIAN_POINT('',(105.709987133785,52.2202,-0.418275067654997)); -#36493=CARTESIAN_POINT('',(105.709987133785,52.2202,-5.468275215455)); -#36494=CARTESIAN_POINT('',(104.147779133785,50.6579920000001,-0.418275067654997)); -#36495=CARTESIAN_POINT('Origin',(104.147779133785,52.2202,-0.418275067654997)); -#36496=CARTESIAN_POINT('',(104.147779133785,50.6579920000001,-5.468275215455)); -#36497=CARTESIAN_POINT('Origin',(81.3221951337849,50.6579920000001,-5.468275215455)); -#36498=CARTESIAN_POINT('',(81.3221951337849,50.6579920000001,-5.468275215455)); -#36499=CARTESIAN_POINT('',(81.3221951337849,50.6579920000001,-5.468275215455)); -#36500=CARTESIAN_POINT('',(81.3221951337849,50.6579920000001,-0.418275067654997)); -#36501=CARTESIAN_POINT('',(81.3221951337849,50.6579920000001,-0.418275067654997)); -#36502=CARTESIAN_POINT('',(81.3221951337849,50.6579920000001,-5.468275215455)); -#36503=CARTESIAN_POINT('Origin',(81.3221951337849,52.2202,-5.468275215455)); -#36504=CARTESIAN_POINT('Origin',(81.3221951337849,52.2202,-5.468275215455)); -#36505=CARTESIAN_POINT('Origin',(81.3221951337849,52.2202,-0.418275067654997)); -#36506=CARTESIAN_POINT('Origin',(92.734987133785,51.439096,-5.468275215455)); -#36507=CARTESIAN_POINT('Origin',(92.734987133785,51.439096,-0.418275067654997)); -#36508=CARTESIAN_POINT('',(105.709987133785,102.0902,-0.41827521545501)); -#36509=CARTESIAN_POINT('Origin',(79.7599871337851,102.0902,-5.46827521545501)); -#36510=CARTESIAN_POINT('',(105.709987133785,102.0902,-5.46827521545501)); -#36511=CARTESIAN_POINT('',(79.7599871337851,102.0902,-5.46827521545501)); -#36512=CARTESIAN_POINT('',(105.709987133785,102.0902,-5.46827521545501)); -#36513=CARTESIAN_POINT('Origin',(102.65551470398,103.566003,-5.46827521545501)); -#36514=CARTESIAN_POINT('',(101.71434070398,103.566003,-0.418275067655011)); -#36515=CARTESIAN_POINT('Origin',(102.65551470398,103.566003,-0.418275067655011)); -#36516=CARTESIAN_POINT('',(101.71434070398,103.566003,-5.46827521545501)); -#36517=CARTESIAN_POINT('Origin',(82.6441582039801,103.569602,-5.46827521545501)); -#36518=CARTESIAN_POINT('',(81.6693087039801,103.569602,-0.418275067655011)); -#36519=CARTESIAN_POINT('Origin',(82.6441582039801,103.569602,-0.418275067655011)); -#36520=CARTESIAN_POINT('',(81.6693087039801,103.569602,-5.46827521545501)); -#36521=CARTESIAN_POINT('Origin',(80.7599871337848,105.690200000001,-5.46827521545501)); -#36522=CARTESIAN_POINT('',(80.7599871337839,106.690200000001,-5.46827521545501)); -#36523=CARTESIAN_POINT('Origin',(80.7599871337848,105.690200000001,-5.46827521545501)); -#36524=CARTESIAN_POINT('',(80.7599871337839,106.690200000001,-0.418275067655013)); -#36525=CARTESIAN_POINT('Origin',(80.7599871337848,105.690200000001,-0.418275067655012)); -#36526=CARTESIAN_POINT('',(80.7599871337839,106.690200000001,-5.46827521545501)); -#36527=CARTESIAN_POINT('Origin',(104.709987133786,106.690199999945,-5.46827521545501)); -#36528=CARTESIAN_POINT('',(104.709987133786,106.6902,-5.46827521545501)); -#36529=CARTESIAN_POINT('',(80.7599871337839,106.690200000001,-5.46827521545501)); -#36530=CARTESIAN_POINT('',(104.709987133786,106.6902,-0.418275067655013)); -#36531=CARTESIAN_POINT('',(80.7599871337839,106.690200000001,-0.418275067655013)); -#36532=CARTESIAN_POINT('',(104.709987133786,106.6902,-5.46827521545501)); -#36533=CARTESIAN_POINT('Origin',(104.709987133785,105.6902,-5.46827521545501)); -#36534=CARTESIAN_POINT('',(105.709987133785,105.6902,-5.46827521545501)); -#36535=CARTESIAN_POINT('Origin',(104.709987133785,105.6902,-5.46827521545501)); -#36536=CARTESIAN_POINT('',(105.709987133785,105.6902,-0.418275067655012)); -#36537=CARTESIAN_POINT('Origin',(104.709987133785,105.6902,-0.418275067655012)); -#36538=CARTESIAN_POINT('',(105.709987133785,105.6902,-5.46827521545501)); -#36539=CARTESIAN_POINT('Origin',(105.709987133785,102.0902,-5.46827521545501)); -#36540=CARTESIAN_POINT('',(105.709987133785,102.0902,-5.46827521545501)); -#36541=CARTESIAN_POINT('',(105.709987133785,102.0902,-0.418275067655011)); -#36542=CARTESIAN_POINT('Origin',(92.7349871337852,104.3902,-5.46827521545501)); -#36543=CARTESIAN_POINT('Origin',(92.7349871337852,104.3902,-0.418275067655012)); -#36544=CARTESIAN_POINT('Origin',(88.995791,55.067992,3.111724784545)); -#36545=CARTESIAN_POINT('',(88.995791,47.567992,2.811724784545)); -#36546=CARTESIAN_POINT('',(88.995791,55.944999,2.811724784545)); -#36547=CARTESIAN_POINT('',(88.995791,55.067992,2.811724784545)); -#36548=CARTESIAN_POINT('',(88.995791,47.567992,3.111724784545)); -#36549=CARTESIAN_POINT('',(88.995791,47.567992,3.036724784545)); -#36550=CARTESIAN_POINT('',(88.995791,48.767992,3.111724784545)); -#36551=CARTESIAN_POINT('',(88.995791,27.533996,3.111724784545)); -#36552=CARTESIAN_POINT('',(88.995791,55.944999,3.111724784545)); -#36553=CARTESIAN_POINT('',(88.995791,27.533996,3.111724784545)); -#36554=CARTESIAN_POINT('',(88.995791,55.944999,3.111724784545)); -#36555=CARTESIAN_POINT('Origin',(0.,0.,2.811724784545)); -#36556=CARTESIAN_POINT('',(96.198992,55.944999,2.811724784545)); -#36557=CARTESIAN_POINT('',(88.995791,55.944999,2.811724784545)); -#36558=CARTESIAN_POINT('',(96.198992,47.567992,2.811724784545)); -#36559=CARTESIAN_POINT('',(96.198992,55.944999,2.811724784545)); -#36560=CARTESIAN_POINT('',(46.298696,47.567992,2.811724784545)); -#36561=CARTESIAN_POINT('Origin',(0.,0.,3.111724784545)); -#36562=CARTESIAN_POINT('',(96.198992,48.767992,3.111724784545)); -#36563=CARTESIAN_POINT('',(95.772392,48.767992,3.111724784545)); -#36564=CARTESIAN_POINT('',(96.198992,47.567992,3.111724784545)); -#36565=CARTESIAN_POINT('',(46.298696,47.567992,3.111724784545)); -#36566=CARTESIAN_POINT('',(96.198992,27.9724995,3.111724784545)); -#36567=CARTESIAN_POINT('Origin',(0.,0.,3.111724784545)); -#36568=CARTESIAN_POINT('',(81.00624038897,48.767992,3.111724784545)); -#36569=CARTESIAN_POINT('',(81.00624038897,48.767992,3.111724784545)); -#36570=CARTESIAN_POINT('',(80.00624046943,49.76759085739,3.111724784545)); -#36571=CARTESIAN_POINT('Origin',(81.00624038897,49.767992,3.111724784545)); -#36572=CARTESIAN_POINT('',(79.98266041947,108.5497988574,3.111724784545)); -#36573=CARTESIAN_POINT('',(79.98266041947,108.5497988574,3.111724784545)); -#36574=CARTESIAN_POINT('',(80.98266033901,109.5502,3.111724784545)); -#36575=CARTESIAN_POINT('Origin',(80.98266033901,108.5502,3.111724784545)); -#36576=CARTESIAN_POINT('',(104.4878625206,109.5502,3.111724784545)); -#36577=CARTESIAN_POINT('',(104.4878625206,109.5502,3.111724784545)); -#36578=CARTESIAN_POINT('',(105.4878624972,108.5499835486,3.111724784545)); -#36579=CARTESIAN_POINT('Origin',(104.4878625206,108.5502,3.111724784545)); -#36580=CARTESIAN_POINT('',(105.4751390074,49.76777554863,3.111724784545)); -#36581=CARTESIAN_POINT('',(105.4751390074,49.76777554863,3.111724784545)); -#36582=CARTESIAN_POINT('',(104.4751390308,48.767992,3.111724784545)); -#36583=CARTESIAN_POINT('Origin',(104.4751390308,49.767992,3.111724784545)); -#36584=CARTESIAN_POINT('',(95.772392,48.767992,3.111724784545)); -#36585=CARTESIAN_POINT('',(96.198992,55.944999,3.111724784545)); -#36586=CARTESIAN_POINT('',(96.198992,55.944999,3.111724784545)); -#36587=CARTESIAN_POINT('',(88.995791,55.944999,3.111724784545)); -#36588=CARTESIAN_POINT('',(101.714615,106.426003,3.111724784545)); -#36589=CARTESIAN_POINT('Origin',(102.655789,106.426003,3.111724784545)); -#36590=CARTESIAN_POINT('',(104.8563895,100.711,3.111724784545)); -#36591=CARTESIAN_POINT('Origin',(104.1547905,100.711,3.111724784545)); -#36592=CARTESIAN_POINT('',(104.8563895,98.171,3.111724784545)); -#36593=CARTESIAN_POINT('Origin',(104.1547905,98.171,3.111724784545)); -#36594=CARTESIAN_POINT('',(104.8563895,95.631,3.111724784545)); -#36595=CARTESIAN_POINT('Origin',(104.1547905,95.631,3.111724784545)); -#36596=CARTESIAN_POINT('',(104.8563895,93.091,3.111724784545)); -#36597=CARTESIAN_POINT('Origin',(104.1547905,93.091,3.111724784545)); -#36598=CARTESIAN_POINT('',(104.8563895,90.551,3.111724784545)); -#36599=CARTESIAN_POINT('Origin',(104.1547905,90.551,3.111724784545)); -#36600=CARTESIAN_POINT('',(104.8563895,88.011,3.111724784545)); -#36601=CARTESIAN_POINT('Origin',(104.1547905,88.011,3.111724784545)); -#36602=CARTESIAN_POINT('',(104.9563895,85.471,3.111724784545)); -#36603=CARTESIAN_POINT('Origin',(104.1547905,85.471,3.111724784545)); -#36604=CARTESIAN_POINT('',(104.9563895,82.931,3.111724784545)); -#36605=CARTESIAN_POINT('Origin',(104.1547905,82.931,3.111724784545)); -#36606=CARTESIAN_POINT('',(104.9563895,80.391,3.111724784545)); -#36607=CARTESIAN_POINT('Origin',(104.1547905,80.391,3.111724784545)); -#36608=CARTESIAN_POINT('',(104.9563895,77.851,3.111724784545)); -#36609=CARTESIAN_POINT('Origin',(104.1547905,77.851,3.111724784545)); -#36610=CARTESIAN_POINT('',(104.9563895,75.311,3.111724784545)); -#36611=CARTESIAN_POINT('Origin',(104.1547905,75.311,3.111724784545)); -#36612=CARTESIAN_POINT('',(104.9563895,72.771,3.111724784545)); -#36613=CARTESIAN_POINT('Origin',(104.1547905,72.771,3.111724784545)); -#36614=CARTESIAN_POINT('',(82.0963895,100.711399,3.111724784545)); -#36615=CARTESIAN_POINT('Origin',(81.2947905,100.711399,3.111724784545)); -#36616=CARTESIAN_POINT('',(81.9963895,98.171399,3.111724784545)); -#36617=CARTESIAN_POINT('Origin',(81.2947905,98.171399,3.111724784545)); -#36618=CARTESIAN_POINT('',(81.9963895,95.631399,3.111724784545)); -#36619=CARTESIAN_POINT('Origin',(81.2947905,95.631399,3.111724784545)); -#36620=CARTESIAN_POINT('',(81.9963895,93.091399,3.111724784545)); -#36621=CARTESIAN_POINT('Origin',(81.2947905,93.091399,3.111724784545)); -#36622=CARTESIAN_POINT('',(81.9963895,90.551399,3.111724784545)); -#36623=CARTESIAN_POINT('Origin',(81.2947905,90.551399,3.111724784545)); -#36624=CARTESIAN_POINT('',(81.9963895,88.011399,3.111724784545)); -#36625=CARTESIAN_POINT('Origin',(81.2947905,88.011399,3.111724784545)); -#36626=CARTESIAN_POINT('',(81.9963895,85.471399,3.111724784545)); -#36627=CARTESIAN_POINT('Origin',(81.2947905,85.471399,3.111724784545)); -#36628=CARTESIAN_POINT('',(81.9963895,82.931399,3.111724784545)); -#36629=CARTESIAN_POINT('Origin',(81.2947905,82.931399,3.111724784545)); -#36630=CARTESIAN_POINT('',(81.9963895,80.391399,3.111724784545)); -#36631=CARTESIAN_POINT('Origin',(81.2947905,80.391399,3.111724784545)); -#36632=CARTESIAN_POINT('',(81.9963895,77.851399,3.111724784545)); -#36633=CARTESIAN_POINT('Origin',(81.2947905,77.851399,3.111724784545)); -#36634=CARTESIAN_POINT('',(81.9963895,75.311399,3.111724784545)); -#36635=CARTESIAN_POINT('Origin',(81.2947905,75.311399,3.111724784545)); -#36636=CARTESIAN_POINT('',(81.9963895,72.771399,3.111724784545)); -#36637=CARTESIAN_POINT('Origin',(81.2947905,72.771399,3.111724784545)); -#36638=CARTESIAN_POINT('',(82.723624,85.605734,3.111724784545)); -#36639=CARTESIAN_POINT('',(83.48498713377,85.605734,3.111724784545)); -#36640=CARTESIAN_POINT('',(82.723624,85.605734,3.111724784545)); -#36641=CARTESIAN_POINT('',(82.723624,83.902537,3.111724784545)); -#36642=CARTESIAN_POINT('',(82.723624,83.902537,3.111724784545)); -#36643=CARTESIAN_POINT('',(83.48498713377,83.902537,3.111724784545)); -#36644=CARTESIAN_POINT('',(83.48498713377,83.902537,3.111724784545)); -#36645=CARTESIAN_POINT('',(83.48498713377,82.1502,3.111724784545)); -#36646=CARTESIAN_POINT('',(83.48498713377,82.1502,3.111724784545)); -#36647=CARTESIAN_POINT('',(101.9849871338,82.1502,3.111724784545)); -#36648=CARTESIAN_POINT('',(101.9849871338,82.1502,3.111724784545)); -#36649=CARTESIAN_POINT('',(101.9849871338,84.002435,3.111724784545)); -#36650=CARTESIAN_POINT('',(101.9849871338,84.002435,3.111724784545)); -#36651=CARTESIAN_POINT('',(102.826683,84.002435,3.111724784545)); -#36652=CARTESIAN_POINT('',(102.826683,84.002435,3.111724784545)); -#36653=CARTESIAN_POINT('',(102.826683,85.505633,3.111724784545)); -#36654=CARTESIAN_POINT('',(102.826683,85.505633,3.111724784545)); -#36655=CARTESIAN_POINT('',(101.9849871338,85.505633,3.111724784545)); -#36656=CARTESIAN_POINT('',(101.9849871338,85.505633,3.111724784545)); -#36657=CARTESIAN_POINT('',(101.9849871338,87.9502,3.111724784545)); -#36658=CARTESIAN_POINT('',(101.9849871338,87.9502,3.111724784545)); -#36659=CARTESIAN_POINT('',(83.48498713377,87.9502,3.111724784545)); -#36660=CARTESIAN_POINT('',(83.48498713377,87.9502,3.111724784545)); -#36661=CARTESIAN_POINT('',(83.48498713377,85.605734,3.111724784545)); -#36662=CARTESIAN_POINT('',(81.669583,106.429602,3.111724784545)); -#36663=CARTESIAN_POINT('Origin',(82.6444325,106.429602,3.111724784545)); -#36664=CARTESIAN_POINT('',(81.3467915,49.4122005,3.111724784545)); -#36665=CARTESIAN_POINT('',(81.3467915,52.7622005,3.111724784545)); -#36666=CARTESIAN_POINT('',(81.3467915,49.4122005,3.111724784545)); -#36667=CARTESIAN_POINT('',(85.5467915,49.4122005,3.111724784545)); -#36668=CARTESIAN_POINT('',(85.5467915,49.4122005,3.111724784545)); -#36669=CARTESIAN_POINT('',(85.5467915,52.7622005,3.111724784545)); -#36670=CARTESIAN_POINT('',(85.5467915,52.7622005,3.111724784545)); -#36671=CARTESIAN_POINT('',(81.3467915,52.7622005,3.111724784545)); -#36672=CARTESIAN_POINT('',(99.444792,49.2949995,3.111724784545)); -#36673=CARTESIAN_POINT('',(99.444792,52.6449995,3.111724784545)); -#36674=CARTESIAN_POINT('',(99.444792,49.2949995,3.111724784545)); -#36675=CARTESIAN_POINT('',(103.644792,49.2949995,3.111724784545)); -#36676=CARTESIAN_POINT('',(103.644792,49.2949995,3.111724784545)); -#36677=CARTESIAN_POINT('',(103.644792,52.6449995,3.111724784545)); -#36678=CARTESIAN_POINT('',(103.644792,52.6449995,3.111724784545)); -#36679=CARTESIAN_POINT('',(99.444792,52.6449995,3.111724784545)); -#36680=CARTESIAN_POINT('Origin',(96.198992,55.944999,3.111724784545)); -#36681=CARTESIAN_POINT('',(96.198992,55.944999,3.111724784545)); -#36682=CARTESIAN_POINT('',(96.198992,47.567992,3.036724784545)); -#36683=CARTESIAN_POINT('Origin',(92.597392,47.567992,2.961724784545)); -#36684=CARTESIAN_POINT('Origin',(0.,0.,4.311724784545)); -#36685=CARTESIAN_POINT('',(80.98266033901,109.5502,4.311724784545)); -#36686=CARTESIAN_POINT('',(79.98266041947,108.5497988574,4.311724784545)); -#36687=CARTESIAN_POINT('Origin',(80.98266033901,108.5502,4.311724784545)); -#36688=CARTESIAN_POINT('',(80.00624046943,49.76759085739,4.311724784545)); -#36689=CARTESIAN_POINT('',(79.98266041947,108.5497988574,4.311724784545)); -#36690=CARTESIAN_POINT('',(81.00624038897,48.767992,4.311724784545)); -#36691=CARTESIAN_POINT('Origin',(81.00624038897,49.767992,4.311724784545)); -#36692=CARTESIAN_POINT('',(104.4751390308,48.767992,4.311724784545)); -#36693=CARTESIAN_POINT('',(81.00624038897,48.767992,4.311724784545)); -#36694=CARTESIAN_POINT('',(105.4751390074,49.76777554863,4.311724784545)); -#36695=CARTESIAN_POINT('Origin',(104.4751390308,49.767992,4.311724784545)); -#36696=CARTESIAN_POINT('',(105.4878624972,108.5499835486,4.311724784545)); -#36697=CARTESIAN_POINT('',(105.4751390074,49.76777554863,4.311724784545)); -#36698=CARTESIAN_POINT('',(104.4878625206,109.5502,4.311724784545)); -#36699=CARTESIAN_POINT('Origin',(104.4878625206,108.5502,4.311724784545)); -#36700=CARTESIAN_POINT('',(104.4878625206,109.5502,4.311724784545)); -#36701=CARTESIAN_POINT('',(81.669583,106.429602,4.311724784545)); -#36702=CARTESIAN_POINT('Origin',(82.6444325,106.429602,4.311724784545)); -#36703=CARTESIAN_POINT('',(101.714615,106.426003,4.311724784545)); -#36704=CARTESIAN_POINT('Origin',(102.655789,106.426003,4.311724784545)); -#36705=CARTESIAN_POINT('',(103.135661,54.645408,4.311724784545)); -#36706=CARTESIAN_POINT('',(102.432462,54.645408,4.311724784545)); -#36707=CARTESIAN_POINT('',(103.135661,54.645408,4.311724784545)); -#36708=CARTESIAN_POINT('',(103.135661,53.689809,4.311724784545)); -#36709=CARTESIAN_POINT('',(103.135661,53.689809,4.311724784545)); -#36710=CARTESIAN_POINT('',(104.382915,53.689809,4.311724784545)); -#36711=CARTESIAN_POINT('',(104.382915,53.689809,4.311724784545)); -#36712=CARTESIAN_POINT('',(104.382915,48.990809,4.311724784545)); -#36713=CARTESIAN_POINT('',(104.382915,48.990809,4.311724784545)); -#36714=CARTESIAN_POINT('',(98.061084,48.990809,4.311724784545)); -#36715=CARTESIAN_POINT('',(98.061084,48.990809,4.311724784545)); -#36716=CARTESIAN_POINT('',(98.061084,53.689809,4.311724784545)); -#36717=CARTESIAN_POINT('',(98.061084,53.689809,4.311724784545)); -#36718=CARTESIAN_POINT('',(99.432468,53.689809,4.311724784545)); -#36719=CARTESIAN_POINT('',(99.432468,53.689809,4.311724784545)); -#36720=CARTESIAN_POINT('',(99.432468,54.645408,4.311724784545)); -#36721=CARTESIAN_POINT('',(99.432468,54.645408,4.311724784545)); -#36722=CARTESIAN_POINT('',(100.135667,54.645408,4.311724784545)); -#36723=CARTESIAN_POINT('',(100.135667,54.645408,4.311724784545)); -#36724=CARTESIAN_POINT('',(100.135667,53.689809,4.311724784545)); -#36725=CARTESIAN_POINT('',(100.135667,53.689809,4.311724784545)); -#36726=CARTESIAN_POINT('',(100.432466,53.689809,4.311724784545)); -#36727=CARTESIAN_POINT('',(100.432466,53.689809,4.311724784545)); -#36728=CARTESIAN_POINT('',(100.432466,54.645408,4.311724784545)); -#36729=CARTESIAN_POINT('',(100.432466,54.645408,4.311724784545)); -#36730=CARTESIAN_POINT('',(101.135665,54.645408,4.311724784545)); -#36731=CARTESIAN_POINT('',(101.135665,54.645408,4.311724784545)); -#36732=CARTESIAN_POINT('',(101.135665,53.689809,4.311724784545)); -#36733=CARTESIAN_POINT('',(101.135665,53.689809,4.311724784545)); -#36734=CARTESIAN_POINT('',(101.432464,53.689809,4.311724784545)); -#36735=CARTESIAN_POINT('',(101.432464,53.689809,4.311724784545)); -#36736=CARTESIAN_POINT('',(101.432464,54.645408,4.311724784545)); -#36737=CARTESIAN_POINT('',(101.432464,54.645408,4.311724784545)); -#36738=CARTESIAN_POINT('',(102.135663,54.645408,4.311724784545)); -#36739=CARTESIAN_POINT('',(102.135663,54.645408,4.311724784545)); -#36740=CARTESIAN_POINT('',(102.135663,53.689809,4.311724784545)); -#36741=CARTESIAN_POINT('',(102.135663,53.689809,4.311724784545)); -#36742=CARTESIAN_POINT('',(102.432462,53.689809,4.311724784545)); -#36743=CARTESIAN_POINT('',(102.432462,53.689809,4.311724784545)); -#36744=CARTESIAN_POINT('',(102.432462,54.645408,4.311724784545)); -#36745=CARTESIAN_POINT('',(93.633191,49.084801,4.311724784545)); -#36746=CARTESIAN_POINT('',(93.633191,49.918002099,4.311724784545)); -#36747=CARTESIAN_POINT('',(93.633191,49.084801,4.311724784545)); -#36748=CARTESIAN_POINT('',(92.933192,49.084801,4.311724784545)); -#36749=CARTESIAN_POINT('',(92.933192,49.084801,4.311724784545)); -#36750=CARTESIAN_POINT('',(92.933192,49.91253303039,4.311724784545)); -#36751=CARTESIAN_POINT('',(92.933192,49.91253303039,4.311724784545)); -#36752=CARTESIAN_POINT('',(92.363193,49.90807964741,4.311724784545)); -#36753=CARTESIAN_POINT('',(92.363193,49.90807964741,4.311724784545)); -#36754=CARTESIAN_POINT('',(92.363193,49.084804,4.311724784545)); -#36755=CARTESIAN_POINT('',(92.363193,49.084804,4.311724784545)); -#36756=CARTESIAN_POINT('',(91.663195,49.084804,4.311724784545)); -#36757=CARTESIAN_POINT('',(91.663195,49.084804,4.311724784545)); -#36758=CARTESIAN_POINT('',(91.663195,49.90261058662,4.311724784545)); -#36759=CARTESIAN_POINT('',(91.663195,49.90261058662,4.311724784545)); -#36760=CARTESIAN_POINT('',(89.383192,49.884797,4.311724784545)); -#36761=CARTESIAN_POINT('',(89.383192,49.884797,4.311724784545)); -#36762=CARTESIAN_POINT('',(89.133192,50.134797,4.311724784545)); -#36763=CARTESIAN_POINT('',(89.133192,50.134797,4.311724784545)); -#36764=CARTESIAN_POINT('',(89.133192,53.041801,4.311724784545)); -#36765=CARTESIAN_POINT('',(89.133192,53.041801,4.311724784545)); -#36766=CARTESIAN_POINT('',(90.183193,53.041801,4.311724784545)); -#36767=CARTESIAN_POINT('',(90.183193,53.041801,4.311724784545)); -#36768=CARTESIAN_POINT('',(90.183193,54.234799,4.311724784545)); -#36769=CARTESIAN_POINT('',(90.183193,54.234799,4.311724784545)); -#36770=CARTESIAN_POINT('',(95.083191,54.234799,4.311724784545)); -#36771=CARTESIAN_POINT('',(95.083191,54.234799,4.311724784545)); -#36772=CARTESIAN_POINT('',(95.083191,53.041801,4.311724784545)); -#36773=CARTESIAN_POINT('',(95.083191,53.041801,4.311724784545)); -#36774=CARTESIAN_POINT('',(96.133188,53.041801,4.311724784545)); -#36775=CARTESIAN_POINT('',(96.133188,53.041801,4.311724784545)); -#36776=CARTESIAN_POINT('',(96.133191,50.284802,4.311724784545)); -#36777=CARTESIAN_POINT('',(96.133191,50.284802,4.311724784545)); -#36778=CARTESIAN_POINT('',(95.783194,49.9348,4.311724784545)); -#36779=CARTESIAN_POINT('',(95.783194,49.9348,4.311724784545)); -#36780=CARTESIAN_POINT('',(93.633191,49.918002099,4.311724784545)); -#36781=CARTESIAN_POINT('',(104.1634878745,61.38039865682,4.311724784545)); -#36782=CARTESIAN_POINT('',(104.9527920745,61.20894096399,4.311724784545)); -#36783=CARTESIAN_POINT('Origin',(104.6527925,61.730402,4.311724784545)); -#36784=CARTESIAN_POINT('',(104.9527939255,56.95185797116,4.311724784545)); -#36785=CARTESIAN_POINT('',(104.9527939255,56.95185797116,4.311724784545)); -#36786=CARTESIAN_POINT('',(104.1634888141,56.78040265682,4.311724784545)); -#36787=CARTESIAN_POINT('Origin',(104.6527925,56.430398,4.311724784545)); -#36788=CARTESIAN_POINT('',(102.652794,56.780402,4.311724784545)); -#36789=CARTESIAN_POINT('',(102.652794,56.780402,4.311724784545)); -#36790=CARTESIAN_POINT('',(102.6527939529,56.88870888584,4.311724784545)); -#36791=CARTESIAN_POINT('',(102.6527939529,56.88870888584,4.311724784545)); -#36792=CARTESIAN_POINT('',(102.6527935254,57.87209333156,4.311724784545)); -#36793=CARTESIAN_POINT('Origin',(102.40279,57.380401,4.311724784545)); -#36794=CARTESIAN_POINT('',(102.6527924746,60.28870596627,4.311724784545)); -#36795=CARTESIAN_POINT('',(102.6527924746,60.28870596627,4.311724784545)); -#36796=CARTESIAN_POINT('',(102.6527920471,61.27208825113,4.311724784545)); -#36797=CARTESIAN_POINT('Origin',(102.4027875,60.780397,4.311724784545)); -#36798=CARTESIAN_POINT('',(102.652792,61.380398,4.311724784545)); -#36799=CARTESIAN_POINT('',(102.652792,61.380398,4.311724784545)); -#36800=CARTESIAN_POINT('',(104.1634878745,61.38039865682,4.311724784545)); -#36801=CARTESIAN_POINT('',(95.2246,76.9366,4.311724784545)); -#36802=CARTESIAN_POINT('',(90.1446,76.9366,4.311724784545)); -#36803=CARTESIAN_POINT('',(95.2246,76.9366,4.311724784545)); -#36804=CARTESIAN_POINT('',(95.2246,72.1106,4.311724784545)); -#36805=CARTESIAN_POINT('',(95.2246,72.1106,4.311724784545)); -#36806=CARTESIAN_POINT('',(90.1446,72.1106,4.311724784545)); -#36807=CARTESIAN_POINT('',(90.1446,72.1106,4.311724784545)); -#36808=CARTESIAN_POINT('',(90.1446,76.9366,4.311724784545)); -#36809=CARTESIAN_POINT('',(89.662,76.0222,4.311724784545)); -#36810=CARTESIAN_POINT('',(87.9856,76.0222,4.311724784545)); -#36811=CARTESIAN_POINT('',(89.662,76.0222,4.311724784545)); -#36812=CARTESIAN_POINT('',(87.9856,76.835,4.311724784545)); -#36813=CARTESIAN_POINT('',(87.9856,76.835,4.311724784545)); -#36814=CARTESIAN_POINT('',(89.662,76.835,4.311724784545)); -#36815=CARTESIAN_POINT('',(87.9856,76.835,4.311724784545)); -#36816=CARTESIAN_POINT('',(89.662,76.0222,4.311724784545)); -#36817=CARTESIAN_POINT('',(89.662,74.7522,4.311724784545)); -#36818=CARTESIAN_POINT('',(87.9856,74.7522,4.311724784545)); -#36819=CARTESIAN_POINT('',(89.662,74.7522,4.311724784545)); -#36820=CARTESIAN_POINT('',(87.9856,75.565,4.311724784545)); -#36821=CARTESIAN_POINT('',(87.9856,75.565,4.311724784545)); -#36822=CARTESIAN_POINT('',(89.662,75.565,4.311724784545)); -#36823=CARTESIAN_POINT('',(87.9856,75.565,4.311724784545)); -#36824=CARTESIAN_POINT('',(89.662,74.7522,4.311724784545)); -#36825=CARTESIAN_POINT('',(89.662,73.4822,4.311724784545)); -#36826=CARTESIAN_POINT('',(87.9856,73.4822,4.311724784545)); -#36827=CARTESIAN_POINT('',(89.662,73.4822,4.311724784545)); -#36828=CARTESIAN_POINT('',(87.9856,74.295,4.311724784545)); -#36829=CARTESIAN_POINT('',(87.9856,74.295,4.311724784545)); -#36830=CARTESIAN_POINT('',(89.662,74.295,4.311724784545)); -#36831=CARTESIAN_POINT('',(87.9856,74.295,4.311724784545)); -#36832=CARTESIAN_POINT('',(89.662,73.4822,4.311724784545)); -#36833=CARTESIAN_POINT('',(89.662,72.2122,4.311724784545)); -#36834=CARTESIAN_POINT('',(87.9856,72.2122,4.311724784545)); -#36835=CARTESIAN_POINT('',(89.662,72.2122,4.311724784545)); -#36836=CARTESIAN_POINT('',(87.9856,73.025,4.311724784545)); -#36837=CARTESIAN_POINT('',(87.9856,73.025,4.311724784545)); -#36838=CARTESIAN_POINT('',(89.662,73.025,4.311724784545)); -#36839=CARTESIAN_POINT('',(87.9856,73.025,4.311724784545)); -#36840=CARTESIAN_POINT('',(89.662,72.2122,4.311724784545)); -#36841=CARTESIAN_POINT('',(95.7072,76.835,4.311724784545)); -#36842=CARTESIAN_POINT('',(97.3836,76.835,4.311724784545)); -#36843=CARTESIAN_POINT('',(95.7072,76.835,4.311724784545)); -#36844=CARTESIAN_POINT('',(97.3836,76.0222,4.311724784545)); -#36845=CARTESIAN_POINT('',(97.3836,76.0222,4.311724784545)); -#36846=CARTESIAN_POINT('',(95.7072,76.0222,4.311724784545)); -#36847=CARTESIAN_POINT('',(97.3836,76.0222,4.311724784545)); -#36848=CARTESIAN_POINT('',(95.7072,76.835,4.311724784545)); -#36849=CARTESIAN_POINT('',(95.7072,75.565,4.311724784545)); -#36850=CARTESIAN_POINT('',(97.3836,75.565,4.311724784545)); -#36851=CARTESIAN_POINT('',(95.7072,75.565,4.311724784545)); -#36852=CARTESIAN_POINT('',(97.3836,74.7522,4.311724784545)); -#36853=CARTESIAN_POINT('',(97.3836,74.7522,4.311724784545)); -#36854=CARTESIAN_POINT('',(95.7072,74.7522,4.311724784545)); -#36855=CARTESIAN_POINT('',(97.3836,74.7522,4.311724784545)); -#36856=CARTESIAN_POINT('',(95.7072,75.565,4.311724784545)); -#36857=CARTESIAN_POINT('',(95.7072,74.295,4.311724784545)); -#36858=CARTESIAN_POINT('',(97.3836,74.295,4.311724784545)); -#36859=CARTESIAN_POINT('',(95.7072,74.295,4.311724784545)); -#36860=CARTESIAN_POINT('',(97.3836,73.4822,4.311724784545)); -#36861=CARTESIAN_POINT('',(97.3836,73.4822,4.311724784545)); -#36862=CARTESIAN_POINT('',(95.7072,73.4822,4.311724784545)); -#36863=CARTESIAN_POINT('',(97.3836,73.4822,4.311724784545)); -#36864=CARTESIAN_POINT('',(95.7072,74.295,4.311724784545)); -#36865=CARTESIAN_POINT('',(95.7072,73.025,4.311724784545)); -#36866=CARTESIAN_POINT('',(97.3836,73.025,4.311724784545)); -#36867=CARTESIAN_POINT('',(95.7072,73.025,4.311724784545)); -#36868=CARTESIAN_POINT('',(97.3836,72.2122,4.311724784545)); -#36869=CARTESIAN_POINT('',(97.3836,72.2122,4.311724784545)); -#36870=CARTESIAN_POINT('',(95.7072,72.2122,4.311724784545)); -#36871=CARTESIAN_POINT('',(97.3836,72.2122,4.311724784545)); -#36872=CARTESIAN_POINT('',(95.7072,73.025,4.311724784545)); -#36873=CARTESIAN_POINT('',(97.212086,93.530318,4.311724784545)); -#36874=CARTESIAN_POINT('',(96.946695,93.530318,4.311724784545)); -#36875=CARTESIAN_POINT('',(97.212086,93.530318,4.311724784545)); -#36876=CARTESIAN_POINT('',(97.212086,87.927129,4.311724784545)); -#36877=CARTESIAN_POINT('',(97.212086,87.927129,4.311724784545)); -#36878=CARTESIAN_POINT('',(96.946695,87.927129,4.311724784545)); -#36879=CARTESIAN_POINT('',(96.946695,87.927129,4.311724784545)); -#36880=CARTESIAN_POINT('',(96.946695,87.152505,4.311724784545)); -#36881=CARTESIAN_POINT('',(96.946695,87.152505,4.311724784545)); -#36882=CARTESIAN_POINT('',(96.172071,87.152505,4.311724784545)); -#36883=CARTESIAN_POINT('',(96.172071,87.152505,4.311724784545)); -#36884=CARTESIAN_POINT('',(96.172071,86.887113,4.311724784545)); -#36885=CARTESIAN_POINT('',(96.172071,86.887113,4.311724784545)); -#36886=CARTESIAN_POINT('',(90.568882,86.887113,4.311724784545)); -#36887=CARTESIAN_POINT('',(90.568882,86.887113,4.311724784545)); -#36888=CARTESIAN_POINT('',(90.568882,87.152505,4.311724784545)); -#36889=CARTESIAN_POINT('',(90.568882,87.152505,4.311724784545)); -#36890=CARTESIAN_POINT('',(89.794258,87.152505,4.311724784545)); -#36891=CARTESIAN_POINT('',(89.794258,87.152505,4.311724784545)); -#36892=CARTESIAN_POINT('',(89.794258,87.927129,4.311724784545)); -#36893=CARTESIAN_POINT('',(89.794258,87.927129,4.311724784545)); -#36894=CARTESIAN_POINT('',(89.528866,87.927129,4.311724784545)); -#36895=CARTESIAN_POINT('',(89.528866,87.927129,4.311724784545)); -#36896=CARTESIAN_POINT('',(89.528866,93.530318,4.311724784545)); -#36897=CARTESIAN_POINT('',(89.528866,93.530318,4.311724784545)); -#36898=CARTESIAN_POINT('',(89.794258,93.530318,4.311724784545)); -#36899=CARTESIAN_POINT('',(89.794258,93.530318,4.311724784545)); -#36900=CARTESIAN_POINT('',(89.794258,94.304942,4.311724784545)); -#36901=CARTESIAN_POINT('',(89.794258,94.304942,4.311724784545)); -#36902=CARTESIAN_POINT('',(90.568882,94.304942,4.311724784545)); -#36903=CARTESIAN_POINT('',(90.568882,94.304942,4.311724784545)); -#36904=CARTESIAN_POINT('',(90.568882,94.570334,4.311724784545)); -#36905=CARTESIAN_POINT('',(90.568882,94.570334,4.311724784545)); -#36906=CARTESIAN_POINT('',(96.172071,94.570334,4.311724784545)); -#36907=CARTESIAN_POINT('',(96.172071,94.570334,4.311724784545)); -#36908=CARTESIAN_POINT('',(96.172071,94.304942,4.311724784545)); -#36909=CARTESIAN_POINT('',(96.172071,94.304942,4.311724784545)); -#36910=CARTESIAN_POINT('',(96.946695,94.304942,4.311724784545)); -#36911=CARTESIAN_POINT('',(96.946695,94.304942,4.311724784545)); -#36912=CARTESIAN_POINT('',(96.946695,93.530318,4.311724784545)); -#36913=CARTESIAN_POINT('',(96.902016,82.304712,4.311724784545)); -#36914=CARTESIAN_POINT('',(94.918784,82.304712,4.311724784545)); -#36915=CARTESIAN_POINT('',(96.902016,82.304712,4.311724784545)); -#36916=CARTESIAN_POINT('',(96.902016,82.076798,4.311724784545)); -#36917=CARTESIAN_POINT('',(96.902016,82.076798,4.311724784545)); -#36918=CARTESIAN_POINT('',(96.986598,82.076798,4.311724784545)); -#36919=CARTESIAN_POINT('',(96.986598,82.076798,4.311724784545)); -#36920=CARTESIAN_POINT('',(96.986598,79.924402,4.311724784545)); -#36921=CARTESIAN_POINT('',(96.986598,79.924402,4.311724784545)); -#36922=CARTESIAN_POINT('',(96.902016,79.924402,4.311724784545)); -#36923=CARTESIAN_POINT('',(96.902016,79.924402,4.311724784545)); -#36924=CARTESIAN_POINT('',(96.902016,79.696488,4.311724784545)); -#36925=CARTESIAN_POINT('',(96.902016,79.696488,4.311724784545)); -#36926=CARTESIAN_POINT('',(94.918784,79.696488,4.311724784545)); -#36927=CARTESIAN_POINT('',(94.918784,79.696488,4.311724784545)); -#36928=CARTESIAN_POINT('',(94.918784,79.924402,4.311724784545)); -#36929=CARTESIAN_POINT('',(94.918784,79.924402,4.311724784545)); -#36930=CARTESIAN_POINT('',(94.834202,79.924402,4.311724784545)); -#36931=CARTESIAN_POINT('',(94.834202,79.924402,4.311724784545)); -#36932=CARTESIAN_POINT('',(94.834202,82.076798,4.311724784545)); -#36933=CARTESIAN_POINT('',(94.834202,82.076798,4.311724784545)); -#36934=CARTESIAN_POINT('',(94.918784,82.076798,4.311724784545)); -#36935=CARTESIAN_POINT('',(94.918784,82.076798,4.311724784545)); -#36936=CARTESIAN_POINT('',(94.918784,82.304712,4.311724784545)); -#36937=CARTESIAN_POINT('',(102.635799,95.153401,4.311724784545)); -#36938=CARTESIAN_POINT('',(99.365801,95.153401,4.311724784545)); -#36939=CARTESIAN_POINT('',(102.635799,95.153401,4.311724784545)); -#36940=CARTESIAN_POINT('',(102.635799,91.333399,4.311724784545)); -#36941=CARTESIAN_POINT('',(102.635799,91.333399,4.311724784545)); -#36942=CARTESIAN_POINT('',(99.365801,91.333399,4.311724784545)); -#36943=CARTESIAN_POINT('',(99.365801,91.333399,4.311724784545)); -#36944=CARTESIAN_POINT('',(99.365801,95.153401,4.311724784545)); -#36945=CARTESIAN_POINT('',(102.1334,102.177639,4.311724784545)); -#36946=CARTESIAN_POINT('',(102.1334,102.336600403,4.311724784545)); -#36947=CARTESIAN_POINT('',(102.1334,102.177639,4.311724784545)); -#36948=CARTESIAN_POINT('',(102.4779891032,102.177639,4.311724784545)); -#36949=CARTESIAN_POINT('',(102.4779891032,102.177639,4.311724784545)); -#36950=CARTESIAN_POINT('',(102.4779891032,98.657641,4.311724784545)); -#36951=CARTESIAN_POINT('',(102.4779891032,98.657641,4.311724784545)); -#36952=CARTESIAN_POINT('',(101.9556,98.657641,4.311724784545)); -#36953=CARTESIAN_POINT('',(101.9556,98.657641,4.311724784545)); -#36954=CARTESIAN_POINT('',(101.9556,98.526597,4.311724784545)); -#36955=CARTESIAN_POINT('',(101.9556,98.526597,4.311724784545)); -#36956=CARTESIAN_POINT('',(100.471072,98.526597,4.311724784545)); -#36957=CARTESIAN_POINT('',(100.471072,98.526597,4.311724784545)); -#36958=CARTESIAN_POINT('',(100.471072,98.657641,4.311724784545)); -#36959=CARTESIAN_POINT('',(100.471072,98.657641,4.311724784545)); -#36960=CARTESIAN_POINT('',(99.912592,98.657641,4.311724784545)); -#36961=CARTESIAN_POINT('',(99.912592,98.657641,4.311724784545)); -#36962=CARTESIAN_POINT('',(99.912592,99.936038,4.311724784545)); -#36963=CARTESIAN_POINT('',(99.912592,99.936038,4.311724784545)); -#36964=CARTESIAN_POINT('',(99.341074,99.936038,4.311724784545)); -#36965=CARTESIAN_POINT('',(99.341074,99.936038,4.311724784545)); -#36966=CARTESIAN_POINT('',(99.341074,100.939237,4.311724784545)); -#36967=CARTESIAN_POINT('',(99.341074,100.939237,4.311724784545)); -#36968=CARTESIAN_POINT('',(99.912592,100.939237,4.311724784545)); -#36969=CARTESIAN_POINT('',(99.912592,100.939237,4.311724784545)); -#36970=CARTESIAN_POINT('',(99.912592,102.177639,4.311724784545)); -#36971=CARTESIAN_POINT('',(99.912592,102.177639,4.311724784545)); -#36972=CARTESIAN_POINT('',(100.471072,102.177639,4.311724784545)); -#36973=CARTESIAN_POINT('',(100.471072,102.177639,4.311724784545)); -#36974=CARTESIAN_POINT('',(100.471072,102.336600403,4.311724784545)); -#36975=CARTESIAN_POINT('',(100.471072,102.336600403,4.311724784545)); -#36976=CARTESIAN_POINT('',(102.1334,102.336600403,4.311724784545)); -#36977=CARTESIAN_POINT('',(102.466389,63.901201,4.311724784545)); -#36978=CARTESIAN_POINT('',(100.914789,63.901201,4.311724784545)); -#36979=CARTESIAN_POINT('',(102.466389,63.901201,4.311724784545)); -#36980=CARTESIAN_POINT('',(102.466389,63.149599,4.311724784545)); -#36981=CARTESIAN_POINT('',(102.466389,63.149599,4.311724784545)); -#36982=CARTESIAN_POINT('',(100.914789,63.149599,4.311724784545)); -#36983=CARTESIAN_POINT('',(100.914789,63.149599,4.311724784545)); -#36984=CARTESIAN_POINT('',(100.914789,63.901201,4.311724784545)); -#36985=CARTESIAN_POINT('',(92.865194,57.957601,4.311724784545)); -#36986=CARTESIAN_POINT('',(91.313594,57.957601,4.311724784545)); -#36987=CARTESIAN_POINT('',(92.865194,57.957601,4.311724784545)); -#36988=CARTESIAN_POINT('',(92.865194,57.205999,4.311724784545)); -#36989=CARTESIAN_POINT('',(92.865194,57.205999,4.311724784545)); -#36990=CARTESIAN_POINT('',(91.313594,57.205999,4.311724784545)); -#36991=CARTESIAN_POINT('',(91.313594,57.205999,4.311724784545)); -#36992=CARTESIAN_POINT('',(91.313594,57.957601,4.311724784545)); -#36993=CARTESIAN_POINT('',(102.466389,65.069601,4.311724784545)); -#36994=CARTESIAN_POINT('',(100.914789,65.069601,4.311724784545)); -#36995=CARTESIAN_POINT('',(102.466389,65.069601,4.311724784545)); -#36996=CARTESIAN_POINT('',(102.466389,64.317999,4.311724784545)); -#36997=CARTESIAN_POINT('',(102.466389,64.317999,4.311724784545)); -#36998=CARTESIAN_POINT('',(100.914789,64.317999,4.311724784545)); -#36999=CARTESIAN_POINT('',(100.914789,64.317999,4.311724784545)); -#37000=CARTESIAN_POINT('',(100.914789,65.069601,4.311724784545)); -#37001=CARTESIAN_POINT('',(100.914794,62.758201,4.311724784545)); -#37002=CARTESIAN_POINT('',(100.914794,62.006599,4.311724784545)); -#37003=CARTESIAN_POINT('',(100.914794,62.758201,4.311724784545)); -#37004=CARTESIAN_POINT('',(102.466394,62.758201,4.311724784545)); -#37005=CARTESIAN_POINT('',(102.466394,62.758201,4.311724784545)); -#37006=CARTESIAN_POINT('',(102.466394,62.006599,4.311724784545)); -#37007=CARTESIAN_POINT('',(102.466394,62.006599,4.311724784545)); -#37008=CARTESIAN_POINT('',(100.914794,62.006599,4.311724784545)); -#37009=CARTESIAN_POINT('',(103.507789,55.798601,4.311724784545)); -#37010=CARTESIAN_POINT('',(101.956189,55.798601,4.311724784545)); -#37011=CARTESIAN_POINT('',(103.507789,55.798601,4.311724784545)); -#37012=CARTESIAN_POINT('',(103.507789,55.046999,4.311724784545)); -#37013=CARTESIAN_POINT('',(103.507789,55.046999,4.311724784545)); -#37014=CARTESIAN_POINT('',(101.956189,55.046999,4.311724784545)); -#37015=CARTESIAN_POINT('',(101.956189,55.046999,4.311724784545)); -#37016=CARTESIAN_POINT('',(101.956189,55.798601,4.311724784545)); -#37017=CARTESIAN_POINT('',(101.551994,55.046999,4.311724784545)); -#37018=CARTESIAN_POINT('',(101.551994,55.798601,4.311724784545)); -#37019=CARTESIAN_POINT('',(101.551994,55.046999,4.311724784545)); -#37020=CARTESIAN_POINT('',(100.000394,55.046999,4.311724784545)); -#37021=CARTESIAN_POINT('',(100.000394,55.046999,4.311724784545)); -#37022=CARTESIAN_POINT('',(100.000394,55.798601,4.311724784545)); -#37023=CARTESIAN_POINT('',(100.000394,55.798601,4.311724784545)); -#37024=CARTESIAN_POINT('',(101.551994,55.798601,4.311724784545)); -#37025=CARTESIAN_POINT('',(85.118194,53.995201,4.311724784545)); -#37026=CARTESIAN_POINT('',(83.566594,53.995201,4.311724784545)); -#37027=CARTESIAN_POINT('',(85.118194,53.995201,4.311724784545)); -#37028=CARTESIAN_POINT('',(85.118194,53.243599,4.311724784545)); -#37029=CARTESIAN_POINT('',(85.118194,53.243599,4.311724784545)); -#37030=CARTESIAN_POINT('',(83.566594,53.243599,4.311724784545)); -#37031=CARTESIAN_POINT('',(83.566594,53.243599,4.311724784545)); -#37032=CARTESIAN_POINT('',(83.566594,53.995201,4.311724784545)); -#37033=CARTESIAN_POINT('',(90.858594,57.957601,4.311724784545)); -#37034=CARTESIAN_POINT('',(89.306994,57.957601,4.311724784545)); -#37035=CARTESIAN_POINT('',(90.858594,57.957601,4.311724784545)); -#37036=CARTESIAN_POINT('',(90.858594,57.205999,4.311724784545)); -#37037=CARTESIAN_POINT('',(90.858594,57.205999,4.311724784545)); -#37038=CARTESIAN_POINT('',(89.306994,57.205999,4.311724784545)); -#37039=CARTESIAN_POINT('',(89.306994,57.205999,4.311724784545)); -#37040=CARTESIAN_POINT('',(89.306994,57.957601,4.311724784545)); -#37041=CARTESIAN_POINT('',(86.309789,61.320799,4.311724784545)); -#37042=CARTESIAN_POINT('',(87.861389,61.320799,4.311724784545)); -#37043=CARTESIAN_POINT('',(86.309789,61.320799,4.311724784545)); -#37044=CARTESIAN_POINT('',(86.309789,62.072401,4.311724784545)); -#37045=CARTESIAN_POINT('',(86.309789,62.072401,4.311724784545)); -#37046=CARTESIAN_POINT('',(87.861389,62.072401,4.311724784545)); -#37047=CARTESIAN_POINT('',(87.861389,62.072401,4.311724784545)); -#37048=CARTESIAN_POINT('',(87.861389,61.320799,4.311724784545)); -#37049=CARTESIAN_POINT('',(87.141591,62.749598,4.311724784545)); -#37050=CARTESIAN_POINT('',(87.893192,62.749598,4.311724784545)); -#37051=CARTESIAN_POINT('',(87.141591,62.749598,4.311724784545)); -#37052=CARTESIAN_POINT('',(87.141591,64.301197,4.311724784545)); -#37053=CARTESIAN_POINT('',(87.141591,64.301197,4.311724784545)); -#37054=CARTESIAN_POINT('',(87.893192,64.301197,4.311724784545)); -#37055=CARTESIAN_POINT('',(87.893192,64.301197,4.311724784545)); -#37056=CARTESIAN_POINT('',(87.893192,62.749598,4.311724784545)); -#37057=CARTESIAN_POINT('',(91.372992,67.679402,4.311724784545)); -#37058=CARTESIAN_POINT('',(90.621391,67.679402,4.311724784545)); -#37059=CARTESIAN_POINT('',(91.372992,67.679402,4.311724784545)); -#37060=CARTESIAN_POINT('',(91.372992,66.127803,4.311724784545)); -#37061=CARTESIAN_POINT('',(91.372992,66.127803,4.311724784545)); -#37062=CARTESIAN_POINT('',(90.621391,66.127803,4.311724784545)); -#37063=CARTESIAN_POINT('',(90.621391,66.127803,4.311724784545)); -#37064=CARTESIAN_POINT('',(90.621391,67.679402,4.311724784545)); -#37065=CARTESIAN_POINT('',(93.709792,67.679397,4.311724784545)); -#37066=CARTESIAN_POINT('',(92.958191,67.679397,4.311724784545)); -#37067=CARTESIAN_POINT('',(93.709792,67.679397,4.311724784545)); -#37068=CARTESIAN_POINT('',(93.709792,66.127798,4.311724784545)); -#37069=CARTESIAN_POINT('',(93.709792,66.127798,4.311724784545)); -#37070=CARTESIAN_POINT('',(92.958191,66.127798,4.311724784545)); -#37071=CARTESIAN_POINT('',(92.958191,66.127798,4.311724784545)); -#37072=CARTESIAN_POINT('',(92.958191,67.679397,4.311724784545)); -#37073=CARTESIAN_POINT('',(93.906589,69.463801,4.311724784545)); -#37074=CARTESIAN_POINT('',(92.354989,69.463801,4.311724784545)); -#37075=CARTESIAN_POINT('',(93.906589,69.463801,4.311724784545)); -#37076=CARTESIAN_POINT('',(93.906589,68.712199,4.311724784545)); -#37077=CARTESIAN_POINT('',(93.906589,68.712199,4.311724784545)); -#37078=CARTESIAN_POINT('',(92.354989,68.712199,4.311724784545)); -#37079=CARTESIAN_POINT('',(92.354989,68.712199,4.311724784545)); -#37080=CARTESIAN_POINT('',(92.354989,69.463801,4.311724784545)); -#37081=CARTESIAN_POINT('',(99.646989,66.324599,4.311724784545)); -#37082=CARTESIAN_POINT('',(99.646989,67.076201,4.311724784545)); -#37083=CARTESIAN_POINT('',(99.646989,66.324599,4.311724784545)); -#37084=CARTESIAN_POINT('',(98.095389,66.324599,4.311724784545)); -#37085=CARTESIAN_POINT('',(98.095389,66.324599,4.311724784545)); -#37086=CARTESIAN_POINT('',(98.095389,67.076201,4.311724784545)); -#37087=CARTESIAN_POINT('',(98.095389,67.076201,4.311724784545)); -#37088=CARTESIAN_POINT('',(99.646989,67.076201,4.311724784545)); -#37089=CARTESIAN_POINT('',(98.095389,67.518399,4.311724784545)); -#37090=CARTESIAN_POINT('',(99.646989,67.518399,4.311724784545)); -#37091=CARTESIAN_POINT('',(98.095389,67.518399,4.311724784545)); -#37092=CARTESIAN_POINT('',(98.095389,68.270001,4.311724784545)); -#37093=CARTESIAN_POINT('',(98.095389,68.270001,4.311724784545)); -#37094=CARTESIAN_POINT('',(99.646989,68.270001,4.311724784545)); -#37095=CARTESIAN_POINT('',(99.646989,68.270001,4.311724784545)); -#37096=CARTESIAN_POINT('',(99.646989,67.518399,4.311724784545)); -#37097=CARTESIAN_POINT('',(99.646994,68.686799,4.311724784545)); -#37098=CARTESIAN_POINT('',(99.646994,69.438401,4.311724784545)); -#37099=CARTESIAN_POINT('',(99.646994,68.686799,4.311724784545)); -#37100=CARTESIAN_POINT('',(98.095394,68.686799,4.311724784545)); -#37101=CARTESIAN_POINT('',(98.095394,68.686799,4.311724784545)); -#37102=CARTESIAN_POINT('',(98.095394,69.438401,4.311724784545)); -#37103=CARTESIAN_POINT('',(98.095394,69.438401,4.311724784545)); -#37104=CARTESIAN_POINT('',(99.646994,69.438401,4.311724784545)); -#37105=CARTESIAN_POINT('',(86.413594,80.741401,4.311724784545)); -#37106=CARTESIAN_POINT('',(84.861994,80.741401,4.311724784545)); -#37107=CARTESIAN_POINT('',(86.413594,80.741401,4.311724784545)); -#37108=CARTESIAN_POINT('',(86.413594,79.989799,4.311724784545)); -#37109=CARTESIAN_POINT('',(86.413594,79.989799,4.311724784545)); -#37110=CARTESIAN_POINT('',(84.861994,79.989799,4.311724784545)); -#37111=CARTESIAN_POINT('',(84.861994,79.989799,4.311724784545)); -#37112=CARTESIAN_POINT('',(84.861994,80.741401,4.311724784545)); -#37113=CARTESIAN_POINT('',(84.861994,79.598401,4.311724784545)); -#37114=CARTESIAN_POINT('',(84.861994,78.846799,4.311724784545)); -#37115=CARTESIAN_POINT('',(84.861994,79.598401,4.311724784545)); -#37116=CARTESIAN_POINT('',(86.413594,79.598401,4.311724784545)); -#37117=CARTESIAN_POINT('',(86.413594,79.598401,4.311724784545)); -#37118=CARTESIAN_POINT('',(86.413594,78.846799,4.311724784545)); -#37119=CARTESIAN_POINT('',(86.413594,78.846799,4.311724784545)); -#37120=CARTESIAN_POINT('',(84.861994,78.846799,4.311724784545)); -#37121=CARTESIAN_POINT('',(86.413594,78.455401,4.311724784545)); -#37122=CARTESIAN_POINT('',(84.861994,78.455401,4.311724784545)); -#37123=CARTESIAN_POINT('',(86.413594,78.455401,4.311724784545)); -#37124=CARTESIAN_POINT('',(86.413594,77.703799,4.311724784545)); -#37125=CARTESIAN_POINT('',(86.413594,77.703799,4.311724784545)); -#37126=CARTESIAN_POINT('',(84.861994,77.703799,4.311724784545)); -#37127=CARTESIAN_POINT('',(84.861994,77.703799,4.311724784545)); -#37128=CARTESIAN_POINT('',(84.861994,78.455401,4.311724784545)); -#37129=CARTESIAN_POINT('',(96.540201,101.613797,4.311724784545)); -#37130=CARTESIAN_POINT('',(95.788599,101.613797,4.311724784545)); -#37131=CARTESIAN_POINT('',(96.540201,101.613797,4.311724784545)); -#37132=CARTESIAN_POINT('',(96.540201,100.062198,4.311724784545)); -#37133=CARTESIAN_POINT('',(96.540201,100.062198,4.311724784545)); -#37134=CARTESIAN_POINT('',(95.788599,100.062198,4.311724784545)); -#37135=CARTESIAN_POINT('',(95.788599,100.062198,4.311724784545)); -#37136=CARTESIAN_POINT('',(95.788599,101.613797,4.311724784545)); -#37137=CARTESIAN_POINT('',(97.346602,100.807401,4.311724784545)); -#37138=CARTESIAN_POINT('',(96.645004,100.807401,4.311724784545)); -#37139=CARTESIAN_POINT('',(97.346602,100.807401,4.311724784545)); -#37140=CARTESIAN_POINT('',(97.346602,100.055799,4.311724784545)); -#37141=CARTESIAN_POINT('',(97.346602,100.055799,4.311724784545)); -#37142=CARTESIAN_POINT('',(96.645004,100.055799,4.311724784545)); -#37143=CARTESIAN_POINT('',(96.645004,100.055799,4.311724784545)); -#37144=CARTESIAN_POINT('',(96.645004,100.807401,4.311724784545)); -#37145=CARTESIAN_POINT('',(95.763199,98.4896,4.311724784545)); -#37146=CARTESIAN_POINT('',(95.763199,96.938,4.311724784545)); -#37147=CARTESIAN_POINT('',(95.763199,98.4896,4.311724784545)); -#37148=CARTESIAN_POINT('',(96.514801,98.4896,4.311724784545)); -#37149=CARTESIAN_POINT('',(96.514801,98.4896,4.311724784545)); -#37150=CARTESIAN_POINT('',(96.514801,96.938,4.311724784545)); -#37151=CARTESIAN_POINT('',(96.514801,96.938,4.311724784545)); -#37152=CARTESIAN_POINT('',(95.763199,96.938,4.311724784545)); -#37153=CARTESIAN_POINT('',(81.610792,53.995198,4.311724784545)); -#37154=CARTESIAN_POINT('',(81.610792,53.243597,4.311724784545)); -#37155=CARTESIAN_POINT('',(81.610792,53.995198,4.311724784545)); -#37156=CARTESIAN_POINT('',(83.162391,53.995198,4.311724784545)); -#37157=CARTESIAN_POINT('',(83.162391,53.995198,4.311724784545)); -#37158=CARTESIAN_POINT('',(83.162391,53.243597,4.311724784545)); -#37159=CARTESIAN_POINT('',(83.162391,53.243597,4.311724784545)); -#37160=CARTESIAN_POINT('',(81.610792,53.243597,4.311724784545)); -#37161=CARTESIAN_POINT('',(99.596191,55.798603,4.311724784545)); -#37162=CARTESIAN_POINT('',(98.044592,55.798603,4.311724784545)); -#37163=CARTESIAN_POINT('',(99.596191,55.798603,4.311724784545)); -#37164=CARTESIAN_POINT('',(99.596191,55.047002,4.311724784545)); -#37165=CARTESIAN_POINT('',(99.596191,55.047002,4.311724784545)); -#37166=CARTESIAN_POINT('',(98.044592,55.047002,4.311724784545)); -#37167=CARTESIAN_POINT('',(98.044592,55.047002,4.311724784545)); -#37168=CARTESIAN_POINT('',(98.044592,55.798603,4.311724784545)); -#37169=CARTESIAN_POINT('',(91.789794,67.679397,4.311724784545)); -#37170=CARTESIAN_POINT('',(91.789794,66.127798,4.311724784545)); -#37171=CARTESIAN_POINT('',(91.789794,67.679397,4.311724784545)); -#37172=CARTESIAN_POINT('',(92.541395,67.679397,4.311724784545)); -#37173=CARTESIAN_POINT('',(92.541395,67.679397,4.311724784545)); -#37174=CARTESIAN_POINT('',(92.541395,66.127798,4.311724784545)); -#37175=CARTESIAN_POINT('',(92.541395,66.127798,4.311724784545)); -#37176=CARTESIAN_POINT('',(91.789794,66.127798,4.311724784545)); -#37177=CARTESIAN_POINT('',(81.712392,65.933198,4.311724784545)); -#37178=CARTESIAN_POINT('',(81.712392,65.181597,4.311724784545)); -#37179=CARTESIAN_POINT('',(81.712392,65.933198,4.311724784545)); -#37180=CARTESIAN_POINT('',(83.263991,65.933198,4.311724784545)); -#37181=CARTESIAN_POINT('',(83.263991,65.933198,4.311724784545)); -#37182=CARTESIAN_POINT('',(83.263991,65.181597,4.311724784545)); -#37183=CARTESIAN_POINT('',(83.263991,65.181597,4.311724784545)); -#37184=CARTESIAN_POINT('',(81.712392,65.181597,4.311724784545)); -#37185=CARTESIAN_POINT('',(84.787991,88.640798,4.311724784545)); -#37186=CARTESIAN_POINT('',(83.236392,88.640798,4.311724784545)); -#37187=CARTESIAN_POINT('',(84.787991,88.640798,4.311724784545)); -#37188=CARTESIAN_POINT('',(84.787991,87.889197,4.311724784545)); -#37189=CARTESIAN_POINT('',(84.787991,87.889197,4.311724784545)); -#37190=CARTESIAN_POINT('',(83.236392,87.889197,4.311724784545)); -#37191=CARTESIAN_POINT('',(83.236392,87.889197,4.311724784545)); -#37192=CARTESIAN_POINT('',(83.236392,88.640798,4.311724784545)); -#37193=CARTESIAN_POINT('',(83.236392,87.497798,4.311724784545)); -#37194=CARTESIAN_POINT('',(83.236392,86.746197,4.311724784545)); -#37195=CARTESIAN_POINT('',(83.236392,87.497798,4.311724784545)); -#37196=CARTESIAN_POINT('',(84.787991,87.497798,4.311724784545)); -#37197=CARTESIAN_POINT('',(84.787991,87.497798,4.311724784545)); -#37198=CARTESIAN_POINT('',(84.787991,86.746197,4.311724784545)); -#37199=CARTESIAN_POINT('',(84.787991,86.746197,4.311724784545)); -#37200=CARTESIAN_POINT('',(83.236392,86.746197,4.311724784545)); -#37201=CARTESIAN_POINT('',(86.8056,91.460203,4.311724784545)); -#37202=CARTESIAN_POINT('',(85.254,91.460203,4.311724784545)); -#37203=CARTESIAN_POINT('',(86.8056,91.460203,4.311724784545)); -#37204=CARTESIAN_POINT('',(86.8056,90.708602,4.311724784545)); -#37205=CARTESIAN_POINT('',(86.8056,90.708602,4.311724784545)); -#37206=CARTESIAN_POINT('',(85.254,90.708602,4.311724784545)); -#37207=CARTESIAN_POINT('',(85.254,90.708602,4.311724784545)); -#37208=CARTESIAN_POINT('',(85.254,91.460203,4.311724784545)); -#37209=CARTESIAN_POINT('',(90.2346,84.729203,4.311724784545)); -#37210=CARTESIAN_POINT('',(88.683,84.729203,4.311724784545)); -#37211=CARTESIAN_POINT('',(90.2346,84.729203,4.311724784545)); -#37212=CARTESIAN_POINT('',(90.2346,83.977602,4.311724784545)); -#37213=CARTESIAN_POINT('',(90.2346,83.977602,4.311724784545)); -#37214=CARTESIAN_POINT('',(88.683,83.977602,4.311724784545)); -#37215=CARTESIAN_POINT('',(88.683,83.977602,4.311724784545)); -#37216=CARTESIAN_POINT('',(88.683,84.729203,4.311724784545)); -#37217=CARTESIAN_POINT('',(92.493,81.300203,4.311724784545)); -#37218=CARTESIAN_POINT('',(92.493,80.548602,4.311724784545)); -#37219=CARTESIAN_POINT('',(92.493,81.300203,4.311724784545)); -#37220=CARTESIAN_POINT('',(94.0446,81.300203,4.311724784545)); -#37221=CARTESIAN_POINT('',(94.0446,81.300203,4.311724784545)); -#37222=CARTESIAN_POINT('',(94.0446,80.548602,4.311724784545)); -#37223=CARTESIAN_POINT('',(94.0446,80.548602,4.311724784545)); -#37224=CARTESIAN_POINT('',(92.493,80.548602,4.311724784545)); -#37225=CARTESIAN_POINT('',(92.493,80.157198,4.311724784545)); -#37226=CARTESIAN_POINT('',(92.493,79.405597,4.311724784545)); -#37227=CARTESIAN_POINT('',(92.493,80.157198,4.311724784545)); -#37228=CARTESIAN_POINT('',(94.0446,80.157198,4.311724784545)); -#37229=CARTESIAN_POINT('',(94.0446,80.157198,4.311724784545)); -#37230=CARTESIAN_POINT('',(94.0446,79.405597,4.311724784545)); -#37231=CARTESIAN_POINT('',(94.0446,79.405597,4.311724784545)); -#37232=CARTESIAN_POINT('',(92.493,79.405597,4.311724784545)); -#37233=CARTESIAN_POINT('',(98.404802,76.7472,4.311724784545)); -#37234=CARTESIAN_POINT('',(98.404802,75.1956,4.311724784545)); -#37235=CARTESIAN_POINT('',(98.404802,76.7472,4.311724784545)); -#37236=CARTESIAN_POINT('',(99.156403,76.7472,4.311724784545)); -#37237=CARTESIAN_POINT('',(99.156403,76.7472,4.311724784545)); -#37238=CARTESIAN_POINT('',(99.156403,75.1956,4.311724784545)); -#37239=CARTESIAN_POINT('',(99.156403,75.1956,4.311724784545)); -#37240=CARTESIAN_POINT('',(98.404802,75.1956,4.311724784545)); -#37241=CARTESIAN_POINT('',(98.789795,88.6344,4.311724784545)); -#37242=CARTESIAN_POINT('',(98.038194,88.6344,4.311724784545)); -#37243=CARTESIAN_POINT('',(98.789795,88.6344,4.311724784545)); -#37244=CARTESIAN_POINT('',(98.789795,87.0828,4.311724784545)); -#37245=CARTESIAN_POINT('',(98.789795,87.0828,4.311724784545)); -#37246=CARTESIAN_POINT('',(98.038194,87.0828,4.311724784545)); -#37247=CARTESIAN_POINT('',(98.038194,87.0828,4.311724784545)); -#37248=CARTESIAN_POINT('',(98.038194,88.6344,4.311724784545)); -#37249=CARTESIAN_POINT('',(102.313991,90.977598,4.311724784545)); -#37250=CARTESIAN_POINT('',(100.762392,90.977598,4.311724784545)); -#37251=CARTESIAN_POINT('',(102.313991,90.977598,4.311724784545)); -#37252=CARTESIAN_POINT('',(102.313991,90.225997,4.311724784545)); -#37253=CARTESIAN_POINT('',(102.313991,90.225997,4.311724784545)); -#37254=CARTESIAN_POINT('',(100.762392,90.225997,4.311724784545)); -#37255=CARTESIAN_POINT('',(100.762392,90.225997,4.311724784545)); -#37256=CARTESIAN_POINT('',(100.762392,90.977598,4.311724784545)); -#37257=CARTESIAN_POINT('',(102.015595,97.2196,4.311724784545)); -#37258=CARTESIAN_POINT('',(101.263994,97.2196,4.311724784545)); -#37259=CARTESIAN_POINT('',(102.015595,97.2196,4.311724784545)); -#37260=CARTESIAN_POINT('',(102.015595,95.668,4.311724784545)); -#37261=CARTESIAN_POINT('',(102.015595,95.668,4.311724784545)); -#37262=CARTESIAN_POINT('',(101.263994,95.668,4.311724784545)); -#37263=CARTESIAN_POINT('',(101.263994,95.668,4.311724784545)); -#37264=CARTESIAN_POINT('',(101.263994,97.2196,4.311724784545)); -#37265=CARTESIAN_POINT('',(100.832798,97.2196,4.311724784545)); -#37266=CARTESIAN_POINT('',(100.081197,97.2196,4.311724784545)); -#37267=CARTESIAN_POINT('',(100.832798,97.2196,4.311724784545)); -#37268=CARTESIAN_POINT('',(100.832798,95.668,4.311724784545)); -#37269=CARTESIAN_POINT('',(100.832798,95.668,4.311724784545)); -#37270=CARTESIAN_POINT('',(100.081197,95.668,4.311724784545)); -#37271=CARTESIAN_POINT('',(100.081197,95.668,4.311724784545)); -#37272=CARTESIAN_POINT('',(100.081197,97.2196,4.311724784545)); -#37273=CARTESIAN_POINT('',(99.689798,97.2196,4.311724784545)); -#37274=CARTESIAN_POINT('',(98.938197,97.2196,4.311724784545)); -#37275=CARTESIAN_POINT('',(99.689798,97.2196,4.311724784545)); -#37276=CARTESIAN_POINT('',(99.689798,95.668,4.311724784545)); -#37277=CARTESIAN_POINT('',(99.689798,95.668,4.311724784545)); -#37278=CARTESIAN_POINT('',(98.938197,95.668,4.311724784545)); -#37279=CARTESIAN_POINT('',(98.938197,95.668,4.311724784545)); -#37280=CARTESIAN_POINT('',(98.938197,97.2196,4.311724784545)); -#37281=CARTESIAN_POINT('',(97.3466,96.540203,4.311724784545)); -#37282=CARTESIAN_POINT('',(95.795,96.540203,4.311724784545)); -#37283=CARTESIAN_POINT('',(97.3466,96.540203,4.311724784545)); -#37284=CARTESIAN_POINT('',(97.3466,95.788602,4.311724784545)); -#37285=CARTESIAN_POINT('',(97.3466,95.788602,4.311724784545)); -#37286=CARTESIAN_POINT('',(95.795,95.788602,4.311724784545)); -#37287=CARTESIAN_POINT('',(95.795,95.788602,4.311724784545)); -#37288=CARTESIAN_POINT('',(95.795,96.540203,4.311724784545)); -#37289=CARTESIAN_POINT('',(93.873198,100.4454,4.311724784545)); -#37290=CARTESIAN_POINT('',(93.121597,100.4454,4.311724784545)); -#37291=CARTESIAN_POINT('',(93.873198,100.4454,4.311724784545)); -#37292=CARTESIAN_POINT('',(93.873198,98.8938,4.311724784545)); -#37293=CARTESIAN_POINT('',(93.873198,98.8938,4.311724784545)); -#37294=CARTESIAN_POINT('',(93.121597,98.8938,4.311724784545)); -#37295=CARTESIAN_POINT('',(93.121597,98.8938,4.311724784545)); -#37296=CARTESIAN_POINT('',(93.121597,100.4454,4.311724784545)); -#37297=CARTESIAN_POINT('',(97.3466,99.639003,4.311724784545)); -#37298=CARTESIAN_POINT('',(95.795,99.639003,4.311724784545)); -#37299=CARTESIAN_POINT('',(97.3466,99.639003,4.311724784545)); -#37300=CARTESIAN_POINT('',(97.3466,98.8938,4.311724784545)); -#37301=CARTESIAN_POINT('',(97.3466,98.8938,4.311724784545)); -#37302=CARTESIAN_POINT('',(95.795,98.8938,4.311724784545)); -#37303=CARTESIAN_POINT('',(95.795,98.8938,4.311724784545)); -#37304=CARTESIAN_POINT('',(95.795,99.639003,4.311724784545)); -#37305=CARTESIAN_POINT('',(85.52659,70.807801,4.311724784545)); -#37306=CARTESIAN_POINT('',(85.52659,71.990999,4.311724784545)); -#37307=CARTESIAN_POINT('',(85.52659,70.807801,4.311724784545)); -#37308=CARTESIAN_POINT('',(82.853388,70.807801,4.311724784545)); -#37309=CARTESIAN_POINT('',(82.853388,70.807801,4.311724784545)); -#37310=CARTESIAN_POINT('',(82.853388,71.990999,4.311724784545)); -#37311=CARTESIAN_POINT('',(82.853388,71.990999,4.311724784545)); -#37312=CARTESIAN_POINT('',(85.52659,71.990999,4.311724784545)); -#37313=CARTESIAN_POINT('',(86.697193,57.591401,4.311724784545)); -#37314=CARTESIAN_POINT('',(87.880391,57.591401,4.311724784545)); -#37315=CARTESIAN_POINT('',(86.697193,57.591401,4.311724784545)); -#37316=CARTESIAN_POINT('',(86.697193,60.264604,4.311724784545)); -#37317=CARTESIAN_POINT('',(86.697193,60.264604,4.311724784545)); -#37318=CARTESIAN_POINT('',(87.880391,60.264604,4.311724784545)); -#37319=CARTESIAN_POINT('',(87.880391,60.264604,4.311724784545)); -#37320=CARTESIAN_POINT('',(87.880391,57.591401,4.311724784545)); -#37321=CARTESIAN_POINT('',(93.417591,62.112601,4.311724784545)); -#37322=CARTESIAN_POINT('',(93.417591,64.785804,4.311724784545)); -#37323=CARTESIAN_POINT('',(93.417591,62.112601,4.311724784545)); -#37324=CARTESIAN_POINT('',(92.234393,62.112601,4.311724784545)); -#37325=CARTESIAN_POINT('',(92.234393,62.112601,4.311724784545)); -#37326=CARTESIAN_POINT('',(92.234393,64.785804,4.311724784545)); -#37327=CARTESIAN_POINT('',(92.234393,64.785804,4.311724784545)); -#37328=CARTESIAN_POINT('',(93.417591,64.785804,4.311724784545)); -#37329=CARTESIAN_POINT('',(94.992391,64.785804,4.311724784545)); -#37330=CARTESIAN_POINT('',(93.809193,64.785804,4.311724784545)); -#37331=CARTESIAN_POINT('',(94.992391,64.785804,4.311724784545)); -#37332=CARTESIAN_POINT('',(94.992391,62.112601,4.311724784545)); -#37333=CARTESIAN_POINT('',(94.992391,62.112601,4.311724784545)); -#37334=CARTESIAN_POINT('',(93.809193,62.112601,4.311724784545)); -#37335=CARTESIAN_POINT('',(93.809193,62.112601,4.311724784545)); -#37336=CARTESIAN_POINT('',(93.809193,64.785804,4.311724784545)); -#37337=CARTESIAN_POINT('',(101.604796,61.627799,4.311724784545)); -#37338=CARTESIAN_POINT('',(98.931593,61.627799,4.311724784545)); -#37339=CARTESIAN_POINT('',(101.604796,61.627799,4.311724784545)); -#37340=CARTESIAN_POINT('',(101.604796,60.444601,4.311724784545)); -#37341=CARTESIAN_POINT('',(101.604796,60.444601,4.311724784545)); -#37342=CARTESIAN_POINT('',(98.931593,60.444601,4.311724784545)); -#37343=CARTESIAN_POINT('',(98.931593,60.444601,4.311724784545)); -#37344=CARTESIAN_POINT('',(98.931593,61.627799,4.311724784545)); -#37345=CARTESIAN_POINT('',(98.150396,61.272199,4.311724784545)); -#37346=CARTESIAN_POINT('',(95.477193,61.272199,4.311724784545)); -#37347=CARTESIAN_POINT('',(98.150396,61.272199,4.311724784545)); -#37348=CARTESIAN_POINT('',(98.150396,60.089001,4.311724784545)); -#37349=CARTESIAN_POINT('',(98.150396,60.089001,4.311724784545)); -#37350=CARTESIAN_POINT('',(95.477193,60.089001,4.311724784545)); -#37351=CARTESIAN_POINT('',(95.477193,60.089001,4.311724784545)); -#37352=CARTESIAN_POINT('',(95.477193,61.272199,4.311724784545)); -#37353=CARTESIAN_POINT('',(95.527993,59.697399,4.311724784545)); -#37354=CARTESIAN_POINT('',(95.527993,58.514201,4.311724784545)); -#37355=CARTESIAN_POINT('',(95.527993,59.697399,4.311724784545)); -#37356=CARTESIAN_POINT('',(98.201196,59.697399,4.311724784545)); -#37357=CARTESIAN_POINT('',(98.201196,59.697399,4.311724784545)); -#37358=CARTESIAN_POINT('',(98.201196,58.514201,4.311724784545)); -#37359=CARTESIAN_POINT('',(98.201196,58.514201,4.311724784545)); -#37360=CARTESIAN_POINT('',(95.527993,58.514201,4.311724784545)); -#37361=CARTESIAN_POINT('',(92.691999,101.209404,4.311724784545)); -#37362=CARTESIAN_POINT('',(91.508801,101.209404,4.311724784545)); -#37363=CARTESIAN_POINT('',(92.691999,101.209404,4.311724784545)); -#37364=CARTESIAN_POINT('',(92.691999,98.536201,4.311724784545)); -#37365=CARTESIAN_POINT('',(92.691999,98.536201,4.311724784545)); -#37366=CARTESIAN_POINT('',(91.508801,98.536201,4.311724784545)); -#37367=CARTESIAN_POINT('',(91.508801,98.536201,4.311724784545)); -#37368=CARTESIAN_POINT('',(91.508801,101.209404,4.311724784545)); -#37369=CARTESIAN_POINT('',(91.1082,101.495202,4.311724784545)); -#37370=CARTESIAN_POINT('',(89.435,101.495202,4.311724784545)); -#37371=CARTESIAN_POINT('',(91.1082,101.495202,4.311724784545)); -#37372=CARTESIAN_POINT('',(91.1082,98.352003,4.311724784545)); -#37373=CARTESIAN_POINT('',(91.1082,98.352003,4.311724784545)); -#37374=CARTESIAN_POINT('',(89.435,98.352003,4.311724784545)); -#37375=CARTESIAN_POINT('',(89.435,98.352003,4.311724784545)); -#37376=CARTESIAN_POINT('',(89.435,101.495202,4.311724784545)); -#37377=CARTESIAN_POINT('',(89.0508,101.520602,4.311724784545)); -#37378=CARTESIAN_POINT('',(87.3776,101.520602,4.311724784545)); -#37379=CARTESIAN_POINT('',(89.0508,101.520602,4.311724784545)); -#37380=CARTESIAN_POINT('',(89.0508,98.377403,4.311724784545)); -#37381=CARTESIAN_POINT('',(89.0508,98.377403,4.311724784545)); -#37382=CARTESIAN_POINT('',(87.3776,98.377403,4.311724784545)); -#37383=CARTESIAN_POINT('',(87.3776,98.377403,4.311724784545)); -#37384=CARTESIAN_POINT('',(87.3776,101.520602,4.311724784545)); -#37385=CARTESIAN_POINT('',(85.634589,68.734,4.311724784545)); -#37386=CARTESIAN_POINT('',(85.634589,70.4072,4.311724784545)); -#37387=CARTESIAN_POINT('',(85.634589,68.734,4.311724784545)); -#37388=CARTESIAN_POINT('',(82.491389,68.734,4.311724784545)); -#37389=CARTESIAN_POINT('',(82.491389,68.734,4.311724784545)); -#37390=CARTESIAN_POINT('',(82.491389,70.4072,4.311724784545)); -#37391=CARTESIAN_POINT('',(82.491389,70.4072,4.311724784545)); -#37392=CARTESIAN_POINT('',(85.634589,70.4072,4.311724784545)); -#37393=CARTESIAN_POINT('',(86.296591,60.398002,4.311724784545)); -#37394=CARTESIAN_POINT('',(84.623392,60.398002,4.311724784545)); -#37395=CARTESIAN_POINT('',(86.296591,60.398002,4.311724784545)); -#37396=CARTESIAN_POINT('',(86.296591,57.254803,4.311724784545)); -#37397=CARTESIAN_POINT('',(86.296591,57.254803,4.311724784545)); -#37398=CARTESIAN_POINT('',(84.623392,57.254803,4.311724784545)); -#37399=CARTESIAN_POINT('',(84.623392,57.254803,4.311724784545)); -#37400=CARTESIAN_POINT('',(84.623392,60.398002,4.311724784545)); -#37401=CARTESIAN_POINT('',(101.738194,60.044,4.311724784545)); -#37402=CARTESIAN_POINT('',(98.594995,60.044,4.311724784545)); -#37403=CARTESIAN_POINT('',(101.738194,60.044,4.311724784545)); -#37404=CARTESIAN_POINT('',(101.738194,58.3708,4.311724784545)); -#37405=CARTESIAN_POINT('',(101.738194,58.3708,4.311724784545)); -#37406=CARTESIAN_POINT('',(98.594995,58.3708,4.311724784545)); -#37407=CARTESIAN_POINT('',(98.594995,58.3708,4.311724784545)); -#37408=CARTESIAN_POINT('',(98.594995,60.044,4.311724784545)); -#37409=CARTESIAN_POINT('',(85.559191,68.336996,4.311724784545)); -#37410=CARTESIAN_POINT('',(81.245992,68.336996,4.311724784545)); -#37411=CARTESIAN_POINT('',(85.559191,68.336996,4.311724784545)); -#37412=CARTESIAN_POINT('',(85.559191,66.333799,4.311724784545)); -#37413=CARTESIAN_POINT('',(85.559191,66.333799,4.311724784545)); -#37414=CARTESIAN_POINT('',(81.245992,66.333799,4.311724784545)); -#37415=CARTESIAN_POINT('',(81.245992,66.333799,4.311724784545)); -#37416=CARTESIAN_POINT('',(81.245992,68.336996,4.311724784545)); -#37417=CARTESIAN_POINT('',(82.197791,60.5258,4.311724784545)); -#37418=CARTESIAN_POINT('',(82.197791,56.2126,4.311724784545)); -#37419=CARTESIAN_POINT('',(82.197791,60.5258,4.311724784545)); -#37420=CARTESIAN_POINT('',(84.200987,60.5258,4.311724784545)); -#37421=CARTESIAN_POINT('',(84.200987,60.5258,4.311724784545)); -#37422=CARTESIAN_POINT('',(84.200987,56.2126,4.311724784545)); -#37423=CARTESIAN_POINT('',(84.200987,56.2126,4.311724784545)); -#37424=CARTESIAN_POINT('',(82.197791,56.2126,4.311724784545)); -#37425=CARTESIAN_POINT('',(102.94379,69.650399,4.311724784545)); -#37426=CARTESIAN_POINT('',(101.910594,69.650399,4.311724784545)); -#37427=CARTESIAN_POINT('',(102.94379,69.650399,4.311724784545)); -#37428=CARTESIAN_POINT('',(102.94379,68.718801,4.311724784545)); -#37429=CARTESIAN_POINT('',(102.94379,68.718801,4.311724784545)); -#37430=CARTESIAN_POINT('',(103.052189,68.718801,4.311724784545)); -#37431=CARTESIAN_POINT('',(103.052189,68.718801,4.311724784545)); -#37432=CARTESIAN_POINT('',(103.052189,67.018799,4.311724784545)); -#37433=CARTESIAN_POINT('',(103.052189,67.018799,4.311724784545)); -#37434=CARTESIAN_POINT('',(102.94379,67.018799,4.311724784545)); -#37435=CARTESIAN_POINT('',(102.94379,67.018799,4.311724784545)); -#37436=CARTESIAN_POINT('',(102.94379,66.087201,4.311724784545)); -#37437=CARTESIAN_POINT('',(102.94379,66.087201,4.311724784545)); -#37438=CARTESIAN_POINT('',(101.910594,66.087201,4.311724784545)); -#37439=CARTESIAN_POINT('',(101.910594,66.087201,4.311724784545)); -#37440=CARTESIAN_POINT('',(101.910594,67.018799,4.311724784545)); -#37441=CARTESIAN_POINT('',(101.910594,67.018799,4.311724784545)); -#37442=CARTESIAN_POINT('',(101.802194,67.018799,4.311724784545)); -#37443=CARTESIAN_POINT('',(101.802194,67.018799,4.311724784545)); -#37444=CARTESIAN_POINT('',(101.802194,68.718801,4.311724784545)); -#37445=CARTESIAN_POINT('',(101.802194,68.718801,4.311724784545)); -#37446=CARTESIAN_POINT('',(101.910594,68.718801,4.311724784545)); -#37447=CARTESIAN_POINT('',(101.910594,68.718801,4.311724784545)); -#37448=CARTESIAN_POINT('',(101.910594,69.650399,4.311724784545)); -#37449=CARTESIAN_POINT('',(101.368995,66.087201,4.311724784545)); -#37450=CARTESIAN_POINT('',(101.368995,67.018799,4.311724784545)); -#37451=CARTESIAN_POINT('',(101.368995,66.087201,4.311724784545)); -#37452=CARTESIAN_POINT('',(100.335789,66.087201,4.311724784545)); -#37453=CARTESIAN_POINT('',(100.335789,66.087201,4.311724784545)); -#37454=CARTESIAN_POINT('',(100.335789,67.018799,4.311724784545)); -#37455=CARTESIAN_POINT('',(100.335789,67.018799,4.311724784545)); -#37456=CARTESIAN_POINT('',(100.227394,67.018799,4.311724784545)); -#37457=CARTESIAN_POINT('',(100.227394,67.018799,4.311724784545)); -#37458=CARTESIAN_POINT('',(100.227394,68.718801,4.311724784545)); -#37459=CARTESIAN_POINT('',(100.227394,68.718801,4.311724784545)); -#37460=CARTESIAN_POINT('',(100.335789,68.718801,4.311724784545)); -#37461=CARTESIAN_POINT('',(100.335789,68.718801,4.311724784545)); -#37462=CARTESIAN_POINT('',(100.335789,69.650399,4.311724784545)); -#37463=CARTESIAN_POINT('',(100.335789,69.650399,4.311724784545)); -#37464=CARTESIAN_POINT('',(101.368995,69.650399,4.311724784545)); -#37465=CARTESIAN_POINT('',(101.368995,69.650399,4.311724784545)); -#37466=CARTESIAN_POINT('',(101.368995,68.718801,4.311724784545)); -#37467=CARTESIAN_POINT('',(101.368995,68.718801,4.311724784545)); -#37468=CARTESIAN_POINT('',(101.477389,68.718801,4.311724784545)); -#37469=CARTESIAN_POINT('',(101.477389,68.718801,4.311724784545)); -#37470=CARTESIAN_POINT('',(101.477389,67.018799,4.311724784545)); -#37471=CARTESIAN_POINT('',(101.477389,67.018799,4.311724784545)); -#37472=CARTESIAN_POINT('',(101.368995,67.018799,4.311724784545)); -#37473=CARTESIAN_POINT('',(97.365792,68.158411,4.311724784545)); -#37474=CARTESIAN_POINT('',(97.365792,69.072811,4.311724784545)); -#37475=CARTESIAN_POINT('',(97.365792,68.158411,4.311724784545)); -#37476=CARTESIAN_POINT('',(96.515791,68.158411,4.311724784545)); -#37477=CARTESIAN_POINT('',(96.515791,68.158411,4.311724784545)); -#37478=CARTESIAN_POINT('',(96.515791,67.14059,4.311724784545)); -#37479=CARTESIAN_POINT('',(96.515791,67.14059,4.311724784545)); -#37480=CARTESIAN_POINT('',(97.365792,67.14059,4.311724784545)); -#37481=CARTESIAN_POINT('',(97.365792,67.14059,4.311724784545)); -#37482=CARTESIAN_POINT('',(97.365792,66.290589,4.311724784545)); -#37483=CARTESIAN_POINT('',(97.365792,66.290589,4.311724784545)); -#37484=CARTESIAN_POINT('',(96.515791,66.290589,4.311724784545)); -#37485=CARTESIAN_POINT('',(96.515791,66.290589,4.311724784545)); -#37486=CARTESIAN_POINT('',(96.515791,66.188989,4.311724784545)); -#37487=CARTESIAN_POINT('',(96.515791,66.188989,4.311724784545)); -#37488=CARTESIAN_POINT('',(95.315791,66.188989,4.311724784545)); -#37489=CARTESIAN_POINT('',(95.315791,66.188989,4.311724784545)); -#37490=CARTESIAN_POINT('',(95.315791,67.2406,4.311724784545)); -#37491=CARTESIAN_POINT('',(95.315791,67.2406,4.311724784545)); -#37492=CARTESIAN_POINT('',(94.408793,67.2406,4.311724784545)); -#37493=CARTESIAN_POINT('',(94.408793,67.2406,4.311724784545)); -#37494=CARTESIAN_POINT('',(94.408793,68.0906,4.311724784545)); -#37495=CARTESIAN_POINT('',(94.408793,68.0906,4.311724784545)); -#37496=CARTESIAN_POINT('',(95.315791,68.0906,4.311724784545)); -#37497=CARTESIAN_POINT('',(95.315791,68.0906,4.311724784545)); -#37498=CARTESIAN_POINT('',(95.315791,69.174411,4.311724784545)); -#37499=CARTESIAN_POINT('',(95.315791,69.174411,4.311724784545)); -#37500=CARTESIAN_POINT('',(96.515791,69.174411,4.311724784545)); -#37501=CARTESIAN_POINT('',(96.515791,69.174411,4.311724784545)); -#37502=CARTESIAN_POINT('',(96.515791,69.072811,4.311724784545)); -#37503=CARTESIAN_POINT('',(96.515791,69.072811,4.311724784545)); -#37504=CARTESIAN_POINT('',(97.365792,69.072811,4.311724784545)); -#37505=CARTESIAN_POINT('',(91.555992,71.409611,4.311724784545)); -#37506=CARTESIAN_POINT('',(90.641592,71.409611,4.311724784545)); -#37507=CARTESIAN_POINT('',(91.555992,71.409611,4.311724784545)); -#37508=CARTESIAN_POINT('',(91.555992,70.495211,4.311724784545)); -#37509=CARTESIAN_POINT('',(91.555992,70.495211,4.311724784545)); -#37510=CARTESIAN_POINT('',(90.641592,70.495211,4.311724784545)); -#37511=CARTESIAN_POINT('',(90.641592,70.495211,4.311724784545)); -#37512=CARTESIAN_POINT('',(90.641592,69.509589,4.311724784545)); -#37513=CARTESIAN_POINT('',(90.641592,69.509589,4.311724784545)); -#37514=CARTESIAN_POINT('',(91.555992,69.509589,4.311724784545)); -#37515=CARTESIAN_POINT('',(91.555992,69.509589,4.311724784545)); -#37516=CARTESIAN_POINT('',(91.555992,68.595189,4.311724784545)); -#37517=CARTESIAN_POINT('',(91.555992,68.595189,4.311724784545)); -#37518=CARTESIAN_POINT('',(90.641592,68.595189,4.311724784545)); -#37519=CARTESIAN_POINT('',(90.641592,68.595189,4.311724784545)); -#37520=CARTESIAN_POINT('',(90.641592,68.493589,4.311724784545)); -#37521=CARTESIAN_POINT('',(90.641592,68.493589,4.311724784545)); -#37522=CARTESIAN_POINT('',(89.441592,68.493589,4.311724784545)); -#37523=CARTESIAN_POINT('',(89.441592,68.493589,4.311724784545)); -#37524=CARTESIAN_POINT('',(89.441592,69.5452,4.311724784545)); -#37525=CARTESIAN_POINT('',(89.441592,69.5452,4.311724784545)); -#37526=CARTESIAN_POINT('',(88.516793,69.5452,4.311724784545)); -#37527=CARTESIAN_POINT('',(88.516793,69.5452,4.311724784545)); -#37528=CARTESIAN_POINT('',(88.516793,70.4596,4.311724784545)); -#37529=CARTESIAN_POINT('',(88.516793,70.4596,4.311724784545)); -#37530=CARTESIAN_POINT('',(89.441592,70.4596,4.311724784545)); -#37531=CARTESIAN_POINT('',(89.441592,70.4596,4.311724784545)); -#37532=CARTESIAN_POINT('',(89.441592,71.511211,4.311724784545)); -#37533=CARTESIAN_POINT('',(89.441592,71.511211,4.311724784545)); -#37534=CARTESIAN_POINT('',(90.641592,71.511211,4.311724784545)); -#37535=CARTESIAN_POINT('',(90.641592,71.511211,4.311724784545)); -#37536=CARTESIAN_POINT('',(90.641592,71.409611,4.311724784545)); -#37537=CARTESIAN_POINT('',(88.914392,67.936199,4.311724784545)); -#37538=CARTESIAN_POINT('',(87.999992,67.936199,4.311724784545)); -#37539=CARTESIAN_POINT('',(88.914392,67.936199,4.311724784545)); -#37540=CARTESIAN_POINT('',(88.914392,67.0114,4.311724784545)); -#37541=CARTESIAN_POINT('',(88.914392,67.0114,4.311724784545)); -#37542=CARTESIAN_POINT('',(89.966002,67.0114,4.311724784545)); -#37543=CARTESIAN_POINT('',(89.966002,67.0114,4.311724784545)); -#37544=CARTESIAN_POINT('',(89.966002,65.8114,4.311724784545)); -#37545=CARTESIAN_POINT('',(89.966002,65.8114,4.311724784545)); -#37546=CARTESIAN_POINT('',(89.864402,65.8114,4.311724784545)); -#37547=CARTESIAN_POINT('',(89.864402,65.8114,4.311724784545)); -#37548=CARTESIAN_POINT('',(89.864402,64.897,4.311724784545)); -#37549=CARTESIAN_POINT('',(89.864402,64.897,4.311724784545)); -#37550=CARTESIAN_POINT('',(88.950002,64.897,4.311724784545)); -#37551=CARTESIAN_POINT('',(88.950002,64.897,4.311724784545)); -#37552=CARTESIAN_POINT('',(88.950002,65.8114,4.311724784545)); -#37553=CARTESIAN_POINT('',(88.950002,65.8114,4.311724784545)); -#37554=CARTESIAN_POINT('',(87.964381,65.8114,4.311724784545)); -#37555=CARTESIAN_POINT('',(87.964381,65.8114,4.311724784545)); -#37556=CARTESIAN_POINT('',(87.964381,64.897,4.311724784545)); -#37557=CARTESIAN_POINT('',(87.964381,64.897,4.311724784545)); -#37558=CARTESIAN_POINT('',(87.049981,64.897,4.311724784545)); -#37559=CARTESIAN_POINT('',(87.049981,64.897,4.311724784545)); -#37560=CARTESIAN_POINT('',(87.049981,65.8114,4.311724784545)); -#37561=CARTESIAN_POINT('',(87.049981,65.8114,4.311724784545)); -#37562=CARTESIAN_POINT('',(86.948381,65.8114,4.311724784545)); -#37563=CARTESIAN_POINT('',(86.948381,65.8114,4.311724784545)); -#37564=CARTESIAN_POINT('',(86.948381,67.0114,4.311724784545)); -#37565=CARTESIAN_POINT('',(86.948381,67.0114,4.311724784545)); -#37566=CARTESIAN_POINT('',(87.999992,67.0114,4.311724784545)); -#37567=CARTESIAN_POINT('',(87.999992,67.0114,4.311724784545)); -#37568=CARTESIAN_POINT('',(87.999992,67.936199,4.311724784545)); -#37569=CARTESIAN_POINT('',(91.775791,63.0682,4.311724784545)); -#37570=CARTESIAN_POINT('',(90.850992,63.0682,4.311724784545)); -#37571=CARTESIAN_POINT('',(91.775791,63.0682,4.311724784545)); -#37572=CARTESIAN_POINT('',(91.775791,62.1538,4.311724784545)); -#37573=CARTESIAN_POINT('',(91.775791,62.1538,4.311724784545)); -#37574=CARTESIAN_POINT('',(90.850992,62.1538,4.311724784545)); -#37575=CARTESIAN_POINT('',(90.850992,62.1538,4.311724784545)); -#37576=CARTESIAN_POINT('',(90.850992,61.102189,4.311724784545)); -#37577=CARTESIAN_POINT('',(90.850992,61.102189,4.311724784545)); -#37578=CARTESIAN_POINT('',(89.650992,61.102189,4.311724784545)); -#37579=CARTESIAN_POINT('',(89.650992,61.102189,4.311724784545)); -#37580=CARTESIAN_POINT('',(89.650992,61.203789,4.311724784545)); -#37581=CARTESIAN_POINT('',(89.650992,61.203789,4.311724784545)); -#37582=CARTESIAN_POINT('',(88.736592,61.203789,4.311724784545)); -#37583=CARTESIAN_POINT('',(88.736592,61.203789,4.311724784545)); -#37584=CARTESIAN_POINT('',(88.736592,62.118189,4.311724784545)); -#37585=CARTESIAN_POINT('',(88.736592,62.118189,4.311724784545)); -#37586=CARTESIAN_POINT('',(89.650992,62.118189,4.311724784545)); -#37587=CARTESIAN_POINT('',(89.650992,62.118189,4.311724784545)); -#37588=CARTESIAN_POINT('',(89.650992,63.103811,4.311724784545)); -#37589=CARTESIAN_POINT('',(89.650992,63.103811,4.311724784545)); -#37590=CARTESIAN_POINT('',(88.736592,63.103811,4.311724784545)); -#37591=CARTESIAN_POINT('',(88.736592,63.103811,4.311724784545)); -#37592=CARTESIAN_POINT('',(88.736592,64.018211,4.311724784545)); -#37593=CARTESIAN_POINT('',(88.736592,64.018211,4.311724784545)); -#37594=CARTESIAN_POINT('',(89.650992,64.018211,4.311724784545)); -#37595=CARTESIAN_POINT('',(89.650992,64.018211,4.311724784545)); -#37596=CARTESIAN_POINT('',(89.650992,64.119811,4.311724784545)); -#37597=CARTESIAN_POINT('',(89.650992,64.119811,4.311724784545)); -#37598=CARTESIAN_POINT('',(90.850992,64.119811,4.311724784545)); -#37599=CARTESIAN_POINT('',(90.850992,64.119811,4.311724784545)); -#37600=CARTESIAN_POINT('',(90.850992,63.0682,4.311724784545)); -#37601=CARTESIAN_POINT('',(93.245589,60.466199,4.311724784545)); -#37602=CARTESIAN_POINT('',(92.295591,60.466199,4.311724784545)); -#37603=CARTESIAN_POINT('',(93.245589,60.466199,4.311724784545)); -#37604=CARTESIAN_POINT('',(93.245589,59.066201,4.311724784545)); -#37605=CARTESIAN_POINT('',(93.245589,59.066201,4.311724784545)); -#37606=CARTESIAN_POINT('',(92.295591,59.066201,4.311724784545)); -#37607=CARTESIAN_POINT('',(92.295591,59.066201,4.311724784545)); -#37608=CARTESIAN_POINT('',(92.295591,58.866199,4.311724784545)); -#37609=CARTESIAN_POINT('',(92.295591,58.866199,4.311724784545)); -#37610=CARTESIAN_POINT('',(89.495592,58.866199,4.311724784545)); -#37611=CARTESIAN_POINT('',(89.495592,58.866199,4.311724784545)); -#37612=CARTESIAN_POINT('',(89.495592,59.066201,4.311724784545)); -#37613=CARTESIAN_POINT('',(89.495592,59.066201,4.311724784545)); -#37614=CARTESIAN_POINT('',(88.545594,59.066201,4.311724784545)); -#37615=CARTESIAN_POINT('',(88.545594,59.066201,4.311724784545)); -#37616=CARTESIAN_POINT('',(88.545594,60.466199,4.311724784545)); -#37617=CARTESIAN_POINT('',(88.545594,60.466199,4.311724784545)); -#37618=CARTESIAN_POINT('',(89.495592,60.466199,4.311724784545)); -#37619=CARTESIAN_POINT('',(89.495592,60.466199,4.311724784545)); -#37620=CARTESIAN_POINT('',(89.495592,60.666201,4.311724784545)); -#37621=CARTESIAN_POINT('',(89.495592,60.666201,4.311724784545)); -#37622=CARTESIAN_POINT('',(92.295591,60.666201,4.311724784545)); -#37623=CARTESIAN_POINT('',(92.295591,60.666201,4.311724784545)); -#37624=CARTESIAN_POINT('',(92.295591,60.466199,4.311724784545)); -#37625=CARTESIAN_POINT('',(99.449588,64.622997,4.311724784545)); -#37626=CARTESIAN_POINT('',(98.39959,64.622997,4.311724784545)); -#37627=CARTESIAN_POINT('',(99.449588,64.622997,4.311724784545)); -#37628=CARTESIAN_POINT('',(99.449588,64.022999,4.311724784545)); -#37629=CARTESIAN_POINT('',(99.449588,64.022999,4.311724784545)); -#37630=CARTESIAN_POINT('',(98.39959,64.022999,4.311724784545)); -#37631=CARTESIAN_POINT('',(98.39959,64.022999,4.311724784545)); -#37632=CARTESIAN_POINT('',(98.39959,62.722999,4.311724784545)); -#37633=CARTESIAN_POINT('',(98.39959,62.722999,4.311724784545)); -#37634=CARTESIAN_POINT('',(99.449588,62.722999,4.311724784545)); -#37635=CARTESIAN_POINT('',(99.449588,62.722999,4.311724784545)); -#37636=CARTESIAN_POINT('',(99.449588,62.123,4.311724784545)); -#37637=CARTESIAN_POINT('',(99.449588,62.123,4.311724784545)); -#37638=CARTESIAN_POINT('',(98.39959,62.123,4.311724784545)); -#37639=CARTESIAN_POINT('',(98.39959,62.123,4.311724784545)); -#37640=CARTESIAN_POINT('',(98.39959,61.822998,4.311724784545)); -#37641=CARTESIAN_POINT('',(98.39959,61.822998,4.311724784545)); -#37642=CARTESIAN_POINT('',(96.599588,61.822998,4.311724784545)); -#37643=CARTESIAN_POINT('',(96.599588,61.822998,4.311724784545)); -#37644=CARTESIAN_POINT('',(96.599588,62.123,4.311724784545)); -#37645=CARTESIAN_POINT('',(96.599588,62.123,4.311724784545)); -#37646=CARTESIAN_POINT('',(95.54959,62.123,4.311724784545)); -#37647=CARTESIAN_POINT('',(95.54959,62.123,4.311724784545)); -#37648=CARTESIAN_POINT('',(95.54959,62.722999,4.311724784545)); -#37649=CARTESIAN_POINT('',(95.54959,62.722999,4.311724784545)); -#37650=CARTESIAN_POINT('',(96.599588,62.722999,4.311724784545)); -#37651=CARTESIAN_POINT('',(96.599588,62.722999,4.311724784545)); -#37652=CARTESIAN_POINT('',(96.599588,63.072998,4.311724784545)); -#37653=CARTESIAN_POINT('',(96.599588,63.072998,4.311724784545)); -#37654=CARTESIAN_POINT('',(95.54959,63.072998,4.311724784545)); -#37655=CARTESIAN_POINT('',(95.54959,63.072998,4.311724784545)); -#37656=CARTESIAN_POINT('',(95.54959,63.672997,4.311724784545)); -#37657=CARTESIAN_POINT('',(95.54959,63.672997,4.311724784545)); -#37658=CARTESIAN_POINT('',(96.599588,63.672997,4.311724784545)); -#37659=CARTESIAN_POINT('',(96.599588,63.672997,4.311724784545)); -#37660=CARTESIAN_POINT('',(96.599588,64.022999,4.311724784545)); -#37661=CARTESIAN_POINT('',(96.599588,64.022999,4.311724784545)); -#37662=CARTESIAN_POINT('',(95.54959,64.022999,4.311724784545)); -#37663=CARTESIAN_POINT('',(95.54959,64.022999,4.311724784545)); -#37664=CARTESIAN_POINT('',(95.54959,64.622997,4.311724784545)); -#37665=CARTESIAN_POINT('',(95.54959,64.622997,4.311724784545)); -#37666=CARTESIAN_POINT('',(96.599588,64.622997,4.311724784545)); -#37667=CARTESIAN_POINT('',(96.599588,64.622997,4.311724784545)); -#37668=CARTESIAN_POINT('',(96.599588,64.922997,4.311724784545)); -#37669=CARTESIAN_POINT('',(96.599588,64.922997,4.311724784545)); -#37670=CARTESIAN_POINT('',(98.39959,64.922997,4.311724784545)); -#37671=CARTESIAN_POINT('',(98.39959,64.922997,4.311724784545)); -#37672=CARTESIAN_POINT('',(98.39959,64.622997,4.311724784545)); -#37673=CARTESIAN_POINT('',(97.513394,58.110001,4.311724784545)); -#37674=CARTESIAN_POINT('',(95.961794,58.110001,4.311724784545)); -#37675=CARTESIAN_POINT('',(97.513394,58.110001,4.311724784545)); -#37676=CARTESIAN_POINT('',(97.513394,57.358399,4.311724784545)); -#37677=CARTESIAN_POINT('',(97.513394,57.358399,4.311724784545)); -#37678=CARTESIAN_POINT('',(95.961794,57.358399,4.311724784545)); -#37679=CARTESIAN_POINT('',(95.961794,57.358399,4.311724784545)); -#37680=CARTESIAN_POINT('',(95.961794,58.110001,4.311724784545)); -#37681=CARTESIAN_POINT('',(95.093988,61.204401,4.311724784545)); -#37682=CARTESIAN_POINT('',(93.91079,61.204401,4.311724784545)); -#37683=CARTESIAN_POINT('',(95.093988,61.204401,4.311724784545)); -#37684=CARTESIAN_POINT('',(95.093988,58.531199,4.311724784545)); -#37685=CARTESIAN_POINT('',(95.093988,58.531199,4.311724784545)); -#37686=CARTESIAN_POINT('',(93.91079,58.531199,4.311724784545)); -#37687=CARTESIAN_POINT('',(93.91079,58.531199,4.311724784545)); -#37688=CARTESIAN_POINT('',(93.91079,61.204401,4.311724784545)); -#37689=CARTESIAN_POINT('',(85.338392,64.722197,4.311724784545)); -#37690=CARTESIAN_POINT('',(84.738393,64.722197,4.311724784545)); -#37691=CARTESIAN_POINT('',(85.338392,64.722197,4.311724784545)); -#37692=CARTESIAN_POINT('',(85.338392,64.222198,4.311724784545)); -#37693=CARTESIAN_POINT('',(85.338392,64.222198,4.311724784545)); -#37694=CARTESIAN_POINT('',(85.638394,64.222198,4.311724784545)); -#37695=CARTESIAN_POINT('',(85.638394,64.222198,4.311724784545)); -#37696=CARTESIAN_POINT('',(85.638394,62.422197,4.311724784545)); -#37697=CARTESIAN_POINT('',(85.638394,62.422197,4.311724784545)); -#37698=CARTESIAN_POINT('',(85.338392,62.422197,4.311724784545)); -#37699=CARTESIAN_POINT('',(85.338392,62.422197,4.311724784545)); -#37700=CARTESIAN_POINT('',(85.338392,61.922198,4.311724784545)); -#37701=CARTESIAN_POINT('',(85.338392,61.922198,4.311724784545)); -#37702=CARTESIAN_POINT('',(84.738393,61.922198,4.311724784545)); -#37703=CARTESIAN_POINT('',(84.738393,61.922198,4.311724784545)); -#37704=CARTESIAN_POINT('',(84.738393,62.422197,4.311724784545)); -#37705=CARTESIAN_POINT('',(84.738393,62.422197,4.311724784545)); -#37706=CARTESIAN_POINT('',(84.388394,62.422197,4.311724784545)); -#37707=CARTESIAN_POINT('',(84.388394,62.422197,4.311724784545)); -#37708=CARTESIAN_POINT('',(84.388394,61.922198,4.311724784545)); -#37709=CARTESIAN_POINT('',(84.388394,61.922198,4.311724784545)); -#37710=CARTESIAN_POINT('',(83.788395,61.922198,4.311724784545)); -#37711=CARTESIAN_POINT('',(83.788395,61.922198,4.311724784545)); -#37712=CARTESIAN_POINT('',(83.788395,62.422197,4.311724784545)); -#37713=CARTESIAN_POINT('',(83.788395,62.422197,4.311724784545)); -#37714=CARTESIAN_POINT('',(83.438393,62.422197,4.311724784545)); -#37715=CARTESIAN_POINT('',(83.438393,62.422197,4.311724784545)); -#37716=CARTESIAN_POINT('',(83.438393,61.922198,4.311724784545)); -#37717=CARTESIAN_POINT('',(83.438393,61.922198,4.311724784545)); -#37718=CARTESIAN_POINT('',(82.838394,61.922198,4.311724784545)); -#37719=CARTESIAN_POINT('',(82.838394,61.922198,4.311724784545)); -#37720=CARTESIAN_POINT('',(82.838394,62.422197,4.311724784545)); -#37721=CARTESIAN_POINT('',(82.838394,62.422197,4.311724784545)); -#37722=CARTESIAN_POINT('',(82.538395,62.422197,4.311724784545)); -#37723=CARTESIAN_POINT('',(82.538395,62.422197,4.311724784545)); -#37724=CARTESIAN_POINT('',(82.538395,64.222198,4.311724784545)); -#37725=CARTESIAN_POINT('',(82.538395,64.222198,4.311724784545)); -#37726=CARTESIAN_POINT('',(82.838394,64.222198,4.311724784545)); -#37727=CARTESIAN_POINT('',(82.838394,64.222198,4.311724784545)); -#37728=CARTESIAN_POINT('',(82.838394,64.722197,4.311724784545)); -#37729=CARTESIAN_POINT('',(82.838394,64.722197,4.311724784545)); -#37730=CARTESIAN_POINT('',(83.438393,64.722197,4.311724784545)); -#37731=CARTESIAN_POINT('',(83.438393,64.722197,4.311724784545)); -#37732=CARTESIAN_POINT('',(83.438393,64.222198,4.311724784545)); -#37733=CARTESIAN_POINT('',(83.438393,64.222198,4.311724784545)); -#37734=CARTESIAN_POINT('',(84.738393,64.222198,4.311724784545)); -#37735=CARTESIAN_POINT('',(84.738393,64.222198,4.311724784545)); -#37736=CARTESIAN_POINT('',(84.738393,64.722197,4.311724784545)); -#37737=CARTESIAN_POINT('',(104.8563895,100.711,4.311724784545)); -#37738=CARTESIAN_POINT('Origin',(104.1547905,100.711,4.311724784545)); -#37739=CARTESIAN_POINT('',(104.8563895,98.171,4.311724784545)); -#37740=CARTESIAN_POINT('Origin',(104.1547905,98.171,4.311724784545)); -#37741=CARTESIAN_POINT('',(104.8563895,95.631,4.311724784545)); -#37742=CARTESIAN_POINT('Origin',(104.1547905,95.631,4.311724784545)); -#37743=CARTESIAN_POINT('',(104.8563895,93.091,4.311724784545)); -#37744=CARTESIAN_POINT('Origin',(104.1547905,93.091,4.311724784545)); -#37745=CARTESIAN_POINT('',(104.8563895,90.551,4.311724784545)); -#37746=CARTESIAN_POINT('Origin',(104.1547905,90.551,4.311724784545)); -#37747=CARTESIAN_POINT('',(104.8563895,88.011,4.311724784545)); -#37748=CARTESIAN_POINT('Origin',(104.1547905,88.011,4.311724784545)); -#37749=CARTESIAN_POINT('',(104.9563895,85.471,4.311724784545)); -#37750=CARTESIAN_POINT('Origin',(104.1547905,85.471,4.311724784545)); -#37751=CARTESIAN_POINT('',(104.9563895,82.931,4.311724784545)); -#37752=CARTESIAN_POINT('Origin',(104.1547905,82.931,4.311724784545)); -#37753=CARTESIAN_POINT('',(104.9563895,80.391,4.311724784545)); -#37754=CARTESIAN_POINT('Origin',(104.1547905,80.391,4.311724784545)); -#37755=CARTESIAN_POINT('',(104.9563895,77.851,4.311724784545)); -#37756=CARTESIAN_POINT('Origin',(104.1547905,77.851,4.311724784545)); -#37757=CARTESIAN_POINT('',(104.9563895,75.311,4.311724784545)); -#37758=CARTESIAN_POINT('Origin',(104.1547905,75.311,4.311724784545)); -#37759=CARTESIAN_POINT('',(104.9563895,72.771,4.311724784545)); -#37760=CARTESIAN_POINT('Origin',(104.1547905,72.771,4.311724784545)); -#37761=CARTESIAN_POINT('',(82.0963895,100.711399,4.311724784545)); -#37762=CARTESIAN_POINT('Origin',(81.2947905,100.711399,4.311724784545)); -#37763=CARTESIAN_POINT('',(81.9963895,98.171399,4.311724784545)); -#37764=CARTESIAN_POINT('Origin',(81.2947905,98.171399,4.311724784545)); -#37765=CARTESIAN_POINT('',(81.9963895,95.631399,4.311724784545)); -#37766=CARTESIAN_POINT('Origin',(81.2947905,95.631399,4.311724784545)); -#37767=CARTESIAN_POINT('',(81.9963895,93.091399,4.311724784545)); -#37768=CARTESIAN_POINT('Origin',(81.2947905,93.091399,4.311724784545)); -#37769=CARTESIAN_POINT('',(81.9963895,90.551399,4.311724784545)); -#37770=CARTESIAN_POINT('Origin',(81.2947905,90.551399,4.311724784545)); -#37771=CARTESIAN_POINT('',(81.9963895,88.011399,4.311724784545)); -#37772=CARTESIAN_POINT('Origin',(81.2947905,88.011399,4.311724784545)); -#37773=CARTESIAN_POINT('',(81.9963895,85.471399,4.311724784545)); -#37774=CARTESIAN_POINT('Origin',(81.2947905,85.471399,4.311724784545)); -#37775=CARTESIAN_POINT('',(81.9963895,82.931399,4.311724784545)); -#37776=CARTESIAN_POINT('Origin',(81.2947905,82.931399,4.311724784545)); -#37777=CARTESIAN_POINT('',(81.9963895,80.391399,4.311724784545)); -#37778=CARTESIAN_POINT('Origin',(81.2947905,80.391399,4.311724784545)); -#37779=CARTESIAN_POINT('',(81.9963895,77.851399,4.311724784545)); -#37780=CARTESIAN_POINT('Origin',(81.2947905,77.851399,4.311724784545)); -#37781=CARTESIAN_POINT('',(81.9963895,75.311399,4.311724784545)); -#37782=CARTESIAN_POINT('Origin',(81.2947905,75.311399,4.311724784545)); -#37783=CARTESIAN_POINT('',(81.9963895,72.771399,4.311724784545)); -#37784=CARTESIAN_POINT('Origin',(81.2947905,72.771399,4.311724784545)); -#37785=CARTESIAN_POINT('Origin',(80.98266033901,108.5502,5.876044784545)); -#37786=CARTESIAN_POINT('',(79.98266041947,108.5497988574,4.311724784545)); -#37787=CARTESIAN_POINT('',(80.98266033901,109.5502,4.311724784545)); -#37788=CARTESIAN_POINT('Origin',(82.6444325,106.429602,4.311724784545)); -#37789=CARTESIAN_POINT('',(81.669583,106.429602,4.311724784545)); -#37790=CARTESIAN_POINT('Origin',(102.655789,106.426003,4.311724784545)); -#37791=CARTESIAN_POINT('',(101.714615,106.426003,4.311724784545)); -#37792=CARTESIAN_POINT('Origin',(104.1547905,100.711,3.111724784545)); -#37793=CARTESIAN_POINT('',(104.8563895,100.711,3.111724784545)); -#37794=CARTESIAN_POINT('Origin',(104.1547905,98.171,3.111724784545)); -#37795=CARTESIAN_POINT('',(104.8563895,98.171,3.111724784545)); -#37796=CARTESIAN_POINT('Origin',(104.1547905,95.631,3.111724784545)); -#37797=CARTESIAN_POINT('',(104.8563895,95.631,3.111724784545)); -#37798=CARTESIAN_POINT('Origin',(104.1547905,93.091,3.111724784545)); -#37799=CARTESIAN_POINT('',(104.8563895,93.091,3.111724784545)); -#37800=CARTESIAN_POINT('Origin',(104.1547905,90.551,3.111724784545)); -#37801=CARTESIAN_POINT('',(104.8563895,90.551,3.111724784545)); -#37802=CARTESIAN_POINT('Origin',(104.1547905,88.011,3.111724784545)); -#37803=CARTESIAN_POINT('',(104.8563895,88.011,3.111724784545)); -#37804=CARTESIAN_POINT('Origin',(104.1547905,85.471,3.111724784545)); -#37805=CARTESIAN_POINT('',(104.9563895,85.471,3.111724784545)); -#37806=CARTESIAN_POINT('Origin',(104.1547905,82.931,3.111724784545)); -#37807=CARTESIAN_POINT('',(104.9563895,82.931,3.111724784545)); -#37808=CARTESIAN_POINT('Origin',(104.1547905,80.391,3.111724784545)); -#37809=CARTESIAN_POINT('',(104.9563895,80.391,3.111724784545)); -#37810=CARTESIAN_POINT('Origin',(104.1547905,77.851,3.111724784545)); -#37811=CARTESIAN_POINT('',(104.9563895,77.851,3.111724784545)); -#37812=CARTESIAN_POINT('Origin',(104.1547905,75.311,3.111724784545)); -#37813=CARTESIAN_POINT('',(104.9563895,75.311,3.111724784545)); -#37814=CARTESIAN_POINT('Origin',(104.1547905,72.771,3.111724784545)); -#37815=CARTESIAN_POINT('',(104.9563895,72.771,3.111724784545)); -#37816=CARTESIAN_POINT('Origin',(81.2947905,100.711399,3.111724784545)); -#37817=CARTESIAN_POINT('',(82.0963895,100.711399,3.111724784545)); -#37818=CARTESIAN_POINT('Origin',(81.2947905,98.171399,3.111724784545)); -#37819=CARTESIAN_POINT('',(81.9963895,98.171399,3.111724784545)); -#37820=CARTESIAN_POINT('Origin',(81.2947905,95.631399,3.111724784545)); -#37821=CARTESIAN_POINT('',(81.9963895,95.631399,3.111724784545)); -#37822=CARTESIAN_POINT('Origin',(81.2947905,93.091399,3.111724784545)); -#37823=CARTESIAN_POINT('',(81.9963895,93.091399,3.111724784545)); -#37824=CARTESIAN_POINT('Origin',(81.2947905,90.551399,3.111724784545)); -#37825=CARTESIAN_POINT('',(81.9963895,90.551399,3.111724784545)); -#37826=CARTESIAN_POINT('Origin',(81.2947905,88.011399,3.111724784545)); -#37827=CARTESIAN_POINT('',(81.9963895,88.011399,3.111724784545)); -#37828=CARTESIAN_POINT('Origin',(81.2947905,85.471399,3.111724784545)); -#37829=CARTESIAN_POINT('',(81.9963895,85.471399,3.111724784545)); -#37830=CARTESIAN_POINT('Origin',(81.2947905,82.931399,3.111724784545)); -#37831=CARTESIAN_POINT('',(81.9963895,82.931399,3.111724784545)); -#37832=CARTESIAN_POINT('Origin',(81.2947905,80.391399,3.111724784545)); -#37833=CARTESIAN_POINT('',(81.9963895,80.391399,3.111724784545)); -#37834=CARTESIAN_POINT('Origin',(81.2947905,77.851399,3.111724784545)); -#37835=CARTESIAN_POINT('',(81.9963895,77.851399,3.111724784545)); -#37836=CARTESIAN_POINT('Origin',(81.2947905,75.311399,3.111724784545)); -#37837=CARTESIAN_POINT('',(81.9963895,75.311399,3.111724784545)); -#37838=CARTESIAN_POINT('Origin',(81.2947905,72.771399,3.111724784545)); -#37839=CARTESIAN_POINT('',(81.9963895,72.771399,3.111724784545)); -#37840=CARTESIAN_POINT('Origin',(82.723624,85.605734,3.111724784545)); -#37841=CARTESIAN_POINT('',(83.48498713377,85.605734,2.611724784545)); -#37842=CARTESIAN_POINT('',(83.48498713377,85.605734,3.111724784545)); -#37843=CARTESIAN_POINT('',(82.723624,85.605734,2.611724784545)); -#37844=CARTESIAN_POINT('',(82.723624,85.605734,2.611724784545)); -#37845=CARTESIAN_POINT('',(82.723624,85.605734,3.111724784545)); -#37846=CARTESIAN_POINT('Origin',(82.723624,83.902537,3.111724784545)); -#37847=CARTESIAN_POINT('',(82.723624,83.902537,2.611724784545)); -#37848=CARTESIAN_POINT('',(82.723624,83.902537,2.611724784545)); -#37849=CARTESIAN_POINT('',(82.723624,83.902537,3.111724784545)); -#37850=CARTESIAN_POINT('Origin',(83.48498713377,83.902537,3.111724784545)); -#37851=CARTESIAN_POINT('',(83.48498713377,83.902537,2.611724784545)); -#37852=CARTESIAN_POINT('',(83.48498713377,83.902537,2.611724784545)); -#37853=CARTESIAN_POINT('',(83.48498713377,83.902537,3.111724784545)); -#37854=CARTESIAN_POINT('Origin',(83.48498713377,82.1502,3.111724784545)); -#37855=CARTESIAN_POINT('',(83.48498713377,82.1502,2.111724784545)); -#37856=CARTESIAN_POINT('',(83.48498713377,83.772858,2.111724784545)); -#37857=CARTESIAN_POINT('',(83.48498713377,82.1502,2.111724784545)); -#37858=CARTESIAN_POINT('',(83.48498713377,82.1502,3.111724784545)); -#37859=CARTESIAN_POINT('',(83.48498713377,83.902537,2.611724784545)); -#37860=CARTESIAN_POINT('',(83.48498713377,87.9502,1.111724784545)); -#37861=CARTESIAN_POINT('',(83.48498713377,87.9502,3.111724784545)); -#37862=CARTESIAN_POINT('',(83.48498713377,82.1502,1.111724784545)); -#37863=CARTESIAN_POINT('',(83.48498713377,82.1502,1.111724784545)); -#37864=CARTESIAN_POINT('',(83.48498713377,82.1502,1.511724784545)); -#37865=CARTESIAN_POINT('',(83.48498713377,82.1502,1.511724784545)); -#37866=CARTESIAN_POINT('',(83.48498713377,83.772858,1.511724784545)); -#37867=CARTESIAN_POINT('',(83.48498713377,82.1502,1.511724784545)); -#37868=CARTESIAN_POINT('',(83.48498713377,83.772858,2.111724784545)); -#37869=CARTESIAN_POINT('Origin',(0.,0.,2.111724784545)); -#37870=CARTESIAN_POINT('',(101.9849871338,82.1502,2.111724784545)); -#37871=CARTESIAN_POINT('',(101.9849871338,83.772858,2.111724784545)); -#37872=CARTESIAN_POINT('',(101.9849871338,82.1502,2.111724784545)); -#37873=CARTESIAN_POINT('',(101.9849871338,82.1502,2.111724784545)); -#37874=CARTESIAN_POINT('',(83.075262,83.772858,2.111724784545)); -#37875=CARTESIAN_POINT('',(83.075262,83.772858,2.111724784545)); -#37876=CARTESIAN_POINT('',(83.075262,81.603952,2.111724784545)); -#37877=CARTESIAN_POINT('',(83.075262,81.603952,2.111724784545)); -#37878=CARTESIAN_POINT('',(102.475274,81.603952,2.111724784545)); -#37879=CARTESIAN_POINT('',(102.475274,81.603952,2.111724784545)); -#37880=CARTESIAN_POINT('',(102.475274,83.772858,2.111724784545)); -#37881=CARTESIAN_POINT('',(102.475274,83.772858,2.111724784545)); -#37882=CARTESIAN_POINT('',(101.9849871338,83.772858,2.111724784545)); -#37883=CARTESIAN_POINT('Origin',(101.9849871338,87.9502,3.111724784545)); -#37884=CARTESIAN_POINT('',(101.9849871338,83.772858,1.511724784545)); -#37885=CARTESIAN_POINT('',(101.9849871338,83.772858,2.111724784545)); -#37886=CARTESIAN_POINT('',(101.9849871338,82.1502,1.511724784545)); -#37887=CARTESIAN_POINT('',(101.9849871338,82.1502,1.511724784545)); -#37888=CARTESIAN_POINT('',(101.9849871338,82.1502,1.111724784545)); -#37889=CARTESIAN_POINT('',(101.9849871338,82.1502,1.511724784545)); -#37890=CARTESIAN_POINT('',(101.9849871338,87.9502,1.111724784545)); -#37891=CARTESIAN_POINT('',(101.9849871338,87.9502,1.111724784545)); -#37892=CARTESIAN_POINT('',(101.9849871338,87.9502,3.111724784545)); -#37893=CARTESIAN_POINT('',(101.9849871338,85.505633,2.611724784545)); -#37894=CARTESIAN_POINT('',(101.9849871338,85.505633,3.111724784545)); -#37895=CARTESIAN_POINT('',(101.9849871338,84.002435,2.611724784545)); -#37896=CARTESIAN_POINT('',(101.9849871338,84.002435,2.611724784545)); -#37897=CARTESIAN_POINT('',(101.9849871338,84.002435,3.111724784545)); -#37898=CARTESIAN_POINT('',(101.9849871338,82.1502,3.111724784545)); -#37899=CARTESIAN_POINT('Origin',(101.9849871338,82.1502,3.111724784545)); -#37900=CARTESIAN_POINT('Origin',(101.9849871338,82.1502,3.111724784545)); -#37901=CARTESIAN_POINT('',(101.9849871338,82.1502,1.511724784545)); -#37902=CARTESIAN_POINT('',(101.9849871338,82.1502,1.111724784545)); -#37903=CARTESIAN_POINT('Origin',(0.,0.,1.511724784545)); -#37904=CARTESIAN_POINT('',(102.475274,83.772858,1.511724784545)); -#37905=CARTESIAN_POINT('',(101.9849871338,83.772858,1.511724784545)); -#37906=CARTESIAN_POINT('',(102.475274,81.603952,1.511724784545)); -#37907=CARTESIAN_POINT('',(102.475274,83.772858,1.511724784545)); -#37908=CARTESIAN_POINT('',(83.075262,81.603952,1.511724784545)); -#37909=CARTESIAN_POINT('',(102.475274,81.603952,1.511724784545)); -#37910=CARTESIAN_POINT('',(83.075262,83.772858,1.511724784545)); -#37911=CARTESIAN_POINT('',(83.075262,81.603952,1.511724784545)); -#37912=CARTESIAN_POINT('',(83.075262,83.772858,1.511724784545)); -#37913=CARTESIAN_POINT('Origin',(83.075262,83.772858,2.111724784545)); -#37914=CARTESIAN_POINT('',(102.475274,83.772858,2.111724784545)); -#37915=CARTESIAN_POINT('Origin',(83.075262,83.772858,2.111724784545)); -#37916=CARTESIAN_POINT('',(83.075262,83.772858,2.111724784545)); -#37917=CARTESIAN_POINT('Origin',(102.475274,83.772858,2.111724784545)); -#37918=CARTESIAN_POINT('',(102.475274,81.603952,2.111724784545)); -#37919=CARTESIAN_POINT('Origin',(102.475274,81.603952,2.111724784545)); -#37920=CARTESIAN_POINT('',(83.075262,81.603952,2.111724784545)); -#37921=CARTESIAN_POINT('Origin',(83.075262,81.603952,2.111724784545)); -#37922=CARTESIAN_POINT('Origin',(0.,0.,1.111724784545)); -#37923=CARTESIAN_POINT('',(83.48498713377,87.9502,1.111724784545)); -#37924=CARTESIAN_POINT('Origin',(83.48498713377,87.9502,3.111724784545)); -#37925=CARTESIAN_POINT('Origin',(102.826683,84.002435,3.111724784545)); -#37926=CARTESIAN_POINT('',(102.826683,84.002435,2.611724784545)); -#37927=CARTESIAN_POINT('',(102.826683,84.002435,2.611724784545)); -#37928=CARTESIAN_POINT('',(102.826683,84.002435,3.111724784545)); -#37929=CARTESIAN_POINT('Origin',(102.826683,85.505633,3.111724784545)); -#37930=CARTESIAN_POINT('',(102.826683,85.505633,2.611724784545)); -#37931=CARTESIAN_POINT('',(102.826683,85.505633,2.611724784545)); -#37932=CARTESIAN_POINT('',(102.826683,85.505633,3.111724784545)); -#37933=CARTESIAN_POINT('Origin',(101.9849871338,85.505633,3.111724784545)); -#37934=CARTESIAN_POINT('',(101.9849871338,85.505633,2.611724784545)); -#37935=CARTESIAN_POINT('Origin',(0.,0.,2.611724784545)); -#37936=CARTESIAN_POINT('Origin',(0.,0.,2.611724784545)); -#37937=CARTESIAN_POINT('Origin',(88.995791,55.944999,3.111724784545)); -#37938=CARTESIAN_POINT('Origin',(80.00664145115,48.767992,4.311724784545)); -#37939=CARTESIAN_POINT('',(104.4751390308,48.767992,4.311724784545)); -#37940=CARTESIAN_POINT('',(81.00624038897,48.767992,4.311724784545)); -#37941=CARTESIAN_POINT('Origin',(81.00624038897,49.767992,5.876044784545)); -#37942=CARTESIAN_POINT('',(80.00624046943,49.76759085739,4.311724784545)); -#37943=CARTESIAN_POINT('Origin',(79.98225911592,109.5502,4.311724784545)); -#37944=CARTESIAN_POINT('Origin',(104.4751390308,49.767992,5.876044784545)); -#37945=CARTESIAN_POINT('',(105.4751390074,49.76777554863,4.311724784545)); -#37946=CARTESIAN_POINT('Origin',(105.4749226029,48.767992,4.311724784545)); -#37947=CARTESIAN_POINT('',(105.4878624972,108.5499835486,4.311724784545)); -#37948=CARTESIAN_POINT('Origin',(104.4878625206,108.5502,5.876044784545)); -#37949=CARTESIAN_POINT('',(104.4878625206,109.5502,4.311724784545)); -#37950=CARTESIAN_POINT('Origin',(105.4880789954,109.5502,4.311724784545)); -#37951=CARTESIAN_POINT('Origin',(81.3467915,49.4122005,3.111724784545)); -#37952=CARTESIAN_POINT('',(81.3467915,49.4122005,1.611724784545)); -#37953=CARTESIAN_POINT('',(81.3467915,52.7622005,1.611724784545)); -#37954=CARTESIAN_POINT('',(81.3467915,49.4122005,1.611724784545)); -#37955=CARTESIAN_POINT('',(81.3467915,49.4122005,3.111724784545)); -#37956=CARTESIAN_POINT('',(81.3467915,52.7622005,3.111724784545)); -#37957=CARTESIAN_POINT('Origin',(0.,0.,1.611724784545)); -#37958=CARTESIAN_POINT('',(85.5467915,52.7622005,1.611724784545)); -#37959=CARTESIAN_POINT('',(81.3467915,52.7622005,1.611724784545)); -#37960=CARTESIAN_POINT('',(85.5467915,49.4122005,1.611724784545)); -#37961=CARTESIAN_POINT('',(85.5467915,52.7622005,1.611724784545)); -#37962=CARTESIAN_POINT('',(85.5467915,49.4122005,1.611724784545)); -#37963=CARTESIAN_POINT('',(83.0967915,52.1372005,1.611724784545)); -#37964=CARTESIAN_POINT('',(83.0967915,50.0372005,1.611724784545)); -#37965=CARTESIAN_POINT('Origin',(83.0967915,51.0872005,1.611724784545)); -#37966=CARTESIAN_POINT('',(83.7967915,50.0372005,1.611724784545)); -#37967=CARTESIAN_POINT('',(83.0967915,50.0372005,1.611724784545)); -#37968=CARTESIAN_POINT('',(83.7967915,52.1372005,1.611724784545)); -#37969=CARTESIAN_POINT('Origin',(83.7967915,51.0872005,1.611724784545)); -#37970=CARTESIAN_POINT('',(83.7967915,52.1372005,1.611724784545)); -#37971=CARTESIAN_POINT('Origin',(0.,0.,1.611724784545)); -#37972=CARTESIAN_POINT('',(99.444792,49.2949995,1.611724784545)); -#37973=CARTESIAN_POINT('',(99.444792,52.6449995,1.611724784545)); -#37974=CARTESIAN_POINT('',(99.444792,49.2949995,1.611724784545)); -#37975=CARTESIAN_POINT('',(103.644792,52.6449995,1.611724784545)); -#37976=CARTESIAN_POINT('',(99.444792,52.6449995,1.611724784545)); -#37977=CARTESIAN_POINT('',(103.644792,49.2949995,1.611724784545)); -#37978=CARTESIAN_POINT('',(103.644792,52.6449995,1.611724784545)); -#37979=CARTESIAN_POINT('',(103.644792,49.2949995,1.611724784545)); -#37980=CARTESIAN_POINT('',(101.194792,52.0199995,1.611724784545)); -#37981=CARTESIAN_POINT('',(101.194792,49.9199995,1.611724784545)); -#37982=CARTESIAN_POINT('Origin',(101.194792,50.9699995,1.611724784545)); -#37983=CARTESIAN_POINT('',(101.894792,49.9199995,1.611724784545)); -#37984=CARTESIAN_POINT('',(101.194792,49.9199995,1.611724784545)); -#37985=CARTESIAN_POINT('',(101.894792,52.0199995,1.611724784545)); -#37986=CARTESIAN_POINT('Origin',(101.894792,50.9699995,1.611724784545)); -#37987=CARTESIAN_POINT('',(101.894792,52.0199995,1.611724784545)); -#37988=CARTESIAN_POINT('Origin',(83.0967915,51.0872005,0.6117247845449)); -#37989=CARTESIAN_POINT('',(83.0967915015075,50.0372005,0.9117247845449)); -#37990=CARTESIAN_POINT('',(83.0967915,52.1372005,0.9117247845449)); -#37991=CARTESIAN_POINT('Origin',(83.0967915,51.0872005,0.9117247845449)); -#37992=CARTESIAN_POINT('',(83.09679150201,50.0372005,0.9117247845449)); -#37993=CARTESIAN_POINT('',(83.09679150025,52.1372005,0.9117247845449)); -#37994=CARTESIAN_POINT('Origin',(83.0967915,51.0872005,0.9117247845449)); -#37995=CARTESIAN_POINT('',(83.0967915,50.3372005,0.6117247845449)); -#37996=CARTESIAN_POINT('',(83.0967915,51.8372005,0.6117247845449)); -#37997=CARTESIAN_POINT('Origin',(83.0967915,51.0872005,0.6117247845449)); -#37998=CARTESIAN_POINT('Origin',(83.0967915,50.3372005,0.9117247845449)); -#37999=CARTESIAN_POINT('Origin',(83.0967915,51.8372005,0.9117247845449)); -#38000=CARTESIAN_POINT('Origin',(0.,0.,0.6117247845449)); -#38001=CARTESIAN_POINT('',(101.894792,50.2199995,0.6117247845449)); -#38002=CARTESIAN_POINT('',(101.194792,50.2199995,0.6117247845449)); -#38003=CARTESIAN_POINT('',(101.894792,50.2199995,0.6117247845449)); -#38004=CARTESIAN_POINT('',(101.194792,51.7199995,0.6117247845449)); -#38005=CARTESIAN_POINT('Origin',(101.194792,50.9699995,0.6117247845449)); -#38006=CARTESIAN_POINT('',(101.894792,51.7199995,0.6117247845449)); -#38007=CARTESIAN_POINT('',(101.194792,51.7199995,0.6117247845449)); -#38008=CARTESIAN_POINT('Origin',(101.894792,50.9699995,0.6117247845449)); -#38009=CARTESIAN_POINT('Origin',(0.,0.,0.6117247845449)); -#38010=CARTESIAN_POINT('',(83.7967915,50.3372005,0.6117247845449)); -#38011=CARTESIAN_POINT('',(83.7967915,50.3372005,0.6117247845449)); -#38012=CARTESIAN_POINT('',(83.7967915,51.8372005,0.6117247845449)); -#38013=CARTESIAN_POINT('',(83.0967915,51.8372005,0.6117247845449)); -#38014=CARTESIAN_POINT('Origin',(83.7967915,51.0872005,0.6117247845449)); -#38015=CARTESIAN_POINT('Origin',(102.0428183723,50.2199995,0.9117247845449)); -#38016=CARTESIAN_POINT('',(101.8947919997,49.9199995,0.9117247845449)); -#38017=CARTESIAN_POINT('Origin',(101.894792,50.2199995,0.9117247845449)); -#38018=CARTESIAN_POINT('',(101.1947920015,49.9199995,0.9117247845449)); -#38019=CARTESIAN_POINT('',(101.8947919997,49.9199995,0.9117247845449)); -#38020=CARTESIAN_POINT('Origin',(101.194792,50.2199995,0.9117247845449)); -#38021=CARTESIAN_POINT('Origin',(101.194792,50.9699995,0.9117247845449)); -#38022=CARTESIAN_POINT('',(101.194792,52.0199995,0.9117247845449)); -#38023=CARTESIAN_POINT('Origin',(101.194792,50.9699995,0.9117247845449)); -#38024=CARTESIAN_POINT('Origin',(101.194792,51.7199995,0.9117247845449)); -#38025=CARTESIAN_POINT('Origin',(101.0467656277,51.7199995,0.9117247845449)); -#38026=CARTESIAN_POINT('',(101.8947919985,52.0199995,0.9117247845449)); -#38027=CARTESIAN_POINT('',(101.1947920003,52.0199995,0.9117247845449)); -#38028=CARTESIAN_POINT('Origin',(101.894792,51.7199995,0.9117247845449)); -#38029=CARTESIAN_POINT('Origin',(101.894792,50.9699995,0.9117247845449)); -#38030=CARTESIAN_POINT('Origin',(101.894792,50.9699995,0.9117247845449)); -#38031=CARTESIAN_POINT('Origin',(101.894792,50.9699995,0.6117247845449)); -#38032=CARTESIAN_POINT('',(101.894791998,52.0199995,0.9117247845449)); -#38033=CARTESIAN_POINT('',(101.8947919997,49.9199995,0.9117247845449)); -#38034=CARTESIAN_POINT('Origin',(101.194792,49.9199995,0.6117247845449)); -#38035=CARTESIAN_POINT('',(101.194792002,49.9199995,0.9117247845449)); -#38036=CARTESIAN_POINT('Origin',(101.194792,50.9699995,0.6117247845449)); -#38037=CARTESIAN_POINT('',(101.1947920003,52.0199995,0.9117247845449)); -#38038=CARTESIAN_POINT('Origin',(101.894792,52.0199995,0.6117247845449)); -#38039=CARTESIAN_POINT('Origin',(83.94481787227,50.3372005,0.9117247845449)); -#38040=CARTESIAN_POINT('',(83.79679149975,50.0372005,0.9117247845449)); -#38041=CARTESIAN_POINT('Origin',(83.7967915,50.3372005,0.9117247845449)); -#38042=CARTESIAN_POINT('',(83.79679149975,50.0372005,0.9117247845449)); -#38043=CARTESIAN_POINT('Origin',(83.0967915,50.0372005,0.6117247845449)); -#38044=CARTESIAN_POINT('',(83.79679149975,50.0372005,0.9117247845449)); -#38045=CARTESIAN_POINT('Origin',(83.7967915,51.0872005,0.6117247845449)); -#38046=CARTESIAN_POINT('',(83.7967914984925,52.1372005,0.9117247845449)); -#38047=CARTESIAN_POINT('Origin',(83.7967915,51.0872005,0.9117247845449)); -#38048=CARTESIAN_POINT('',(83.79679149799,52.1372005,0.9117247845449)); -#38049=CARTESIAN_POINT('Origin',(83.7967915,51.0872005,0.9117247845449)); -#38050=CARTESIAN_POINT('Origin',(83.7967915,51.8372005,0.9117247845449)); -#38051=CARTESIAN_POINT('Origin',(82.94876512773,51.8372005,0.9117247845449)); -#38052=CARTESIAN_POINT('',(83.09679150025,52.1372005,0.9117247845449)); -#38053=CARTESIAN_POINT('Origin',(83.7967915,52.1372005,0.6117247845449)); -#38054=CARTESIAN_POINT('Origin',(85.5467915,49.4122005,3.111724784545)); -#38055=CARTESIAN_POINT('',(85.5467915,49.4122005,3.111724784545)); -#38056=CARTESIAN_POINT('Origin',(85.5467915,52.7622005,3.111724784545)); -#38057=CARTESIAN_POINT('',(85.5467915,52.7622005,3.111724784545)); -#38058=CARTESIAN_POINT('Origin',(81.3467915,52.7622005,3.111724784545)); -#38059=CARTESIAN_POINT('Origin',(99.444792,49.2949995,3.111724784545)); -#38060=CARTESIAN_POINT('',(99.444792,49.2949995,3.111724784545)); -#38061=CARTESIAN_POINT('',(99.444792,52.6449995,3.111724784545)); -#38062=CARTESIAN_POINT('Origin',(99.444792,52.6449995,3.111724784545)); -#38063=CARTESIAN_POINT('',(103.644792,52.6449995,3.111724784545)); -#38064=CARTESIAN_POINT('Origin',(103.644792,52.6449995,3.111724784545)); -#38065=CARTESIAN_POINT('',(103.644792,49.2949995,3.111724784545)); -#38066=CARTESIAN_POINT('Origin',(103.644792,49.2949995,3.111724784545)); -#38067=CARTESIAN_POINT('Origin',(103.135661,54.645408,4.311724784545)); -#38068=CARTESIAN_POINT('',(102.432462,54.645408,4.811724784545)); -#38069=CARTESIAN_POINT('',(102.432462,54.645408,4.311724784545)); -#38070=CARTESIAN_POINT('',(103.135661,54.645408,4.811724784545)); -#38071=CARTESIAN_POINT('',(103.135661,54.645408,4.811724784545)); -#38072=CARTESIAN_POINT('',(103.135661,54.645408,4.311724784545)); -#38073=CARTESIAN_POINT('Origin',(103.135661,53.689809,4.311724784545)); -#38074=CARTESIAN_POINT('',(103.135661,53.689809,4.811724784545)); -#38075=CARTESIAN_POINT('',(103.135661,53.689809,4.811724784545)); -#38076=CARTESIAN_POINT('',(103.135661,53.689809,4.311724784545)); -#38077=CARTESIAN_POINT('Origin',(104.382915,53.689809,4.311724784545)); -#38078=CARTESIAN_POINT('',(99.432468,53.689809,4.811724784545)); -#38079=CARTESIAN_POINT('',(100.135667,53.689809,4.811724784545)); -#38080=CARTESIAN_POINT('',(99.432468,53.689809,4.811724784545)); -#38081=CARTESIAN_POINT('',(99.432468,53.689809,4.311724784545)); -#38082=CARTESIAN_POINT('',(98.061084,53.689809,7.111724784545)); -#38083=CARTESIAN_POINT('',(98.061084,53.689809,4.311724784545)); -#38084=CARTESIAN_POINT('',(104.382915,53.689809,7.111724784545)); -#38085=CARTESIAN_POINT('',(104.382915,53.689809,7.111724784545)); -#38086=CARTESIAN_POINT('',(104.382915,53.689809,4.311724784545)); -#38087=CARTESIAN_POINT('',(102.432462,53.689809,4.811724784545)); -#38088=CARTESIAN_POINT('',(102.432462,53.689809,4.811724784545)); -#38089=CARTESIAN_POINT('',(102.432462,53.689809,4.311724784545)); -#38090=CARTESIAN_POINT('',(102.135663,53.689809,4.811724784545)); -#38091=CARTESIAN_POINT('',(102.135663,53.689809,4.311724784545)); -#38092=CARTESIAN_POINT('',(101.432464,53.689809,4.811724784545)); -#38093=CARTESIAN_POINT('',(101.432464,53.689809,4.811724784545)); -#38094=CARTESIAN_POINT('',(101.432464,53.689809,4.311724784545)); -#38095=CARTESIAN_POINT('',(101.135665,53.689809,4.811724784545)); -#38096=CARTESIAN_POINT('',(101.135665,53.689809,4.311724784545)); -#38097=CARTESIAN_POINT('',(100.432466,53.689809,4.811724784545)); -#38098=CARTESIAN_POINT('',(100.432466,53.689809,4.811724784545)); -#38099=CARTESIAN_POINT('',(100.432466,53.689809,4.311724784545)); -#38100=CARTESIAN_POINT('',(100.135667,53.689809,4.311724784545)); -#38101=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38102=CARTESIAN_POINT('',(100.135667,54.645408,4.811724784545)); -#38103=CARTESIAN_POINT('',(100.135667,53.689809,4.811724784545)); -#38104=CARTESIAN_POINT('',(99.432468,54.645408,4.811724784545)); -#38105=CARTESIAN_POINT('',(100.135667,54.645408,4.811724784545)); -#38106=CARTESIAN_POINT('',(99.432468,54.645408,4.811724784545)); -#38107=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38108=CARTESIAN_POINT('',(101.135665,54.645408,4.811724784545)); -#38109=CARTESIAN_POINT('',(101.135665,53.689809,4.811724784545)); -#38110=CARTESIAN_POINT('',(100.432466,54.645408,4.811724784545)); -#38111=CARTESIAN_POINT('',(101.135665,54.645408,4.811724784545)); -#38112=CARTESIAN_POINT('',(100.432466,54.645408,4.811724784545)); -#38113=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38114=CARTESIAN_POINT('',(102.135663,54.645408,4.811724784545)); -#38115=CARTESIAN_POINT('',(102.135663,53.689809,4.811724784545)); -#38116=CARTESIAN_POINT('',(101.432464,54.645408,4.811724784545)); -#38117=CARTESIAN_POINT('',(102.135663,54.645408,4.811724784545)); -#38118=CARTESIAN_POINT('',(101.432464,54.645408,4.811724784545)); -#38119=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38120=CARTESIAN_POINT('',(102.432462,54.645408,4.811724784545)); -#38121=CARTESIAN_POINT('Origin',(99.432468,54.645408,4.311724784545)); -#38122=CARTESIAN_POINT('',(99.432468,54.645408,4.311724784545)); -#38123=CARTESIAN_POINT('Origin',(100.135667,54.645408,4.311724784545)); -#38124=CARTESIAN_POINT('',(100.135667,54.645408,4.311724784545)); -#38125=CARTESIAN_POINT('Origin',(100.135667,53.689809,4.311724784545)); -#38126=CARTESIAN_POINT('Origin',(100.432466,54.645408,4.311724784545)); -#38127=CARTESIAN_POINT('',(100.432466,54.645408,4.311724784545)); -#38128=CARTESIAN_POINT('Origin',(101.135665,54.645408,4.311724784545)); -#38129=CARTESIAN_POINT('',(101.135665,54.645408,4.311724784545)); -#38130=CARTESIAN_POINT('Origin',(101.135665,53.689809,4.311724784545)); -#38131=CARTESIAN_POINT('Origin',(101.432464,54.645408,4.311724784545)); -#38132=CARTESIAN_POINT('',(101.432464,54.645408,4.311724784545)); -#38133=CARTESIAN_POINT('Origin',(102.135663,54.645408,4.311724784545)); -#38134=CARTESIAN_POINT('',(102.135663,54.645408,4.311724784545)); -#38135=CARTESIAN_POINT('Origin',(102.135663,53.689809,4.311724784545)); -#38136=CARTESIAN_POINT('Origin',(102.432462,54.645408,4.311724784545)); -#38137=CARTESIAN_POINT('Origin',(104.382915,48.990809,4.311724784545)); -#38138=CARTESIAN_POINT('',(104.382915,48.990809,7.111724784545)); -#38139=CARTESIAN_POINT('',(104.382915,48.990809,7.111724784545)); -#38140=CARTESIAN_POINT('',(104.382915,48.990809,4.311724784545)); -#38141=CARTESIAN_POINT('Origin',(98.061084,48.990809,4.311724784545)); -#38142=CARTESIAN_POINT('',(98.061084,48.990809,7.111724784545)); -#38143=CARTESIAN_POINT('',(98.061084,48.990809,7.111724784545)); -#38144=CARTESIAN_POINT('',(98.061084,48.990809,4.311724784545)); -#38145=CARTESIAN_POINT('',(98.6219995,48.990809,6.311724784545)); -#38146=CARTESIAN_POINT('',(98.6219995,48.990809,5.811724784545)); -#38147=CARTESIAN_POINT('',(98.6219995,48.990809,6.311724784545)); -#38148=CARTESIAN_POINT('',(99.0219995,48.990809,6.311724784545)); -#38149=CARTESIAN_POINT('',(99.0219995,48.990809,6.311724784545)); -#38150=CARTESIAN_POINT('',(99.0219995,48.990809,6.711724784545)); -#38151=CARTESIAN_POINT('',(99.0219995,48.990809,6.711724784545)); -#38152=CARTESIAN_POINT('',(103.4219995,48.990809,6.711724784545)); -#38153=CARTESIAN_POINT('',(103.4219995,48.990809,6.711724784545)); -#38154=CARTESIAN_POINT('',(103.4219995,48.990809,6.311724784545)); -#38155=CARTESIAN_POINT('',(103.4219995,48.990809,6.311724784545)); -#38156=CARTESIAN_POINT('',(103.8219995,48.990809,6.311724784545)); -#38157=CARTESIAN_POINT('',(103.8219995,48.990809,6.311724784545)); -#38158=CARTESIAN_POINT('',(103.8219995,48.990809,5.811724784545)); -#38159=CARTESIAN_POINT('',(103.8219995,48.990809,5.811724784545)); -#38160=CARTESIAN_POINT('',(103.4219995,48.990809,5.811724784545)); -#38161=CARTESIAN_POINT('',(103.4219995,48.990809,5.811724784545)); -#38162=CARTESIAN_POINT('',(103.4219995,48.990809,4.861724784545)); -#38163=CARTESIAN_POINT('',(103.4219995,48.990809,4.861724784545)); -#38164=CARTESIAN_POINT('',(99.0219995,48.990809,4.861724784545)); -#38165=CARTESIAN_POINT('',(99.0219995,48.990809,4.861724784545)); -#38166=CARTESIAN_POINT('',(99.0219995,48.990809,5.811724784545)); -#38167=CARTESIAN_POINT('',(99.0219995,48.990809,5.811724784545)); -#38168=CARTESIAN_POINT('',(98.6219995,48.990809,5.811724784545)); -#38169=CARTESIAN_POINT('Origin',(98.061084,53.689809,4.311724784545)); -#38170=CARTESIAN_POINT('',(98.061084,53.689809,7.111724784545)); -#38171=CARTESIAN_POINT('Origin',(0.,0.,7.111724784545)); -#38172=CARTESIAN_POINT('Origin',(98.6219995,52.490809,6.311724784545)); -#38173=CARTESIAN_POINT('',(98.6219995,52.490809,5.811724784545)); -#38174=CARTESIAN_POINT('',(98.6219995,52.490809,5.811724784545)); -#38175=CARTESIAN_POINT('',(98.6219995,52.490809,6.311724784545)); -#38176=CARTESIAN_POINT('',(98.6219995,52.490809,6.311724784545)); -#38177=CARTESIAN_POINT('',(98.6219995,52.490809,6.311724784545)); -#38178=CARTESIAN_POINT('Origin',(103.8219995,52.490809,6.311724784545)); -#38179=CARTESIAN_POINT('',(103.4219995,52.490809,6.311724784545)); -#38180=CARTESIAN_POINT('',(103.4219995,52.490809,6.311724784545)); -#38181=CARTESIAN_POINT('',(103.8219995,52.490809,6.311724784545)); -#38182=CARTESIAN_POINT('',(103.8219995,52.490809,6.311724784545)); -#38183=CARTESIAN_POINT('',(103.8219995,52.490809,6.311724784545)); -#38184=CARTESIAN_POINT('Origin',(103.8219995,52.490809,6.311724784545)); -#38185=CARTESIAN_POINT('',(99.0219995,52.490809,6.311724784545)); -#38186=CARTESIAN_POINT('',(99.0219995,52.490809,6.311724784545)); -#38187=CARTESIAN_POINT('',(99.0219995,52.490809,6.311724784545)); -#38188=CARTESIAN_POINT('Origin',(103.8219995,52.490809,5.811724784545)); -#38189=CARTESIAN_POINT('',(103.8219995,52.490809,5.811724784545)); -#38190=CARTESIAN_POINT('',(103.8219995,52.490809,5.811724784545)); -#38191=CARTESIAN_POINT('',(103.8219995,52.490809,5.811724784545)); -#38192=CARTESIAN_POINT('Origin',(98.6219995,52.490809,5.811724784545)); -#38193=CARTESIAN_POINT('',(99.0219995,52.490809,5.811724784545)); -#38194=CARTESIAN_POINT('',(99.0219995,52.490809,5.811724784545)); -#38195=CARTESIAN_POINT('',(98.6219995,52.490809,5.811724784545)); -#38196=CARTESIAN_POINT('Origin',(98.6219995,52.490809,5.811724784545)); -#38197=CARTESIAN_POINT('',(103.4219995,52.490809,5.811724784545)); -#38198=CARTESIAN_POINT('',(103.4219995,52.490809,5.811724784545)); -#38199=CARTESIAN_POINT('',(103.4219995,52.490809,5.811724784545)); -#38200=CARTESIAN_POINT('Origin',(0.,52.490809,7.111724784545)); -#38201=CARTESIAN_POINT('',(103.4219995,52.490809,6.711724784545)); -#38202=CARTESIAN_POINT('',(103.4219995,52.490809,6.311724784545)); -#38203=CARTESIAN_POINT('',(99.0219995,52.490809,6.711724784545)); -#38204=CARTESIAN_POINT('',(103.4219995,52.490809,6.711724784545)); -#38205=CARTESIAN_POINT('',(99.0219995,52.490809,6.711724784545)); -#38206=CARTESIAN_POINT('',(99.0219995,52.490809,4.861724784545)); -#38207=CARTESIAN_POINT('',(99.0219995,52.490809,5.811724784545)); -#38208=CARTESIAN_POINT('',(103.4219995,52.490809,4.861724784545)); -#38209=CARTESIAN_POINT('',(99.0219995,52.490809,4.861724784545)); -#38210=CARTESIAN_POINT('',(103.4219995,52.490809,4.861724784545)); -#38211=CARTESIAN_POINT('Origin',(103.4219995,48.990809,4.861724784545)); -#38212=CARTESIAN_POINT('',(103.4219995,48.990809,4.861724784545)); -#38213=CARTESIAN_POINT('Origin',(103.4219995,48.990809,4.861724784545)); -#38214=CARTESIAN_POINT('',(103.4219995,48.990809,6.711724784545)); -#38215=CARTESIAN_POINT('Origin',(99.0219995,48.990809,4.861724784545)); -#38216=CARTESIAN_POINT('',(99.0219995,48.990809,4.861724784545)); -#38217=CARTESIAN_POINT('Origin',(99.0219995,48.990809,6.711724784545)); -#38218=CARTESIAN_POINT('Origin',(99.0219995,48.990809,6.711724784545)); -#38219=CARTESIAN_POINT('',(99.0219995,48.990809,6.711724784545)); -#38220=CARTESIAN_POINT('Origin',(103.4219995,48.990809,6.711724784545)); -#38221=CARTESIAN_POINT('Origin',(93.633191,49.084801,4.311724784545)); -#38222=CARTESIAN_POINT('',(93.633191,49.918002099,4.811724784545)); -#38223=CARTESIAN_POINT('',(93.633191,49.918002099,4.311724784545)); -#38224=CARTESIAN_POINT('',(93.633191,49.084801,4.811724784545)); -#38225=CARTESIAN_POINT('',(93.633191,49.084801,4.811724784545)); -#38226=CARTESIAN_POINT('',(93.633191,49.084801,4.311724784545)); -#38227=CARTESIAN_POINT('Origin',(92.933192,49.084801,4.311724784545)); -#38228=CARTESIAN_POINT('',(92.933192,49.084801,4.811724784545)); -#38229=CARTESIAN_POINT('',(92.933192,49.084801,4.811724784545)); -#38230=CARTESIAN_POINT('',(92.933192,49.084801,4.311724784545)); -#38231=CARTESIAN_POINT('Origin',(92.933192,50.584798,4.311724784545)); -#38232=CARTESIAN_POINT('',(92.933192,49.91253303039,4.811724784545)); -#38233=CARTESIAN_POINT('',(92.933192,49.91253303039,4.811724784545)); -#38234=CARTESIAN_POINT('',(92.933192,49.91253303039,4.311724784545)); -#38235=CARTESIAN_POINT('Origin',(89.383192,49.884797,4.311724784545)); -#38236=CARTESIAN_POINT('',(89.383192,49.884797,7.111724784545)); -#38237=CARTESIAN_POINT('',(90.183193,49.89104738086,7.111724784545)); -#38238=CARTESIAN_POINT('',(89.383192,49.884797,7.111724784545)); -#38239=CARTESIAN_POINT('',(89.383192,49.884797,4.311724784545)); -#38240=CARTESIAN_POINT('',(91.663195,49.90261058662,4.811724784545)); -#38241=CARTESIAN_POINT('',(91.663195,49.90261058662,4.311724784545)); -#38242=CARTESIAN_POINT('',(92.363193,49.90807964741,4.811724784545)); -#38243=CARTESIAN_POINT('',(91.663195,49.90261058662,4.811724784545)); -#38244=CARTESIAN_POINT('',(92.363193,49.90807964741,4.311724784545)); -#38245=CARTESIAN_POINT('',(92.933192,49.91253303039,4.811724784545)); -#38246=CARTESIAN_POINT('',(95.783194,49.9348,7.111724784545)); -#38247=CARTESIAN_POINT('',(95.783194,49.9348,4.311724784545)); -#38248=CARTESIAN_POINT('',(95.083191,49.92933090015,7.111724784545)); -#38249=CARTESIAN_POINT('',(95.083191,49.92933090015,7.111724784545)); -#38250=CARTESIAN_POINT('',(95.083191,49.92933090015,7.811724784545)); -#38251=CARTESIAN_POINT('',(95.083191,49.92933090015,7.811724784545)); -#38252=CARTESIAN_POINT('',(90.183193,49.89104738086,7.811724784545)); -#38253=CARTESIAN_POINT('',(90.183193,49.89104738086,7.811724784545)); -#38254=CARTESIAN_POINT('',(90.183193,49.89104738086,7.811724784545)); -#38255=CARTESIAN_POINT('Origin',(0.,0.,7.111724784545)); -#38256=CARTESIAN_POINT('',(90.183193,53.041801,7.111724784545)); -#38257=CARTESIAN_POINT('',(89.133192,53.041801,7.111724784545)); -#38258=CARTESIAN_POINT('',(90.183193,53.041801,7.111724784545)); -#38259=CARTESIAN_POINT('',(89.133192,50.134797,7.111724784545)); -#38260=CARTESIAN_POINT('',(89.133192,53.041801,7.111724784545)); -#38261=CARTESIAN_POINT('',(89.133192,50.134797,7.111724784545)); -#38262=CARTESIAN_POINT('',(90.183193,49.89104738086,7.111724784545)); -#38263=CARTESIAN_POINT('Origin',(0.,0.,7.111724784545)); -#38264=CARTESIAN_POINT('',(96.133191,50.284802,7.111724784545)); -#38265=CARTESIAN_POINT('',(95.783194,49.9348,7.111724784545)); -#38266=CARTESIAN_POINT('',(96.133188,53.041801,7.111724784545)); -#38267=CARTESIAN_POINT('',(96.133191,50.284802,7.111724784545)); -#38268=CARTESIAN_POINT('',(95.083191,53.041801,7.111724784545)); -#38269=CARTESIAN_POINT('',(96.133188,53.041801,7.111724784545)); -#38270=CARTESIAN_POINT('',(95.083191,53.041801,7.111724784545)); -#38271=CARTESIAN_POINT('Origin',(90.183193,53.041801,4.311724784545)); -#38272=CARTESIAN_POINT('',(90.183193,53.041801,4.311724784545)); -#38273=CARTESIAN_POINT('',(89.133192,53.041801,4.311724784545)); -#38274=CARTESIAN_POINT('Origin',(89.133192,53.041801,4.311724784545)); -#38275=CARTESIAN_POINT('',(89.133192,50.134797,4.311724784545)); -#38276=CARTESIAN_POINT('Origin',(89.133192,50.134797,4.311724784545)); -#38277=CARTESIAN_POINT('Origin',(90.183193,54.234799,4.311724784545)); -#38278=CARTESIAN_POINT('',(90.183193,54.234799,7.411724784545)); -#38279=CARTESIAN_POINT('',(90.183193,53.041801,7.411724784545)); -#38280=CARTESIAN_POINT('',(90.183193,54.234799,7.411724784545)); -#38281=CARTESIAN_POINT('',(90.183193,54.234799,4.311724784545)); -#38282=CARTESIAN_POINT('',(90.183193,53.041801,7.811724784545)); -#38283=CARTESIAN_POINT('',(90.183193,53.041801,7.811724784545)); -#38284=CARTESIAN_POINT('',(90.183193,53.041801,7.811724784545)); -#38285=CARTESIAN_POINT('Origin',(0.,0.,7.411724784545)); -#38286=CARTESIAN_POINT('',(94.683191,54.234799,7.411724784545)); -#38287=CARTESIAN_POINT('',(94.683191,53.041801,7.411724784545)); -#38288=CARTESIAN_POINT('',(94.683191,54.234799,7.411724784545)); -#38289=CARTESIAN_POINT('',(95.083191,53.041801,7.411724784545)); -#38290=CARTESIAN_POINT('',(94.683191,53.041801,7.411724784545)); -#38291=CARTESIAN_POINT('',(95.083191,54.234799,7.411724784545)); -#38292=CARTESIAN_POINT('',(95.083191,54.234799,7.411724784545)); -#38293=CARTESIAN_POINT('',(95.083191,54.234799,7.411724784545)); -#38294=CARTESIAN_POINT('Origin',(0.,0.,7.411724784545)); -#38295=CARTESIAN_POINT('',(90.583193,54.234799,7.411724784545)); -#38296=CARTESIAN_POINT('',(90.583193,53.041801,7.411724784545)); -#38297=CARTESIAN_POINT('',(90.583193,54.234799,7.411724784545)); -#38298=CARTESIAN_POINT('',(90.583193,54.234799,7.411724784545)); -#38299=CARTESIAN_POINT('',(90.183193,53.041801,7.411724784545)); -#38300=CARTESIAN_POINT('Origin',(94.683191,54.234799,7.411724784545)); -#38301=CARTESIAN_POINT('',(94.683191,54.234799,4.711724784545)); -#38302=CARTESIAN_POINT('',(94.683191,54.234799,7.411724784545)); -#38303=CARTESIAN_POINT('',(94.683191,51.534799,4.711724784545)); -#38304=CARTESIAN_POINT('',(94.683191,54.234799,4.711724784545)); -#38305=CARTESIAN_POINT('',(94.683191,51.534799,7.411724784545)); -#38306=CARTESIAN_POINT('',(94.683191,51.534799,7.411724784545)); -#38307=CARTESIAN_POINT('',(94.683191,53.041801,7.411724784545)); -#38308=CARTESIAN_POINT('Origin',(95.083191,54.234799,4.311724784545)); -#38309=CARTESIAN_POINT('',(95.083191,54.234799,4.311724784545)); -#38310=CARTESIAN_POINT('',(90.583193,54.234799,4.711724784545)); -#38311=CARTESIAN_POINT('',(90.583193,54.234799,7.411724784545)); -#38312=CARTESIAN_POINT('',(94.683191,54.234799,4.711724784545)); -#38313=CARTESIAN_POINT('Origin',(94.683191,54.234799,4.711724784545)); -#38314=CARTESIAN_POINT('',(90.583193,51.534799,4.711724784545)); -#38315=CARTESIAN_POINT('',(90.583193,54.234799,4.711724784545)); -#38316=CARTESIAN_POINT('',(94.683191,51.534799,4.711724784545)); -#38317=CARTESIAN_POINT('Origin',(0.,51.534799,7.811724784545)); -#38318=CARTESIAN_POINT('',(90.583193,51.534799,7.411724784545)); -#38319=CARTESIAN_POINT('',(90.583193,51.534799,4.711724784545)); -#38320=CARTESIAN_POINT('',(90.583193,51.534799,7.411724784545)); -#38321=CARTESIAN_POINT('Origin',(90.583193,54.234799,7.411724784545)); -#38322=CARTESIAN_POINT('',(90.583193,53.041801,7.411724784545)); -#38323=CARTESIAN_POINT('',(90.583193,53.041801,7.411724784545)); -#38324=CARTESIAN_POINT('Origin',(90.183193,53.041801,7.811724784545)); -#38325=CARTESIAN_POINT('',(95.083191,53.041801,7.811724784545)); -#38326=CARTESIAN_POINT('',(90.183193,53.041801,7.811724784545)); -#38327=CARTESIAN_POINT('',(95.083191,53.041801,7.811724784545)); -#38328=CARTESIAN_POINT('Origin',(95.083191,53.041801,4.311724784545)); -#38329=CARTESIAN_POINT('',(95.083191,49.92933090015,7.811724784545)); -#38330=CARTESIAN_POINT('',(95.083191,53.041801,4.311724784545)); -#38331=CARTESIAN_POINT('Origin',(96.133188,53.041801,4.311724784545)); -#38332=CARTESIAN_POINT('',(96.133188,53.041801,4.311724784545)); -#38333=CARTESIAN_POINT('Origin',(96.133191,50.284802,4.311724784545)); -#38334=CARTESIAN_POINT('',(96.133191,50.284802,4.311724784545)); -#38335=CARTESIAN_POINT('Origin',(95.783194,49.9348,4.311724784545)); -#38336=CARTESIAN_POINT('Origin',(0.,0.,7.811724784545)); -#38337=CARTESIAN_POINT('Origin',(90.583193,54.234799,4.711724784545)); -#38338=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38339=CARTESIAN_POINT('',(91.663195,49.084804,4.811724784545)); -#38340=CARTESIAN_POINT('',(91.663195,49.90261058662,4.811724784545)); -#38341=CARTESIAN_POINT('',(92.363193,49.084804,4.811724784545)); -#38342=CARTESIAN_POINT('',(91.663195,49.084804,4.811724784545)); -#38343=CARTESIAN_POINT('',(92.363193,49.084804,4.811724784545)); -#38344=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38345=CARTESIAN_POINT('Origin',(92.363193,49.084804,4.311724784545)); -#38346=CARTESIAN_POINT('',(92.363193,49.084804,4.311724784545)); -#38347=CARTESIAN_POINT('Origin',(91.663195,49.084804,4.311724784545)); -#38348=CARTESIAN_POINT('',(91.663195,49.084804,4.311724784545)); -#38349=CARTESIAN_POINT('Origin',(91.663195,50.584798,4.311724784545)); -#38350=CARTESIAN_POINT('Origin',(104.6527925,61.730402,4.311724784545)); -#38351=CARTESIAN_POINT('',(104.1634878745,61.38039865682,4.811724784545)); -#38352=CARTESIAN_POINT('',(104.1634878745,61.38039865682,4.311724784545)); -#38353=CARTESIAN_POINT('',(104.9527920745,61.20894096399,4.811724784545)); -#38354=CARTESIAN_POINT('Origin',(104.6527925,61.730402,4.811724784545)); -#38355=CARTESIAN_POINT('',(104.9527920745,61.20894096399,4.311724784545)); -#38356=CARTESIAN_POINT('Origin',(104.952794,56.780403,4.311724784545)); -#38357=CARTESIAN_POINT('',(104.952794,56.780403,4.811724784545)); -#38358=CARTESIAN_POINT('',(104.9527939255,56.95185797116,4.811724784545)); -#38359=CARTESIAN_POINT('',(104.952794,56.780403,4.811724784545)); -#38360=CARTESIAN_POINT('',(104.9527939255,56.95185797116,4.311724784545)); -#38361=CARTESIAN_POINT('',(104.952792,61.380399,4.811724784545)); -#38362=CARTESIAN_POINT('',(104.9527920745,61.20894096399,4.811724784545)); -#38363=CARTESIAN_POINT('',(104.952792,61.380399,6.111724784545)); -#38364=CARTESIAN_POINT('',(104.952792,61.380399,4.811724784545)); -#38365=CARTESIAN_POINT('',(104.952794,56.780403,6.111724784545)); -#38366=CARTESIAN_POINT('',(104.952794,56.780403,6.111724784545)); -#38367=CARTESIAN_POINT('',(104.952794,56.780403,4.811724784545)); -#38368=CARTESIAN_POINT('',(104.9527934022,58.155401,5.711724784545)); -#38369=CARTESIAN_POINT('',(104.9527934022,58.155401,4.911724784545)); -#38370=CARTESIAN_POINT('',(104.9527934022,58.155401,5.711724784545)); -#38371=CARTESIAN_POINT('',(104.9527925978,60.005401,5.711724784545)); -#38372=CARTESIAN_POINT('',(104.9527925978,60.005401,5.711724784545)); -#38373=CARTESIAN_POINT('',(104.9527925978,60.005401,4.911724784545)); -#38374=CARTESIAN_POINT('',(104.9527925978,60.005401,4.911724784545)); -#38375=CARTESIAN_POINT('',(104.9527934022,58.155401,4.911724784545)); -#38376=CARTESIAN_POINT('Origin',(104.9527934022,58.155401,5.711724784545)); -#38377=CARTESIAN_POINT('',(106.0727934022,58.15540148696,4.911724784545)); -#38378=CARTESIAN_POINT('',(104.9527934022,58.155401,4.911724784545)); -#38379=CARTESIAN_POINT('',(106.0727934022,58.15540148696,5.711724784545)); -#38380=CARTESIAN_POINT('',(106.0727934022,58.15540148696,5.711724784545)); -#38381=CARTESIAN_POINT('',(104.9527934022,58.155401,5.711724784545)); -#38382=CARTESIAN_POINT('Origin',(104.9527925978,60.005401,5.711724784545)); -#38383=CARTESIAN_POINT('',(106.0727925978,60.00540148696,5.711724784545)); -#38384=CARTESIAN_POINT('',(106.0727925978,60.00540148696,5.711724784545)); -#38385=CARTESIAN_POINT('',(104.9527925978,60.005401,5.711724784545)); -#38386=CARTESIAN_POINT('Origin',(104.9527925978,60.005401,4.911724784545)); -#38387=CARTESIAN_POINT('',(106.0727925978,60.00540148696,4.911724784545)); -#38388=CARTESIAN_POINT('',(106.0727925978,60.00540148696,4.911724784545)); -#38389=CARTESIAN_POINT('',(104.9527925978,60.005401,4.911724784545)); -#38390=CARTESIAN_POINT('Origin',(104.9527934022,58.155401,4.911724784545)); -#38391=CARTESIAN_POINT('',(106.0727934022,58.15540148696,4.911724784545)); -#38392=CARTESIAN_POINT('Origin',(106.0728186871,4.611865680622E-5,6.111724784545)); -#38393=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38394=CARTESIAN_POINT('',(104.1634888141,56.78040265682,4.811724784545)); -#38395=CARTESIAN_POINT('',(104.1634888141,56.78040265682,4.811724784545)); -#38396=CARTESIAN_POINT('Origin',(104.6527925,56.430398,4.811724784545)); -#38397=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38398=CARTESIAN_POINT('',(104.952792,61.380399,4.811724784545)); -#38399=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38400=CARTESIAN_POINT('',(102.6527920471,61.27208825113,4.811724784545)); -#38401=CARTESIAN_POINT('',(102.6527924746,60.28870596627,4.811724784545)); -#38402=CARTESIAN_POINT('',(102.6527920471,61.27208825113,4.811724784545)); -#38403=CARTESIAN_POINT('Origin',(102.4027875,60.780397,4.811724784545)); -#38404=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38405=CARTESIAN_POINT('',(102.6527935254,57.87209333156,4.811724784545)); -#38406=CARTESIAN_POINT('',(102.6527939529,56.88870888584,4.811724784545)); -#38407=CARTESIAN_POINT('',(102.6527935254,57.87209333156,4.811724784545)); -#38408=CARTESIAN_POINT('Origin',(102.40279,57.380401,4.811724784545)); -#38409=CARTESIAN_POINT('Origin',(102.652794,56.780402,4.311724784545)); -#38410=CARTESIAN_POINT('',(102.652794,56.780402,6.111724784545)); -#38411=CARTESIAN_POINT('',(102.652794,56.780402,6.111724784545)); -#38412=CARTESIAN_POINT('',(102.652794,56.780402,4.311724784545)); -#38413=CARTESIAN_POINT('',(104.1634888141,56.78040265682,4.311724784545)); -#38414=CARTESIAN_POINT('Origin',(104.6527925,56.430398,4.311724784545)); -#38415=CARTESIAN_POINT('Origin',(102.652792,61.380398,4.311724784545)); -#38416=CARTESIAN_POINT('',(102.6527924746,60.28870596627,4.311724784545)); -#38417=CARTESIAN_POINT('',(102.6527935254,57.87209333156,4.311724784545)); -#38418=CARTESIAN_POINT('',(102.6527939529,56.88870888584,4.311724784545)); -#38419=CARTESIAN_POINT('',(102.652792,61.380398,6.111724784545)); -#38420=CARTESIAN_POINT('',(102.652792,61.380398,6.111724784545)); -#38421=CARTESIAN_POINT('',(102.652792,61.380398,4.311724784545)); -#38422=CARTESIAN_POINT('',(102.6527920471,61.27208825113,4.311724784545)); -#38423=CARTESIAN_POINT('Origin',(102.4027875,60.780397,4.311724784545)); -#38424=CARTESIAN_POINT('Origin',(104.952792,61.380399,4.311724784545)); -#38425=CARTESIAN_POINT('',(104.952792,61.380399,6.111724784545)); -#38426=CARTESIAN_POINT('Origin',(0.,0.,6.111724784545)); -#38427=CARTESIAN_POINT('Origin',(102.40279,57.380401,4.311724784545)); -#38428=CARTESIAN_POINT('Origin',(95.2246,76.9366,4.311724784545)); -#38429=CARTESIAN_POINT('',(90.1446,76.9366,6.311724784545)); -#38430=CARTESIAN_POINT('',(90.1446,76.9366,4.311724784545)); -#38431=CARTESIAN_POINT('',(95.2246,76.9366,6.311724784545)); -#38432=CARTESIAN_POINT('',(95.2246,76.9366,6.311724784545)); -#38433=CARTESIAN_POINT('',(95.2246,76.9366,4.311724784545)); -#38434=CARTESIAN_POINT('Origin',(95.2246,72.1106,4.311724784545)); -#38435=CARTESIAN_POINT('',(95.2246,72.1106,6.311724784545)); -#38436=CARTESIAN_POINT('',(95.2246,72.1106,6.311724784545)); -#38437=CARTESIAN_POINT('',(95.2246,72.1106,4.311724784545)); -#38438=CARTESIAN_POINT('',(95.2246,76.835,5.311724784545)); -#38439=CARTESIAN_POINT('',(95.2246,76.0222,5.311724784545)); -#38440=CARTESIAN_POINT('',(95.2246,76.835,5.311724784545)); -#38441=CARTESIAN_POINT('',(95.2246,76.0222,5.811724784545)); -#38442=CARTESIAN_POINT('',(95.2246,76.0222,5.311724784545)); -#38443=CARTESIAN_POINT('',(95.2246,76.835,5.811724784545)); -#38444=CARTESIAN_POINT('',(95.2246,76.835,5.811724784545)); -#38445=CARTESIAN_POINT('',(95.2246,76.835,5.311724784545)); -#38446=CARTESIAN_POINT('',(95.2246,75.565,5.311724784545)); -#38447=CARTESIAN_POINT('',(95.2246,74.7522,5.311724784545)); -#38448=CARTESIAN_POINT('',(95.2246,75.565,5.311724784545)); -#38449=CARTESIAN_POINT('',(95.2246,74.7522,5.811724784545)); -#38450=CARTESIAN_POINT('',(95.2246,74.7522,5.311724784545)); -#38451=CARTESIAN_POINT('',(95.2246,75.565,5.811724784545)); -#38452=CARTESIAN_POINT('',(95.2246,75.565,5.811724784545)); -#38453=CARTESIAN_POINT('',(95.2246,75.565,5.311724784545)); -#38454=CARTESIAN_POINT('',(95.2246,74.295,5.311724784545)); -#38455=CARTESIAN_POINT('',(95.2246,73.4822,5.311724784545)); -#38456=CARTESIAN_POINT('',(95.2246,74.295,5.311724784545)); -#38457=CARTESIAN_POINT('',(95.2246,73.4822,5.811724784545)); -#38458=CARTESIAN_POINT('',(95.2246,73.4822,5.311724784545)); -#38459=CARTESIAN_POINT('',(95.2246,74.295,5.811724784545)); -#38460=CARTESIAN_POINT('',(95.2246,74.295,5.811724784545)); -#38461=CARTESIAN_POINT('',(95.2246,74.295,5.311724784545)); -#38462=CARTESIAN_POINT('',(95.2246,73.025,5.311724784545)); -#38463=CARTESIAN_POINT('',(95.2246,72.2122,5.311724784545)); -#38464=CARTESIAN_POINT('',(95.2246,73.025,5.311724784545)); -#38465=CARTESIAN_POINT('',(95.2246,72.2122,5.811724784545)); -#38466=CARTESIAN_POINT('',(95.2246,72.2122,5.311724784545)); -#38467=CARTESIAN_POINT('',(95.2246,73.025,5.811724784545)); -#38468=CARTESIAN_POINT('',(95.2246,73.025,5.811724784545)); -#38469=CARTESIAN_POINT('',(95.2246,73.025,5.311724784545)); -#38470=CARTESIAN_POINT('Origin',(90.1446,72.1106,4.311724784545)); -#38471=CARTESIAN_POINT('',(90.1446,72.1106,6.311724784545)); -#38472=CARTESIAN_POINT('',(90.1446,72.1106,6.311724784545)); -#38473=CARTESIAN_POINT('',(90.1446,72.1106,4.311724784545)); -#38474=CARTESIAN_POINT('Origin',(90.1446,76.9366,4.311724784545)); -#38475=CARTESIAN_POINT('',(90.1446,76.9366,6.311724784545)); -#38476=CARTESIAN_POINT('',(90.1446,76.835,5.311724784545)); -#38477=CARTESIAN_POINT('',(90.1446,76.0222,5.311724784545)); -#38478=CARTESIAN_POINT('',(90.1446,76.835,5.311724784545)); -#38479=CARTESIAN_POINT('',(90.1446,76.835,5.811724784545)); -#38480=CARTESIAN_POINT('',(90.1446,76.835,5.311724784545)); -#38481=CARTESIAN_POINT('',(90.1446,76.0222,5.811724784545)); -#38482=CARTESIAN_POINT('',(90.1446,76.835,5.811724784545)); -#38483=CARTESIAN_POINT('',(90.1446,76.0222,5.311724784545)); -#38484=CARTESIAN_POINT('',(90.1446,75.565,5.311724784545)); -#38485=CARTESIAN_POINT('',(90.1446,74.7522,5.311724784545)); -#38486=CARTESIAN_POINT('',(90.1446,75.565,5.311724784545)); -#38487=CARTESIAN_POINT('',(90.1446,75.565,5.811724784545)); -#38488=CARTESIAN_POINT('',(90.1446,75.565,5.311724784545)); -#38489=CARTESIAN_POINT('',(90.1446,74.7522,5.811724784545)); -#38490=CARTESIAN_POINT('',(90.1446,75.565,5.811724784545)); -#38491=CARTESIAN_POINT('',(90.1446,74.7522,5.311724784545)); -#38492=CARTESIAN_POINT('',(90.1446,74.295,5.311724784545)); -#38493=CARTESIAN_POINT('',(90.1446,73.4822,5.311724784545)); -#38494=CARTESIAN_POINT('',(90.1446,74.295,5.311724784545)); -#38495=CARTESIAN_POINT('',(90.1446,74.295,5.811724784545)); -#38496=CARTESIAN_POINT('',(90.1446,74.295,5.311724784545)); -#38497=CARTESIAN_POINT('',(90.1446,73.4822,5.811724784545)); -#38498=CARTESIAN_POINT('',(90.1446,74.295,5.811724784545)); -#38499=CARTESIAN_POINT('',(90.1446,73.4822,5.311724784545)); -#38500=CARTESIAN_POINT('',(90.1446,73.025,5.311724784545)); -#38501=CARTESIAN_POINT('',(90.1446,72.2122,5.311724784545)); -#38502=CARTESIAN_POINT('',(90.1446,73.025,5.311724784545)); -#38503=CARTESIAN_POINT('',(90.1446,73.025,5.811724784545)); -#38504=CARTESIAN_POINT('',(90.1446,73.025,5.311724784545)); -#38505=CARTESIAN_POINT('',(90.1446,72.2122,5.811724784545)); -#38506=CARTESIAN_POINT('',(90.1446,73.025,5.811724784545)); -#38507=CARTESIAN_POINT('',(90.1446,72.2122,5.311724784545)); -#38508=CARTESIAN_POINT('Origin',(0.,0.,6.311724784545)); -#38509=CARTESIAN_POINT('Origin',(0.,0.,5.311724784545)); -#38510=CARTESIAN_POINT('',(89.662,76.0222,5.311724784545)); -#38511=CARTESIAN_POINT('',(89.662,76.0222,5.311724784545)); -#38512=CARTESIAN_POINT('',(89.662,76.835,5.311724784545)); -#38513=CARTESIAN_POINT('',(89.662,76.0222,5.311724784545)); -#38514=CARTESIAN_POINT('',(90.1446,76.835,5.311724784545)); -#38515=CARTESIAN_POINT('Origin',(0.,0.,5.311724784545)); -#38516=CARTESIAN_POINT('',(89.662,74.7522,5.311724784545)); -#38517=CARTESIAN_POINT('',(89.662,74.7522,5.311724784545)); -#38518=CARTESIAN_POINT('',(89.662,75.565,5.311724784545)); -#38519=CARTESIAN_POINT('',(89.662,74.7522,5.311724784545)); -#38520=CARTESIAN_POINT('',(90.1446,75.565,5.311724784545)); -#38521=CARTESIAN_POINT('Origin',(0.,0.,5.311724784545)); -#38522=CARTESIAN_POINT('',(89.662,73.4822,5.311724784545)); -#38523=CARTESIAN_POINT('',(89.662,73.4822,5.311724784545)); -#38524=CARTESIAN_POINT('',(89.662,74.295,5.311724784545)); -#38525=CARTESIAN_POINT('',(89.662,73.4822,5.311724784545)); -#38526=CARTESIAN_POINT('',(90.1446,74.295,5.311724784545)); -#38527=CARTESIAN_POINT('Origin',(0.,0.,5.311724784545)); -#38528=CARTESIAN_POINT('',(89.662,72.2122,5.311724784545)); -#38529=CARTESIAN_POINT('',(89.662,72.2122,5.311724784545)); -#38530=CARTESIAN_POINT('',(89.662,73.025,5.311724784545)); -#38531=CARTESIAN_POINT('',(89.662,72.2122,5.311724784545)); -#38532=CARTESIAN_POINT('',(90.1446,73.025,5.311724784545)); -#38533=CARTESIAN_POINT('Origin',(0.,0.,5.311724784545)); -#38534=CARTESIAN_POINT('',(95.7072,76.835,5.311724784545)); -#38535=CARTESIAN_POINT('',(95.7072,76.835,5.311724784545)); -#38536=CARTESIAN_POINT('',(95.7072,76.0222,5.311724784545)); -#38537=CARTESIAN_POINT('',(95.7072,76.835,5.311724784545)); -#38538=CARTESIAN_POINT('',(95.2246,76.0222,5.311724784545)); -#38539=CARTESIAN_POINT('Origin',(0.,0.,5.311724784545)); -#38540=CARTESIAN_POINT('',(95.7072,75.565,5.311724784545)); -#38541=CARTESIAN_POINT('',(95.7072,75.565,5.311724784545)); -#38542=CARTESIAN_POINT('',(95.7072,74.7522,5.311724784545)); -#38543=CARTESIAN_POINT('',(95.7072,75.565,5.311724784545)); -#38544=CARTESIAN_POINT('',(95.2246,74.7522,5.311724784545)); -#38545=CARTESIAN_POINT('Origin',(0.,0.,5.311724784545)); -#38546=CARTESIAN_POINT('',(95.7072,74.295,5.311724784545)); -#38547=CARTESIAN_POINT('',(95.7072,74.295,5.311724784545)); -#38548=CARTESIAN_POINT('',(95.7072,73.4822,5.311724784545)); -#38549=CARTESIAN_POINT('',(95.7072,74.295,5.311724784545)); -#38550=CARTESIAN_POINT('',(95.2246,73.4822,5.311724784545)); -#38551=CARTESIAN_POINT('Origin',(0.,0.,5.311724784545)); -#38552=CARTESIAN_POINT('',(95.7072,73.025,5.311724784545)); -#38553=CARTESIAN_POINT('',(95.7072,73.025,5.311724784545)); -#38554=CARTESIAN_POINT('',(95.7072,72.2122,5.311724784545)); -#38555=CARTESIAN_POINT('',(95.7072,73.025,5.311724784545)); -#38556=CARTESIAN_POINT('',(95.2246,72.2122,5.311724784545)); -#38557=CARTESIAN_POINT('Origin',(97.3836,76.835,4.311724784545)); -#38558=CARTESIAN_POINT('',(89.2556,76.835,4.811724784545)); -#38559=CARTESIAN_POINT('',(89.2556,76.835,5.811724784545)); -#38560=CARTESIAN_POINT('',(89.2556,76.835,4.811724784545)); -#38561=CARTESIAN_POINT('',(90.1446,76.835,5.811724784545)); -#38562=CARTESIAN_POINT('',(89.662,76.835,4.311724784545)); -#38563=CARTESIAN_POINT('',(87.9856,76.835,4.811724784545)); -#38564=CARTESIAN_POINT('',(87.9856,76.835,4.311724784545)); -#38565=CARTESIAN_POINT('',(89.2556,76.835,4.811724784545)); -#38566=CARTESIAN_POINT('Origin',(97.3836,76.835,4.311724784545)); -#38567=CARTESIAN_POINT('',(96.1136,76.835,4.811724784545)); -#38568=CARTESIAN_POINT('',(96.1136,76.835,5.811724784545)); -#38569=CARTESIAN_POINT('',(96.1136,76.835,4.811724784545)); -#38570=CARTESIAN_POINT('',(97.3836,76.835,4.811724784545)); -#38571=CARTESIAN_POINT('',(97.3836,76.835,4.811724784545)); -#38572=CARTESIAN_POINT('',(97.3836,76.835,4.311724784545)); -#38573=CARTESIAN_POINT('',(95.7072,76.835,4.311724784545)); -#38574=CARTESIAN_POINT('',(96.1136,76.835,5.811724784545)); -#38575=CARTESIAN_POINT('Origin',(89.2556,76.835,4.311724784545)); -#38576=CARTESIAN_POINT('',(89.2556,76.0222,4.811724784545)); -#38577=CARTESIAN_POINT('',(89.2556,76.835,4.811724784545)); -#38578=CARTESIAN_POINT('',(89.2556,76.0222,5.811724784545)); -#38579=CARTESIAN_POINT('',(89.2556,76.0222,5.811724784545)); -#38580=CARTESIAN_POINT('',(89.2556,76.835,5.811724784545)); -#38581=CARTESIAN_POINT('Origin',(0.,0.,5.811724784545)); -#38582=CARTESIAN_POINT('',(89.2556,76.0222,5.811724784545)); -#38583=CARTESIAN_POINT('Origin',(0.,0.,5.811724784545)); -#38584=CARTESIAN_POINT('',(89.2556,75.565,5.811724784545)); -#38585=CARTESIAN_POINT('',(90.1446,75.565,5.811724784545)); -#38586=CARTESIAN_POINT('',(89.2556,74.7522,5.811724784545)); -#38587=CARTESIAN_POINT('',(89.2556,75.565,5.811724784545)); -#38588=CARTESIAN_POINT('',(89.2556,74.7522,5.811724784545)); -#38589=CARTESIAN_POINT('Origin',(0.,0.,5.811724784545)); -#38590=CARTESIAN_POINT('',(89.2556,74.295,5.811724784545)); -#38591=CARTESIAN_POINT('',(90.1446,74.295,5.811724784545)); -#38592=CARTESIAN_POINT('',(89.2556,73.4822,5.811724784545)); -#38593=CARTESIAN_POINT('',(89.2556,74.295,5.811724784545)); -#38594=CARTESIAN_POINT('',(89.2556,73.4822,5.811724784545)); -#38595=CARTESIAN_POINT('Origin',(0.,0.,5.811724784545)); -#38596=CARTESIAN_POINT('',(89.2556,73.025,5.811724784545)); -#38597=CARTESIAN_POINT('',(90.1446,73.025,5.811724784545)); -#38598=CARTESIAN_POINT('',(89.2556,72.2122,5.811724784545)); -#38599=CARTESIAN_POINT('',(89.2556,73.025,5.811724784545)); -#38600=CARTESIAN_POINT('',(89.2556,72.2122,5.811724784545)); -#38601=CARTESIAN_POINT('Origin',(0.,0.,5.811724784545)); -#38602=CARTESIAN_POINT('',(96.1136,76.0222,5.811724784545)); -#38603=CARTESIAN_POINT('',(95.2246,76.0222,5.811724784545)); -#38604=CARTESIAN_POINT('',(96.1136,76.0222,5.811724784545)); -#38605=CARTESIAN_POINT('Origin',(0.,0.,5.811724784545)); -#38606=CARTESIAN_POINT('',(96.1136,74.7522,5.811724784545)); -#38607=CARTESIAN_POINT('',(95.2246,74.7522,5.811724784545)); -#38608=CARTESIAN_POINT('',(96.1136,75.565,5.811724784545)); -#38609=CARTESIAN_POINT('',(96.1136,74.7522,5.811724784545)); -#38610=CARTESIAN_POINT('',(96.1136,75.565,5.811724784545)); -#38611=CARTESIAN_POINT('Origin',(0.,0.,5.811724784545)); -#38612=CARTESIAN_POINT('',(96.1136,73.4822,5.811724784545)); -#38613=CARTESIAN_POINT('',(95.2246,73.4822,5.811724784545)); -#38614=CARTESIAN_POINT('',(96.1136,74.295,5.811724784545)); -#38615=CARTESIAN_POINT('',(96.1136,73.4822,5.811724784545)); -#38616=CARTESIAN_POINT('',(96.1136,74.295,5.811724784545)); -#38617=CARTESIAN_POINT('Origin',(0.,0.,5.811724784545)); -#38618=CARTESIAN_POINT('',(96.1136,72.2122,5.811724784545)); -#38619=CARTESIAN_POINT('',(95.2246,72.2122,5.811724784545)); -#38620=CARTESIAN_POINT('',(96.1136,73.025,5.811724784545)); -#38621=CARTESIAN_POINT('',(96.1136,72.2122,5.811724784545)); -#38622=CARTESIAN_POINT('',(96.1136,73.025,5.811724784545)); -#38623=CARTESIAN_POINT('Origin',(96.1136,76.0222,4.311724784545)); -#38624=CARTESIAN_POINT('',(87.9856,76.0222,4.811724784545)); -#38625=CARTESIAN_POINT('',(87.9856,76.0222,4.811724784545)); -#38626=CARTESIAN_POINT('',(87.9856,76.0222,4.311724784545)); -#38627=CARTESIAN_POINT('',(89.662,76.0222,4.311724784545)); -#38628=CARTESIAN_POINT('Origin',(96.1136,76.0222,4.311724784545)); -#38629=CARTESIAN_POINT('',(95.7072,76.0222,4.311724784545)); -#38630=CARTESIAN_POINT('',(97.3836,76.0222,4.811724784545)); -#38631=CARTESIAN_POINT('',(97.3836,76.0222,4.311724784545)); -#38632=CARTESIAN_POINT('',(96.1136,76.0222,4.811724784545)); -#38633=CARTESIAN_POINT('',(96.1136,76.0222,4.811724784545)); -#38634=CARTESIAN_POINT('',(96.1136,76.0222,4.811724784545)); -#38635=CARTESIAN_POINT('Origin',(89.662,76.0222,4.311724784545)); -#38636=CARTESIAN_POINT('Origin',(87.9856,76.835,4.311724784545)); -#38637=CARTESIAN_POINT('',(87.9856,76.835,4.811724784545)); -#38638=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38639=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38640=CARTESIAN_POINT('',(89.2556,75.565,4.811724784545)); -#38641=CARTESIAN_POINT('',(89.2556,74.7522,4.811724784545)); -#38642=CARTESIAN_POINT('',(89.2556,75.565,4.811724784545)); -#38643=CARTESIAN_POINT('',(87.9856,75.565,4.811724784545)); -#38644=CARTESIAN_POINT('',(89.2556,75.565,4.811724784545)); -#38645=CARTESIAN_POINT('',(87.9856,74.7522,4.811724784545)); -#38646=CARTESIAN_POINT('',(87.9856,75.565,4.811724784545)); -#38647=CARTESIAN_POINT('',(87.9856,74.7522,4.811724784545)); -#38648=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38649=CARTESIAN_POINT('',(89.2556,74.295,4.811724784545)); -#38650=CARTESIAN_POINT('',(89.2556,73.4822,4.811724784545)); -#38651=CARTESIAN_POINT('',(89.2556,74.295,4.811724784545)); -#38652=CARTESIAN_POINT('',(87.9856,74.295,4.811724784545)); -#38653=CARTESIAN_POINT('',(89.2556,74.295,4.811724784545)); -#38654=CARTESIAN_POINT('',(87.9856,73.4822,4.811724784545)); -#38655=CARTESIAN_POINT('',(87.9856,74.295,4.811724784545)); -#38656=CARTESIAN_POINT('',(87.9856,73.4822,4.811724784545)); -#38657=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38658=CARTESIAN_POINT('',(89.2556,72.2122,4.811724784545)); -#38659=CARTESIAN_POINT('',(89.2556,73.025,4.811724784545)); -#38660=CARTESIAN_POINT('',(89.2556,72.2122,4.811724784545)); -#38661=CARTESIAN_POINT('',(87.9856,73.025,4.811724784545)); -#38662=CARTESIAN_POINT('',(89.2556,73.025,4.811724784545)); -#38663=CARTESIAN_POINT('',(87.9856,72.2122,4.811724784545)); -#38664=CARTESIAN_POINT('',(87.9856,73.025,4.811724784545)); -#38665=CARTESIAN_POINT('',(87.9856,72.2122,4.811724784545)); -#38666=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38667=CARTESIAN_POINT('',(96.1136,76.835,4.811724784545)); -#38668=CARTESIAN_POINT('',(97.3836,76.0222,4.811724784545)); -#38669=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38670=CARTESIAN_POINT('',(96.1136,74.7522,4.811724784545)); -#38671=CARTESIAN_POINT('',(96.1136,75.565,4.811724784545)); -#38672=CARTESIAN_POINT('',(96.1136,74.7522,4.811724784545)); -#38673=CARTESIAN_POINT('',(97.3836,74.7522,4.811724784545)); -#38674=CARTESIAN_POINT('',(96.1136,74.7522,4.811724784545)); -#38675=CARTESIAN_POINT('',(97.3836,75.565,4.811724784545)); -#38676=CARTESIAN_POINT('',(97.3836,74.7522,4.811724784545)); -#38677=CARTESIAN_POINT('',(97.3836,75.565,4.811724784545)); -#38678=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38679=CARTESIAN_POINT('',(96.1136,73.4822,4.811724784545)); -#38680=CARTESIAN_POINT('',(96.1136,74.295,4.811724784545)); -#38681=CARTESIAN_POINT('',(96.1136,73.4822,4.811724784545)); -#38682=CARTESIAN_POINT('',(97.3836,73.4822,4.811724784545)); -#38683=CARTESIAN_POINT('',(96.1136,73.4822,4.811724784545)); -#38684=CARTESIAN_POINT('',(97.3836,74.295,4.811724784545)); -#38685=CARTESIAN_POINT('',(97.3836,73.4822,4.811724784545)); -#38686=CARTESIAN_POINT('',(97.3836,74.295,4.811724784545)); -#38687=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38688=CARTESIAN_POINT('',(96.1136,72.2122,4.811724784545)); -#38689=CARTESIAN_POINT('',(96.1136,73.025,4.811724784545)); -#38690=CARTESIAN_POINT('',(96.1136,72.2122,4.811724784545)); -#38691=CARTESIAN_POINT('',(97.3836,72.2122,4.811724784545)); -#38692=CARTESIAN_POINT('',(96.1136,72.2122,4.811724784545)); -#38693=CARTESIAN_POINT('',(97.3836,73.025,4.811724784545)); -#38694=CARTESIAN_POINT('',(97.3836,72.2122,4.811724784545)); -#38695=CARTESIAN_POINT('',(97.3836,73.025,4.811724784545)); -#38696=CARTESIAN_POINT('Origin',(89.2556,75.565,4.311724784545)); -#38697=CARTESIAN_POINT('',(89.2556,75.565,4.811724784545)); -#38698=CARTESIAN_POINT('',(89.2556,74.7522,4.811724784545)); -#38699=CARTESIAN_POINT('Origin',(97.3836,75.565,4.311724784545)); -#38700=CARTESIAN_POINT('',(89.662,75.565,4.311724784545)); -#38701=CARTESIAN_POINT('',(87.9856,75.565,4.311724784545)); -#38702=CARTESIAN_POINT('Origin',(97.3836,75.565,4.311724784545)); -#38703=CARTESIAN_POINT('',(96.1136,75.565,4.811724784545)); -#38704=CARTESIAN_POINT('',(97.3836,75.565,4.311724784545)); -#38705=CARTESIAN_POINT('',(95.7072,75.565,4.311724784545)); -#38706=CARTESIAN_POINT('Origin',(87.9856,75.565,4.311724784545)); -#38707=CARTESIAN_POINT('',(87.9856,74.7522,4.311724784545)); -#38708=CARTESIAN_POINT('Origin',(96.1136,74.7522,4.311724784545)); -#38709=CARTESIAN_POINT('',(89.662,74.7522,4.311724784545)); -#38710=CARTESIAN_POINT('Origin',(96.1136,74.7522,4.311724784545)); -#38711=CARTESIAN_POINT('',(95.7072,74.7522,4.311724784545)); -#38712=CARTESIAN_POINT('',(97.3836,74.7522,4.311724784545)); -#38713=CARTESIAN_POINT('',(96.1136,74.7522,5.811724784545)); -#38714=CARTESIAN_POINT('Origin',(89.662,74.7522,4.311724784545)); -#38715=CARTESIAN_POINT('Origin',(95.7072,75.565,4.311724784545)); -#38716=CARTESIAN_POINT('Origin',(96.1136,74.7522,4.311724784545)); -#38717=CARTESIAN_POINT('Origin',(97.3836,74.7522,4.311724784545)); -#38718=CARTESIAN_POINT('Origin',(89.2556,74.295,4.311724784545)); -#38719=CARTESIAN_POINT('',(89.2556,74.295,4.811724784545)); -#38720=CARTESIAN_POINT('',(89.2556,73.4822,5.811724784545)); -#38721=CARTESIAN_POINT('Origin',(97.3836,74.295,4.311724784545)); -#38722=CARTESIAN_POINT('',(89.662,74.295,4.311724784545)); -#38723=CARTESIAN_POINT('',(87.9856,74.295,4.311724784545)); -#38724=CARTESIAN_POINT('Origin',(97.3836,74.295,4.311724784545)); -#38725=CARTESIAN_POINT('',(96.1136,74.295,4.811724784545)); -#38726=CARTESIAN_POINT('',(97.3836,74.295,4.311724784545)); -#38727=CARTESIAN_POINT('',(95.7072,74.295,4.311724784545)); -#38728=CARTESIAN_POINT('Origin',(87.9856,74.295,4.311724784545)); -#38729=CARTESIAN_POINT('',(87.9856,73.4822,4.311724784545)); -#38730=CARTESIAN_POINT('Origin',(96.1136,73.4822,4.311724784545)); -#38731=CARTESIAN_POINT('',(89.662,73.4822,4.311724784545)); -#38732=CARTESIAN_POINT('Origin',(96.1136,73.4822,4.311724784545)); -#38733=CARTESIAN_POINT('',(95.7072,73.4822,4.311724784545)); -#38734=CARTESIAN_POINT('',(97.3836,73.4822,4.311724784545)); -#38735=CARTESIAN_POINT('',(96.1136,73.4822,4.811724784545)); -#38736=CARTESIAN_POINT('Origin',(89.662,73.4822,4.311724784545)); -#38737=CARTESIAN_POINT('Origin',(95.7072,74.295,4.311724784545)); -#38738=CARTESIAN_POINT('Origin',(96.1136,73.4822,4.311724784545)); -#38739=CARTESIAN_POINT('Origin',(97.3836,73.4822,4.311724784545)); -#38740=CARTESIAN_POINT('Origin',(89.2556,73.025,4.311724784545)); -#38741=CARTESIAN_POINT('',(89.2556,73.025,4.811724784545)); -#38742=CARTESIAN_POINT('',(89.2556,72.2122,4.811724784545)); -#38743=CARTESIAN_POINT('Origin',(97.3836,73.025,4.311724784545)); -#38744=CARTESIAN_POINT('',(89.662,73.025,4.311724784545)); -#38745=CARTESIAN_POINT('',(87.9856,73.025,4.311724784545)); -#38746=CARTESIAN_POINT('Origin',(97.3836,73.025,4.311724784545)); -#38747=CARTESIAN_POINT('',(96.1136,73.025,4.811724784545)); -#38748=CARTESIAN_POINT('',(97.3836,73.025,4.311724784545)); -#38749=CARTESIAN_POINT('',(95.7072,73.025,4.311724784545)); -#38750=CARTESIAN_POINT('Origin',(87.9856,73.025,4.311724784545)); -#38751=CARTESIAN_POINT('',(87.9856,72.2122,4.311724784545)); -#38752=CARTESIAN_POINT('Origin',(96.1136,72.2122,4.311724784545)); -#38753=CARTESIAN_POINT('',(89.662,72.2122,4.311724784545)); -#38754=CARTESIAN_POINT('Origin',(96.1136,72.2122,4.311724784545)); -#38755=CARTESIAN_POINT('',(95.7072,72.2122,4.311724784545)); -#38756=CARTESIAN_POINT('',(97.3836,72.2122,4.311724784545)); -#38757=CARTESIAN_POINT('',(96.1136,72.2122,5.811724784545)); -#38758=CARTESIAN_POINT('Origin',(89.662,72.2122,4.311724784545)); -#38759=CARTESIAN_POINT('Origin',(95.7072,73.025,4.311724784545)); -#38760=CARTESIAN_POINT('Origin',(96.1136,72.2122,4.311724784545)); -#38761=CARTESIAN_POINT('Origin',(97.3836,72.2122,4.311724784545)); -#38762=CARTESIAN_POINT('Origin',(96.1136,76.0222,4.311724784545)); -#38763=CARTESIAN_POINT('Origin',(97.3836,76.0222,4.311724784545)); -#38764=CARTESIAN_POINT('Origin',(95.7072,76.835,4.311724784545)); -#38765=CARTESIAN_POINT('Origin',(97.212086,93.530318,4.311724784545)); -#38766=CARTESIAN_POINT('',(96.946695,93.530318,4.511724784545)); -#38767=CARTESIAN_POINT('',(96.946695,93.530318,4.311724784545)); -#38768=CARTESIAN_POINT('',(97.212086,93.530318,4.511724784545)); -#38769=CARTESIAN_POINT('',(97.212086,93.530318,4.511724784545)); -#38770=CARTESIAN_POINT('',(97.212086,93.530318,4.311724784545)); -#38771=CARTESIAN_POINT('Origin',(97.212086,87.927129,4.311724784545)); -#38772=CARTESIAN_POINT('',(97.212086,87.927129,4.511724784545)); -#38773=CARTESIAN_POINT('',(97.212086,87.927129,4.511724784545)); -#38774=CARTESIAN_POINT('',(97.212086,87.927129,4.311724784545)); -#38775=CARTESIAN_POINT('Origin',(96.946695,87.927129,4.311724784545)); -#38776=CARTESIAN_POINT('',(96.946695,87.927129,4.511724784545)); -#38777=CARTESIAN_POINT('',(96.946695,87.927129,4.511724784545)); -#38778=CARTESIAN_POINT('',(96.946695,87.927129,4.311724784545)); -#38779=CARTESIAN_POINT('Origin',(96.946695,87.152505,4.311724784545)); -#38780=CARTESIAN_POINT('',(96.946695,87.927129,4.511724784545)); -#38781=CARTESIAN_POINT('',(96.946695,94.304942,5.111724784545)); -#38782=CARTESIAN_POINT('',(96.946695,94.304942,4.311724784545)); -#38783=CARTESIAN_POINT('',(96.946695,87.152505,5.111724784545)); -#38784=CARTESIAN_POINT('',(96.946695,87.152505,5.111724784545)); -#38785=CARTESIAN_POINT('',(96.946695,87.152505,4.311724784545)); -#38786=CARTESIAN_POINT('Origin',(0.,0.,4.511724784545)); -#38787=CARTESIAN_POINT('',(89.794258,93.530318,4.511724784545)); -#38788=CARTESIAN_POINT('',(89.794258,87.927129,4.511724784545)); -#38789=CARTESIAN_POINT('',(89.794258,93.530318,4.511724784545)); -#38790=CARTESIAN_POINT('',(89.528866,93.530318,4.511724784545)); -#38791=CARTESIAN_POINT('',(89.794258,93.530318,4.511724784545)); -#38792=CARTESIAN_POINT('',(89.528866,87.927129,4.511724784545)); -#38793=CARTESIAN_POINT('',(89.528866,93.530318,4.511724784545)); -#38794=CARTESIAN_POINT('',(89.528866,87.927129,4.511724784545)); -#38795=CARTESIAN_POINT('Origin',(0.,0.,4.511724784545)); -#38796=CARTESIAN_POINT('',(90.568882,87.152505,4.511724784545)); -#38797=CARTESIAN_POINT('',(96.172071,87.152505,4.511724784545)); -#38798=CARTESIAN_POINT('',(90.568882,87.152505,4.511724784545)); -#38799=CARTESIAN_POINT('',(90.568882,86.887113,4.511724784545)); -#38800=CARTESIAN_POINT('',(90.568882,87.152505,4.511724784545)); -#38801=CARTESIAN_POINT('',(96.172071,86.887113,4.511724784545)); -#38802=CARTESIAN_POINT('',(90.568882,86.887113,4.511724784545)); -#38803=CARTESIAN_POINT('',(96.172071,86.887113,4.511724784545)); -#38804=CARTESIAN_POINT('Origin',(0.,0.,4.511724784545)); -#38805=CARTESIAN_POINT('Origin',(0.,0.,4.511724784545)); -#38806=CARTESIAN_POINT('',(96.172071,94.304942,4.511724784545)); -#38807=CARTESIAN_POINT('',(90.568882,94.304942,4.511724784545)); -#38808=CARTESIAN_POINT('',(96.172071,94.304942,4.511724784545)); -#38809=CARTESIAN_POINT('',(96.172071,94.570334,4.511724784545)); -#38810=CARTESIAN_POINT('',(96.172071,94.304942,4.511724784545)); -#38811=CARTESIAN_POINT('',(90.568882,94.570334,4.511724784545)); -#38812=CARTESIAN_POINT('',(96.172071,94.570334,4.511724784545)); -#38813=CARTESIAN_POINT('',(90.568882,94.570334,4.511724784545)); -#38814=CARTESIAN_POINT('Origin',(89.794258,94.304942,4.311724784545)); -#38815=CARTESIAN_POINT('',(89.794258,87.927129,4.311724784545)); -#38816=CARTESIAN_POINT('',(89.794258,87.152505,5.111724784545)); -#38817=CARTESIAN_POINT('',(89.794258,87.152505,4.311724784545)); -#38818=CARTESIAN_POINT('',(89.794258,94.304942,5.111724784545)); -#38819=CARTESIAN_POINT('',(89.794258,94.304942,5.111724784545)); -#38820=CARTESIAN_POINT('',(89.794258,94.304942,4.311724784545)); -#38821=CARTESIAN_POINT('',(89.794258,93.530318,4.311724784545)); -#38822=CARTESIAN_POINT('Origin',(89.794258,93.530318,4.311724784545)); -#38823=CARTESIAN_POINT('',(89.528866,93.530318,4.311724784545)); -#38824=CARTESIAN_POINT('Origin',(89.528866,93.530318,4.311724784545)); -#38825=CARTESIAN_POINT('',(89.528866,87.927129,4.311724784545)); -#38826=CARTESIAN_POINT('Origin',(89.528866,87.927129,4.311724784545)); -#38827=CARTESIAN_POINT('Origin',(96.946695,94.304942,4.311724784545)); -#38828=CARTESIAN_POINT('',(90.568882,94.304942,4.311724784545)); -#38829=CARTESIAN_POINT('',(96.946695,94.304942,5.111724784545)); -#38830=CARTESIAN_POINT('',(96.172071,94.304942,4.311724784545)); -#38831=CARTESIAN_POINT('Origin',(96.172071,94.304942,4.311724784545)); -#38832=CARTESIAN_POINT('',(96.172071,94.570334,4.311724784545)); -#38833=CARTESIAN_POINT('Origin',(96.172071,94.570334,4.311724784545)); -#38834=CARTESIAN_POINT('',(90.568882,94.570334,4.311724784545)); -#38835=CARTESIAN_POINT('Origin',(90.568882,94.570334,4.311724784545)); -#38836=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#38837=CARTESIAN_POINT('',(89.794258,87.152505,5.111724784545)); -#38838=CARTESIAN_POINT('Origin',(89.794258,87.152505,4.311724784545)); -#38839=CARTESIAN_POINT('',(96.172071,87.152505,4.311724784545)); -#38840=CARTESIAN_POINT('',(90.568882,87.152505,4.311724784545)); -#38841=CARTESIAN_POINT('Origin',(90.568882,87.152505,4.311724784545)); -#38842=CARTESIAN_POINT('',(90.568882,86.887113,4.311724784545)); -#38843=CARTESIAN_POINT('Origin',(90.568882,86.887113,4.311724784545)); -#38844=CARTESIAN_POINT('',(96.172071,86.887113,4.311724784545)); -#38845=CARTESIAN_POINT('Origin',(96.172071,86.887113,4.311724784545)); -#38846=CARTESIAN_POINT('Origin',(96.902016,82.304712,4.311724784545)); -#38847=CARTESIAN_POINT('',(94.918784,82.304712,4.511724784545)); -#38848=CARTESIAN_POINT('',(94.918784,82.304712,4.311724784545)); -#38849=CARTESIAN_POINT('',(96.902016,82.304712,4.511724784545)); -#38850=CARTESIAN_POINT('',(96.902016,82.304712,4.511724784545)); -#38851=CARTESIAN_POINT('',(96.902016,82.304712,4.311724784545)); -#38852=CARTESIAN_POINT('Origin',(96.902016,79.696488,4.311724784545)); -#38853=CARTESIAN_POINT('',(96.902016,79.924402,4.511724784545)); -#38854=CARTESIAN_POINT('',(96.902016,79.924402,4.311724784545)); -#38855=CARTESIAN_POINT('',(96.902016,79.696488,4.511724784545)); -#38856=CARTESIAN_POINT('',(96.902016,79.696488,4.511724784545)); -#38857=CARTESIAN_POINT('',(96.902016,79.696488,4.311724784545)); -#38858=CARTESIAN_POINT('Origin',(96.902016,79.696488,4.311724784545)); -#38859=CARTESIAN_POINT('',(96.902016,82.076798,4.511724784545)); -#38860=CARTESIAN_POINT('',(96.902016,82.076798,4.511724784545)); -#38861=CARTESIAN_POINT('',(96.902016,82.076798,4.311724784545)); -#38862=CARTESIAN_POINT('Origin',(94.918784,79.696488,4.311724784545)); -#38863=CARTESIAN_POINT('',(94.918784,79.696488,4.511724784545)); -#38864=CARTESIAN_POINT('',(94.918784,79.696488,4.511724784545)); -#38865=CARTESIAN_POINT('',(94.918784,79.696488,4.311724784545)); -#38866=CARTESIAN_POINT('Origin',(94.918784,82.304712,4.311724784545)); -#38867=CARTESIAN_POINT('',(94.918784,82.076798,4.511724784545)); -#38868=CARTESIAN_POINT('',(94.918784,82.076798,4.311724784545)); -#38869=CARTESIAN_POINT('',(94.918784,82.304712,4.511724784545)); -#38870=CARTESIAN_POINT('Origin',(94.918784,82.304712,4.311724784545)); -#38871=CARTESIAN_POINT('',(94.918784,79.924402,4.511724784545)); -#38872=CARTESIAN_POINT('',(94.918784,79.924402,4.511724784545)); -#38873=CARTESIAN_POINT('',(94.918784,79.924402,4.311724784545)); -#38874=CARTESIAN_POINT('Origin',(0.,0.,4.511724784545)); -#38875=CARTESIAN_POINT('',(94.918784,82.076798,4.511724784545)); -#38876=CARTESIAN_POINT('Origin',(0.,0.,4.511724784545)); -#38877=CARTESIAN_POINT('',(94.918784,79.924402,4.511724784545)); -#38878=CARTESIAN_POINT('Origin',(96.986598,82.076798,4.311724784545)); -#38879=CARTESIAN_POINT('',(94.834202,82.076798,5.111724784545)); -#38880=CARTESIAN_POINT('',(94.834202,82.076798,4.311724784545)); -#38881=CARTESIAN_POINT('',(96.986598,82.076798,5.111724784545)); -#38882=CARTESIAN_POINT('',(96.986598,82.076798,5.111724784545)); -#38883=CARTESIAN_POINT('',(96.986598,82.076798,4.311724784545)); -#38884=CARTESIAN_POINT('Origin',(96.986598,79.924402,4.311724784545)); -#38885=CARTESIAN_POINT('',(96.986598,79.924402,5.111724784545)); -#38886=CARTESIAN_POINT('',(96.986598,79.924402,5.111724784545)); -#38887=CARTESIAN_POINT('',(96.986598,79.924402,4.311724784545)); -#38888=CARTESIAN_POINT('Origin',(94.834202,79.924402,4.311724784545)); -#38889=CARTESIAN_POINT('',(94.834202,79.924402,5.111724784545)); -#38890=CARTESIAN_POINT('',(94.834202,79.924402,5.111724784545)); -#38891=CARTESIAN_POINT('',(94.834202,79.924402,4.311724784545)); -#38892=CARTESIAN_POINT('Origin',(94.834202,82.076798,4.311724784545)); -#38893=CARTESIAN_POINT('',(94.834202,82.076798,5.111724784545)); -#38894=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#38895=CARTESIAN_POINT('Origin',(102.635799,95.153401,4.311724784545)); -#38896=CARTESIAN_POINT('',(99.365801,95.153401,4.811724784545)); -#38897=CARTESIAN_POINT('',(99.365801,95.153401,4.311724784545)); -#38898=CARTESIAN_POINT('',(102.635799,95.153401,4.811724784545)); -#38899=CARTESIAN_POINT('',(102.635799,95.153401,4.811724784545)); -#38900=CARTESIAN_POINT('',(102.635799,95.153401,4.311724784545)); -#38901=CARTESIAN_POINT('Origin',(102.635799,91.333399,4.311724784545)); -#38902=CARTESIAN_POINT('',(102.635799,91.333399,4.811724784545)); -#38903=CARTESIAN_POINT('',(102.635799,91.333399,4.811724784545)); -#38904=CARTESIAN_POINT('',(102.635799,91.333399,4.311724784545)); -#38905=CARTESIAN_POINT('Origin',(99.365801,91.333399,4.311724784545)); -#38906=CARTESIAN_POINT('',(99.365801,91.333399,4.811724784545)); -#38907=CARTESIAN_POINT('',(99.365801,91.333399,4.811724784545)); -#38908=CARTESIAN_POINT('',(99.365801,91.333399,4.311724784545)); -#38909=CARTESIAN_POINT('Origin',(99.365801,95.153401,4.311724784545)); -#38910=CARTESIAN_POINT('',(99.365801,95.153401,4.811724784545)); -#38911=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#38912=CARTESIAN_POINT('Origin',(102.1334,102.177639,4.311724784545)); -#38913=CARTESIAN_POINT('',(102.1334,102.336600403,4.511724784545)); -#38914=CARTESIAN_POINT('',(102.1334,102.336600403,4.311724784545)); -#38915=CARTESIAN_POINT('',(102.1334,102.177639,4.511724784545)); -#38916=CARTESIAN_POINT('',(102.1334,102.177639,4.511724784545)); -#38917=CARTESIAN_POINT('',(102.1334,102.177639,4.311724784545)); -#38918=CARTESIAN_POINT('Origin',(102.4779891032,102.177639,4.311724784545)); -#38919=CARTESIAN_POINT('',(100.471072,102.177639,4.511724784545)); -#38920=CARTESIAN_POINT('',(100.471072,102.177639,4.511724784545)); -#38921=CARTESIAN_POINT('',(100.471072,102.177639,4.311724784545)); -#38922=CARTESIAN_POINT('',(99.912592,102.177639,4.611724784545)); -#38923=CARTESIAN_POINT('',(99.912592,102.177639,4.311724784545)); -#38924=CARTESIAN_POINT('',(102.4779891032,102.177639,4.611724784545)); -#38925=CARTESIAN_POINT('',(102.4779891032,102.177639,4.611724784545)); -#38926=CARTESIAN_POINT('',(102.4779891032,102.177639,4.311724784545)); -#38927=CARTESIAN_POINT('Origin',(0.,0.,4.511724784545)); -#38928=CARTESIAN_POINT('',(100.471072,102.336600403,4.511724784545)); -#38929=CARTESIAN_POINT('',(102.1334,102.336600403,4.511724784545)); -#38930=CARTESIAN_POINT('',(100.471072,102.336600403,4.511724784545)); -#38931=CARTESIAN_POINT('Origin',(0.,0.,4.511724784545)); -#38932=CARTESIAN_POINT('',(99.912592,100.939237,4.511724784545)); -#38933=CARTESIAN_POINT('',(99.912592,99.936038,4.511724784545)); -#38934=CARTESIAN_POINT('',(99.912592,100.939237,4.511724784545)); -#38935=CARTESIAN_POINT('',(99.341074,100.939237,4.511724784545)); -#38936=CARTESIAN_POINT('',(99.912592,100.939237,4.511724784545)); -#38937=CARTESIAN_POINT('',(99.341074,99.936038,4.511724784545)); -#38938=CARTESIAN_POINT('',(99.341074,100.939237,4.511724784545)); -#38939=CARTESIAN_POINT('',(99.341074,99.936038,4.511724784545)); -#38940=CARTESIAN_POINT('Origin',(0.,0.,4.511724784545)); -#38941=CARTESIAN_POINT('',(100.471072,98.657641,4.511724784545)); -#38942=CARTESIAN_POINT('',(101.9556,98.657641,4.511724784545)); -#38943=CARTESIAN_POINT('',(100.471072,98.657641,4.511724784545)); -#38944=CARTESIAN_POINT('',(100.471072,98.526597,4.511724784545)); -#38945=CARTESIAN_POINT('',(100.471072,98.657641,4.511724784545)); -#38946=CARTESIAN_POINT('',(101.9556,98.526597,4.511724784545)); -#38947=CARTESIAN_POINT('',(100.471072,98.526597,4.511724784545)); -#38948=CARTESIAN_POINT('',(101.9556,98.526597,4.511724784545)); -#38949=CARTESIAN_POINT('Origin',(100.471072,102.336600403,4.311724784545)); -#38950=CARTESIAN_POINT('',(100.471072,102.336600403,4.311724784545)); -#38951=CARTESIAN_POINT('Origin',(102.1334,102.336600403,4.311724784545)); -#38952=CARTESIAN_POINT('Origin',(99.912592,102.177639,4.311724784545)); -#38953=CARTESIAN_POINT('',(99.912592,99.936038,4.311724784545)); -#38954=CARTESIAN_POINT('',(99.912592,98.657641,4.611724784545)); -#38955=CARTESIAN_POINT('',(99.912592,98.657641,4.311724784545)); -#38956=CARTESIAN_POINT('',(99.912592,102.177639,4.611724784545)); -#38957=CARTESIAN_POINT('',(99.912592,100.939237,4.311724784545)); -#38958=CARTESIAN_POINT('Origin',(100.0269506742,100.939237,4.311724784545)); -#38959=CARTESIAN_POINT('',(99.341074,100.939237,4.311724784545)); -#38960=CARTESIAN_POINT('Origin',(99.341074,100.939237,4.311724784545)); -#38961=CARTESIAN_POINT('',(99.341074,99.936038,4.311724784545)); -#38962=CARTESIAN_POINT('Origin',(99.341074,99.936038,4.311724784545)); -#38963=CARTESIAN_POINT('Origin',(0.,0.,4.611724784545)); -#38964=CARTESIAN_POINT('',(102.4779891032,98.657641,4.611724784545)); -#38965=CARTESIAN_POINT('',(102.475329551415,100.4376375,4.611724784545)); -#38966=CARTESIAN_POINT('',(102.4779891032,49.3288205,4.611724784545)); -#38967=CARTESIAN_POINT('',(101.47267,101.4376375,4.611724784545)); -#38968=CARTESIAN_POINT('Origin',(101.47267,100.4376375,4.611724784545)); -#38969=CARTESIAN_POINT('Origin',(101.47267,100.4376375,4.611724784545)); -#38970=CARTESIAN_POINT('',(102.4779891032,49.3288205,4.611724784545)); -#38971=CARTESIAN_POINT('',(99.912592,98.657641,4.611724784545)); -#38972=CARTESIAN_POINT('Origin',(102.4779891032,98.657641,4.311724784545)); -#38973=CARTESIAN_POINT('',(102.4779891032,98.657641,4.311724784545)); -#38974=CARTESIAN_POINT('Origin',(99.912592,98.657641,4.311724784545)); -#38975=CARTESIAN_POINT('',(101.9556,98.657641,4.311724784545)); -#38976=CARTESIAN_POINT('',(100.471072,98.657641,4.311724784545)); -#38977=CARTESIAN_POINT('Origin',(100.471072,98.657641,4.311724784545)); -#38978=CARTESIAN_POINT('',(100.471072,98.526597,4.311724784545)); -#38979=CARTESIAN_POINT('Origin',(100.471072,98.526597,4.311724784545)); -#38980=CARTESIAN_POINT('',(101.9556,98.526597,4.311724784545)); -#38981=CARTESIAN_POINT('Origin',(101.9556,98.526597,4.311724784545)); -#38982=CARTESIAN_POINT('Origin',(101.47267,100.4376375,4.311724784545)); -#38983=CARTESIAN_POINT('',(101.47267,101.4376375,5.511724784545)); -#38984=CARTESIAN_POINT('Origin',(101.47267,100.4376375,5.511724784545)); -#38985=CARTESIAN_POINT('',(101.47267,101.4376375,4.311724784545)); -#38986=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#38987=CARTESIAN_POINT('',(101.47267,101.2376375,5.511724784545)); -#38988=CARTESIAN_POINT('Origin',(101.47267,100.4376375,5.511724784545)); -#38989=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#38990=CARTESIAN_POINT('',(101.47267,100.6876375,5.511724784545)); -#38991=CARTESIAN_POINT('Origin',(101.47267,100.4376375,5.511724784545)); -#38992=CARTESIAN_POINT('Origin',(101.47267,100.4376375,4.311724784545)); -#38993=CARTESIAN_POINT('',(101.47267,101.2376375,4.311724784545)); -#38994=CARTESIAN_POINT('Origin',(101.47267,100.4376375,4.311724784545)); -#38995=CARTESIAN_POINT('',(101.47267,101.2376375,4.311724784545)); -#38996=CARTESIAN_POINT('Origin',(0.,0.,4.311724784545)); -#38997=CARTESIAN_POINT('',(101.47267,100.6876375,4.311724784545)); -#38998=CARTESIAN_POINT('Origin',(101.47267,100.4376375,4.311724784545)); -#38999=CARTESIAN_POINT('Origin',(101.47267,100.4376375,4.311724784545)); -#39000=CARTESIAN_POINT('',(101.47267,100.6876375,4.311724784545)); -#39001=CARTESIAN_POINT('Origin',(102.466389,63.901201,4.311724784545)); -#39002=CARTESIAN_POINT('',(100.914789,63.901201,4.811724784545)); -#39003=CARTESIAN_POINT('',(100.914789,63.901201,4.311724784545)); -#39004=CARTESIAN_POINT('',(102.466389,63.901201,4.811724784545)); -#39005=CARTESIAN_POINT('',(102.466389,63.901201,4.811724784545)); -#39006=CARTESIAN_POINT('',(102.466389,63.901201,4.311724784545)); -#39007=CARTESIAN_POINT('Origin',(102.466389,63.149599,4.311724784545)); -#39008=CARTESIAN_POINT('',(102.466389,63.149599,4.811724784545)); -#39009=CARTESIAN_POINT('',(102.466389,63.149599,4.811724784545)); -#39010=CARTESIAN_POINT('',(102.466389,63.149599,4.311724784545)); -#39011=CARTESIAN_POINT('Origin',(100.914789,63.149599,4.311724784545)); -#39012=CARTESIAN_POINT('',(100.914789,63.149599,4.811724784545)); -#39013=CARTESIAN_POINT('',(100.914789,63.149599,4.811724784545)); -#39014=CARTESIAN_POINT('',(100.914789,63.149599,4.311724784545)); -#39015=CARTESIAN_POINT('Origin',(100.914789,63.901201,4.311724784545)); -#39016=CARTESIAN_POINT('',(100.914789,63.901201,4.811724784545)); -#39017=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39018=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39019=CARTESIAN_POINT('',(92.865194,57.957601,4.811724784545)); -#39020=CARTESIAN_POINT('',(91.313594,57.957601,4.811724784545)); -#39021=CARTESIAN_POINT('',(92.865194,57.957601,4.811724784545)); -#39022=CARTESIAN_POINT('',(91.313594,57.205999,4.811724784545)); -#39023=CARTESIAN_POINT('',(91.313594,57.957601,4.811724784545)); -#39024=CARTESIAN_POINT('',(92.865194,57.205999,4.811724784545)); -#39025=CARTESIAN_POINT('',(91.313594,57.205999,4.811724784545)); -#39026=CARTESIAN_POINT('',(92.865194,57.205999,4.811724784545)); -#39027=CARTESIAN_POINT('Origin',(92.865194,57.957601,4.311724784545)); -#39028=CARTESIAN_POINT('',(91.313594,57.957601,4.311724784545)); -#39029=CARTESIAN_POINT('',(92.865194,57.957601,4.311724784545)); -#39030=CARTESIAN_POINT('Origin',(92.865194,57.205999,4.311724784545)); -#39031=CARTESIAN_POINT('',(92.865194,57.205999,4.311724784545)); -#39032=CARTESIAN_POINT('Origin',(91.313594,57.205999,4.311724784545)); -#39033=CARTESIAN_POINT('',(91.313594,57.205999,4.311724784545)); -#39034=CARTESIAN_POINT('Origin',(91.313594,57.957601,4.311724784545)); -#39035=CARTESIAN_POINT('Origin',(102.466389,65.069601,4.311724784545)); -#39036=CARTESIAN_POINT('',(100.914789,65.069601,4.711724784545)); -#39037=CARTESIAN_POINT('',(100.914789,65.069601,4.311724784545)); -#39038=CARTESIAN_POINT('',(102.466389,65.069601,4.711724784545)); -#39039=CARTESIAN_POINT('',(102.466389,65.069601,4.711724784545)); -#39040=CARTESIAN_POINT('',(102.466389,65.069601,4.311724784545)); -#39041=CARTESIAN_POINT('Origin',(102.466389,64.317999,4.311724784545)); -#39042=CARTESIAN_POINT('',(102.466389,64.317999,4.711724784545)); -#39043=CARTESIAN_POINT('',(102.466389,64.317999,4.711724784545)); -#39044=CARTESIAN_POINT('',(102.466389,64.317999,4.311724784545)); -#39045=CARTESIAN_POINT('Origin',(100.914789,64.317999,4.311724784545)); -#39046=CARTESIAN_POINT('',(100.914789,64.317999,4.711724784545)); -#39047=CARTESIAN_POINT('',(100.914789,64.317999,4.711724784545)); -#39048=CARTESIAN_POINT('',(100.914789,64.317999,4.311724784545)); -#39049=CARTESIAN_POINT('Origin',(100.914789,65.069601,4.311724784545)); -#39050=CARTESIAN_POINT('',(100.914789,65.069601,4.711724784545)); -#39051=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39052=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39053=CARTESIAN_POINT('',(100.914794,62.758201,4.711724784545)); -#39054=CARTESIAN_POINT('',(100.914794,62.006599,4.711724784545)); -#39055=CARTESIAN_POINT('',(100.914794,62.758201,4.711724784545)); -#39056=CARTESIAN_POINT('',(102.466394,62.006599,4.711724784545)); -#39057=CARTESIAN_POINT('',(100.914794,62.006599,4.711724784545)); -#39058=CARTESIAN_POINT('',(102.466394,62.758201,4.711724784545)); -#39059=CARTESIAN_POINT('',(102.466394,62.006599,4.711724784545)); -#39060=CARTESIAN_POINT('',(102.466394,62.758201,4.711724784545)); -#39061=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39062=CARTESIAN_POINT('',(103.507789,55.798601,4.711724784545)); -#39063=CARTESIAN_POINT('',(101.956189,55.798601,4.711724784545)); -#39064=CARTESIAN_POINT('',(103.507789,55.798601,4.711724784545)); -#39065=CARTESIAN_POINT('',(101.956189,55.046999,4.711724784545)); -#39066=CARTESIAN_POINT('',(101.956189,55.798601,4.711724784545)); -#39067=CARTESIAN_POINT('',(103.507789,55.046999,4.711724784545)); -#39068=CARTESIAN_POINT('',(101.956189,55.046999,4.711724784545)); -#39069=CARTESIAN_POINT('',(103.507789,55.046999,4.711724784545)); -#39070=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39071=CARTESIAN_POINT('',(101.551994,55.046999,4.711724784545)); -#39072=CARTESIAN_POINT('',(101.551994,55.798601,4.711724784545)); -#39073=CARTESIAN_POINT('',(101.551994,55.046999,4.711724784545)); -#39074=CARTESIAN_POINT('',(100.000394,55.798601,4.711724784545)); -#39075=CARTESIAN_POINT('',(101.551994,55.798601,4.711724784545)); -#39076=CARTESIAN_POINT('',(100.000394,55.046999,4.711724784545)); -#39077=CARTESIAN_POINT('',(100.000394,55.798601,4.711724784545)); -#39078=CARTESIAN_POINT('',(100.000394,55.046999,4.711724784545)); -#39079=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39080=CARTESIAN_POINT('',(85.118194,53.995201,4.711724784545)); -#39081=CARTESIAN_POINT('',(83.566594,53.995201,4.711724784545)); -#39082=CARTESIAN_POINT('',(85.118194,53.995201,4.711724784545)); -#39083=CARTESIAN_POINT('',(83.566594,53.243599,4.711724784545)); -#39084=CARTESIAN_POINT('',(83.566594,53.995201,4.711724784545)); -#39085=CARTESIAN_POINT('',(85.118194,53.243599,4.711724784545)); -#39086=CARTESIAN_POINT('',(83.566594,53.243599,4.711724784545)); -#39087=CARTESIAN_POINT('',(85.118194,53.243599,4.711724784545)); -#39088=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39089=CARTESIAN_POINT('',(90.858594,57.957601,4.711724784545)); -#39090=CARTESIAN_POINT('',(89.306994,57.957601,4.711724784545)); -#39091=CARTESIAN_POINT('',(90.858594,57.957601,4.711724784545)); -#39092=CARTESIAN_POINT('',(89.306994,57.205999,4.711724784545)); -#39093=CARTESIAN_POINT('',(89.306994,57.957601,4.711724784545)); -#39094=CARTESIAN_POINT('',(90.858594,57.205999,4.711724784545)); -#39095=CARTESIAN_POINT('',(89.306994,57.205999,4.711724784545)); -#39096=CARTESIAN_POINT('',(90.858594,57.205999,4.711724784545)); -#39097=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39098=CARTESIAN_POINT('',(86.309789,61.320799,4.711724784545)); -#39099=CARTESIAN_POINT('',(87.861389,61.320799,4.711724784545)); -#39100=CARTESIAN_POINT('',(86.309789,61.320799,4.711724784545)); -#39101=CARTESIAN_POINT('',(87.861389,62.072401,4.711724784545)); -#39102=CARTESIAN_POINT('',(87.861389,61.320799,4.711724784545)); -#39103=CARTESIAN_POINT('',(86.309789,62.072401,4.711724784545)); -#39104=CARTESIAN_POINT('',(87.861389,62.072401,4.711724784545)); -#39105=CARTESIAN_POINT('',(86.309789,62.072401,4.711724784545)); -#39106=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39107=CARTESIAN_POINT('',(87.141591,62.749598,4.711724784545)); -#39108=CARTESIAN_POINT('',(87.893192,62.749598,4.711724784545)); -#39109=CARTESIAN_POINT('',(87.141591,62.749598,4.711724784545)); -#39110=CARTESIAN_POINT('',(87.893192,64.301197,4.711724784545)); -#39111=CARTESIAN_POINT('',(87.893192,62.749598,4.711724784545)); -#39112=CARTESIAN_POINT('',(87.141591,64.301197,4.711724784545)); -#39113=CARTESIAN_POINT('',(87.893192,64.301197,4.711724784545)); -#39114=CARTESIAN_POINT('',(87.141591,64.301197,4.711724784545)); -#39115=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39116=CARTESIAN_POINT('',(91.372992,67.679402,4.711724784545)); -#39117=CARTESIAN_POINT('',(90.621391,67.679402,4.711724784545)); -#39118=CARTESIAN_POINT('',(91.372992,67.679402,4.711724784545)); -#39119=CARTESIAN_POINT('',(90.621391,66.127803,4.711724784545)); -#39120=CARTESIAN_POINT('',(90.621391,67.679402,4.711724784545)); -#39121=CARTESIAN_POINT('',(91.372992,66.127803,4.711724784545)); -#39122=CARTESIAN_POINT('',(90.621391,66.127803,4.711724784545)); -#39123=CARTESIAN_POINT('',(91.372992,66.127803,4.711724784545)); -#39124=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39125=CARTESIAN_POINT('',(93.709792,67.679397,4.711724784545)); -#39126=CARTESIAN_POINT('',(92.958191,67.679397,4.711724784545)); -#39127=CARTESIAN_POINT('',(93.709792,67.679397,4.711724784545)); -#39128=CARTESIAN_POINT('',(92.958191,66.127798,4.711724784545)); -#39129=CARTESIAN_POINT('',(92.958191,67.679397,4.711724784545)); -#39130=CARTESIAN_POINT('',(93.709792,66.127798,4.711724784545)); -#39131=CARTESIAN_POINT('',(92.958191,66.127798,4.711724784545)); -#39132=CARTESIAN_POINT('',(93.709792,66.127798,4.711724784545)); -#39133=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39134=CARTESIAN_POINT('',(93.906589,69.463801,4.711724784545)); -#39135=CARTESIAN_POINT('',(92.354989,69.463801,4.711724784545)); -#39136=CARTESIAN_POINT('',(93.906589,69.463801,4.711724784545)); -#39137=CARTESIAN_POINT('',(92.354989,68.712199,4.711724784545)); -#39138=CARTESIAN_POINT('',(92.354989,69.463801,4.711724784545)); -#39139=CARTESIAN_POINT('',(93.906589,68.712199,4.711724784545)); -#39140=CARTESIAN_POINT('',(92.354989,68.712199,4.711724784545)); -#39141=CARTESIAN_POINT('',(93.906589,68.712199,4.711724784545)); -#39142=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39143=CARTESIAN_POINT('',(99.646989,66.324599,4.711724784545)); -#39144=CARTESIAN_POINT('',(99.646989,67.076201,4.711724784545)); -#39145=CARTESIAN_POINT('',(99.646989,66.324599,4.711724784545)); -#39146=CARTESIAN_POINT('',(98.095389,67.076201,4.711724784545)); -#39147=CARTESIAN_POINT('',(99.646989,67.076201,4.711724784545)); -#39148=CARTESIAN_POINT('',(98.095389,66.324599,4.711724784545)); -#39149=CARTESIAN_POINT('',(98.095389,67.076201,4.711724784545)); -#39150=CARTESIAN_POINT('',(98.095389,66.324599,4.711724784545)); -#39151=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39152=CARTESIAN_POINT('',(98.095389,67.518399,4.711724784545)); -#39153=CARTESIAN_POINT('',(99.646989,67.518399,4.711724784545)); -#39154=CARTESIAN_POINT('',(98.095389,67.518399,4.711724784545)); -#39155=CARTESIAN_POINT('',(99.646989,68.270001,4.711724784545)); -#39156=CARTESIAN_POINT('',(99.646989,67.518399,4.711724784545)); -#39157=CARTESIAN_POINT('',(98.095389,68.270001,4.711724784545)); -#39158=CARTESIAN_POINT('',(99.646989,68.270001,4.711724784545)); -#39159=CARTESIAN_POINT('',(98.095389,68.270001,4.711724784545)); -#39160=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39161=CARTESIAN_POINT('',(99.646994,68.686799,4.711724784545)); -#39162=CARTESIAN_POINT('',(99.646994,69.438401,4.711724784545)); -#39163=CARTESIAN_POINT('',(99.646994,68.686799,4.711724784545)); -#39164=CARTESIAN_POINT('',(98.095394,69.438401,4.711724784545)); -#39165=CARTESIAN_POINT('',(99.646994,69.438401,4.711724784545)); -#39166=CARTESIAN_POINT('',(98.095394,68.686799,4.711724784545)); -#39167=CARTESIAN_POINT('',(98.095394,69.438401,4.711724784545)); -#39168=CARTESIAN_POINT('',(98.095394,68.686799,4.711724784545)); -#39169=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39170=CARTESIAN_POINT('',(86.413594,80.741401,4.711724784545)); -#39171=CARTESIAN_POINT('',(84.861994,80.741401,4.711724784545)); -#39172=CARTESIAN_POINT('',(86.413594,80.741401,4.711724784545)); -#39173=CARTESIAN_POINT('',(84.861994,79.989799,4.711724784545)); -#39174=CARTESIAN_POINT('',(84.861994,80.741401,4.711724784545)); -#39175=CARTESIAN_POINT('',(86.413594,79.989799,4.711724784545)); -#39176=CARTESIAN_POINT('',(84.861994,79.989799,4.711724784545)); -#39177=CARTESIAN_POINT('',(86.413594,79.989799,4.711724784545)); -#39178=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39179=CARTESIAN_POINT('',(84.861994,79.598401,4.711724784545)); -#39180=CARTESIAN_POINT('',(84.861994,78.846799,4.711724784545)); -#39181=CARTESIAN_POINT('',(84.861994,79.598401,4.711724784545)); -#39182=CARTESIAN_POINT('',(86.413594,78.846799,4.711724784545)); -#39183=CARTESIAN_POINT('',(84.861994,78.846799,4.711724784545)); -#39184=CARTESIAN_POINT('',(86.413594,79.598401,4.711724784545)); -#39185=CARTESIAN_POINT('',(86.413594,78.846799,4.711724784545)); -#39186=CARTESIAN_POINT('',(86.413594,79.598401,4.711724784545)); -#39187=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39188=CARTESIAN_POINT('',(86.413594,78.455401,4.711724784545)); -#39189=CARTESIAN_POINT('',(84.861994,78.455401,4.711724784545)); -#39190=CARTESIAN_POINT('',(86.413594,78.455401,4.711724784545)); -#39191=CARTESIAN_POINT('',(84.861994,77.703799,4.711724784545)); -#39192=CARTESIAN_POINT('',(84.861994,78.455401,4.711724784545)); -#39193=CARTESIAN_POINT('',(86.413594,77.703799,4.711724784545)); -#39194=CARTESIAN_POINT('',(84.861994,77.703799,4.711724784545)); -#39195=CARTESIAN_POINT('',(86.413594,77.703799,4.711724784545)); -#39196=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39197=CARTESIAN_POINT('',(96.540201,101.613797,4.711724784545)); -#39198=CARTESIAN_POINT('',(95.788599,101.613797,4.711724784545)); -#39199=CARTESIAN_POINT('',(96.540201,101.613797,4.711724784545)); -#39200=CARTESIAN_POINT('',(95.788599,100.062198,4.711724784545)); -#39201=CARTESIAN_POINT('',(95.788599,101.613797,4.711724784545)); -#39202=CARTESIAN_POINT('',(96.540201,100.062198,4.711724784545)); -#39203=CARTESIAN_POINT('',(95.788599,100.062198,4.711724784545)); -#39204=CARTESIAN_POINT('',(96.540201,100.062198,4.711724784545)); -#39205=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39206=CARTESIAN_POINT('',(97.346602,100.807401,4.711724784545)); -#39207=CARTESIAN_POINT('',(96.645004,100.807401,4.711724784545)); -#39208=CARTESIAN_POINT('',(97.346602,100.807401,4.711724784545)); -#39209=CARTESIAN_POINT('',(96.645004,100.055799,4.711724784545)); -#39210=CARTESIAN_POINT('',(96.645004,100.807401,4.711724784545)); -#39211=CARTESIAN_POINT('',(97.346602,100.055799,4.711724784545)); -#39212=CARTESIAN_POINT('',(96.645004,100.055799,4.711724784545)); -#39213=CARTESIAN_POINT('',(97.346602,100.055799,4.711724784545)); -#39214=CARTESIAN_POINT('Origin',(0.,0.,4.711724784545)); -#39215=CARTESIAN_POINT('',(95.763199,98.4896,4.711724784545)); -#39216=CARTESIAN_POINT('',(95.763199,96.938,4.711724784545)); -#39217=CARTESIAN_POINT('',(95.763199,98.4896,4.711724784545)); -#39218=CARTESIAN_POINT('',(96.514801,96.938,4.711724784545)); -#39219=CARTESIAN_POINT('',(95.763199,96.938,4.711724784545)); -#39220=CARTESIAN_POINT('',(96.514801,98.4896,4.711724784545)); -#39221=CARTESIAN_POINT('',(96.514801,96.938,4.711724784545)); -#39222=CARTESIAN_POINT('',(96.514801,98.4896,4.711724784545)); -#39223=CARTESIAN_POINT('Origin',(100.914794,62.758201,4.311724784545)); -#39224=CARTESIAN_POINT('',(100.914794,62.006599,4.311724784545)); -#39225=CARTESIAN_POINT('',(100.914794,62.758201,4.311724784545)); -#39226=CARTESIAN_POINT('Origin',(102.466394,62.758201,4.311724784545)); -#39227=CARTESIAN_POINT('',(102.466394,62.758201,4.311724784545)); -#39228=CARTESIAN_POINT('Origin',(102.466394,62.006599,4.311724784545)); -#39229=CARTESIAN_POINT('',(102.466394,62.006599,4.311724784545)); -#39230=CARTESIAN_POINT('Origin',(100.914794,62.006599,4.311724784545)); -#39231=CARTESIAN_POINT('Origin',(103.507789,55.798601,4.311724784545)); -#39232=CARTESIAN_POINT('',(101.956189,55.798601,4.311724784545)); -#39233=CARTESIAN_POINT('',(103.507789,55.798601,4.311724784545)); -#39234=CARTESIAN_POINT('Origin',(103.507789,55.046999,4.311724784545)); -#39235=CARTESIAN_POINT('',(103.507789,55.046999,4.311724784545)); -#39236=CARTESIAN_POINT('Origin',(101.956189,55.046999,4.311724784545)); -#39237=CARTESIAN_POINT('',(101.956189,55.046999,4.311724784545)); -#39238=CARTESIAN_POINT('Origin',(101.956189,55.798601,4.311724784545)); -#39239=CARTESIAN_POINT('Origin',(101.551994,55.046999,4.311724784545)); -#39240=CARTESIAN_POINT('',(101.551994,55.798601,4.311724784545)); -#39241=CARTESIAN_POINT('',(101.551994,55.046999,4.311724784545)); -#39242=CARTESIAN_POINT('Origin',(100.000394,55.046999,4.311724784545)); -#39243=CARTESIAN_POINT('',(100.000394,55.046999,4.311724784545)); -#39244=CARTESIAN_POINT('Origin',(100.000394,55.798601,4.311724784545)); -#39245=CARTESIAN_POINT('',(100.000394,55.798601,4.311724784545)); -#39246=CARTESIAN_POINT('Origin',(101.551994,55.798601,4.311724784545)); -#39247=CARTESIAN_POINT('Origin',(85.118194,53.995201,4.311724784545)); -#39248=CARTESIAN_POINT('',(83.566594,53.995201,4.311724784545)); -#39249=CARTESIAN_POINT('',(85.118194,53.995201,4.311724784545)); -#39250=CARTESIAN_POINT('Origin',(85.118194,53.243599,4.311724784545)); -#39251=CARTESIAN_POINT('',(85.118194,53.243599,4.311724784545)); -#39252=CARTESIAN_POINT('Origin',(83.566594,53.243599,4.311724784545)); -#39253=CARTESIAN_POINT('',(83.566594,53.243599,4.311724784545)); -#39254=CARTESIAN_POINT('Origin',(83.566594,53.995201,4.311724784545)); -#39255=CARTESIAN_POINT('Origin',(90.858594,57.957601,4.311724784545)); -#39256=CARTESIAN_POINT('',(89.306994,57.957601,4.311724784545)); -#39257=CARTESIAN_POINT('',(90.858594,57.957601,4.311724784545)); -#39258=CARTESIAN_POINT('Origin',(90.858594,57.205999,4.311724784545)); -#39259=CARTESIAN_POINT('',(90.858594,57.205999,4.311724784545)); -#39260=CARTESIAN_POINT('Origin',(89.306994,57.205999,4.311724784545)); -#39261=CARTESIAN_POINT('',(89.306994,57.205999,4.311724784545)); -#39262=CARTESIAN_POINT('Origin',(89.306994,57.957601,4.311724784545)); -#39263=CARTESIAN_POINT('Origin',(86.309789,61.320799,4.311724784545)); -#39264=CARTESIAN_POINT('',(87.861389,61.320799,4.311724784545)); -#39265=CARTESIAN_POINT('',(86.309789,61.320799,4.311724784545)); -#39266=CARTESIAN_POINT('Origin',(86.309789,62.072401,4.311724784545)); -#39267=CARTESIAN_POINT('',(86.309789,62.072401,4.311724784545)); -#39268=CARTESIAN_POINT('Origin',(87.861389,62.072401,4.311724784545)); -#39269=CARTESIAN_POINT('',(87.861389,62.072401,4.311724784545)); -#39270=CARTESIAN_POINT('Origin',(87.861389,61.320799,4.311724784545)); -#39271=CARTESIAN_POINT('Origin',(87.141591,62.749598,4.311724784545)); -#39272=CARTESIAN_POINT('',(87.893192,62.749598,4.311724784545)); -#39273=CARTESIAN_POINT('',(87.141591,62.749598,4.311724784545)); -#39274=CARTESIAN_POINT('Origin',(87.141591,64.301197,4.311724784545)); -#39275=CARTESIAN_POINT('',(87.141591,64.301197,4.311724784545)); -#39276=CARTESIAN_POINT('Origin',(87.893192,64.301197,4.311724784545)); -#39277=CARTESIAN_POINT('',(87.893192,64.301197,4.311724784545)); -#39278=CARTESIAN_POINT('Origin',(87.893192,62.749598,4.311724784545)); -#39279=CARTESIAN_POINT('Origin',(91.372992,67.679402,4.311724784545)); -#39280=CARTESIAN_POINT('',(90.621391,67.679402,4.311724784545)); -#39281=CARTESIAN_POINT('',(91.372992,67.679402,4.311724784545)); -#39282=CARTESIAN_POINT('Origin',(91.372992,66.127803,4.311724784545)); -#39283=CARTESIAN_POINT('',(91.372992,66.127803,4.311724784545)); -#39284=CARTESIAN_POINT('Origin',(90.621391,66.127803,4.311724784545)); -#39285=CARTESIAN_POINT('',(90.621391,66.127803,4.311724784545)); -#39286=CARTESIAN_POINT('Origin',(90.621391,67.679402,4.311724784545)); -#39287=CARTESIAN_POINT('Origin',(93.709792,67.679397,4.311724784545)); -#39288=CARTESIAN_POINT('',(92.958191,67.679397,4.311724784545)); -#39289=CARTESIAN_POINT('',(93.709792,67.679397,4.311724784545)); -#39290=CARTESIAN_POINT('Origin',(93.709792,66.127798,4.311724784545)); -#39291=CARTESIAN_POINT('',(93.709792,66.127798,4.311724784545)); -#39292=CARTESIAN_POINT('Origin',(92.958191,66.127798,4.311724784545)); -#39293=CARTESIAN_POINT('',(92.958191,66.127798,4.311724784545)); -#39294=CARTESIAN_POINT('Origin',(92.958191,67.679397,4.311724784545)); -#39295=CARTESIAN_POINT('Origin',(93.906589,69.463801,4.311724784545)); -#39296=CARTESIAN_POINT('',(92.354989,69.463801,4.311724784545)); -#39297=CARTESIAN_POINT('',(93.906589,69.463801,4.311724784545)); -#39298=CARTESIAN_POINT('Origin',(93.906589,68.712199,4.311724784545)); -#39299=CARTESIAN_POINT('',(93.906589,68.712199,4.311724784545)); -#39300=CARTESIAN_POINT('Origin',(92.354989,68.712199,4.311724784545)); -#39301=CARTESIAN_POINT('',(92.354989,68.712199,4.311724784545)); -#39302=CARTESIAN_POINT('Origin',(92.354989,69.463801,4.311724784545)); -#39303=CARTESIAN_POINT('Origin',(99.646989,66.324599,4.311724784545)); -#39304=CARTESIAN_POINT('',(99.646989,67.076201,4.311724784545)); -#39305=CARTESIAN_POINT('',(99.646989,66.324599,4.311724784545)); -#39306=CARTESIAN_POINT('Origin',(98.095389,66.324599,4.311724784545)); -#39307=CARTESIAN_POINT('',(98.095389,66.324599,4.311724784545)); -#39308=CARTESIAN_POINT('Origin',(98.095389,67.076201,4.311724784545)); -#39309=CARTESIAN_POINT('',(98.095389,67.076201,4.311724784545)); -#39310=CARTESIAN_POINT('Origin',(99.646989,67.076201,4.311724784545)); -#39311=CARTESIAN_POINT('Origin',(98.095389,67.518399,4.311724784545)); -#39312=CARTESIAN_POINT('',(99.646989,67.518399,4.311724784545)); -#39313=CARTESIAN_POINT('',(98.095389,67.518399,4.311724784545)); -#39314=CARTESIAN_POINT('Origin',(98.095389,68.270001,4.311724784545)); -#39315=CARTESIAN_POINT('',(98.095389,68.270001,4.311724784545)); -#39316=CARTESIAN_POINT('Origin',(99.646989,68.270001,4.311724784545)); -#39317=CARTESIAN_POINT('',(99.646989,68.270001,4.311724784545)); -#39318=CARTESIAN_POINT('Origin',(99.646989,67.518399,4.311724784545)); -#39319=CARTESIAN_POINT('Origin',(99.646994,68.686799,4.311724784545)); -#39320=CARTESIAN_POINT('',(99.646994,69.438401,4.311724784545)); -#39321=CARTESIAN_POINT('',(99.646994,68.686799,4.311724784545)); -#39322=CARTESIAN_POINT('Origin',(98.095394,68.686799,4.311724784545)); -#39323=CARTESIAN_POINT('',(98.095394,68.686799,4.311724784545)); -#39324=CARTESIAN_POINT('Origin',(98.095394,69.438401,4.311724784545)); -#39325=CARTESIAN_POINT('',(98.095394,69.438401,4.311724784545)); -#39326=CARTESIAN_POINT('Origin',(99.646994,69.438401,4.311724784545)); -#39327=CARTESIAN_POINT('Origin',(86.413594,80.741401,4.311724784545)); -#39328=CARTESIAN_POINT('',(84.861994,80.741401,4.311724784545)); -#39329=CARTESIAN_POINT('',(86.413594,80.741401,4.311724784545)); -#39330=CARTESIAN_POINT('Origin',(86.413594,79.989799,4.311724784545)); -#39331=CARTESIAN_POINT('',(86.413594,79.989799,4.311724784545)); -#39332=CARTESIAN_POINT('Origin',(84.861994,79.989799,4.311724784545)); -#39333=CARTESIAN_POINT('',(84.861994,79.989799,4.311724784545)); -#39334=CARTESIAN_POINT('Origin',(84.861994,80.741401,4.311724784545)); -#39335=CARTESIAN_POINT('Origin',(84.861994,79.598401,4.311724784545)); -#39336=CARTESIAN_POINT('',(84.861994,78.846799,4.311724784545)); -#39337=CARTESIAN_POINT('',(84.861994,79.598401,4.311724784545)); -#39338=CARTESIAN_POINT('Origin',(86.413594,79.598401,4.311724784545)); -#39339=CARTESIAN_POINT('',(86.413594,79.598401,4.311724784545)); -#39340=CARTESIAN_POINT('Origin',(86.413594,78.846799,4.311724784545)); -#39341=CARTESIAN_POINT('',(86.413594,78.846799,4.311724784545)); -#39342=CARTESIAN_POINT('Origin',(84.861994,78.846799,4.311724784545)); -#39343=CARTESIAN_POINT('Origin',(86.413594,78.455401,4.311724784545)); -#39344=CARTESIAN_POINT('',(84.861994,78.455401,4.311724784545)); -#39345=CARTESIAN_POINT('',(86.413594,78.455401,4.311724784545)); -#39346=CARTESIAN_POINT('Origin',(86.413594,77.703799,4.311724784545)); -#39347=CARTESIAN_POINT('',(86.413594,77.703799,4.311724784545)); -#39348=CARTESIAN_POINT('Origin',(84.861994,77.703799,4.311724784545)); -#39349=CARTESIAN_POINT('',(84.861994,77.703799,4.311724784545)); -#39350=CARTESIAN_POINT('Origin',(84.861994,78.455401,4.311724784545)); -#39351=CARTESIAN_POINT('Origin',(96.540201,101.613797,4.311724784545)); -#39352=CARTESIAN_POINT('',(95.788599,101.613797,4.311724784545)); -#39353=CARTESIAN_POINT('',(96.540201,101.613797,4.311724784545)); -#39354=CARTESIAN_POINT('Origin',(96.540201,100.062198,4.311724784545)); -#39355=CARTESIAN_POINT('',(96.540201,100.062198,4.311724784545)); -#39356=CARTESIAN_POINT('Origin',(95.788599,100.062198,4.311724784545)); -#39357=CARTESIAN_POINT('',(95.788599,100.062198,4.311724784545)); -#39358=CARTESIAN_POINT('Origin',(95.788599,101.613797,4.311724784545)); -#39359=CARTESIAN_POINT('Origin',(97.346602,100.807401,4.311724784545)); -#39360=CARTESIAN_POINT('',(96.645004,100.807401,4.311724784545)); -#39361=CARTESIAN_POINT('',(97.346602,100.807401,4.311724784545)); -#39362=CARTESIAN_POINT('Origin',(97.346602,100.055799,4.311724784545)); -#39363=CARTESIAN_POINT('',(97.346602,100.055799,4.311724784545)); -#39364=CARTESIAN_POINT('Origin',(96.645004,100.055799,4.311724784545)); -#39365=CARTESIAN_POINT('',(96.645004,100.055799,4.311724784545)); -#39366=CARTESIAN_POINT('Origin',(96.645004,100.807401,4.311724784545)); -#39367=CARTESIAN_POINT('Origin',(95.763199,98.4896,4.311724784545)); -#39368=CARTESIAN_POINT('',(95.763199,96.938,4.311724784545)); -#39369=CARTESIAN_POINT('',(95.763199,98.4896,4.311724784545)); -#39370=CARTESIAN_POINT('Origin',(96.514801,98.4896,4.311724784545)); -#39371=CARTESIAN_POINT('',(96.514801,98.4896,4.311724784545)); -#39372=CARTESIAN_POINT('Origin',(96.514801,96.938,4.311724784545)); -#39373=CARTESIAN_POINT('',(96.514801,96.938,4.311724784545)); -#39374=CARTESIAN_POINT('Origin',(95.763199,96.938,4.311724784545)); -#39375=CARTESIAN_POINT('Origin',(81.610792,53.995198,4.311724784545)); -#39376=CARTESIAN_POINT('',(81.610792,53.243597,4.811724784545)); -#39377=CARTESIAN_POINT('',(81.610792,53.243597,4.311724784545)); -#39378=CARTESIAN_POINT('',(81.610792,53.995198,4.811724784545)); -#39379=CARTESIAN_POINT('',(81.610792,53.995198,4.811724784545)); -#39380=CARTESIAN_POINT('',(81.610792,53.995198,4.311724784545)); -#39381=CARTESIAN_POINT('Origin',(83.162391,53.995198,4.311724784545)); -#39382=CARTESIAN_POINT('',(83.162391,53.995198,4.811724784545)); -#39383=CARTESIAN_POINT('',(83.162391,53.995198,4.811724784545)); -#39384=CARTESIAN_POINT('',(83.162391,53.995198,4.311724784545)); -#39385=CARTESIAN_POINT('Origin',(83.162391,53.243597,4.311724784545)); -#39386=CARTESIAN_POINT('',(83.162391,53.243597,4.811724784545)); -#39387=CARTESIAN_POINT('',(83.162391,53.243597,4.811724784545)); -#39388=CARTESIAN_POINT('',(83.162391,53.243597,4.311724784545)); -#39389=CARTESIAN_POINT('Origin',(81.610792,53.243597,4.311724784545)); -#39390=CARTESIAN_POINT('',(81.610792,53.243597,4.811724784545)); -#39391=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39392=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39393=CARTESIAN_POINT('',(99.596191,55.798603,4.811724784545)); -#39394=CARTESIAN_POINT('',(98.044592,55.798603,4.811724784545)); -#39395=CARTESIAN_POINT('',(99.596191,55.798603,4.811724784545)); -#39396=CARTESIAN_POINT('',(98.044592,55.047002,4.811724784545)); -#39397=CARTESIAN_POINT('',(98.044592,55.798603,4.811724784545)); -#39398=CARTESIAN_POINT('',(99.596191,55.047002,4.811724784545)); -#39399=CARTESIAN_POINT('',(98.044592,55.047002,4.811724784545)); -#39400=CARTESIAN_POINT('',(99.596191,55.047002,4.811724784545)); -#39401=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39402=CARTESIAN_POINT('',(91.789794,67.679397,4.811724784545)); -#39403=CARTESIAN_POINT('',(91.789794,66.127798,4.811724784545)); -#39404=CARTESIAN_POINT('',(91.789794,67.679397,4.811724784545)); -#39405=CARTESIAN_POINT('',(92.541395,66.127798,4.811724784545)); -#39406=CARTESIAN_POINT('',(91.789794,66.127798,4.811724784545)); -#39407=CARTESIAN_POINT('',(92.541395,67.679397,4.811724784545)); -#39408=CARTESIAN_POINT('',(92.541395,66.127798,4.811724784545)); -#39409=CARTESIAN_POINT('',(92.541395,67.679397,4.811724784545)); -#39410=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39411=CARTESIAN_POINT('',(81.712392,65.933198,4.811724784545)); -#39412=CARTESIAN_POINT('',(81.712392,65.181597,4.811724784545)); -#39413=CARTESIAN_POINT('',(81.712392,65.933198,4.811724784545)); -#39414=CARTESIAN_POINT('',(83.263991,65.181597,4.811724784545)); -#39415=CARTESIAN_POINT('',(81.712392,65.181597,4.811724784545)); -#39416=CARTESIAN_POINT('',(83.263991,65.933198,4.811724784545)); -#39417=CARTESIAN_POINT('',(83.263991,65.181597,4.811724784545)); -#39418=CARTESIAN_POINT('',(83.263991,65.933198,4.811724784545)); -#39419=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39420=CARTESIAN_POINT('',(84.787991,88.640798,4.811724784545)); -#39421=CARTESIAN_POINT('',(83.236392,88.640798,4.811724784545)); -#39422=CARTESIAN_POINT('',(84.787991,88.640798,4.811724784545)); -#39423=CARTESIAN_POINT('',(83.236392,87.889197,4.811724784545)); -#39424=CARTESIAN_POINT('',(83.236392,88.640798,4.811724784545)); -#39425=CARTESIAN_POINT('',(84.787991,87.889197,4.811724784545)); -#39426=CARTESIAN_POINT('',(83.236392,87.889197,4.811724784545)); -#39427=CARTESIAN_POINT('',(84.787991,87.889197,4.811724784545)); -#39428=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39429=CARTESIAN_POINT('',(83.236392,87.497798,4.811724784545)); -#39430=CARTESIAN_POINT('',(83.236392,86.746197,4.811724784545)); -#39431=CARTESIAN_POINT('',(83.236392,87.497798,4.811724784545)); -#39432=CARTESIAN_POINT('',(84.787991,86.746197,4.811724784545)); -#39433=CARTESIAN_POINT('',(83.236392,86.746197,4.811724784545)); -#39434=CARTESIAN_POINT('',(84.787991,87.497798,4.811724784545)); -#39435=CARTESIAN_POINT('',(84.787991,86.746197,4.811724784545)); -#39436=CARTESIAN_POINT('',(84.787991,87.497798,4.811724784545)); -#39437=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39438=CARTESIAN_POINT('',(86.8056,91.460203,4.811724784545)); -#39439=CARTESIAN_POINT('',(85.254,91.460203,4.811724784545)); -#39440=CARTESIAN_POINT('',(86.8056,91.460203,4.811724784545)); -#39441=CARTESIAN_POINT('',(85.254,90.708602,4.811724784545)); -#39442=CARTESIAN_POINT('',(85.254,91.460203,4.811724784545)); -#39443=CARTESIAN_POINT('',(86.8056,90.708602,4.811724784545)); -#39444=CARTESIAN_POINT('',(85.254,90.708602,4.811724784545)); -#39445=CARTESIAN_POINT('',(86.8056,90.708602,4.811724784545)); -#39446=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39447=CARTESIAN_POINT('',(90.2346,84.729203,4.811724784545)); -#39448=CARTESIAN_POINT('',(88.683,84.729203,4.811724784545)); -#39449=CARTESIAN_POINT('',(90.2346,84.729203,4.811724784545)); -#39450=CARTESIAN_POINT('',(88.683,83.977602,4.811724784545)); -#39451=CARTESIAN_POINT('',(88.683,84.729203,4.811724784545)); -#39452=CARTESIAN_POINT('',(90.2346,83.977602,4.811724784545)); -#39453=CARTESIAN_POINT('',(88.683,83.977602,4.811724784545)); -#39454=CARTESIAN_POINT('',(90.2346,83.977602,4.811724784545)); -#39455=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39456=CARTESIAN_POINT('',(92.493,81.300203,4.811724784545)); -#39457=CARTESIAN_POINT('',(92.493,80.548602,4.811724784545)); -#39458=CARTESIAN_POINT('',(92.493,81.300203,4.811724784545)); -#39459=CARTESIAN_POINT('',(94.0446,80.548602,4.811724784545)); -#39460=CARTESIAN_POINT('',(92.493,80.548602,4.811724784545)); -#39461=CARTESIAN_POINT('',(94.0446,81.300203,4.811724784545)); -#39462=CARTESIAN_POINT('',(94.0446,80.548602,4.811724784545)); -#39463=CARTESIAN_POINT('',(94.0446,81.300203,4.811724784545)); -#39464=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39465=CARTESIAN_POINT('',(92.493,80.157198,4.811724784545)); -#39466=CARTESIAN_POINT('',(92.493,79.405597,4.811724784545)); -#39467=CARTESIAN_POINT('',(92.493,80.157198,4.811724784545)); -#39468=CARTESIAN_POINT('',(94.0446,79.405597,4.811724784545)); -#39469=CARTESIAN_POINT('',(92.493,79.405597,4.811724784545)); -#39470=CARTESIAN_POINT('',(94.0446,80.157198,4.811724784545)); -#39471=CARTESIAN_POINT('',(94.0446,79.405597,4.811724784545)); -#39472=CARTESIAN_POINT('',(94.0446,80.157198,4.811724784545)); -#39473=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39474=CARTESIAN_POINT('',(98.404802,76.7472,4.811724784545)); -#39475=CARTESIAN_POINT('',(98.404802,75.1956,4.811724784545)); -#39476=CARTESIAN_POINT('',(98.404802,76.7472,4.811724784545)); -#39477=CARTESIAN_POINT('',(99.156403,75.1956,4.811724784545)); -#39478=CARTESIAN_POINT('',(98.404802,75.1956,4.811724784545)); -#39479=CARTESIAN_POINT('',(99.156403,76.7472,4.811724784545)); -#39480=CARTESIAN_POINT('',(99.156403,75.1956,4.811724784545)); -#39481=CARTESIAN_POINT('',(99.156403,76.7472,4.811724784545)); -#39482=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39483=CARTESIAN_POINT('',(98.789795,88.6344,4.811724784545)); -#39484=CARTESIAN_POINT('',(98.038194,88.6344,4.811724784545)); -#39485=CARTESIAN_POINT('',(98.789795,88.6344,4.811724784545)); -#39486=CARTESIAN_POINT('',(98.038194,87.0828,4.811724784545)); -#39487=CARTESIAN_POINT('',(98.038194,88.6344,4.811724784545)); -#39488=CARTESIAN_POINT('',(98.789795,87.0828,4.811724784545)); -#39489=CARTESIAN_POINT('',(98.038194,87.0828,4.811724784545)); -#39490=CARTESIAN_POINT('',(98.789795,87.0828,4.811724784545)); -#39491=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39492=CARTESIAN_POINT('',(102.313991,90.977598,4.811724784545)); -#39493=CARTESIAN_POINT('',(100.762392,90.977598,4.811724784545)); -#39494=CARTESIAN_POINT('',(102.313991,90.977598,4.811724784545)); -#39495=CARTESIAN_POINT('',(100.762392,90.225997,4.811724784545)); -#39496=CARTESIAN_POINT('',(100.762392,90.977598,4.811724784545)); -#39497=CARTESIAN_POINT('',(102.313991,90.225997,4.811724784545)); -#39498=CARTESIAN_POINT('',(100.762392,90.225997,4.811724784545)); -#39499=CARTESIAN_POINT('',(102.313991,90.225997,4.811724784545)); -#39500=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39501=CARTESIAN_POINT('',(102.015595,97.2196,4.811724784545)); -#39502=CARTESIAN_POINT('',(101.263994,97.2196,4.811724784545)); -#39503=CARTESIAN_POINT('',(102.015595,97.2196,4.811724784545)); -#39504=CARTESIAN_POINT('',(101.263994,95.668,4.811724784545)); -#39505=CARTESIAN_POINT('',(101.263994,97.2196,4.811724784545)); -#39506=CARTESIAN_POINT('',(102.015595,95.668,4.811724784545)); -#39507=CARTESIAN_POINT('',(101.263994,95.668,4.811724784545)); -#39508=CARTESIAN_POINT('',(102.015595,95.668,4.811724784545)); -#39509=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39510=CARTESIAN_POINT('',(100.832798,97.2196,4.811724784545)); -#39511=CARTESIAN_POINT('',(100.081197,97.2196,4.811724784545)); -#39512=CARTESIAN_POINT('',(100.832798,97.2196,4.811724784545)); -#39513=CARTESIAN_POINT('',(100.081197,95.668,4.811724784545)); -#39514=CARTESIAN_POINT('',(100.081197,97.2196,4.811724784545)); -#39515=CARTESIAN_POINT('',(100.832798,95.668,4.811724784545)); -#39516=CARTESIAN_POINT('',(100.081197,95.668,4.811724784545)); -#39517=CARTESIAN_POINT('',(100.832798,95.668,4.811724784545)); -#39518=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39519=CARTESIAN_POINT('',(99.689798,97.2196,4.811724784545)); -#39520=CARTESIAN_POINT('',(98.938197,97.2196,4.811724784545)); -#39521=CARTESIAN_POINT('',(99.689798,97.2196,4.811724784545)); -#39522=CARTESIAN_POINT('',(98.938197,95.668,4.811724784545)); -#39523=CARTESIAN_POINT('',(98.938197,97.2196,4.811724784545)); -#39524=CARTESIAN_POINT('',(99.689798,95.668,4.811724784545)); -#39525=CARTESIAN_POINT('',(98.938197,95.668,4.811724784545)); -#39526=CARTESIAN_POINT('',(99.689798,95.668,4.811724784545)); -#39527=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39528=CARTESIAN_POINT('',(97.3466,96.540203,4.811724784545)); -#39529=CARTESIAN_POINT('',(95.795,96.540203,4.811724784545)); -#39530=CARTESIAN_POINT('',(97.3466,96.540203,4.811724784545)); -#39531=CARTESIAN_POINT('',(95.795,95.788602,4.811724784545)); -#39532=CARTESIAN_POINT('',(95.795,96.540203,4.811724784545)); -#39533=CARTESIAN_POINT('',(97.3466,95.788602,4.811724784545)); -#39534=CARTESIAN_POINT('',(95.795,95.788602,4.811724784545)); -#39535=CARTESIAN_POINT('',(97.3466,95.788602,4.811724784545)); -#39536=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39537=CARTESIAN_POINT('',(93.873198,100.4454,4.811724784545)); -#39538=CARTESIAN_POINT('',(93.121597,100.4454,4.811724784545)); -#39539=CARTESIAN_POINT('',(93.873198,100.4454,4.811724784545)); -#39540=CARTESIAN_POINT('',(93.121597,98.8938,4.811724784545)); -#39541=CARTESIAN_POINT('',(93.121597,100.4454,4.811724784545)); -#39542=CARTESIAN_POINT('',(93.873198,98.8938,4.811724784545)); -#39543=CARTESIAN_POINT('',(93.121597,98.8938,4.811724784545)); -#39544=CARTESIAN_POINT('',(93.873198,98.8938,4.811724784545)); -#39545=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39546=CARTESIAN_POINT('',(97.3466,99.639003,4.811724784545)); -#39547=CARTESIAN_POINT('',(95.795,99.639003,4.811724784545)); -#39548=CARTESIAN_POINT('',(97.3466,99.639003,4.811724784545)); -#39549=CARTESIAN_POINT('',(95.795,98.8938,4.811724784545)); -#39550=CARTESIAN_POINT('',(95.795,99.639003,4.811724784545)); -#39551=CARTESIAN_POINT('',(97.3466,98.8938,4.811724784545)); -#39552=CARTESIAN_POINT('',(95.795,98.8938,4.811724784545)); -#39553=CARTESIAN_POINT('',(97.3466,98.8938,4.811724784545)); -#39554=CARTESIAN_POINT('Origin',(99.596191,55.798603,4.311724784545)); -#39555=CARTESIAN_POINT('',(98.044592,55.798603,4.311724784545)); -#39556=CARTESIAN_POINT('',(99.596191,55.798603,4.311724784545)); -#39557=CARTESIAN_POINT('Origin',(99.596191,55.047002,4.311724784545)); -#39558=CARTESIAN_POINT('',(99.596191,55.047002,4.311724784545)); -#39559=CARTESIAN_POINT('Origin',(98.044592,55.047002,4.311724784545)); -#39560=CARTESIAN_POINT('',(98.044592,55.047002,4.311724784545)); -#39561=CARTESIAN_POINT('Origin',(98.044592,55.798603,4.311724784545)); -#39562=CARTESIAN_POINT('Origin',(91.789794,67.679397,4.311724784545)); -#39563=CARTESIAN_POINT('',(91.789794,66.127798,4.311724784545)); -#39564=CARTESIAN_POINT('',(91.789794,67.679397,4.311724784545)); -#39565=CARTESIAN_POINT('Origin',(92.541395,67.679397,4.311724784545)); -#39566=CARTESIAN_POINT('',(92.541395,67.679397,4.311724784545)); -#39567=CARTESIAN_POINT('Origin',(92.541395,66.127798,4.311724784545)); -#39568=CARTESIAN_POINT('',(92.541395,66.127798,4.311724784545)); -#39569=CARTESIAN_POINT('Origin',(91.789794,66.127798,4.311724784545)); -#39570=CARTESIAN_POINT('Origin',(81.712392,65.933198,4.311724784545)); -#39571=CARTESIAN_POINT('',(81.712392,65.181597,4.311724784545)); -#39572=CARTESIAN_POINT('',(81.712392,65.933198,4.311724784545)); -#39573=CARTESIAN_POINT('Origin',(83.263991,65.933198,4.311724784545)); -#39574=CARTESIAN_POINT('',(83.263991,65.933198,4.311724784545)); -#39575=CARTESIAN_POINT('Origin',(83.263991,65.181597,4.311724784545)); -#39576=CARTESIAN_POINT('',(83.263991,65.181597,4.311724784545)); -#39577=CARTESIAN_POINT('Origin',(81.712392,65.181597,4.311724784545)); -#39578=CARTESIAN_POINT('Origin',(84.787991,88.640798,4.311724784545)); -#39579=CARTESIAN_POINT('',(83.236392,88.640798,4.311724784545)); -#39580=CARTESIAN_POINT('',(84.787991,88.640798,4.311724784545)); -#39581=CARTESIAN_POINT('Origin',(84.787991,87.889197,4.311724784545)); -#39582=CARTESIAN_POINT('',(84.787991,87.889197,4.311724784545)); -#39583=CARTESIAN_POINT('Origin',(83.236392,87.889197,4.311724784545)); -#39584=CARTESIAN_POINT('',(83.236392,87.889197,4.311724784545)); -#39585=CARTESIAN_POINT('Origin',(83.236392,88.640798,4.311724784545)); -#39586=CARTESIAN_POINT('Origin',(83.236392,87.497798,4.311724784545)); -#39587=CARTESIAN_POINT('',(83.236392,86.746197,4.311724784545)); -#39588=CARTESIAN_POINT('',(83.236392,87.497798,4.311724784545)); -#39589=CARTESIAN_POINT('Origin',(84.787991,87.497798,4.311724784545)); -#39590=CARTESIAN_POINT('',(84.787991,87.497798,4.311724784545)); -#39591=CARTESIAN_POINT('Origin',(84.787991,86.746197,4.311724784545)); -#39592=CARTESIAN_POINT('',(84.787991,86.746197,4.311724784545)); -#39593=CARTESIAN_POINT('Origin',(83.236392,86.746197,4.311724784545)); -#39594=CARTESIAN_POINT('Origin',(86.8056,91.460203,4.311724784545)); -#39595=CARTESIAN_POINT('',(85.254,91.460203,4.311724784545)); -#39596=CARTESIAN_POINT('',(86.8056,91.460203,4.311724784545)); -#39597=CARTESIAN_POINT('Origin',(86.8056,90.708602,4.311724784545)); -#39598=CARTESIAN_POINT('',(86.8056,90.708602,4.311724784545)); -#39599=CARTESIAN_POINT('Origin',(85.254,90.708602,4.311724784545)); -#39600=CARTESIAN_POINT('',(85.254,90.708602,4.311724784545)); -#39601=CARTESIAN_POINT('Origin',(85.254,91.460203,4.311724784545)); -#39602=CARTESIAN_POINT('Origin',(90.2346,84.729203,4.311724784545)); -#39603=CARTESIAN_POINT('',(88.683,84.729203,4.311724784545)); -#39604=CARTESIAN_POINT('',(90.2346,84.729203,4.311724784545)); -#39605=CARTESIAN_POINT('Origin',(90.2346,83.977602,4.311724784545)); -#39606=CARTESIAN_POINT('',(90.2346,83.977602,4.311724784545)); -#39607=CARTESIAN_POINT('Origin',(88.683,83.977602,4.311724784545)); -#39608=CARTESIAN_POINT('',(88.683,83.977602,4.311724784545)); -#39609=CARTESIAN_POINT('Origin',(88.683,84.729203,4.311724784545)); -#39610=CARTESIAN_POINT('Origin',(92.493,81.300203,4.311724784545)); -#39611=CARTESIAN_POINT('',(92.493,80.548602,4.311724784545)); -#39612=CARTESIAN_POINT('',(92.493,81.300203,4.311724784545)); -#39613=CARTESIAN_POINT('Origin',(94.0446,81.300203,4.311724784545)); -#39614=CARTESIAN_POINT('',(94.0446,81.300203,4.311724784545)); -#39615=CARTESIAN_POINT('Origin',(94.0446,80.548602,4.311724784545)); -#39616=CARTESIAN_POINT('',(94.0446,80.548602,4.311724784545)); -#39617=CARTESIAN_POINT('Origin',(92.493,80.548602,4.311724784545)); -#39618=CARTESIAN_POINT('Origin',(92.493,80.157198,4.311724784545)); -#39619=CARTESIAN_POINT('',(92.493,79.405597,4.311724784545)); -#39620=CARTESIAN_POINT('',(92.493,80.157198,4.311724784545)); -#39621=CARTESIAN_POINT('Origin',(94.0446,80.157198,4.311724784545)); -#39622=CARTESIAN_POINT('',(94.0446,80.157198,4.311724784545)); -#39623=CARTESIAN_POINT('Origin',(94.0446,79.405597,4.311724784545)); -#39624=CARTESIAN_POINT('',(94.0446,79.405597,4.311724784545)); -#39625=CARTESIAN_POINT('Origin',(92.493,79.405597,4.311724784545)); -#39626=CARTESIAN_POINT('Origin',(98.404802,76.7472,4.311724784545)); -#39627=CARTESIAN_POINT('',(98.404802,75.1956,4.311724784545)); -#39628=CARTESIAN_POINT('',(98.404802,76.7472,4.311724784545)); -#39629=CARTESIAN_POINT('Origin',(99.156403,76.7472,4.311724784545)); -#39630=CARTESIAN_POINT('',(99.156403,76.7472,4.311724784545)); -#39631=CARTESIAN_POINT('Origin',(99.156403,75.1956,4.311724784545)); -#39632=CARTESIAN_POINT('',(99.156403,75.1956,4.311724784545)); -#39633=CARTESIAN_POINT('Origin',(98.404802,75.1956,4.311724784545)); -#39634=CARTESIAN_POINT('Origin',(98.789795,88.6344,4.311724784545)); -#39635=CARTESIAN_POINT('',(98.038194,88.6344,4.311724784545)); -#39636=CARTESIAN_POINT('',(98.789795,88.6344,4.311724784545)); -#39637=CARTESIAN_POINT('Origin',(98.789795,87.0828,4.311724784545)); -#39638=CARTESIAN_POINT('',(98.789795,87.0828,4.311724784545)); -#39639=CARTESIAN_POINT('Origin',(98.038194,87.0828,4.311724784545)); -#39640=CARTESIAN_POINT('',(98.038194,87.0828,4.311724784545)); -#39641=CARTESIAN_POINT('Origin',(98.038194,88.6344,4.311724784545)); -#39642=CARTESIAN_POINT('Origin',(102.313991,90.977598,4.311724784545)); -#39643=CARTESIAN_POINT('',(100.762392,90.977598,4.311724784545)); -#39644=CARTESIAN_POINT('',(102.313991,90.977598,4.311724784545)); -#39645=CARTESIAN_POINT('Origin',(102.313991,90.225997,4.311724784545)); -#39646=CARTESIAN_POINT('',(102.313991,90.225997,4.311724784545)); -#39647=CARTESIAN_POINT('Origin',(100.762392,90.225997,4.311724784545)); -#39648=CARTESIAN_POINT('',(100.762392,90.225997,4.311724784545)); -#39649=CARTESIAN_POINT('Origin',(100.762392,90.977598,4.311724784545)); -#39650=CARTESIAN_POINT('Origin',(102.015595,97.2196,4.311724784545)); -#39651=CARTESIAN_POINT('',(101.263994,97.2196,4.311724784545)); -#39652=CARTESIAN_POINT('',(102.015595,97.2196,4.311724784545)); -#39653=CARTESIAN_POINT('Origin',(102.015595,95.668,4.311724784545)); -#39654=CARTESIAN_POINT('',(102.015595,95.668,4.311724784545)); -#39655=CARTESIAN_POINT('Origin',(101.263994,95.668,4.311724784545)); -#39656=CARTESIAN_POINT('',(101.263994,95.668,4.311724784545)); -#39657=CARTESIAN_POINT('Origin',(101.263994,97.2196,4.311724784545)); -#39658=CARTESIAN_POINT('Origin',(100.832798,97.2196,4.311724784545)); -#39659=CARTESIAN_POINT('',(100.081197,97.2196,4.311724784545)); -#39660=CARTESIAN_POINT('',(100.832798,97.2196,4.311724784545)); -#39661=CARTESIAN_POINT('Origin',(100.832798,95.668,4.311724784545)); -#39662=CARTESIAN_POINT('',(100.832798,95.668,4.311724784545)); -#39663=CARTESIAN_POINT('Origin',(100.081197,95.668,4.311724784545)); -#39664=CARTESIAN_POINT('',(100.081197,95.668,4.311724784545)); -#39665=CARTESIAN_POINT('Origin',(100.081197,97.2196,4.311724784545)); -#39666=CARTESIAN_POINT('Origin',(99.689798,97.2196,4.311724784545)); -#39667=CARTESIAN_POINT('',(98.938197,97.2196,4.311724784545)); -#39668=CARTESIAN_POINT('',(99.689798,97.2196,4.311724784545)); -#39669=CARTESIAN_POINT('Origin',(99.689798,95.668,4.311724784545)); -#39670=CARTESIAN_POINT('',(99.689798,95.668,4.311724784545)); -#39671=CARTESIAN_POINT('Origin',(98.938197,95.668,4.311724784545)); -#39672=CARTESIAN_POINT('',(98.938197,95.668,4.311724784545)); -#39673=CARTESIAN_POINT('Origin',(98.938197,97.2196,4.311724784545)); -#39674=CARTESIAN_POINT('Origin',(97.3466,96.540203,4.311724784545)); -#39675=CARTESIAN_POINT('',(95.795,96.540203,4.311724784545)); -#39676=CARTESIAN_POINT('',(97.3466,96.540203,4.311724784545)); -#39677=CARTESIAN_POINT('Origin',(97.3466,95.788602,4.311724784545)); -#39678=CARTESIAN_POINT('',(97.3466,95.788602,4.311724784545)); -#39679=CARTESIAN_POINT('Origin',(95.795,95.788602,4.311724784545)); -#39680=CARTESIAN_POINT('',(95.795,95.788602,4.311724784545)); -#39681=CARTESIAN_POINT('Origin',(95.795,96.540203,4.311724784545)); -#39682=CARTESIAN_POINT('Origin',(93.873198,100.4454,4.311724784545)); -#39683=CARTESIAN_POINT('',(93.121597,100.4454,4.311724784545)); -#39684=CARTESIAN_POINT('',(93.873198,100.4454,4.311724784545)); -#39685=CARTESIAN_POINT('Origin',(93.873198,98.8938,4.311724784545)); -#39686=CARTESIAN_POINT('',(93.873198,98.8938,4.311724784545)); -#39687=CARTESIAN_POINT('Origin',(93.121597,98.8938,4.311724784545)); -#39688=CARTESIAN_POINT('',(93.121597,98.8938,4.311724784545)); -#39689=CARTESIAN_POINT('Origin',(93.121597,100.4454,4.311724784545)); -#39690=CARTESIAN_POINT('Origin',(97.3466,99.639003,4.311724784545)); -#39691=CARTESIAN_POINT('',(95.795,99.639003,4.311724784545)); -#39692=CARTESIAN_POINT('',(97.3466,99.639003,4.311724784545)); -#39693=CARTESIAN_POINT('Origin',(97.3466,98.8938,4.311724784545)); -#39694=CARTESIAN_POINT('',(97.3466,98.8938,4.311724784545)); -#39695=CARTESIAN_POINT('Origin',(95.795,98.8938,4.311724784545)); -#39696=CARTESIAN_POINT('',(95.795,98.8938,4.311724784545)); -#39697=CARTESIAN_POINT('Origin',(95.795,99.639003,4.311724784545)); -#39698=CARTESIAN_POINT('Origin',(85.52659,70.807801,4.311724784545)); -#39699=CARTESIAN_POINT('',(85.52659,71.990999,5.111724784545)); -#39700=CARTESIAN_POINT('',(85.52659,71.990999,4.311724784545)); -#39701=CARTESIAN_POINT('',(85.52659,70.807801,5.111724784545)); -#39702=CARTESIAN_POINT('',(85.52659,70.807801,5.111724784545)); -#39703=CARTESIAN_POINT('',(85.52659,70.807801,4.311724784545)); -#39704=CARTESIAN_POINT('Origin',(82.853388,70.807801,4.311724784545)); -#39705=CARTESIAN_POINT('',(82.853388,70.807801,5.111724784545)); -#39706=CARTESIAN_POINT('',(82.853388,70.807801,5.111724784545)); -#39707=CARTESIAN_POINT('',(82.853388,70.807801,4.311724784545)); -#39708=CARTESIAN_POINT('Origin',(82.853388,71.990999,4.311724784545)); -#39709=CARTESIAN_POINT('',(82.853388,71.990999,5.111724784545)); -#39710=CARTESIAN_POINT('',(82.853388,71.990999,5.111724784545)); -#39711=CARTESIAN_POINT('',(82.853388,71.990999,4.311724784545)); -#39712=CARTESIAN_POINT('Origin',(85.52659,71.990999,4.311724784545)); -#39713=CARTESIAN_POINT('',(85.52659,71.990999,5.111724784545)); -#39714=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#39715=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#39716=CARTESIAN_POINT('',(86.697193,57.591401,5.111724784545)); -#39717=CARTESIAN_POINT('',(87.880391,57.591401,5.111724784545)); -#39718=CARTESIAN_POINT('',(86.697193,57.591401,5.111724784545)); -#39719=CARTESIAN_POINT('',(87.880391,60.264604,5.111724784545)); -#39720=CARTESIAN_POINT('',(87.880391,57.591401,5.111724784545)); -#39721=CARTESIAN_POINT('',(86.697193,60.264604,5.111724784545)); -#39722=CARTESIAN_POINT('',(87.880391,60.264604,5.111724784545)); -#39723=CARTESIAN_POINT('',(86.697193,60.264604,5.111724784545)); -#39724=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#39725=CARTESIAN_POINT('',(93.417591,62.112601,5.111724784545)); -#39726=CARTESIAN_POINT('',(93.417591,64.785804,5.111724784545)); -#39727=CARTESIAN_POINT('',(93.417591,62.112601,5.111724784545)); -#39728=CARTESIAN_POINT('',(92.234393,64.785804,5.111724784545)); -#39729=CARTESIAN_POINT('',(93.417591,64.785804,5.111724784545)); -#39730=CARTESIAN_POINT('',(92.234393,62.112601,5.111724784545)); -#39731=CARTESIAN_POINT('',(92.234393,64.785804,5.111724784545)); -#39732=CARTESIAN_POINT('',(92.234393,62.112601,5.111724784545)); -#39733=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#39734=CARTESIAN_POINT('',(94.992391,64.785804,5.111724784545)); -#39735=CARTESIAN_POINT('',(93.809193,64.785804,5.111724784545)); -#39736=CARTESIAN_POINT('',(94.992391,64.785804,5.111724784545)); -#39737=CARTESIAN_POINT('',(93.809193,62.112601,5.111724784545)); -#39738=CARTESIAN_POINT('',(93.809193,64.785804,5.111724784545)); -#39739=CARTESIAN_POINT('',(94.992391,62.112601,5.111724784545)); -#39740=CARTESIAN_POINT('',(93.809193,62.112601,5.111724784545)); -#39741=CARTESIAN_POINT('',(94.992391,62.112601,5.111724784545)); -#39742=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#39743=CARTESIAN_POINT('',(101.604796,61.627799,5.111724784545)); -#39744=CARTESIAN_POINT('',(98.931593,61.627799,5.111724784545)); -#39745=CARTESIAN_POINT('',(101.604796,61.627799,5.111724784545)); -#39746=CARTESIAN_POINT('',(98.931593,60.444601,5.111724784545)); -#39747=CARTESIAN_POINT('',(98.931593,61.627799,5.111724784545)); -#39748=CARTESIAN_POINT('',(101.604796,60.444601,5.111724784545)); -#39749=CARTESIAN_POINT('',(98.931593,60.444601,5.111724784545)); -#39750=CARTESIAN_POINT('',(101.604796,60.444601,5.111724784545)); -#39751=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#39752=CARTESIAN_POINT('',(98.150396,61.272199,5.111724784545)); -#39753=CARTESIAN_POINT('',(95.477193,61.272199,5.111724784545)); -#39754=CARTESIAN_POINT('',(98.150396,61.272199,5.111724784545)); -#39755=CARTESIAN_POINT('',(95.477193,60.089001,5.111724784545)); -#39756=CARTESIAN_POINT('',(95.477193,61.272199,5.111724784545)); -#39757=CARTESIAN_POINT('',(98.150396,60.089001,5.111724784545)); -#39758=CARTESIAN_POINT('',(95.477193,60.089001,5.111724784545)); -#39759=CARTESIAN_POINT('',(98.150396,60.089001,5.111724784545)); -#39760=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#39761=CARTESIAN_POINT('',(95.527993,59.697399,5.111724784545)); -#39762=CARTESIAN_POINT('',(95.527993,58.514201,5.111724784545)); -#39763=CARTESIAN_POINT('',(95.527993,59.697399,5.111724784545)); -#39764=CARTESIAN_POINT('',(98.201196,58.514201,5.111724784545)); -#39765=CARTESIAN_POINT('',(95.527993,58.514201,5.111724784545)); -#39766=CARTESIAN_POINT('',(98.201196,59.697399,5.111724784545)); -#39767=CARTESIAN_POINT('',(98.201196,58.514201,5.111724784545)); -#39768=CARTESIAN_POINT('',(98.201196,59.697399,5.111724784545)); -#39769=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#39770=CARTESIAN_POINT('',(92.691999,101.209404,5.111724784545)); -#39771=CARTESIAN_POINT('',(91.508801,101.209404,5.111724784545)); -#39772=CARTESIAN_POINT('',(92.691999,101.209404,5.111724784545)); -#39773=CARTESIAN_POINT('',(91.508801,98.536201,5.111724784545)); -#39774=CARTESIAN_POINT('',(91.508801,101.209404,5.111724784545)); -#39775=CARTESIAN_POINT('',(92.691999,98.536201,5.111724784545)); -#39776=CARTESIAN_POINT('',(91.508801,98.536201,5.111724784545)); -#39777=CARTESIAN_POINT('',(92.691999,98.536201,5.111724784545)); -#39778=CARTESIAN_POINT('Origin',(86.697193,57.591401,4.311724784545)); -#39779=CARTESIAN_POINT('',(87.880391,57.591401,4.311724784545)); -#39780=CARTESIAN_POINT('',(86.697193,57.591401,4.311724784545)); -#39781=CARTESIAN_POINT('Origin',(86.697193,60.264604,4.311724784545)); -#39782=CARTESIAN_POINT('',(86.697193,60.264604,4.311724784545)); -#39783=CARTESIAN_POINT('Origin',(87.880391,60.264604,4.311724784545)); -#39784=CARTESIAN_POINT('',(87.880391,60.264604,4.311724784545)); -#39785=CARTESIAN_POINT('Origin',(87.880391,57.591401,4.311724784545)); -#39786=CARTESIAN_POINT('Origin',(93.417591,62.112601,4.311724784545)); -#39787=CARTESIAN_POINT('',(93.417591,64.785804,4.311724784545)); -#39788=CARTESIAN_POINT('',(93.417591,62.112601,4.311724784545)); -#39789=CARTESIAN_POINT('Origin',(92.234393,62.112601,4.311724784545)); -#39790=CARTESIAN_POINT('',(92.234393,62.112601,4.311724784545)); -#39791=CARTESIAN_POINT('Origin',(92.234393,64.785804,4.311724784545)); -#39792=CARTESIAN_POINT('',(92.234393,64.785804,4.311724784545)); -#39793=CARTESIAN_POINT('Origin',(93.417591,64.785804,4.311724784545)); -#39794=CARTESIAN_POINT('Origin',(94.992391,64.785804,4.311724784545)); -#39795=CARTESIAN_POINT('',(93.809193,64.785804,4.311724784545)); -#39796=CARTESIAN_POINT('',(94.992391,64.785804,4.311724784545)); -#39797=CARTESIAN_POINT('Origin',(94.992391,62.112601,4.311724784545)); -#39798=CARTESIAN_POINT('',(94.992391,62.112601,4.311724784545)); -#39799=CARTESIAN_POINT('Origin',(93.809193,62.112601,4.311724784545)); -#39800=CARTESIAN_POINT('',(93.809193,62.112601,4.311724784545)); -#39801=CARTESIAN_POINT('Origin',(93.809193,64.785804,4.311724784545)); -#39802=CARTESIAN_POINT('Origin',(101.604796,61.627799,4.311724784545)); -#39803=CARTESIAN_POINT('',(98.931593,61.627799,4.311724784545)); -#39804=CARTESIAN_POINT('',(101.604796,61.627799,4.311724784545)); -#39805=CARTESIAN_POINT('Origin',(101.604796,60.444601,4.311724784545)); -#39806=CARTESIAN_POINT('',(101.604796,60.444601,4.311724784545)); -#39807=CARTESIAN_POINT('Origin',(98.931593,60.444601,4.311724784545)); -#39808=CARTESIAN_POINT('',(98.931593,60.444601,4.311724784545)); -#39809=CARTESIAN_POINT('Origin',(98.931593,61.627799,4.311724784545)); -#39810=CARTESIAN_POINT('Origin',(98.150396,61.272199,4.311724784545)); -#39811=CARTESIAN_POINT('',(95.477193,61.272199,4.311724784545)); -#39812=CARTESIAN_POINT('',(98.150396,61.272199,4.311724784545)); -#39813=CARTESIAN_POINT('Origin',(98.150396,60.089001,4.311724784545)); -#39814=CARTESIAN_POINT('',(98.150396,60.089001,4.311724784545)); -#39815=CARTESIAN_POINT('Origin',(95.477193,60.089001,4.311724784545)); -#39816=CARTESIAN_POINT('',(95.477193,60.089001,4.311724784545)); -#39817=CARTESIAN_POINT('Origin',(95.477193,61.272199,4.311724784545)); -#39818=CARTESIAN_POINT('Origin',(95.527993,59.697399,4.311724784545)); -#39819=CARTESIAN_POINT('',(95.527993,58.514201,4.311724784545)); -#39820=CARTESIAN_POINT('',(95.527993,59.697399,4.311724784545)); -#39821=CARTESIAN_POINT('Origin',(98.201196,59.697399,4.311724784545)); -#39822=CARTESIAN_POINT('',(98.201196,59.697399,4.311724784545)); -#39823=CARTESIAN_POINT('Origin',(98.201196,58.514201,4.311724784545)); -#39824=CARTESIAN_POINT('',(98.201196,58.514201,4.311724784545)); -#39825=CARTESIAN_POINT('Origin',(95.527993,58.514201,4.311724784545)); -#39826=CARTESIAN_POINT('Origin',(92.691999,101.209404,4.311724784545)); -#39827=CARTESIAN_POINT('',(91.508801,101.209404,4.311724784545)); -#39828=CARTESIAN_POINT('',(92.691999,101.209404,4.311724784545)); -#39829=CARTESIAN_POINT('Origin',(92.691999,98.536201,4.311724784545)); -#39830=CARTESIAN_POINT('',(92.691999,98.536201,4.311724784545)); -#39831=CARTESIAN_POINT('Origin',(91.508801,98.536201,4.311724784545)); -#39832=CARTESIAN_POINT('',(91.508801,98.536201,4.311724784545)); -#39833=CARTESIAN_POINT('Origin',(91.508801,101.209404,4.311724784545)); -#39834=CARTESIAN_POINT('Origin',(91.1082,101.495202,4.311724784545)); -#39835=CARTESIAN_POINT('',(89.435,101.495202,5.511724784545)); -#39836=CARTESIAN_POINT('',(89.435,101.495202,4.311724784545)); -#39837=CARTESIAN_POINT('',(91.1082,101.495202,5.511724784545)); -#39838=CARTESIAN_POINT('',(91.1082,101.495202,5.511724784545)); -#39839=CARTESIAN_POINT('',(91.1082,101.495202,4.311724784545)); -#39840=CARTESIAN_POINT('Origin',(91.1082,98.352003,4.311724784545)); -#39841=CARTESIAN_POINT('',(91.1082,98.352003,5.511724784545)); -#39842=CARTESIAN_POINT('',(91.1082,98.352003,5.511724784545)); -#39843=CARTESIAN_POINT('',(91.1082,98.352003,4.311724784545)); -#39844=CARTESIAN_POINT('Origin',(89.435,98.352003,4.311724784545)); -#39845=CARTESIAN_POINT('',(89.435,98.352003,5.511724784545)); -#39846=CARTESIAN_POINT('',(89.435,98.352003,5.511724784545)); -#39847=CARTESIAN_POINT('',(89.435,98.352003,4.311724784545)); -#39848=CARTESIAN_POINT('Origin',(89.435,101.495202,4.311724784545)); -#39849=CARTESIAN_POINT('',(89.435,101.495202,5.511724784545)); -#39850=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#39851=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#39852=CARTESIAN_POINT('',(89.0508,101.520602,5.511724784545)); -#39853=CARTESIAN_POINT('',(87.3776,101.520602,5.511724784545)); -#39854=CARTESIAN_POINT('',(89.0508,101.520602,5.511724784545)); -#39855=CARTESIAN_POINT('',(87.3776,98.377403,5.511724784545)); -#39856=CARTESIAN_POINT('',(87.3776,101.520602,5.511724784545)); -#39857=CARTESIAN_POINT('',(89.0508,98.377403,5.511724784545)); -#39858=CARTESIAN_POINT('',(87.3776,98.377403,5.511724784545)); -#39859=CARTESIAN_POINT('',(89.0508,98.377403,5.511724784545)); -#39860=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#39861=CARTESIAN_POINT('',(85.634589,68.734,5.511724784545)); -#39862=CARTESIAN_POINT('',(85.634589,70.4072,5.511724784545)); -#39863=CARTESIAN_POINT('',(85.634589,68.734,5.511724784545)); -#39864=CARTESIAN_POINT('',(82.491389,70.4072,5.511724784545)); -#39865=CARTESIAN_POINT('',(85.634589,70.4072,5.511724784545)); -#39866=CARTESIAN_POINT('',(82.491389,68.734,5.511724784545)); -#39867=CARTESIAN_POINT('',(82.491389,70.4072,5.511724784545)); -#39868=CARTESIAN_POINT('',(82.491389,68.734,5.511724784545)); -#39869=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#39870=CARTESIAN_POINT('',(86.296591,60.398002,5.511724784545)); -#39871=CARTESIAN_POINT('',(84.623392,60.398002,5.511724784545)); -#39872=CARTESIAN_POINT('',(86.296591,60.398002,5.511724784545)); -#39873=CARTESIAN_POINT('',(84.623392,57.254803,5.511724784545)); -#39874=CARTESIAN_POINT('',(84.623392,60.398002,5.511724784545)); -#39875=CARTESIAN_POINT('',(86.296591,57.254803,5.511724784545)); -#39876=CARTESIAN_POINT('',(84.623392,57.254803,5.511724784545)); -#39877=CARTESIAN_POINT('',(86.296591,57.254803,5.511724784545)); -#39878=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#39879=CARTESIAN_POINT('',(101.738194,60.044,5.511724784545)); -#39880=CARTESIAN_POINT('',(98.594995,60.044,5.511724784545)); -#39881=CARTESIAN_POINT('',(101.738194,60.044,5.511724784545)); -#39882=CARTESIAN_POINT('',(98.594995,58.3708,5.511724784545)); -#39883=CARTESIAN_POINT('',(98.594995,60.044,5.511724784545)); -#39884=CARTESIAN_POINT('',(101.738194,58.3708,5.511724784545)); -#39885=CARTESIAN_POINT('',(98.594995,58.3708,5.511724784545)); -#39886=CARTESIAN_POINT('',(101.738194,58.3708,5.511724784545)); -#39887=CARTESIAN_POINT('Origin',(89.0508,101.520602,4.311724784545)); -#39888=CARTESIAN_POINT('',(87.3776,101.520602,4.311724784545)); -#39889=CARTESIAN_POINT('',(89.0508,101.520602,4.311724784545)); -#39890=CARTESIAN_POINT('Origin',(89.0508,98.377403,4.311724784545)); -#39891=CARTESIAN_POINT('',(89.0508,98.377403,4.311724784545)); -#39892=CARTESIAN_POINT('Origin',(87.3776,98.377403,4.311724784545)); -#39893=CARTESIAN_POINT('',(87.3776,98.377403,4.311724784545)); -#39894=CARTESIAN_POINT('Origin',(87.3776,101.520602,4.311724784545)); -#39895=CARTESIAN_POINT('Origin',(85.634589,68.734,4.311724784545)); -#39896=CARTESIAN_POINT('',(85.634589,70.4072,4.311724784545)); -#39897=CARTESIAN_POINT('',(85.634589,68.734,4.311724784545)); -#39898=CARTESIAN_POINT('Origin',(82.491389,68.734,4.311724784545)); -#39899=CARTESIAN_POINT('',(82.491389,68.734,4.311724784545)); -#39900=CARTESIAN_POINT('Origin',(82.491389,70.4072,4.311724784545)); -#39901=CARTESIAN_POINT('',(82.491389,70.4072,4.311724784545)); -#39902=CARTESIAN_POINT('Origin',(85.634589,70.4072,4.311724784545)); -#39903=CARTESIAN_POINT('Origin',(86.296591,60.398002,4.311724784545)); -#39904=CARTESIAN_POINT('',(84.623392,60.398002,4.311724784545)); -#39905=CARTESIAN_POINT('',(86.296591,60.398002,4.311724784545)); -#39906=CARTESIAN_POINT('Origin',(86.296591,57.254803,4.311724784545)); -#39907=CARTESIAN_POINT('',(86.296591,57.254803,4.311724784545)); -#39908=CARTESIAN_POINT('Origin',(84.623392,57.254803,4.311724784545)); -#39909=CARTESIAN_POINT('',(84.623392,57.254803,4.311724784545)); -#39910=CARTESIAN_POINT('Origin',(84.623392,60.398002,4.311724784545)); -#39911=CARTESIAN_POINT('Origin',(101.738194,60.044,4.311724784545)); -#39912=CARTESIAN_POINT('',(98.594995,60.044,4.311724784545)); -#39913=CARTESIAN_POINT('',(101.738194,60.044,4.311724784545)); -#39914=CARTESIAN_POINT('Origin',(101.738194,58.3708,4.311724784545)); -#39915=CARTESIAN_POINT('',(101.738194,58.3708,4.311724784545)); -#39916=CARTESIAN_POINT('Origin',(98.594995,58.3708,4.311724784545)); -#39917=CARTESIAN_POINT('',(98.594995,58.3708,4.311724784545)); -#39918=CARTESIAN_POINT('Origin',(98.594995,60.044,4.311724784545)); -#39919=CARTESIAN_POINT('Origin',(85.559191,68.336996,4.311724784545)); -#39920=CARTESIAN_POINT('',(81.245992,68.336996,5.811724784545)); -#39921=CARTESIAN_POINT('',(81.245992,68.336996,4.311724784545)); -#39922=CARTESIAN_POINT('',(85.559191,68.336996,5.811724784545)); -#39923=CARTESIAN_POINT('',(85.559191,68.336996,5.811724784545)); -#39924=CARTESIAN_POINT('',(85.559191,68.336996,4.311724784545)); -#39925=CARTESIAN_POINT('Origin',(85.559191,66.333799,4.311724784545)); -#39926=CARTESIAN_POINT('',(85.559191,66.333799,5.811724784545)); -#39927=CARTESIAN_POINT('',(85.559191,66.333799,5.811724784545)); -#39928=CARTESIAN_POINT('',(85.559191,66.333799,4.311724784545)); -#39929=CARTESIAN_POINT('Origin',(81.245992,66.333799,4.311724784545)); -#39930=CARTESIAN_POINT('',(81.245992,66.333799,5.811724784545)); -#39931=CARTESIAN_POINT('',(81.245992,66.333799,5.811724784545)); -#39932=CARTESIAN_POINT('',(81.245992,66.333799,4.311724784545)); -#39933=CARTESIAN_POINT('Origin',(81.245992,68.336996,4.311724784545)); -#39934=CARTESIAN_POINT('',(81.245992,68.336996,5.811724784545)); -#39935=CARTESIAN_POINT('Origin',(0.,0.,5.811724784545)); -#39936=CARTESIAN_POINT('Origin',(0.,0.,5.811724784545)); -#39937=CARTESIAN_POINT('',(82.197791,60.5258,5.811724784545)); -#39938=CARTESIAN_POINT('',(82.197791,56.2126,5.811724784545)); -#39939=CARTESIAN_POINT('',(82.197791,60.5258,5.811724784545)); -#39940=CARTESIAN_POINT('',(84.200987,56.2126,5.811724784545)); -#39941=CARTESIAN_POINT('',(82.197791,56.2126,5.811724784545)); -#39942=CARTESIAN_POINT('',(84.200987,60.5258,5.811724784545)); -#39943=CARTESIAN_POINT('',(84.200987,56.2126,5.811724784545)); -#39944=CARTESIAN_POINT('',(84.200987,60.5258,5.811724784545)); -#39945=CARTESIAN_POINT('Origin',(82.197791,60.5258,4.311724784545)); -#39946=CARTESIAN_POINT('',(82.197791,56.2126,4.311724784545)); -#39947=CARTESIAN_POINT('',(82.197791,60.5258,4.311724784545)); -#39948=CARTESIAN_POINT('Origin',(84.200987,60.5258,4.311724784545)); -#39949=CARTESIAN_POINT('',(84.200987,60.5258,4.311724784545)); -#39950=CARTESIAN_POINT('Origin',(84.200987,56.2126,4.311724784545)); -#39951=CARTESIAN_POINT('',(84.200987,56.2126,4.311724784545)); -#39952=CARTESIAN_POINT('Origin',(82.197791,56.2126,4.311724784545)); -#39953=CARTESIAN_POINT('Origin',(102.94379,69.650399,4.311724784545)); -#39954=CARTESIAN_POINT('',(101.910594,69.650399,4.811724784545)); -#39955=CARTESIAN_POINT('',(101.910594,69.650399,4.311724784545)); -#39956=CARTESIAN_POINT('',(102.94379,69.650399,4.811724784545)); -#39957=CARTESIAN_POINT('',(102.94379,69.650399,4.811724784545)); -#39958=CARTESIAN_POINT('',(102.94379,69.650399,4.311724784545)); -#39959=CARTESIAN_POINT('Origin',(102.94379,66.087201,4.311724784545)); -#39960=CARTESIAN_POINT('',(102.94379,67.018799,4.811724784545)); -#39961=CARTESIAN_POINT('',(102.94379,67.018799,4.311724784545)); -#39962=CARTESIAN_POINT('',(102.94379,66.087201,4.811724784545)); -#39963=CARTESIAN_POINT('',(102.94379,66.087201,4.811724784545)); -#39964=CARTESIAN_POINT('',(102.94379,66.087201,4.311724784545)); -#39965=CARTESIAN_POINT('Origin',(102.94379,66.087201,4.311724784545)); -#39966=CARTESIAN_POINT('',(102.94379,68.718801,4.811724784545)); -#39967=CARTESIAN_POINT('',(102.94379,68.718801,4.811724784545)); -#39968=CARTESIAN_POINT('',(102.94379,68.718801,4.311724784545)); -#39969=CARTESIAN_POINT('Origin',(101.910594,66.087201,4.311724784545)); -#39970=CARTESIAN_POINT('',(101.910594,66.087201,4.811724784545)); -#39971=CARTESIAN_POINT('',(101.910594,66.087201,4.811724784545)); -#39972=CARTESIAN_POINT('',(101.910594,66.087201,4.311724784545)); -#39973=CARTESIAN_POINT('Origin',(101.910594,69.650399,4.311724784545)); -#39974=CARTESIAN_POINT('',(101.910594,68.718801,4.811724784545)); -#39975=CARTESIAN_POINT('',(101.910594,68.718801,4.311724784545)); -#39976=CARTESIAN_POINT('',(101.910594,69.650399,4.811724784545)); -#39977=CARTESIAN_POINT('Origin',(101.910594,69.650399,4.311724784545)); -#39978=CARTESIAN_POINT('',(101.910594,67.018799,4.811724784545)); -#39979=CARTESIAN_POINT('',(101.910594,67.018799,4.811724784545)); -#39980=CARTESIAN_POINT('',(101.910594,67.018799,4.311724784545)); -#39981=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39982=CARTESIAN_POINT('',(101.910594,68.718801,4.811724784545)); -#39983=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39984=CARTESIAN_POINT('',(101.910594,67.018799,4.811724784545)); -#39985=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39986=CARTESIAN_POINT('',(101.368995,68.718801,4.811724784545)); -#39987=CARTESIAN_POINT('',(100.335789,68.718801,4.811724784545)); -#39988=CARTESIAN_POINT('',(101.368995,68.718801,4.811724784545)); -#39989=CARTESIAN_POINT('',(101.368995,69.650399,4.811724784545)); -#39990=CARTESIAN_POINT('',(101.368995,68.718801,4.811724784545)); -#39991=CARTESIAN_POINT('',(100.335789,69.650399,4.811724784545)); -#39992=CARTESIAN_POINT('',(101.368995,69.650399,4.811724784545)); -#39993=CARTESIAN_POINT('',(100.335789,69.650399,4.811724784545)); -#39994=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#39995=CARTESIAN_POINT('',(101.368995,67.018799,4.811724784545)); -#39996=CARTESIAN_POINT('',(100.335789,67.018799,4.811724784545)); -#39997=CARTESIAN_POINT('',(101.368995,67.018799,4.811724784545)); -#39998=CARTESIAN_POINT('',(100.335789,66.087201,4.811724784545)); -#39999=CARTESIAN_POINT('',(100.335789,67.018799,4.811724784545)); -#40000=CARTESIAN_POINT('',(101.368995,66.087201,4.811724784545)); -#40001=CARTESIAN_POINT('',(100.335789,66.087201,4.811724784545)); -#40002=CARTESIAN_POINT('',(101.368995,66.087201,4.811724784545)); -#40003=CARTESIAN_POINT('Origin',(103.052189,68.718801,4.311724784545)); -#40004=CARTESIAN_POINT('',(101.802194,68.718801,5.111724784545)); -#40005=CARTESIAN_POINT('',(101.802194,68.718801,4.311724784545)); -#40006=CARTESIAN_POINT('',(103.052189,68.718801,5.111724784545)); -#40007=CARTESIAN_POINT('',(103.052189,68.718801,5.111724784545)); -#40008=CARTESIAN_POINT('',(103.052189,68.718801,4.311724784545)); -#40009=CARTESIAN_POINT('Origin',(103.052189,67.018799,4.311724784545)); -#40010=CARTESIAN_POINT('',(103.052189,67.018799,5.111724784545)); -#40011=CARTESIAN_POINT('',(103.052189,67.018799,5.111724784545)); -#40012=CARTESIAN_POINT('',(103.052189,67.018799,4.311724784545)); -#40013=CARTESIAN_POINT('Origin',(101.802194,67.018799,4.311724784545)); -#40014=CARTESIAN_POINT('',(101.802194,67.018799,5.111724784545)); -#40015=CARTESIAN_POINT('',(101.802194,67.018799,5.111724784545)); -#40016=CARTESIAN_POINT('',(101.802194,67.018799,4.311724784545)); -#40017=CARTESIAN_POINT('Origin',(101.802194,68.718801,4.311724784545)); -#40018=CARTESIAN_POINT('',(101.802194,68.718801,5.111724784545)); -#40019=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#40020=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#40021=CARTESIAN_POINT('',(101.477389,68.718801,5.111724784545)); -#40022=CARTESIAN_POINT('',(100.227394,68.718801,5.111724784545)); -#40023=CARTESIAN_POINT('',(101.477389,68.718801,5.111724784545)); -#40024=CARTESIAN_POINT('',(100.227394,67.018799,5.111724784545)); -#40025=CARTESIAN_POINT('',(100.227394,68.718801,5.111724784545)); -#40026=CARTESIAN_POINT('',(101.477389,67.018799,5.111724784545)); -#40027=CARTESIAN_POINT('',(100.227394,67.018799,5.111724784545)); -#40028=CARTESIAN_POINT('',(101.477389,67.018799,5.111724784545)); -#40029=CARTESIAN_POINT('Origin',(101.477389,68.718801,4.311724784545)); -#40030=CARTESIAN_POINT('',(100.335789,68.718801,4.311724784545)); -#40031=CARTESIAN_POINT('',(100.227394,68.718801,4.311724784545)); -#40032=CARTESIAN_POINT('',(101.477389,68.718801,4.311724784545)); -#40033=CARTESIAN_POINT('',(101.368995,68.718801,4.311724784545)); -#40034=CARTESIAN_POINT('Origin',(101.368995,66.087201,4.311724784545)); -#40035=CARTESIAN_POINT('',(101.368995,67.018799,4.311724784545)); -#40036=CARTESIAN_POINT('',(101.368995,66.087201,4.311724784545)); -#40037=CARTESIAN_POINT('Origin',(101.368995,66.087201,4.311724784545)); -#40038=CARTESIAN_POINT('',(101.368995,69.650399,4.311724784545)); -#40039=CARTESIAN_POINT('Origin',(100.335789,66.087201,4.311724784545)); -#40040=CARTESIAN_POINT('',(100.335789,66.087201,4.311724784545)); -#40041=CARTESIAN_POINT('Origin',(100.335789,69.650399,4.311724784545)); -#40042=CARTESIAN_POINT('',(100.335789,69.650399,4.311724784545)); -#40043=CARTESIAN_POINT('Origin',(100.335789,69.650399,4.311724784545)); -#40044=CARTESIAN_POINT('',(100.335789,67.018799,4.311724784545)); -#40045=CARTESIAN_POINT('Origin',(101.368995,69.650399,4.311724784545)); -#40046=CARTESIAN_POINT('Origin',(100.227394,67.018799,4.311724784545)); -#40047=CARTESIAN_POINT('',(101.477389,67.018799,4.311724784545)); -#40048=CARTESIAN_POINT('',(100.227394,67.018799,4.311724784545)); -#40049=CARTESIAN_POINT('Origin',(100.227394,68.718801,4.311724784545)); -#40050=CARTESIAN_POINT('Origin',(101.477389,67.018799,4.311724784545)); -#40051=CARTESIAN_POINT('Origin',(97.365792,68.158411,4.311724784545)); -#40052=CARTESIAN_POINT('',(97.365792,69.072811,4.811724784545)); -#40053=CARTESIAN_POINT('',(97.365792,69.072811,4.311724784545)); -#40054=CARTESIAN_POINT('',(97.365792,68.158411,4.811724784545)); -#40055=CARTESIAN_POINT('',(97.365792,68.158411,4.811724784545)); -#40056=CARTESIAN_POINT('',(97.365792,68.158411,4.311724784545)); -#40057=CARTESIAN_POINT('Origin',(96.515791,68.158411,4.311724784545)); -#40058=CARTESIAN_POINT('',(96.515791,68.158411,4.811724784545)); -#40059=CARTESIAN_POINT('',(96.515791,68.158411,4.811724784545)); -#40060=CARTESIAN_POINT('',(96.515791,68.158411,4.311724784545)); -#40061=CARTESIAN_POINT('Origin',(96.515791,66.188989,4.311724784545)); -#40062=CARTESIAN_POINT('',(96.515791,66.290589,4.811724784545)); -#40063=CARTESIAN_POINT('',(96.515791,67.14059,4.811724784545)); -#40064=CARTESIAN_POINT('',(96.515791,66.290589,4.811724784545)); -#40065=CARTESIAN_POINT('',(96.515791,67.14059,4.311724784545)); -#40066=CARTESIAN_POINT('',(96.515791,69.072811,4.811724784545)); -#40067=CARTESIAN_POINT('',(96.515791,68.158411,4.811724784545)); -#40068=CARTESIAN_POINT('',(96.515791,69.072811,4.311724784545)); -#40069=CARTESIAN_POINT('',(96.515791,69.174411,5.511724784545)); -#40070=CARTESIAN_POINT('',(96.515791,69.174411,4.311724784545)); -#40071=CARTESIAN_POINT('',(96.515791,66.188989,5.511724784545)); -#40072=CARTESIAN_POINT('',(96.515791,66.188989,5.511724784545)); -#40073=CARTESIAN_POINT('',(96.515791,66.188989,4.311724784545)); -#40074=CARTESIAN_POINT('',(96.515791,66.290589,4.311724784545)); -#40075=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40076=CARTESIAN_POINT('',(89.650992,64.018211,4.811724784545)); -#40077=CARTESIAN_POINT('',(89.650992,63.103811,4.811724784545)); -#40078=CARTESIAN_POINT('',(89.650992,64.018211,4.811724784545)); -#40079=CARTESIAN_POINT('',(88.736592,64.018211,4.811724784545)); -#40080=CARTESIAN_POINT('',(89.650992,64.018211,4.811724784545)); -#40081=CARTESIAN_POINT('',(88.736592,63.103811,4.811724784545)); -#40082=CARTESIAN_POINT('',(88.736592,64.018211,4.811724784545)); -#40083=CARTESIAN_POINT('',(88.736592,63.103811,4.811724784545)); -#40084=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40085=CARTESIAN_POINT('',(89.650992,62.118189,4.811724784545)); -#40086=CARTESIAN_POINT('',(89.650992,61.203789,4.811724784545)); -#40087=CARTESIAN_POINT('',(89.650992,62.118189,4.811724784545)); -#40088=CARTESIAN_POINT('',(88.736592,62.118189,4.811724784545)); -#40089=CARTESIAN_POINT('',(89.650992,62.118189,4.811724784545)); -#40090=CARTESIAN_POINT('',(88.736592,61.203789,4.811724784545)); -#40091=CARTESIAN_POINT('',(88.736592,62.118189,4.811724784545)); -#40092=CARTESIAN_POINT('',(88.736592,61.203789,4.811724784545)); -#40093=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40094=CARTESIAN_POINT('',(90.850992,63.0682,4.811724784545)); -#40095=CARTESIAN_POINT('',(90.850992,62.1538,4.811724784545)); -#40096=CARTESIAN_POINT('',(90.850992,63.0682,4.811724784545)); -#40097=CARTESIAN_POINT('',(91.775791,62.1538,4.811724784545)); -#40098=CARTESIAN_POINT('',(90.850992,62.1538,4.811724784545)); -#40099=CARTESIAN_POINT('',(91.775791,63.0682,4.811724784545)); -#40100=CARTESIAN_POINT('',(91.775791,62.1538,4.811724784545)); -#40101=CARTESIAN_POINT('',(91.775791,63.0682,4.811724784545)); -#40102=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40103=CARTESIAN_POINT('',(88.914392,67.0114,4.811724784545)); -#40104=CARTESIAN_POINT('',(87.999992,67.0114,4.811724784545)); -#40105=CARTESIAN_POINT('',(88.914392,67.0114,4.811724784545)); -#40106=CARTESIAN_POINT('',(88.914392,67.936199,4.811724784545)); -#40107=CARTESIAN_POINT('',(88.914392,67.0114,4.811724784545)); -#40108=CARTESIAN_POINT('',(87.999992,67.936199,4.811724784545)); -#40109=CARTESIAN_POINT('',(88.914392,67.936199,4.811724784545)); -#40110=CARTESIAN_POINT('',(87.999992,67.936199,4.811724784545)); -#40111=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40112=CARTESIAN_POINT('',(89.864402,65.8114,4.811724784545)); -#40113=CARTESIAN_POINT('',(88.950002,65.8114,4.811724784545)); -#40114=CARTESIAN_POINT('',(89.864402,65.8114,4.811724784545)); -#40115=CARTESIAN_POINT('',(88.950002,64.897,4.811724784545)); -#40116=CARTESIAN_POINT('',(88.950002,65.8114,4.811724784545)); -#40117=CARTESIAN_POINT('',(89.864402,64.897,4.811724784545)); -#40118=CARTESIAN_POINT('',(88.950002,64.897,4.811724784545)); -#40119=CARTESIAN_POINT('',(89.864402,64.897,4.811724784545)); -#40120=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40121=CARTESIAN_POINT('',(87.964381,65.8114,4.811724784545)); -#40122=CARTESIAN_POINT('',(87.049981,65.8114,4.811724784545)); -#40123=CARTESIAN_POINT('',(87.964381,65.8114,4.811724784545)); -#40124=CARTESIAN_POINT('',(87.049981,64.897,4.811724784545)); -#40125=CARTESIAN_POINT('',(87.049981,65.8114,4.811724784545)); -#40126=CARTESIAN_POINT('',(87.964381,64.897,4.811724784545)); -#40127=CARTESIAN_POINT('',(87.049981,64.897,4.811724784545)); -#40128=CARTESIAN_POINT('',(87.964381,64.897,4.811724784545)); -#40129=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40130=CARTESIAN_POINT('',(95.315791,68.0906,4.811724784545)); -#40131=CARTESIAN_POINT('',(95.315791,67.2406,4.811724784545)); -#40132=CARTESIAN_POINT('',(95.315791,68.0906,4.811724784545)); -#40133=CARTESIAN_POINT('',(94.408793,68.0906,4.811724784545)); -#40134=CARTESIAN_POINT('',(95.315791,68.0906,4.811724784545)); -#40135=CARTESIAN_POINT('',(94.408793,67.2406,4.811724784545)); -#40136=CARTESIAN_POINT('',(94.408793,68.0906,4.811724784545)); -#40137=CARTESIAN_POINT('',(94.408793,67.2406,4.811724784545)); -#40138=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40139=CARTESIAN_POINT('',(97.365792,66.290589,4.811724784545)); -#40140=CARTESIAN_POINT('',(96.515791,66.290589,4.811724784545)); -#40141=CARTESIAN_POINT('',(97.365792,67.14059,4.811724784545)); -#40142=CARTESIAN_POINT('',(97.365792,66.290589,4.811724784545)); -#40143=CARTESIAN_POINT('',(97.365792,67.14059,4.811724784545)); -#40144=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40145=CARTESIAN_POINT('',(97.365792,69.072811,4.811724784545)); -#40146=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40147=CARTESIAN_POINT('',(89.441592,69.5452,4.811724784545)); -#40148=CARTESIAN_POINT('',(89.441592,70.4596,4.811724784545)); -#40149=CARTESIAN_POINT('',(89.441592,69.5452,4.811724784545)); -#40150=CARTESIAN_POINT('',(88.516793,70.4596,4.811724784545)); -#40151=CARTESIAN_POINT('',(89.441592,70.4596,4.811724784545)); -#40152=CARTESIAN_POINT('',(88.516793,69.5452,4.811724784545)); -#40153=CARTESIAN_POINT('',(88.516793,70.4596,4.811724784545)); -#40154=CARTESIAN_POINT('',(88.516793,69.5452,4.811724784545)); -#40155=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40156=CARTESIAN_POINT('',(90.641592,68.595189,4.811724784545)); -#40157=CARTESIAN_POINT('',(90.641592,69.509589,4.811724784545)); -#40158=CARTESIAN_POINT('',(90.641592,68.595189,4.811724784545)); -#40159=CARTESIAN_POINT('',(91.555992,68.595189,4.811724784545)); -#40160=CARTESIAN_POINT('',(90.641592,68.595189,4.811724784545)); -#40161=CARTESIAN_POINT('',(91.555992,69.509589,4.811724784545)); -#40162=CARTESIAN_POINT('',(91.555992,68.595189,4.811724784545)); -#40163=CARTESIAN_POINT('',(91.555992,69.509589,4.811724784545)); -#40164=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40165=CARTESIAN_POINT('',(90.641592,70.495211,4.811724784545)); -#40166=CARTESIAN_POINT('',(90.641592,71.409611,4.811724784545)); -#40167=CARTESIAN_POINT('',(90.641592,70.495211,4.811724784545)); -#40168=CARTESIAN_POINT('',(91.555992,70.495211,4.811724784545)); -#40169=CARTESIAN_POINT('',(90.641592,70.495211,4.811724784545)); -#40170=CARTESIAN_POINT('',(91.555992,71.409611,4.811724784545)); -#40171=CARTESIAN_POINT('',(91.555992,70.495211,4.811724784545)); -#40172=CARTESIAN_POINT('',(91.555992,71.409611,4.811724784545)); -#40173=CARTESIAN_POINT('Origin',(89.650992,64.119811,4.311724784545)); -#40174=CARTESIAN_POINT('',(89.650992,63.103811,4.311724784545)); -#40175=CARTESIAN_POINT('',(89.650992,62.118189,4.311724784545)); -#40176=CARTESIAN_POINT('',(89.650992,61.203789,4.311724784545)); -#40177=CARTESIAN_POINT('',(89.650992,61.102189,5.511724784545)); -#40178=CARTESIAN_POINT('',(89.650992,61.102189,4.311724784545)); -#40179=CARTESIAN_POINT('',(89.650992,64.119811,5.511724784545)); -#40180=CARTESIAN_POINT('',(89.650992,64.119811,5.511724784545)); -#40181=CARTESIAN_POINT('',(89.650992,64.119811,4.311724784545)); -#40182=CARTESIAN_POINT('',(89.650992,64.018211,4.311724784545)); -#40183=CARTESIAN_POINT('Origin',(89.650992,64.018211,4.311724784545)); -#40184=CARTESIAN_POINT('',(88.736592,64.018211,4.311724784545)); -#40185=CARTESIAN_POINT('Origin',(88.736592,64.018211,4.311724784545)); -#40186=CARTESIAN_POINT('',(88.736592,63.103811,4.311724784545)); -#40187=CARTESIAN_POINT('Origin',(88.736592,63.103811,4.311724784545)); -#40188=CARTESIAN_POINT('Origin',(90.850992,64.119811,4.311724784545)); -#40189=CARTESIAN_POINT('',(90.850992,64.119811,5.511724784545)); -#40190=CARTESIAN_POINT('',(90.850992,64.119811,5.511724784545)); -#40191=CARTESIAN_POINT('',(90.850992,64.119811,4.311724784545)); -#40192=CARTESIAN_POINT('Origin',(90.850992,61.102189,4.311724784545)); -#40193=CARTESIAN_POINT('',(90.850992,63.0682,4.311724784545)); -#40194=CARTESIAN_POINT('',(90.850992,61.102189,5.511724784545)); -#40195=CARTESIAN_POINT('',(90.850992,61.102189,5.511724784545)); -#40196=CARTESIAN_POINT('',(90.850992,61.102189,4.311724784545)); -#40197=CARTESIAN_POINT('',(90.850992,62.1538,4.311724784545)); -#40198=CARTESIAN_POINT('Origin',(90.850992,62.1538,4.311724784545)); -#40199=CARTESIAN_POINT('',(91.775791,62.1538,4.311724784545)); -#40200=CARTESIAN_POINT('Origin',(91.775791,62.1538,4.311724784545)); -#40201=CARTESIAN_POINT('',(91.775791,63.0682,4.311724784545)); -#40202=CARTESIAN_POINT('Origin',(91.775791,63.0682,4.311724784545)); -#40203=CARTESIAN_POINT('Origin',(89.650992,61.102189,4.311724784545)); -#40204=CARTESIAN_POINT('',(89.650992,61.102189,5.511724784545)); -#40205=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#40206=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#40207=CARTESIAN_POINT('',(89.966002,65.8114,5.511724784545)); -#40208=CARTESIAN_POINT('',(89.966002,67.0114,5.511724784545)); -#40209=CARTESIAN_POINT('',(89.966002,65.8114,5.511724784545)); -#40210=CARTESIAN_POINT('',(86.948381,67.0114,5.511724784545)); -#40211=CARTESIAN_POINT('',(89.966002,67.0114,5.511724784545)); -#40212=CARTESIAN_POINT('',(86.948381,65.8114,5.511724784545)); -#40213=CARTESIAN_POINT('',(86.948381,67.0114,5.511724784545)); -#40214=CARTESIAN_POINT('',(86.948381,65.8114,5.511724784545)); -#40215=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#40216=CARTESIAN_POINT('',(95.315791,69.174411,5.511724784545)); -#40217=CARTESIAN_POINT('',(96.515791,69.174411,5.511724784545)); -#40218=CARTESIAN_POINT('',(95.315791,66.188989,5.511724784545)); -#40219=CARTESIAN_POINT('',(95.315791,69.174411,5.511724784545)); -#40220=CARTESIAN_POINT('',(95.315791,66.188989,5.511724784545)); -#40221=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#40222=CARTESIAN_POINT('',(90.641592,71.511211,5.511724784545)); -#40223=CARTESIAN_POINT('',(89.441592,71.511211,5.511724784545)); -#40224=CARTESIAN_POINT('',(90.641592,71.511211,5.511724784545)); -#40225=CARTESIAN_POINT('',(89.441592,68.493589,5.511724784545)); -#40226=CARTESIAN_POINT('',(89.441592,71.511211,5.511724784545)); -#40227=CARTESIAN_POINT('',(90.641592,68.493589,5.511724784545)); -#40228=CARTESIAN_POINT('',(89.441592,68.493589,5.511724784545)); -#40229=CARTESIAN_POINT('',(90.641592,68.493589,5.511724784545)); -#40230=CARTESIAN_POINT('Origin',(89.966002,65.8114,4.311724784545)); -#40231=CARTESIAN_POINT('',(89.966002,67.0114,4.311724784545)); -#40232=CARTESIAN_POINT('',(89.966002,65.8114,4.311724784545)); -#40233=CARTESIAN_POINT('Origin',(86.948381,65.8114,4.311724784545)); -#40234=CARTESIAN_POINT('',(89.864402,65.8114,4.311724784545)); -#40235=CARTESIAN_POINT('',(86.948381,65.8114,4.311724784545)); -#40236=CARTESIAN_POINT('',(87.049981,65.8114,4.311724784545)); -#40237=CARTESIAN_POINT('',(87.964381,65.8114,4.311724784545)); -#40238=CARTESIAN_POINT('',(88.950002,65.8114,4.311724784545)); -#40239=CARTESIAN_POINT('Origin',(88.950002,65.8114,4.311724784545)); -#40240=CARTESIAN_POINT('',(88.950002,64.897,4.311724784545)); -#40241=CARTESIAN_POINT('Origin',(88.950002,64.897,4.311724784545)); -#40242=CARTESIAN_POINT('',(89.864402,64.897,4.311724784545)); -#40243=CARTESIAN_POINT('Origin',(89.864402,64.897,4.311724784545)); -#40244=CARTESIAN_POINT('Origin',(87.964381,64.897,4.311724784545)); -#40245=CARTESIAN_POINT('',(87.964381,64.897,4.311724784545)); -#40246=CARTESIAN_POINT('Origin',(87.049981,64.897,4.311724784545)); -#40247=CARTESIAN_POINT('',(87.049981,64.897,4.311724784545)); -#40248=CARTESIAN_POINT('Origin',(87.049981,65.8114,4.311724784545)); -#40249=CARTESIAN_POINT('Origin',(86.948381,67.0114,4.311724784545)); -#40250=CARTESIAN_POINT('',(86.948381,67.0114,4.311724784545)); -#40251=CARTESIAN_POINT('Origin',(89.966002,67.0114,4.311724784545)); -#40252=CARTESIAN_POINT('',(87.999992,67.0114,4.311724784545)); -#40253=CARTESIAN_POINT('',(88.914392,67.0114,4.311724784545)); -#40254=CARTESIAN_POINT('Origin',(88.914392,67.0114,4.311724784545)); -#40255=CARTESIAN_POINT('',(88.914392,67.936199,4.311724784545)); -#40256=CARTESIAN_POINT('Origin',(88.914392,67.936199,4.311724784545)); -#40257=CARTESIAN_POINT('',(87.999992,67.936199,4.311724784545)); -#40258=CARTESIAN_POINT('Origin',(87.999992,67.936199,4.311724784545)); -#40259=CARTESIAN_POINT('Origin',(96.515791,69.174411,4.311724784545)); -#40260=CARTESIAN_POINT('',(95.315791,69.174411,4.311724784545)); -#40261=CARTESIAN_POINT('Origin',(95.315791,69.174411,4.311724784545)); -#40262=CARTESIAN_POINT('',(95.315791,67.2406,4.311724784545)); -#40263=CARTESIAN_POINT('',(95.315791,66.188989,4.311724784545)); -#40264=CARTESIAN_POINT('',(95.315791,68.0906,4.311724784545)); -#40265=CARTESIAN_POINT('Origin',(95.315791,68.0906,4.311724784545)); -#40266=CARTESIAN_POINT('',(94.408793,68.0906,4.311724784545)); -#40267=CARTESIAN_POINT('Origin',(94.408793,68.0906,4.311724784545)); -#40268=CARTESIAN_POINT('',(94.408793,67.2406,4.311724784545)); -#40269=CARTESIAN_POINT('Origin',(94.408793,67.2406,4.311724784545)); -#40270=CARTESIAN_POINT('Origin',(95.315791,66.188989,4.311724784545)); -#40271=CARTESIAN_POINT('Origin',(90.641592,71.511211,4.311724784545)); -#40272=CARTESIAN_POINT('',(89.441592,71.511211,4.311724784545)); -#40273=CARTESIAN_POINT('',(90.641592,71.511211,4.311724784545)); -#40274=CARTESIAN_POINT('Origin',(90.641592,68.493589,4.311724784545)); -#40275=CARTESIAN_POINT('',(90.641592,69.509589,4.311724784545)); -#40276=CARTESIAN_POINT('',(90.641592,70.495211,4.311724784545)); -#40277=CARTESIAN_POINT('',(90.641592,71.409611,4.311724784545)); -#40278=CARTESIAN_POINT('',(90.641592,68.493589,4.311724784545)); -#40279=CARTESIAN_POINT('',(90.641592,68.595189,4.311724784545)); -#40280=CARTESIAN_POINT('Origin',(90.641592,68.595189,4.311724784545)); -#40281=CARTESIAN_POINT('',(91.555992,68.595189,4.311724784545)); -#40282=CARTESIAN_POINT('Origin',(91.555992,68.595189,4.311724784545)); -#40283=CARTESIAN_POINT('',(91.555992,69.509589,4.311724784545)); -#40284=CARTESIAN_POINT('Origin',(91.555992,69.509589,4.311724784545)); -#40285=CARTESIAN_POINT('Origin',(89.441592,68.493589,4.311724784545)); -#40286=CARTESIAN_POINT('',(89.441592,68.493589,4.311724784545)); -#40287=CARTESIAN_POINT('Origin',(89.441592,71.511211,4.311724784545)); -#40288=CARTESIAN_POINT('',(89.441592,69.5452,4.311724784545)); -#40289=CARTESIAN_POINT('',(89.441592,70.4596,4.311724784545)); -#40290=CARTESIAN_POINT('Origin',(89.441592,70.4596,4.311724784545)); -#40291=CARTESIAN_POINT('',(88.516793,70.4596,4.311724784545)); -#40292=CARTESIAN_POINT('Origin',(88.516793,70.4596,4.311724784545)); -#40293=CARTESIAN_POINT('',(88.516793,69.5452,4.311724784545)); -#40294=CARTESIAN_POINT('Origin',(88.516793,69.5452,4.311724784545)); -#40295=CARTESIAN_POINT('Origin',(91.555992,71.409611,4.311724784545)); -#40296=CARTESIAN_POINT('',(91.555992,71.409611,4.311724784545)); -#40297=CARTESIAN_POINT('Origin',(91.555992,70.495211,4.311724784545)); -#40298=CARTESIAN_POINT('',(91.555992,70.495211,4.311724784545)); -#40299=CARTESIAN_POINT('Origin',(90.641592,70.495211,4.311724784545)); -#40300=CARTESIAN_POINT('Origin',(88.736592,61.203789,4.311724784545)); -#40301=CARTESIAN_POINT('',(88.736592,61.203789,4.311724784545)); -#40302=CARTESIAN_POINT('Origin',(88.736592,62.118189,4.311724784545)); -#40303=CARTESIAN_POINT('',(88.736592,62.118189,4.311724784545)); -#40304=CARTESIAN_POINT('Origin',(89.650992,62.118189,4.311724784545)); -#40305=CARTESIAN_POINT('Origin',(97.365792,67.14059,4.311724784545)); -#40306=CARTESIAN_POINT('',(97.365792,67.14059,4.311724784545)); -#40307=CARTESIAN_POINT('Origin',(97.365792,66.290589,4.311724784545)); -#40308=CARTESIAN_POINT('',(97.365792,66.290589,4.311724784545)); -#40309=CARTESIAN_POINT('Origin',(96.515791,66.290589,4.311724784545)); -#40310=CARTESIAN_POINT('Origin',(97.365792,69.072811,4.311724784545)); -#40311=CARTESIAN_POINT('Origin',(93.245589,60.466199,4.311724784545)); -#40312=CARTESIAN_POINT('',(92.295591,60.466199,4.811724784545)); -#40313=CARTESIAN_POINT('',(92.295591,60.466199,4.311724784545)); -#40314=CARTESIAN_POINT('',(93.245589,60.466199,4.811724784545)); -#40315=CARTESIAN_POINT('',(93.245589,60.466199,4.811724784545)); -#40316=CARTESIAN_POINT('',(93.245589,60.466199,4.311724784545)); -#40317=CARTESIAN_POINT('Origin',(93.245589,60.466199,4.311724784545)); -#40318=CARTESIAN_POINT('',(88.545594,60.466199,4.811724784545)); -#40319=CARTESIAN_POINT('',(88.545594,60.466199,4.311724784545)); -#40320=CARTESIAN_POINT('',(89.495592,60.466199,4.811724784545)); -#40321=CARTESIAN_POINT('',(89.495592,60.466199,4.811724784545)); -#40322=CARTESIAN_POINT('',(89.495592,60.466199,4.311724784545)); -#40323=CARTESIAN_POINT('Origin',(93.245589,59.066201,4.311724784545)); -#40324=CARTESIAN_POINT('',(93.245589,59.066201,4.811724784545)); -#40325=CARTESIAN_POINT('',(93.245589,59.066201,4.811724784545)); -#40326=CARTESIAN_POINT('',(93.245589,59.066201,4.311724784545)); -#40327=CARTESIAN_POINT('Origin',(88.545594,59.066201,4.311724784545)); -#40328=CARTESIAN_POINT('',(89.495592,59.066201,4.811724784545)); -#40329=CARTESIAN_POINT('',(89.495592,59.066201,4.311724784545)); -#40330=CARTESIAN_POINT('',(88.545594,59.066201,4.811724784545)); -#40331=CARTESIAN_POINT('',(88.545594,59.066201,4.811724784545)); -#40332=CARTESIAN_POINT('',(88.545594,59.066201,4.311724784545)); -#40333=CARTESIAN_POINT('Origin',(88.545594,59.066201,4.311724784545)); -#40334=CARTESIAN_POINT('',(92.295591,59.066201,4.811724784545)); -#40335=CARTESIAN_POINT('',(92.295591,59.066201,4.811724784545)); -#40336=CARTESIAN_POINT('',(92.295591,59.066201,4.311724784545)); -#40337=CARTESIAN_POINT('Origin',(88.545594,60.466199,4.311724784545)); -#40338=CARTESIAN_POINT('',(88.545594,60.466199,4.811724784545)); -#40339=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40340=CARTESIAN_POINT('',(89.495592,60.466199,4.811724784545)); -#40341=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40342=CARTESIAN_POINT('',(92.295591,60.466199,4.811724784545)); -#40343=CARTESIAN_POINT('Origin',(89.495592,60.666201,4.311724784545)); -#40344=CARTESIAN_POINT('',(89.495592,58.866199,5.511724784545)); -#40345=CARTESIAN_POINT('',(89.495592,58.866199,4.311724784545)); -#40346=CARTESIAN_POINT('',(89.495592,60.666201,5.511724784545)); -#40347=CARTESIAN_POINT('',(89.495592,60.666201,5.511724784545)); -#40348=CARTESIAN_POINT('',(89.495592,60.666201,4.311724784545)); -#40349=CARTESIAN_POINT('Origin',(92.295591,60.666201,4.311724784545)); -#40350=CARTESIAN_POINT('',(92.295591,60.666201,5.511724784545)); -#40351=CARTESIAN_POINT('',(92.295591,60.666201,5.511724784545)); -#40352=CARTESIAN_POINT('',(92.295591,60.666201,4.311724784545)); -#40353=CARTESIAN_POINT('Origin',(92.295591,58.866199,4.311724784545)); -#40354=CARTESIAN_POINT('',(92.295591,58.866199,5.511724784545)); -#40355=CARTESIAN_POINT('',(92.295591,58.866199,5.511724784545)); -#40356=CARTESIAN_POINT('',(92.295591,58.866199,4.311724784545)); -#40357=CARTESIAN_POINT('Origin',(89.495592,58.866199,4.311724784545)); -#40358=CARTESIAN_POINT('',(89.495592,58.866199,5.511724784545)); -#40359=CARTESIAN_POINT('Origin',(0.,0.,5.511724784545)); -#40360=CARTESIAN_POINT('Origin',(99.449588,64.622997,4.311724784545)); -#40361=CARTESIAN_POINT('',(98.39959,64.622997,4.811724784545)); -#40362=CARTESIAN_POINT('',(98.39959,64.622997,4.311724784545)); -#40363=CARTESIAN_POINT('',(99.449588,64.622997,4.811724784545)); -#40364=CARTESIAN_POINT('',(99.449588,64.622997,4.811724784545)); -#40365=CARTESIAN_POINT('',(99.449588,64.622997,4.311724784545)); -#40366=CARTESIAN_POINT('Origin',(99.449588,64.622997,4.311724784545)); -#40367=CARTESIAN_POINT('',(95.54959,64.622997,4.811724784545)); -#40368=CARTESIAN_POINT('',(95.54959,64.622997,4.311724784545)); -#40369=CARTESIAN_POINT('',(96.599588,64.622997,4.811724784545)); -#40370=CARTESIAN_POINT('',(96.599588,64.622997,4.811724784545)); -#40371=CARTESIAN_POINT('',(96.599588,64.622997,4.311724784545)); -#40372=CARTESIAN_POINT('Origin',(99.449588,64.022999,4.311724784545)); -#40373=CARTESIAN_POINT('',(99.449588,64.022999,4.811724784545)); -#40374=CARTESIAN_POINT('',(99.449588,64.022999,4.811724784545)); -#40375=CARTESIAN_POINT('',(99.449588,64.022999,4.311724784545)); -#40376=CARTESIAN_POINT('Origin',(95.54959,64.022999,4.311724784545)); -#40377=CARTESIAN_POINT('',(96.599588,64.022999,4.811724784545)); -#40378=CARTESIAN_POINT('',(96.599588,64.022999,4.311724784545)); -#40379=CARTESIAN_POINT('',(95.54959,64.022999,4.811724784545)); -#40380=CARTESIAN_POINT('',(95.54959,64.022999,4.811724784545)); -#40381=CARTESIAN_POINT('',(95.54959,64.022999,4.311724784545)); -#40382=CARTESIAN_POINT('Origin',(95.54959,64.022999,4.311724784545)); -#40383=CARTESIAN_POINT('',(98.39959,64.022999,4.811724784545)); -#40384=CARTESIAN_POINT('',(98.39959,64.022999,4.811724784545)); -#40385=CARTESIAN_POINT('',(98.39959,64.022999,4.311724784545)); -#40386=CARTESIAN_POINT('Origin',(95.54959,64.622997,4.311724784545)); -#40387=CARTESIAN_POINT('',(95.54959,64.622997,4.811724784545)); -#40388=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40389=CARTESIAN_POINT('',(96.599588,64.622997,4.811724784545)); -#40390=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40391=CARTESIAN_POINT('',(96.599588,63.672997,4.811724784545)); -#40392=CARTESIAN_POINT('',(96.599588,63.072998,4.811724784545)); -#40393=CARTESIAN_POINT('',(96.599588,63.672997,4.811724784545)); -#40394=CARTESIAN_POINT('',(95.54959,63.672997,4.811724784545)); -#40395=CARTESIAN_POINT('',(96.599588,63.672997,4.811724784545)); -#40396=CARTESIAN_POINT('',(95.54959,63.072998,4.811724784545)); -#40397=CARTESIAN_POINT('',(95.54959,63.672997,4.811724784545)); -#40398=CARTESIAN_POINT('',(95.54959,63.072998,4.811724784545)); -#40399=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40400=CARTESIAN_POINT('',(96.599588,62.722999,4.811724784545)); -#40401=CARTESIAN_POINT('',(96.599588,62.123,4.811724784545)); -#40402=CARTESIAN_POINT('',(96.599588,62.722999,4.811724784545)); -#40403=CARTESIAN_POINT('',(95.54959,62.722999,4.811724784545)); -#40404=CARTESIAN_POINT('',(96.599588,62.722999,4.811724784545)); -#40405=CARTESIAN_POINT('',(95.54959,62.123,4.811724784545)); -#40406=CARTESIAN_POINT('',(95.54959,62.722999,4.811724784545)); -#40407=CARTESIAN_POINT('',(95.54959,62.123,4.811724784545)); -#40408=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40409=CARTESIAN_POINT('',(98.39959,64.622997,4.811724784545)); -#40410=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40411=CARTESIAN_POINT('',(98.39959,62.722999,4.811724784545)); -#40412=CARTESIAN_POINT('',(98.39959,62.123,4.811724784545)); -#40413=CARTESIAN_POINT('',(98.39959,62.722999,4.811724784545)); -#40414=CARTESIAN_POINT('',(99.449588,62.123,4.811724784545)); -#40415=CARTESIAN_POINT('',(98.39959,62.123,4.811724784545)); -#40416=CARTESIAN_POINT('',(99.449588,62.722999,4.811724784545)); -#40417=CARTESIAN_POINT('',(99.449588,62.123,4.811724784545)); -#40418=CARTESIAN_POINT('',(99.449588,62.722999,4.811724784545)); -#40419=CARTESIAN_POINT('Origin',(96.599588,64.922997,4.311724784545)); -#40420=CARTESIAN_POINT('',(96.599588,63.672997,4.311724784545)); -#40421=CARTESIAN_POINT('',(96.599588,63.072998,4.311724784545)); -#40422=CARTESIAN_POINT('',(96.599588,62.722999,4.311724784545)); -#40423=CARTESIAN_POINT('',(96.599588,62.123,4.311724784545)); -#40424=CARTESIAN_POINT('',(96.599588,61.822998,5.811724784545)); -#40425=CARTESIAN_POINT('',(96.599588,61.822998,4.311724784545)); -#40426=CARTESIAN_POINT('',(96.599588,64.922997,5.811724784545)); -#40427=CARTESIAN_POINT('',(96.599588,64.922997,5.811724784545)); -#40428=CARTESIAN_POINT('',(96.599588,64.922997,4.311724784545)); -#40429=CARTESIAN_POINT('Origin',(98.39959,64.922997,4.311724784545)); -#40430=CARTESIAN_POINT('',(98.39959,64.922997,5.811724784545)); -#40431=CARTESIAN_POINT('',(98.39959,64.922997,5.811724784545)); -#40432=CARTESIAN_POINT('',(98.39959,64.922997,4.311724784545)); -#40433=CARTESIAN_POINT('Origin',(98.39959,61.822998,4.311724784545)); -#40434=CARTESIAN_POINT('',(98.39959,61.822998,5.811724784545)); -#40435=CARTESIAN_POINT('',(98.39959,61.822998,5.811724784545)); -#40436=CARTESIAN_POINT('',(98.39959,61.822998,4.311724784545)); -#40437=CARTESIAN_POINT('',(98.39959,62.123,4.311724784545)); -#40438=CARTESIAN_POINT('',(98.39959,62.722999,4.311724784545)); -#40439=CARTESIAN_POINT('Origin',(99.449588,62.722999,4.311724784545)); -#40440=CARTESIAN_POINT('',(99.449588,62.722999,4.311724784545)); -#40441=CARTESIAN_POINT('Origin',(99.449588,62.722999,4.311724784545)); -#40442=CARTESIAN_POINT('',(95.54959,62.722999,4.311724784545)); -#40443=CARTESIAN_POINT('Origin',(99.449588,62.123,4.311724784545)); -#40444=CARTESIAN_POINT('',(99.449588,62.123,4.311724784545)); -#40445=CARTESIAN_POINT('Origin',(95.54959,62.123,4.311724784545)); -#40446=CARTESIAN_POINT('',(95.54959,62.123,4.311724784545)); -#40447=CARTESIAN_POINT('Origin',(95.54959,62.123,4.311724784545)); -#40448=CARTESIAN_POINT('Origin',(95.54959,62.722999,4.311724784545)); -#40449=CARTESIAN_POINT('Origin',(96.599588,61.822998,4.311724784545)); -#40450=CARTESIAN_POINT('',(96.599588,61.822998,5.811724784545)); -#40451=CARTESIAN_POINT('Origin',(0.,0.,5.811724784545)); -#40452=CARTESIAN_POINT('Origin',(95.54959,63.072998,4.311724784545)); -#40453=CARTESIAN_POINT('',(95.54959,63.072998,4.311724784545)); -#40454=CARTESIAN_POINT('Origin',(95.54959,63.672997,4.311724784545)); -#40455=CARTESIAN_POINT('',(95.54959,63.672997,4.311724784545)); -#40456=CARTESIAN_POINT('Origin',(96.649588,63.672997,4.311724784545)); -#40457=CARTESIAN_POINT('Origin',(97.513394,58.110001,4.311724784545)); -#40458=CARTESIAN_POINT('',(95.961794,58.110001,4.811724784545)); -#40459=CARTESIAN_POINT('',(95.961794,58.110001,4.311724784545)); -#40460=CARTESIAN_POINT('',(97.513394,58.110001,4.811724784545)); -#40461=CARTESIAN_POINT('',(97.513394,58.110001,4.811724784545)); -#40462=CARTESIAN_POINT('',(97.513394,58.110001,4.311724784545)); -#40463=CARTESIAN_POINT('Origin',(97.513394,57.358399,4.311724784545)); -#40464=CARTESIAN_POINT('',(97.513394,57.358399,4.811724784545)); -#40465=CARTESIAN_POINT('',(97.513394,57.358399,4.811724784545)); -#40466=CARTESIAN_POINT('',(97.513394,57.358399,4.311724784545)); -#40467=CARTESIAN_POINT('Origin',(95.961794,57.358399,4.311724784545)); -#40468=CARTESIAN_POINT('',(95.961794,57.358399,4.811724784545)); -#40469=CARTESIAN_POINT('',(95.961794,57.358399,4.811724784545)); -#40470=CARTESIAN_POINT('',(95.961794,57.358399,4.311724784545)); -#40471=CARTESIAN_POINT('Origin',(95.961794,58.110001,4.311724784545)); -#40472=CARTESIAN_POINT('',(95.961794,58.110001,4.811724784545)); -#40473=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40474=CARTESIAN_POINT('Origin',(95.093988,61.204401,4.311724784545)); -#40475=CARTESIAN_POINT('',(93.91079,61.204401,5.111724784545)); -#40476=CARTESIAN_POINT('',(93.91079,61.204401,4.311724784545)); -#40477=CARTESIAN_POINT('',(95.093988,61.204401,5.111724784545)); -#40478=CARTESIAN_POINT('',(95.093988,61.204401,5.111724784545)); -#40479=CARTESIAN_POINT('',(95.093988,61.204401,4.311724784545)); -#40480=CARTESIAN_POINT('Origin',(95.093988,58.531199,4.311724784545)); -#40481=CARTESIAN_POINT('',(95.093988,58.531199,5.111724784545)); -#40482=CARTESIAN_POINT('',(95.093988,58.531199,5.111724784545)); -#40483=CARTESIAN_POINT('',(95.093988,58.531199,4.311724784545)); -#40484=CARTESIAN_POINT('Origin',(93.91079,58.531199,4.311724784545)); -#40485=CARTESIAN_POINT('',(93.91079,58.531199,5.111724784545)); -#40486=CARTESIAN_POINT('',(93.91079,58.531199,5.111724784545)); -#40487=CARTESIAN_POINT('',(93.91079,58.531199,4.311724784545)); -#40488=CARTESIAN_POINT('Origin',(93.91079,61.204401,4.311724784545)); -#40489=CARTESIAN_POINT('',(93.91079,61.204401,5.111724784545)); -#40490=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#40491=CARTESIAN_POINT('Origin',(85.338392,64.722197,4.311724784545)); -#40492=CARTESIAN_POINT('',(84.738393,64.722197,4.811724784545)); -#40493=CARTESIAN_POINT('',(84.738393,64.722197,4.311724784545)); -#40494=CARTESIAN_POINT('',(85.338392,64.722197,4.811724784545)); -#40495=CARTESIAN_POINT('',(85.338392,64.722197,4.811724784545)); -#40496=CARTESIAN_POINT('',(85.338392,64.722197,4.311724784545)); -#40497=CARTESIAN_POINT('Origin',(85.338392,61.922198,4.311724784545)); -#40498=CARTESIAN_POINT('',(85.338392,62.422197,4.811724784545)); -#40499=CARTESIAN_POINT('',(85.338392,62.422197,4.311724784545)); -#40500=CARTESIAN_POINT('',(85.338392,61.922198,4.811724784545)); -#40501=CARTESIAN_POINT('',(85.338392,61.922198,4.811724784545)); -#40502=CARTESIAN_POINT('',(85.338392,61.922198,4.311724784545)); -#40503=CARTESIAN_POINT('Origin',(85.338392,61.922198,4.311724784545)); -#40504=CARTESIAN_POINT('',(85.338392,64.222198,4.811724784545)); -#40505=CARTESIAN_POINT('',(85.338392,64.222198,4.811724784545)); -#40506=CARTESIAN_POINT('',(85.338392,64.222198,4.311724784545)); -#40507=CARTESIAN_POINT('Origin',(84.738393,61.922198,4.311724784545)); -#40508=CARTESIAN_POINT('',(84.738393,61.922198,4.811724784545)); -#40509=CARTESIAN_POINT('',(84.738393,61.922198,4.811724784545)); -#40510=CARTESIAN_POINT('',(84.738393,61.922198,4.311724784545)); -#40511=CARTESIAN_POINT('Origin',(84.738393,64.722197,4.311724784545)); -#40512=CARTESIAN_POINT('',(84.738393,64.222198,4.811724784545)); -#40513=CARTESIAN_POINT('',(84.738393,64.222198,4.311724784545)); -#40514=CARTESIAN_POINT('',(84.738393,64.722197,4.811724784545)); -#40515=CARTESIAN_POINT('Origin',(84.738393,64.722197,4.311724784545)); -#40516=CARTESIAN_POINT('',(84.738393,62.422197,4.811724784545)); -#40517=CARTESIAN_POINT('',(84.738393,62.422197,4.811724784545)); -#40518=CARTESIAN_POINT('',(84.738393,62.422197,4.311724784545)); -#40519=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40520=CARTESIAN_POINT('',(82.838394,64.222198,4.811724784545)); -#40521=CARTESIAN_POINT('',(83.438393,64.222198,4.811724784545)); -#40522=CARTESIAN_POINT('',(82.838394,64.222198,4.811724784545)); -#40523=CARTESIAN_POINT('',(83.438393,64.722197,4.811724784545)); -#40524=CARTESIAN_POINT('',(83.438393,64.222198,4.811724784545)); -#40525=CARTESIAN_POINT('',(82.838394,64.722197,4.811724784545)); -#40526=CARTESIAN_POINT('',(83.438393,64.722197,4.811724784545)); -#40527=CARTESIAN_POINT('',(82.838394,64.722197,4.811724784545)); -#40528=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40529=CARTESIAN_POINT('',(84.738393,64.222198,4.811724784545)); -#40530=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40531=CARTESIAN_POINT('',(82.838394,62.422197,4.811724784545)); -#40532=CARTESIAN_POINT('',(83.438393,62.422197,4.811724784545)); -#40533=CARTESIAN_POINT('',(82.838394,62.422197,4.811724784545)); -#40534=CARTESIAN_POINT('',(82.838394,61.922198,4.811724784545)); -#40535=CARTESIAN_POINT('',(82.838394,62.422197,4.811724784545)); -#40536=CARTESIAN_POINT('',(83.438393,61.922198,4.811724784545)); -#40537=CARTESIAN_POINT('',(82.838394,61.922198,4.811724784545)); -#40538=CARTESIAN_POINT('',(83.438393,61.922198,4.811724784545)); -#40539=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40540=CARTESIAN_POINT('',(83.788395,62.422197,4.811724784545)); -#40541=CARTESIAN_POINT('',(84.388394,62.422197,4.811724784545)); -#40542=CARTESIAN_POINT('',(83.788395,62.422197,4.811724784545)); -#40543=CARTESIAN_POINT('',(83.788395,61.922198,4.811724784545)); -#40544=CARTESIAN_POINT('',(83.788395,62.422197,4.811724784545)); -#40545=CARTESIAN_POINT('',(84.388394,61.922198,4.811724784545)); -#40546=CARTESIAN_POINT('',(83.788395,61.922198,4.811724784545)); -#40547=CARTESIAN_POINT('',(84.388394,61.922198,4.811724784545)); -#40548=CARTESIAN_POINT('Origin',(0.,0.,4.811724784545)); -#40549=CARTESIAN_POINT('',(84.738393,62.422197,4.811724784545)); -#40550=CARTESIAN_POINT('Origin',(85.638394,64.222198,4.311724784545)); -#40551=CARTESIAN_POINT('',(82.838394,64.222198,4.311724784545)); -#40552=CARTESIAN_POINT('',(82.538395,64.222198,5.111724784545)); -#40553=CARTESIAN_POINT('',(82.538395,64.222198,4.311724784545)); -#40554=CARTESIAN_POINT('',(85.638394,64.222198,5.111724784545)); -#40555=CARTESIAN_POINT('',(85.638394,64.222198,5.111724784545)); -#40556=CARTESIAN_POINT('',(85.638394,64.222198,4.311724784545)); -#40557=CARTESIAN_POINT('',(83.438393,64.222198,4.311724784545)); -#40558=CARTESIAN_POINT('Origin',(83.438393,61.922198,4.311724784545)); -#40559=CARTESIAN_POINT('',(83.438393,62.422197,4.311724784545)); -#40560=CARTESIAN_POINT('',(83.438393,61.922198,4.311724784545)); -#40561=CARTESIAN_POINT('Origin',(83.438393,61.922198,4.311724784545)); -#40562=CARTESIAN_POINT('',(83.438393,64.722197,4.311724784545)); -#40563=CARTESIAN_POINT('Origin',(82.838394,61.922198,4.311724784545)); -#40564=CARTESIAN_POINT('',(82.838394,61.922198,4.311724784545)); -#40565=CARTESIAN_POINT('Origin',(82.838394,64.722197,4.311724784545)); -#40566=CARTESIAN_POINT('',(82.838394,64.722197,4.311724784545)); -#40567=CARTESIAN_POINT('Origin',(82.838394,64.722197,4.311724784545)); -#40568=CARTESIAN_POINT('',(82.838394,62.422197,4.311724784545)); -#40569=CARTESIAN_POINT('Origin',(83.438393,64.722197,4.311724784545)); -#40570=CARTESIAN_POINT('Origin',(82.538395,62.422197,4.311724784545)); -#40571=CARTESIAN_POINT('',(83.788395,62.422197,4.311724784545)); -#40572=CARTESIAN_POINT('',(84.388394,62.422197,4.311724784545)); -#40573=CARTESIAN_POINT('',(85.638394,62.422197,5.111724784545)); -#40574=CARTESIAN_POINT('',(85.638394,62.422197,4.311724784545)); -#40575=CARTESIAN_POINT('',(82.538395,62.422197,5.111724784545)); -#40576=CARTESIAN_POINT('',(82.538395,62.422197,5.111724784545)); -#40577=CARTESIAN_POINT('',(82.538395,62.422197,4.311724784545)); -#40578=CARTESIAN_POINT('Origin',(82.538395,64.222198,4.311724784545)); -#40579=CARTESIAN_POINT('',(82.538395,64.222198,5.111724784545)); -#40580=CARTESIAN_POINT('Origin',(0.,0.,5.111724784545)); -#40581=CARTESIAN_POINT('',(85.638394,62.422197,5.111724784545)); -#40582=CARTESIAN_POINT('Origin',(85.638394,62.422197,4.311724784545)); -#40583=CARTESIAN_POINT('Origin',(84.388394,61.922198,4.311724784545)); -#40584=CARTESIAN_POINT('',(84.388394,61.922198,4.311724784545)); -#40585=CARTESIAN_POINT('Origin',(83.788395,61.922198,4.311724784545)); -#40586=CARTESIAN_POINT('',(83.788395,61.922198,4.311724784545)); -#40587=CARTESIAN_POINT('Origin',(83.788395,62.422197,4.311724784545)); -#40588=CARTESIAN_POINT('',(92.599218798445,47.7165023118565,1.55672478454492)); -#40589=CARTESIAN_POINT('',(0.000274296019924236,2.85999999999994,3.53000000000001)); -#40590=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#40598, -'DISTANCE_ACCURACY_VALUE', -'Maximum model space distance between geometric entities at asserted c -onnectivities'); -#40591=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#40598, -'DISTANCE_ACCURACY_VALUE', -'Maximum model space distance between geometric entities at asserted c -onnectivities'); -#40592=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#40598, -'DISTANCE_ACCURACY_VALUE', -'Maximum model space distance between geometric entities at asserted c -onnectivities'); -#40593=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#40598, -'DISTANCE_ACCURACY_VALUE', -'Maximum model space distance between geometric entities at asserted c -onnectivities'); -#40594=( -GEOMETRIC_REPRESENTATION_CONTEXT(3) -GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#40590)) -GLOBAL_UNIT_ASSIGNED_CONTEXT((#40598,#40600,#40601)) -REPRESENTATION_CONTEXT('','3D') -); -#40595=( -GEOMETRIC_REPRESENTATION_CONTEXT(3) -GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#40591)) -GLOBAL_UNIT_ASSIGNED_CONTEXT((#40598,#40600,#40601)) -REPRESENTATION_CONTEXT('','3D') -); -#40596=( -GEOMETRIC_REPRESENTATION_CONTEXT(3) -GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#40592)) -GLOBAL_UNIT_ASSIGNED_CONTEXT((#40598,#40600,#40601)) -REPRESENTATION_CONTEXT('','3D') -); -#40597=( -GEOMETRIC_REPRESENTATION_CONTEXT(3) -GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#40593)) -GLOBAL_UNIT_ASSIGNED_CONTEXT((#40598,#40600,#40601)) -REPRESENTATION_CONTEXT('','3D') -); -#40598=( -LENGTH_UNIT() -NAMED_UNIT(*) -SI_UNIT(.MILLI.,.METRE.) -); -#40599=( -LENGTH_UNIT() -NAMED_UNIT(*) -SI_UNIT($,.METRE.) -); -#40600=( -NAMED_UNIT(*) -PLANE_ANGLE_UNIT() -SI_UNIT($,.RADIAN.) -); -#40601=( -NAMED_UNIT(*) -SI_UNIT($,.STERADIAN.) -SOLID_ANGLE_UNIT() -); -#40602=SHAPE_DEFINITION_REPRESENTATION(#40605,#40610); -#40603=SHAPE_DEFINITION_REPRESENTATION(#40606,#40611); -#40604=SHAPE_DEFINITION_REPRESENTATION(#40607,#40612); -#40605=PRODUCT_DEFINITION_SHAPE('',$,#40614); -#40606=PRODUCT_DEFINITION_SHAPE('',$,#40615); -#40607=PRODUCT_DEFINITION_SHAPE('',$,#40616); -#40608=PRODUCT_DEFINITION_SHAPE($,$,#17); -#40609=PRODUCT_DEFINITION_SHAPE($,$,#18); -#40610=SHAPE_REPRESENTATION('',(#25091,#26790,#26791),#40594); -#40611=SHAPE_REPRESENTATION('',(#25092),#40595); -#40612=SHAPE_REPRESENTATION('',(#25891),#40596); -#40613=PRODUCT_DEFINITION_CONTEXT('part definition',#40624,'design'); -#40614=PRODUCT_DEFINITION('MainBoard_3D_TTGO_3', -'MainBoard_3D_TTGO_3 v1',#40617,#40613); -#40615=PRODUCT_DEFINITION('USB Connector Type C female 6pin', -'USB Connector Type C female 6pin v1',#40618,#40613); -#40616=PRODUCT_DEFINITION('LCD','LCD',#40619,#40613); -#40617=PRODUCT_DEFINITION_FORMATION('',$,#40626); -#40618=PRODUCT_DEFINITION_FORMATION('',$,#40627); -#40619=PRODUCT_DEFINITION_FORMATION('',$,#40628); -#40620=PRODUCT_RELATED_PRODUCT_CATEGORY('MainBoard_3D_TTGO_3 v1', -'MainBoard_3D_TTGO_3 v1',(#40626)); -#40621=PRODUCT_RELATED_PRODUCT_CATEGORY( -'USB Connector Type C female 6pin v1', -'USB Connector Type C female 6pin v1',(#40627)); -#40622=PRODUCT_RELATED_PRODUCT_CATEGORY('LCD','LCD',(#40628)); -#40623=APPLICATION_PROTOCOL_DEFINITION('international standard', -'automotive_design',2009,#40624); -#40624=APPLICATION_CONTEXT( -'Core Data for Automotive Mechanical Design Process'); -#40625=PRODUCT_CONTEXT('part definition',#40624,'mechanical'); -#40626=PRODUCT('MainBoard_3D_TTGO_3','MainBoard_3D_TTGO_3 v1',$,(#40625)); -#40627=PRODUCT('USB Connector Type C female 6pin', -'USB Connector Type C female 6pin v1',$,(#40625)); -#40628=PRODUCT('LCD','LCD',$,(#40625)); -#40629=PRESENTATION_STYLE_ASSIGNMENT((#40635)); -#40630=PRESENTATION_STYLE_ASSIGNMENT((#40636)); -#40631=PRESENTATION_STYLE_ASSIGNMENT((#40637)); -#40632=PRESENTATION_STYLE_ASSIGNMENT((#40638)); -#40633=PRESENTATION_STYLE_ASSIGNMENT((#40639)); -#40634=PRESENTATION_STYLE_ASSIGNMENT((#40640)); -#40635=SURFACE_STYLE_USAGE(.BOTH.,#40641); -#40636=SURFACE_STYLE_USAGE(.BOTH.,#40642); -#40637=SURFACE_STYLE_USAGE(.BOTH.,#40643); -#40638=SURFACE_STYLE_USAGE(.BOTH.,#40644); -#40639=SURFACE_STYLE_USAGE(.BOTH.,#40645); -#40640=SURFACE_STYLE_USAGE(.BOTH.,#40646); -#40641=SURFACE_SIDE_STYLE('',(#40647)); -#40642=SURFACE_SIDE_STYLE('',(#40648)); -#40643=SURFACE_SIDE_STYLE('',(#40649)); -#40644=SURFACE_SIDE_STYLE('',(#40650)); -#40645=SURFACE_SIDE_STYLE('',(#40651)); -#40646=SURFACE_SIDE_STYLE('',(#40652)); -#40647=SURFACE_STYLE_FILL_AREA(#40653); -#40648=SURFACE_STYLE_FILL_AREA(#40654); -#40649=SURFACE_STYLE_FILL_AREA(#40655); -#40650=SURFACE_STYLE_FILL_AREA(#40656); -#40651=SURFACE_STYLE_FILL_AREA(#40657); -#40652=SURFACE_STYLE_FILL_AREA(#40658); -#40653=FILL_AREA_STYLE('Steel - Satin',(#40659)); -#40654=FILL_AREA_STYLE('Opaque(0,255,0)',(#40660)); -#40655=FILL_AREA_STYLE('Opaque(168,4,7)',(#40661)); -#40656=FILL_AREA_STYLE('Opaque(199,194,189)',(#40662)); -#40657=FILL_AREA_STYLE('Opaque(212,171,33)',(#40663)); -#40658=FILL_AREA_STYLE('Opaque(26,26,26)',(#40664)); -#40659=FILL_AREA_STYLE_COLOUR('Steel - Satin',#40665); -#40660=FILL_AREA_STYLE_COLOUR('Opaque(0,255,0)',#40666); -#40661=FILL_AREA_STYLE_COLOUR('Opaque(168,4,7)',#40667); -#40662=FILL_AREA_STYLE_COLOUR('Opaque(199,194,189)',#40668); -#40663=FILL_AREA_STYLE_COLOUR('Opaque(212,171,33)',#40669); -#40664=FILL_AREA_STYLE_COLOUR('Opaque(26,26,26)',#40670); -#40665=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157,0.627450980392157); -#40666=COLOUR_RGB('Opaque(0,255,0)',0.,1.,0.); -#40667=COLOUR_RGB('Opaque(168,4,7)',0.658823529411765,0.0156862745098039, -0.0274509803921569); -#40668=COLOUR_RGB('Opaque(199,194,189)',0.780392156862745,0.76078431372549, -0.741176470588235); -#40669=COLOUR_RGB('Opaque(212,171,33)',0.831372549019608,0.670588235294118, -0.129411764705882); -#40670=COLOUR_RGB('Opaque(26,26,26)',0.101960784313725,0.101960784313725, -0.101960784313725); -ENDSEC; -END-ISO-10303-21; diff --git a/3D_file/GlassScreen_3D.stp b/dimensions/CapacitiveTouchScreen.stp similarity index 100% rename from 3D_file/GlassScreen_3D.stp rename to dimensions/CapacitiveTouchScreen.stp diff --git a/3D_file/Shell/README.md b/dimensions/LilyGo-Shell/README.md similarity index 100% rename from 3D_file/Shell/README.md rename to dimensions/LilyGo-Shell/README.md diff --git a/3D_file/Shell/T-Display-s3-cova.stl b/dimensions/LilyGo-Shell/T-Display-s3-cova.stl similarity index 100% rename from 3D_file/Shell/T-Display-s3-cova.stl rename to dimensions/LilyGo-Shell/T-Display-s3-cova.stl diff --git a/3D_file/Shell/T-Display-s3-covb.stl b/dimensions/LilyGo-Shell/T-Display-s3-covb.stl similarity index 100% rename from 3D_file/Shell/T-Display-s3-covb.stl rename to dimensions/LilyGo-Shell/T-Display-s3-covb.stl diff --git a/3D_file/Shell/T-Display-s3-covc.stl b/dimensions/LilyGo-Shell/T-Display-s3-covc.stl similarity index 100% rename from 3D_file/Shell/T-Display-s3-covc.stl rename to dimensions/LilyGo-Shell/T-Display-s3-covc.stl diff --git a/3D_file/Shell/T-Display-s3-covd.stl b/dimensions/LilyGo-Shell/T-Display-s3-covd.stl similarity index 100% rename from 3D_file/Shell/T-Display-s3-covd.stl rename to dimensions/LilyGo-Shell/T-Display-s3-covd.stl diff --git a/3D_file/Shell/T-Display-s3-cove.stl b/dimensions/LilyGo-Shell/T-Display-s3-cove.stl similarity index 100% rename from 3D_file/Shell/T-Display-s3-cove.stl rename to dimensions/LilyGo-Shell/T-Display-s3-cove.stl diff --git a/3D_file/Shell/T-Display-s3-covf.stl b/dimensions/LilyGo-Shell/T-Display-s3-covf.stl similarity index 100% rename from 3D_file/Shell/T-Display-s3-covf.stl rename to dimensions/LilyGo-Shell/T-Display-s3-covf.stl diff --git a/3D_file/Shell/T-Display-s3-covg.stl b/dimensions/LilyGo-Shell/T-Display-s3-covg.stl similarity index 100% rename from 3D_file/Shell/T-Display-s3-covg.stl rename to dimensions/LilyGo-Shell/T-Display-s3-covg.stl diff --git a/3D_file/Lilygo_T-DisplayS3_Touch_from Stuttgart.zip b/dimensions/Lilygo_T-DisplayS3_Touch_from Stuttgart.zip similarity index 100% rename from 3D_file/Lilygo_T-DisplayS3_Touch_from Stuttgart.zip rename to dimensions/Lilygo_T-DisplayS3_Touch_from Stuttgart.zip diff --git a/3D_file/Dimensions.dwg b/dimensions/PCB.dwg similarity index 100% rename from 3D_file/Dimensions.dwg rename to dimensions/PCB.dwg diff --git a/3D_file/README.MD b/dimensions/README.MD similarity index 100% rename from 3D_file/README.MD rename to dimensions/README.MD diff --git a/3D_file/TF-Shell.DXF b/dimensions/SD-Shield.DXF similarity index 100% rename from 3D_file/TF-Shell.DXF rename to dimensions/SD-Shield.DXF diff --git a/3D_file/noTouch.stl b/dimensions/t-display-s3-full.stl similarity index 100% rename from 3D_file/noTouch.stl rename to dimensions/t-display-s3-full.stl diff --git a/3D_file/t-display-s3.stp b/dimensions/t-display-s3-full.stp similarity index 97% rename from 3D_file/t-display-s3.stp rename to dimensions/t-display-s3-full.stp index 40e614e..9334611 100644 --- a/3D_file/t-display-s3.stp +++ b/dimensions/t-display-s3-full.stp @@ -1,27930 +1,27930 @@ -ISO-10303-21; -HEADER; -FILE_DESCRIPTION((''),'2;1'); -FILE_NAME('S3-SHELL-0926_ASM','2023-11-23T10:10:03',('HR'),(''), -'CREO PARAMETRIC BY PTC INC, 2019010','CREO PARAMETRIC BY PTC INC, 2019010',''); -FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); -ENDSEC; -DATA; -#52=CARTESIAN_POINT('',(-1.175250871665E1,5.9782208E1,0.E0)); -#53=DIRECTION('',(0.E0,0.E0,-1.E0)); -#54=DIRECTION('',(-9.999999195423E-1,-4.011425037262E-4,0.E0)); -#55=AXIS2_PLACEMENT_3D('',#52,#53,#54); -#57=DIRECTION('',(1.E0,0.E0,0.E0)); -#58=VECTOR('',#57,2.350520218159E1); -#59=CARTESIAN_POINT('',(-1.175250871665E1,6.0782208E1,0.E0)); -#60=LINE('',#59,#58); -#61=CARTESIAN_POINT('',(1.175269346494E1,5.9782208E1,0.E0)); -#62=DIRECTION('',(0.E0,0.E0,-1.E0)); -#63=DIRECTION('',(0.E0,1.E0,0.E0)); -#64=AXIS2_PLACEMENT_3D('',#61,#62,#63); -#66=DIRECTION('',(-2.164513703807E-4,-9.999999765744E-1,0.E0)); -#67=VECTOR('',#66,5.878220937690E1); -#68=CARTESIAN_POINT('',(1.275269344151E1,5.978199154878E1,0.E0)); -#69=LINE('',#68,#67); -#70=CARTESIAN_POINT('',(1.173996997514E1,1.E0,0.E0)); -#71=DIRECTION('',(0.E0,0.E0,-1.E0)); -#72=DIRECTION('',(9.999999765745E-1,-2.164507590423E-4,0.E0)); -#73=AXIS2_PLACEMENT_3D('',#70,#71,#72); -#75=DIRECTION('',(-1.E0,0.E0,0.E0)); -#76=VECTOR('',#75,2.346889864183E1); -#77=CARTESIAN_POINT('',(1.173996997514E1,0.E0,0.E0)); -#78=LINE('',#77,#76); -#79=CARTESIAN_POINT('',(-1.172892866669E1,1.E0,0.E0)); -#80=DIRECTION('',(0.E0,0.E0,-1.E0)); -#81=DIRECTION('',(0.E0,-1.E0,0.E0)); -#82=AXIS2_PLACEMENT_3D('',#79,#80,#81); -#84=DIRECTION('',(-4.011426052650E-4,9.999999195423E-1,0.E0)); -#85=VECTOR('',#84,5.878221272953E1); -#86=CARTESIAN_POINT('',(-1.272892858623E1,9.995988573916E-1,0.E0)); -#87=LINE('',#86,#85); -#88=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,0.E0)); -#89=DIRECTION('',(0.E0,0.E0,1.E0)); -#90=DIRECTION('',(1.E0,0.E0,0.E0)); -#91=AXIS2_PLACEMENT_3D('',#88,#89,#90); -#93=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,0.E0)); -#94=DIRECTION('',(0.E0,0.E0,1.E0)); -#95=DIRECTION('',(-1.E0,0.E0,0.E0)); -#96=AXIS2_PLACEMENT_3D('',#93,#94,#95); -#98=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,0.E0)); -#99=DIRECTION('',(0.E0,0.E0,1.E0)); -#100=DIRECTION('',(1.E0,0.E0,0.E0)); -#101=AXIS2_PLACEMENT_3D('',#98,#99,#100); -#103=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,0.E0)); -#104=DIRECTION('',(0.E0,0.E0,1.E0)); -#105=DIRECTION('',(-1.E0,0.E0,0.E0)); -#106=AXIS2_PLACEMENT_3D('',#103,#104,#105); -#108=DIRECTION('',(9.999694801760E-1,7.812727857952E-3,0.E0)); -#109=VECTOR('',#108,2.150068619717E0); -#110=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098999E0,0.E0)); -#111=LINE('',#110,#109); -#112=DIRECTION('',(7.071017303981E-1,7.071118319389E-1,0.E0)); -#113=VECTOR('',#112,4.949740397352E-1); -#114=CARTESIAN_POINT('',(3.048024944341E0,1.166808E0,0.E0)); -#115=LINE('',#114,#113); -#116=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); -#117=VECTOR('',#116,3.535533905933E-1); -#118=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,0.E0)); -#119=LINE('',#118,#117); -#120=DIRECTION('',(9.999694801760E-1,7.812727858012E-3,0.E0)); -#121=VECTOR('',#120,2.280072587414E0); -#122=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,0.E0)); -#123=LINE('',#122,#121); -#124=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,0.E0)); -#125=DIRECTION('',(0.E0,0.E0,1.E0)); -#126=DIRECTION('',(4.986703344629E-1,-8.667917267295E-1,0.E0)); -#127=AXIS2_PLACEMENT_3D('',#124,#125,#126); -#129=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,0.E0)); -#130=DIRECTION('',(0.E0,0.E0,1.E0)); -#131=DIRECTION('',(1.E0,0.E0,0.E0)); -#132=AXIS2_PLACEMENT_3D('',#129,#130,#131); -#134=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,0.E0)); -#135=DIRECTION('',(0.E0,0.E0,1.E0)); -#136=DIRECTION('',(-1.E0,0.E0,0.E0)); -#137=AXIS2_PLACEMENT_3D('',#134,#135,#136); -#139=CARTESIAN_POINT('',(9.667618444340E0,1.2012405E1,0.E0)); -#140=DIRECTION('',(0.E0,0.E0,1.E0)); -#141=DIRECTION('',(4.532352195290E-1,8.913909556297E-1,0.E0)); -#142=AXIS2_PLACEMENT_3D('',#139,#140,#141); -#144=CARTESIAN_POINT('',(9.667618444340E0,1.2012405E1,0.E0)); -#145=DIRECTION('',(0.E0,0.E0,1.E0)); -#146=DIRECTION('',(-1.E0,0.E0,0.E0)); -#147=AXIS2_PLACEMENT_3D('',#144,#145,#146); -#149=CARTESIAN_POINT('',(9.667620944340E0,8.612409E0,0.E0)); -#150=DIRECTION('',(0.E0,0.E0,1.E0)); -#151=DIRECTION('',(4.532329563769E-1,8.913921063448E-1,0.E0)); -#152=AXIS2_PLACEMENT_3D('',#149,#150,#151); -#154=CARTESIAN_POINT('',(9.667620944340E0,8.612409E0,0.E0)); -#155=DIRECTION('',(0.E0,0.E0,1.E0)); -#156=DIRECTION('',(-1.E0,0.E0,0.E0)); -#157=AXIS2_PLACEMENT_3D('',#154,#155,#156); -#159=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,0.E0)); -#160=DIRECTION('',(0.E0,0.E0,1.E0)); -#161=DIRECTION('',(-8.133385957596E-1,5.817906226881E-1,0.E0)); -#162=AXIS2_PLACEMENT_3D('',#159,#160,#161); -#164=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,0.E0)); -#165=DIRECTION('',(0.E0,0.E0,1.E0)); -#166=DIRECTION('',(-1.E0,0.E0,0.E0)); -#167=AXIS2_PLACEMENT_3D('',#164,#165,#166); -#169=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,0.E0)); -#170=DIRECTION('',(0.E0,0.E0,1.E0)); -#171=DIRECTION('',(1.E0,0.E0,0.E0)); -#172=AXIS2_PLACEMENT_3D('',#169,#170,#171); -#174=DIRECTION('',(1.E0,0.E0,0.E0)); -#175=VECTOR('',#174,1.6764E0); -#176=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,0.E0)); -#177=LINE('',#176,#175); -#178=DIRECTION('',(-1.E0,0.E0,0.E0)); -#179=VECTOR('',#178,1.6764E0); -#180=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,0.E0)); -#181=LINE('',#180,#179); -#182=DIRECTION('',(1.E0,0.E0,0.E0)); -#183=VECTOR('',#182,1.6764E0); -#184=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,0.E0)); -#185=LINE('',#184,#183); -#186=DIRECTION('',(-1.E0,0.E0,0.E0)); -#187=VECTOR('',#186,1.6764E0); -#188=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,0.E0)); -#189=LINE('',#188,#187); -#190=DIRECTION('',(1.E0,0.E0,0.E0)); -#191=VECTOR('',#190,1.6764E0); -#192=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,0.E0)); -#193=LINE('',#192,#191); -#194=DIRECTION('',(-1.E0,0.E0,0.E0)); -#195=VECTOR('',#194,1.6764E0); -#196=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,0.E0)); -#197=LINE('',#196,#195); -#198=DIRECTION('',(1.E0,0.E0,0.E0)); -#199=VECTOR('',#198,1.6764E0); -#200=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,0.E0)); -#201=LINE('',#200,#199); -#202=DIRECTION('',(-1.E0,0.E0,0.E0)); -#203=VECTOR('',#202,1.6764E0); -#204=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,0.E0)); -#205=LINE('',#204,#203); -#206=DIRECTION('',(-1.E0,0.E0,0.E0)); -#207=VECTOR('',#206,1.6764E0); -#208=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,0.E0)); -#209=LINE('',#208,#207); -#210=DIRECTION('',(1.E0,0.E0,0.E0)); -#211=VECTOR('',#210,1.6764E0); -#212=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,0.E0)); -#213=LINE('',#212,#211); -#214=DIRECTION('',(-1.E0,0.E0,0.E0)); -#215=VECTOR('',#214,1.6764E0); -#216=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,0.E0)); -#217=LINE('',#216,#215); -#218=DIRECTION('',(1.E0,0.E0,0.E0)); -#219=VECTOR('',#218,1.6764E0); -#220=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,0.E0)); -#221=LINE('',#220,#219); -#222=DIRECTION('',(-1.E0,0.E0,0.E0)); -#223=VECTOR('',#222,1.6764E0); -#224=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,0.E0)); -#225=LINE('',#224,#223); -#226=DIRECTION('',(1.E0,0.E0,0.E0)); -#227=VECTOR('',#226,1.6764E0); -#228=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,0.E0)); -#229=LINE('',#228,#227); -#230=DIRECTION('',(-1.E0,0.E0,0.E0)); -#231=VECTOR('',#230,1.6764E0); -#232=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,0.E0)); -#233=LINE('',#232,#231); -#234=DIRECTION('',(1.E0,0.E0,0.E0)); -#235=VECTOR('',#234,1.6764E0); -#236=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,0.E0)); -#237=LINE('',#236,#235); -#238=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,0.E0)); -#239=DIRECTION('',(0.E0,0.E0,1.E0)); -#240=DIRECTION('',(1.E0,0.E0,0.E0)); -#241=AXIS2_PLACEMENT_3D('',#238,#239,#240); -#243=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,0.E0)); -#244=DIRECTION('',(0.E0,0.E0,1.E0)); -#245=DIRECTION('',(-1.E0,0.E0,0.E0)); -#246=AXIS2_PLACEMENT_3D('',#243,#244,#245); -#248=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,0.E0)); -#249=DIRECTION('',(0.E0,0.E0,1.E0)); -#250=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); -#251=AXIS2_PLACEMENT_3D('',#248,#249,#250); -#253=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,0.E0)); -#254=DIRECTION('',(0.E0,0.E0,1.E0)); -#255=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); -#256=AXIS2_PLACEMENT_3D('',#253,#254,#255); -#258=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,0.E0)); -#259=DIRECTION('',(0.E0,0.E0,1.E0)); -#260=DIRECTION('',(1.E0,0.E0,0.E0)); -#261=AXIS2_PLACEMENT_3D('',#258,#259,#260); -#263=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,0.E0)); -#264=DIRECTION('',(0.E0,0.E0,1.E0)); -#265=DIRECTION('',(-1.E0,0.E0,0.E0)); -#266=AXIS2_PLACEMENT_3D('',#263,#264,#265); -#268=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,0.E0)); -#269=DIRECTION('',(0.E0,0.E0,1.E0)); -#270=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); -#271=AXIS2_PLACEMENT_3D('',#268,#269,#270); -#273=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,0.E0)); -#274=DIRECTION('',(0.E0,0.E0,1.E0)); -#275=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); -#276=AXIS2_PLACEMENT_3D('',#273,#274,#275); -#278=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,0.E0)); -#279=DIRECTION('',(0.E0,0.E0,1.E0)); -#280=DIRECTION('',(1.E0,0.E0,0.E0)); -#281=AXIS2_PLACEMENT_3D('',#278,#279,#280); -#283=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,0.E0)); -#284=DIRECTION('',(0.E0,0.E0,1.E0)); -#285=DIRECTION('',(-1.E0,0.E0,0.E0)); -#286=AXIS2_PLACEMENT_3D('',#283,#284,#285); -#288=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,0.E0)); -#289=DIRECTION('',(0.E0,0.E0,1.E0)); -#290=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); -#291=AXIS2_PLACEMENT_3D('',#288,#289,#290); -#293=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,0.E0)); -#294=DIRECTION('',(0.E0,0.E0,1.E0)); -#295=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); -#296=AXIS2_PLACEMENT_3D('',#293,#294,#295); -#298=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,0.E0)); -#299=DIRECTION('',(0.E0,0.E0,1.E0)); -#300=DIRECTION('',(1.E0,0.E0,0.E0)); -#301=AXIS2_PLACEMENT_3D('',#298,#299,#300); -#303=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,0.E0)); -#304=DIRECTION('',(0.E0,0.E0,1.E0)); -#305=DIRECTION('',(-1.E0,0.E0,0.E0)); -#306=AXIS2_PLACEMENT_3D('',#303,#304,#305); -#308=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,0.E0)); -#309=DIRECTION('',(0.E0,0.E0,1.E0)); -#310=DIRECTION('',(1.E0,0.E0,0.E0)); -#311=AXIS2_PLACEMENT_3D('',#308,#309,#310); -#313=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,0.E0)); -#314=DIRECTION('',(0.E0,0.E0,1.E0)); -#315=DIRECTION('',(-1.E0,0.E0,0.E0)); -#316=AXIS2_PLACEMENT_3D('',#313,#314,#315); -#318=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,0.E0)); -#319=DIRECTION('',(0.E0,0.E0,1.E0)); -#320=DIRECTION('',(1.E0,0.E0,0.E0)); -#321=AXIS2_PLACEMENT_3D('',#318,#319,#320); -#323=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,0.E0)); -#324=DIRECTION('',(0.E0,0.E0,1.E0)); -#325=DIRECTION('',(-1.E0,0.E0,0.E0)); -#326=AXIS2_PLACEMENT_3D('',#323,#324,#325); -#328=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,0.E0)); -#329=DIRECTION('',(0.E0,0.E0,1.E0)); -#330=DIRECTION('',(1.E0,0.E0,0.E0)); -#331=AXIS2_PLACEMENT_3D('',#328,#329,#330); -#333=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,0.E0)); -#334=DIRECTION('',(0.E0,0.E0,1.E0)); -#335=DIRECTION('',(-1.E0,0.E0,0.E0)); -#336=AXIS2_PLACEMENT_3D('',#333,#334,#335); -#338=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,0.E0)); -#339=DIRECTION('',(0.E0,0.E0,1.E0)); -#340=DIRECTION('',(1.E0,0.E0,0.E0)); -#341=AXIS2_PLACEMENT_3D('',#338,#339,#340); -#343=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,0.E0)); -#344=DIRECTION('',(0.E0,0.E0,1.E0)); -#345=DIRECTION('',(-1.E0,0.E0,0.E0)); -#346=AXIS2_PLACEMENT_3D('',#343,#344,#345); -#348=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,0.E0)); -#349=DIRECTION('',(0.E0,0.E0,1.E0)); -#350=DIRECTION('',(1.E0,0.E0,0.E0)); -#351=AXIS2_PLACEMENT_3D('',#348,#349,#350); -#353=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,0.E0)); -#354=DIRECTION('',(0.E0,0.E0,1.E0)); -#355=DIRECTION('',(-1.E0,0.E0,0.E0)); -#356=AXIS2_PLACEMENT_3D('',#353,#354,#355); -#358=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,0.E0)); -#359=DIRECTION('',(0.E0,0.E0,1.E0)); -#360=DIRECTION('',(1.E0,0.E0,0.E0)); -#361=AXIS2_PLACEMENT_3D('',#358,#359,#360); -#363=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,0.E0)); -#364=DIRECTION('',(0.E0,0.E0,1.E0)); -#365=DIRECTION('',(-1.E0,0.E0,0.E0)); -#366=AXIS2_PLACEMENT_3D('',#363,#364,#365); -#368=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,0.E0)); -#369=DIRECTION('',(0.E0,0.E0,1.E0)); -#370=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); -#371=AXIS2_PLACEMENT_3D('',#368,#369,#370); -#373=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,0.E0)); -#374=DIRECTION('',(0.E0,0.E0,1.E0)); -#375=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); -#376=AXIS2_PLACEMENT_3D('',#373,#374,#375); -#378=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,0.E0)); -#379=DIRECTION('',(0.E0,0.E0,1.E0)); -#380=DIRECTION('',(1.E0,0.E0,0.E0)); -#381=AXIS2_PLACEMENT_3D('',#378,#379,#380); -#383=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,0.E0)); -#384=DIRECTION('',(0.E0,0.E0,1.E0)); -#385=DIRECTION('',(-1.E0,0.E0,0.E0)); -#386=AXIS2_PLACEMENT_3D('',#383,#384,#385); -#388=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,0.E0)); -#389=DIRECTION('',(0.E0,0.E0,1.E0)); -#390=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); -#391=AXIS2_PLACEMENT_3D('',#388,#389,#390); -#393=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,0.E0)); -#394=DIRECTION('',(0.E0,0.E0,1.E0)); -#395=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); -#396=AXIS2_PLACEMENT_3D('',#393,#394,#395); -#398=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,0.E0)); -#399=DIRECTION('',(0.E0,0.E0,1.E0)); -#400=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); -#401=AXIS2_PLACEMENT_3D('',#398,#399,#400); -#403=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,0.E0)); -#404=DIRECTION('',(0.E0,0.E0,1.E0)); -#405=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); -#406=AXIS2_PLACEMENT_3D('',#403,#404,#405); -#408=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,0.E0)); -#409=DIRECTION('',(0.E0,0.E0,1.E0)); -#410=DIRECTION('',(1.E0,0.E0,0.E0)); -#411=AXIS2_PLACEMENT_3D('',#408,#409,#410); -#413=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,0.E0)); -#414=DIRECTION('',(0.E0,0.E0,1.E0)); -#415=DIRECTION('',(-1.E0,0.E0,0.E0)); -#416=AXIS2_PLACEMENT_3D('',#413,#414,#415); -#418=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,0.E0)); -#419=DIRECTION('',(0.E0,0.E0,1.E0)); -#420=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); -#421=AXIS2_PLACEMENT_3D('',#418,#419,#420); -#423=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,0.E0)); -#424=DIRECTION('',(0.E0,0.E0,1.E0)); -#425=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); -#426=AXIS2_PLACEMENT_3D('',#423,#424,#425); -#428=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,0.E0)); -#429=DIRECTION('',(0.E0,0.E0,1.E0)); -#430=DIRECTION('',(1.E0,0.E0,0.E0)); -#431=AXIS2_PLACEMENT_3D('',#428,#429,#430); -#433=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,0.E0)); -#434=DIRECTION('',(0.E0,0.E0,1.E0)); -#435=DIRECTION('',(-1.E0,0.E0,0.E0)); -#436=AXIS2_PLACEMENT_3D('',#433,#434,#435); -#438=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,0.E0)); -#439=DIRECTION('',(0.E0,0.E0,1.E0)); -#440=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); -#441=AXIS2_PLACEMENT_3D('',#438,#439,#440); -#443=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,0.E0)); -#444=DIRECTION('',(0.E0,0.E0,1.E0)); -#445=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); -#446=AXIS2_PLACEMENT_3D('',#443,#444,#445); -#448=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,0.E0)); -#449=DIRECTION('',(0.E0,0.E0,1.E0)); -#450=DIRECTION('',(1.E0,0.E0,0.E0)); -#451=AXIS2_PLACEMENT_3D('',#448,#449,#450); -#453=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,0.E0)); -#454=DIRECTION('',(0.E0,0.E0,1.E0)); -#455=DIRECTION('',(-1.E0,0.E0,0.E0)); -#456=AXIS2_PLACEMENT_3D('',#453,#454,#455); -#458=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,0.E0)); -#459=DIRECTION('',(0.E0,0.E0,1.E0)); -#460=DIRECTION('',(1.E0,0.E0,0.E0)); -#461=AXIS2_PLACEMENT_3D('',#458,#459,#460); -#463=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,0.E0)); -#464=DIRECTION('',(0.E0,0.E0,1.E0)); -#465=DIRECTION('',(-1.E0,0.E0,0.E0)); -#466=AXIS2_PLACEMENT_3D('',#463,#464,#465); -#468=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,0.E0)); -#469=DIRECTION('',(0.E0,0.E0,1.E0)); -#470=DIRECTION('',(1.E0,0.E0,0.E0)); -#471=AXIS2_PLACEMENT_3D('',#468,#469,#470); -#473=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,0.E0)); -#474=DIRECTION('',(0.E0,0.E0,1.E0)); -#475=DIRECTION('',(-1.E0,0.E0,0.E0)); -#476=AXIS2_PLACEMENT_3D('',#473,#474,#475); -#478=DIRECTION('',(0.E0,0.E0,1.E0)); -#479=VECTOR('',#478,1.2E0); -#480=CARTESIAN_POINT('',(-1.175250871665E1,6.0782208E1,-1.2E0)); -#481=LINE('',#480,#479); -#482=DIRECTION('',(-7.238654120556E-13,-1.662077882732E-11,-1.E0)); -#483=VECTOR('',#482,1.2E0); -#484=CARTESIAN_POINT('',(-1.275250863619E1,5.978180685744E1,0.E0)); -#485=LINE('',#484,#483); -#486=CARTESIAN_POINT('',(1.173996997514E1,1.E0,-1.2E0)); -#487=DIRECTION('',(0.E0,0.E0,1.E0)); -#488=DIRECTION('',(0.E0,-1.E0,0.E0)); -#489=AXIS2_PLACEMENT_3D('',#486,#487,#488); -#491=CARTESIAN_POINT('',(1.175269346494E1,5.9782208E1,-1.2E0)); -#492=DIRECTION('',(0.E0,0.E0,1.E0)); -#493=DIRECTION('',(9.999999765744E-1,-2.164511552891E-4,0.E0)); -#494=AXIS2_PLACEMENT_3D('',#491,#492,#493); -#496=CARTESIAN_POINT('',(-1.175250871665E1,5.9782208E1,-1.2E0)); -#497=DIRECTION('',(0.E0,0.E0,1.E0)); -#498=DIRECTION('',(0.E0,1.E0,0.E0)); -#499=AXIS2_PLACEMENT_3D('',#496,#497,#498); -#501=DIRECTION('',(4.011426052650E-4,-9.999999195423E-1,0.E0)); -#502=VECTOR('',#501,5.878221272949E1); -#503=CARTESIAN_POINT('',(-1.275250863619E1,5.978180685742E1,-1.2E0)); -#504=LINE('',#503,#502); -#505=CARTESIAN_POINT('',(-1.172892866669E1,1.E0,-1.2E0)); -#506=DIRECTION('',(0.E0,0.E0,1.E0)); -#507=DIRECTION('',(-9.999999195423E-1,-4.011425828166E-4,0.E0)); -#508=AXIS2_PLACEMENT_3D('',#505,#506,#507); -#510=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,-1.2E0)); -#511=DIRECTION('',(0.E0,0.E0,-1.E0)); -#512=DIRECTION('',(-1.E0,0.E0,0.E0)); -#513=AXIS2_PLACEMENT_3D('',#510,#511,#512); -#515=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,-1.2E0)); -#516=DIRECTION('',(0.E0,0.E0,-1.E0)); -#517=DIRECTION('',(1.E0,0.E0,0.E0)); -#518=AXIS2_PLACEMENT_3D('',#515,#516,#517); -#520=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,-1.2E0)); -#521=DIRECTION('',(0.E0,0.E0,-1.E0)); -#522=DIRECTION('',(-1.E0,0.E0,0.E0)); -#523=AXIS2_PLACEMENT_3D('',#520,#521,#522); -#525=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,-1.2E0)); -#526=DIRECTION('',(0.E0,0.E0,-1.E0)); -#527=DIRECTION('',(1.E0,0.E0,0.E0)); -#528=AXIS2_PLACEMENT_3D('',#525,#526,#527); -#530=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,-1.2E0)); -#531=DIRECTION('',(0.E0,0.E0,-1.E0)); -#532=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); -#533=AXIS2_PLACEMENT_3D('',#530,#531,#532); -#535=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,-1.2E0)); -#536=DIRECTION('',(0.E0,0.E0,-1.E0)); -#537=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); -#538=AXIS2_PLACEMENT_3D('',#535,#536,#537); -#540=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,-1.2E0)); -#541=DIRECTION('',(0.E0,0.E0,-1.E0)); -#542=DIRECTION('',(-1.E0,0.E0,0.E0)); -#543=AXIS2_PLACEMENT_3D('',#540,#541,#542); -#545=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,-1.2E0)); -#546=DIRECTION('',(0.E0,0.E0,-1.E0)); -#547=DIRECTION('',(1.E0,0.E0,0.E0)); -#548=AXIS2_PLACEMENT_3D('',#545,#546,#547); -#550=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,-1.2E0)); -#551=DIRECTION('',(0.E0,0.E0,-1.E0)); -#552=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); -#553=AXIS2_PLACEMENT_3D('',#550,#551,#552); -#555=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,-1.2E0)); -#556=DIRECTION('',(0.E0,0.E0,-1.E0)); -#557=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); -#558=AXIS2_PLACEMENT_3D('',#555,#556,#557); -#560=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,-1.2E0)); -#561=DIRECTION('',(0.E0,0.E0,-1.E0)); -#562=DIRECTION('',(-1.E0,0.E0,0.E0)); -#563=AXIS2_PLACEMENT_3D('',#560,#561,#562); -#565=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,-1.2E0)); -#566=DIRECTION('',(0.E0,0.E0,-1.E0)); -#567=DIRECTION('',(1.E0,0.E0,0.E0)); -#568=AXIS2_PLACEMENT_3D('',#565,#566,#567); -#570=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,-1.2E0)); -#571=DIRECTION('',(0.E0,0.E0,-1.E0)); -#572=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); -#573=AXIS2_PLACEMENT_3D('',#570,#571,#572); -#575=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,-1.2E0)); -#576=DIRECTION('',(0.E0,0.E0,-1.E0)); -#577=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); -#578=AXIS2_PLACEMENT_3D('',#575,#576,#577); -#580=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,-1.2E0)); -#581=DIRECTION('',(0.E0,0.E0,-1.E0)); -#582=DIRECTION('',(-1.E0,0.E0,0.E0)); -#583=AXIS2_PLACEMENT_3D('',#580,#581,#582); -#585=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,-1.2E0)); -#586=DIRECTION('',(0.E0,0.E0,-1.E0)); -#587=DIRECTION('',(1.E0,0.E0,0.E0)); -#588=AXIS2_PLACEMENT_3D('',#585,#586,#587); -#590=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,-1.2E0)); -#591=DIRECTION('',(0.E0,0.E0,-1.E0)); -#592=DIRECTION('',(-1.E0,0.E0,0.E0)); -#593=AXIS2_PLACEMENT_3D('',#590,#591,#592); -#595=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,-1.2E0)); -#596=DIRECTION('',(0.E0,0.E0,-1.E0)); -#597=DIRECTION('',(1.E0,0.E0,0.E0)); -#598=AXIS2_PLACEMENT_3D('',#595,#596,#597); -#600=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,-1.2E0)); -#601=DIRECTION('',(0.E0,0.E0,-1.E0)); -#602=DIRECTION('',(-1.E0,0.E0,0.E0)); -#603=AXIS2_PLACEMENT_3D('',#600,#601,#602); -#605=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,-1.2E0)); -#606=DIRECTION('',(0.E0,0.E0,-1.E0)); -#607=DIRECTION('',(1.E0,0.E0,0.E0)); -#608=AXIS2_PLACEMENT_3D('',#605,#606,#607); -#610=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,-1.2E0)); -#611=DIRECTION('',(0.E0,0.E0,-1.E0)); -#612=DIRECTION('',(-1.E0,0.E0,0.E0)); -#613=AXIS2_PLACEMENT_3D('',#610,#611,#612); -#615=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,-1.2E0)); -#616=DIRECTION('',(0.E0,0.E0,-1.E0)); -#617=DIRECTION('',(1.E0,0.E0,0.E0)); -#618=AXIS2_PLACEMENT_3D('',#615,#616,#617); -#620=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,-1.2E0)); -#621=DIRECTION('',(0.E0,0.E0,-1.E0)); -#622=DIRECTION('',(-1.E0,0.E0,0.E0)); -#623=AXIS2_PLACEMENT_3D('',#620,#621,#622); -#625=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,-1.2E0)); -#626=DIRECTION('',(0.E0,0.E0,-1.E0)); -#627=DIRECTION('',(1.E0,0.E0,0.E0)); -#628=AXIS2_PLACEMENT_3D('',#625,#626,#627); -#630=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,-1.2E0)); -#631=DIRECTION('',(0.E0,0.E0,-1.E0)); -#632=DIRECTION('',(-1.E0,0.E0,0.E0)); -#633=AXIS2_PLACEMENT_3D('',#630,#631,#632); -#635=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,-1.2E0)); -#636=DIRECTION('',(0.E0,0.E0,-1.E0)); -#637=DIRECTION('',(1.E0,0.E0,0.E0)); -#638=AXIS2_PLACEMENT_3D('',#635,#636,#637); -#640=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,-1.2E0)); -#641=DIRECTION('',(0.E0,0.E0,-1.E0)); -#642=DIRECTION('',(-1.E0,0.E0,0.E0)); -#643=AXIS2_PLACEMENT_3D('',#640,#641,#642); -#645=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,-1.2E0)); -#646=DIRECTION('',(0.E0,0.E0,-1.E0)); -#647=DIRECTION('',(1.E0,0.E0,0.E0)); -#648=AXIS2_PLACEMENT_3D('',#645,#646,#647); -#650=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,-1.2E0)); -#651=DIRECTION('',(0.E0,0.E0,-1.E0)); -#652=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); -#653=AXIS2_PLACEMENT_3D('',#650,#651,#652); -#655=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,-1.2E0)); -#656=DIRECTION('',(0.E0,0.E0,-1.E0)); -#657=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); -#658=AXIS2_PLACEMENT_3D('',#655,#656,#657); -#660=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,-1.2E0)); -#661=DIRECTION('',(0.E0,0.E0,-1.E0)); -#662=DIRECTION('',(-1.E0,0.E0,0.E0)); -#663=AXIS2_PLACEMENT_3D('',#660,#661,#662); -#665=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,-1.2E0)); -#666=DIRECTION('',(0.E0,0.E0,-1.E0)); -#667=DIRECTION('',(1.E0,0.E0,0.E0)); -#668=AXIS2_PLACEMENT_3D('',#665,#666,#667); -#670=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,-1.2E0)); -#671=DIRECTION('',(0.E0,0.E0,-1.E0)); -#672=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); -#673=AXIS2_PLACEMENT_3D('',#670,#671,#672); -#675=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,-1.2E0)); -#676=DIRECTION('',(0.E0,0.E0,-1.E0)); -#677=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); -#678=AXIS2_PLACEMENT_3D('',#675,#676,#677); -#680=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,-1.2E0)); -#681=DIRECTION('',(0.E0,0.E0,-1.E0)); -#682=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); -#683=AXIS2_PLACEMENT_3D('',#680,#681,#682); -#685=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,-1.2E0)); -#686=DIRECTION('',(0.E0,0.E0,-1.E0)); -#687=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); -#688=AXIS2_PLACEMENT_3D('',#685,#686,#687); -#690=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,-1.2E0)); -#691=DIRECTION('',(0.E0,0.E0,-1.E0)); -#692=DIRECTION('',(-1.E0,0.E0,0.E0)); -#693=AXIS2_PLACEMENT_3D('',#690,#691,#692); -#695=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,-1.2E0)); -#696=DIRECTION('',(0.E0,0.E0,-1.E0)); -#697=DIRECTION('',(1.E0,0.E0,0.E0)); -#698=AXIS2_PLACEMENT_3D('',#695,#696,#697); -#700=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,-1.2E0)); -#701=DIRECTION('',(0.E0,0.E0,-1.E0)); -#702=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); -#703=AXIS2_PLACEMENT_3D('',#700,#701,#702); -#705=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,-1.2E0)); -#706=DIRECTION('',(0.E0,0.E0,-1.E0)); -#707=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); -#708=AXIS2_PLACEMENT_3D('',#705,#706,#707); -#710=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,-1.2E0)); -#711=DIRECTION('',(0.E0,0.E0,-1.E0)); -#712=DIRECTION('',(-1.E0,0.E0,0.E0)); -#713=AXIS2_PLACEMENT_3D('',#710,#711,#712); -#715=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,-1.2E0)); -#716=DIRECTION('',(0.E0,0.E0,-1.E0)); -#717=DIRECTION('',(1.E0,0.E0,0.E0)); -#718=AXIS2_PLACEMENT_3D('',#715,#716,#717); -#720=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,-1.2E0)); -#721=DIRECTION('',(0.E0,0.E0,-1.E0)); -#722=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); -#723=AXIS2_PLACEMENT_3D('',#720,#721,#722); -#725=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,-1.2E0)); -#726=DIRECTION('',(0.E0,0.E0,-1.E0)); -#727=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); -#728=AXIS2_PLACEMENT_3D('',#725,#726,#727); -#730=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,-1.2E0)); -#731=DIRECTION('',(0.E0,0.E0,-1.E0)); -#732=DIRECTION('',(-1.E0,0.E0,0.E0)); -#733=AXIS2_PLACEMENT_3D('',#730,#731,#732); -#735=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,-1.2E0)); -#736=DIRECTION('',(0.E0,0.E0,-1.E0)); -#737=DIRECTION('',(1.E0,0.E0,0.E0)); -#738=AXIS2_PLACEMENT_3D('',#735,#736,#737); -#740=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,-1.2E0)); -#741=DIRECTION('',(0.E0,0.E0,-1.E0)); -#742=DIRECTION('',(-1.E0,0.E0,0.E0)); -#743=AXIS2_PLACEMENT_3D('',#740,#741,#742); -#745=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,-1.2E0)); -#746=DIRECTION('',(0.E0,0.E0,-1.E0)); -#747=DIRECTION('',(1.E0,0.E0,0.E0)); -#748=AXIS2_PLACEMENT_3D('',#745,#746,#747); -#750=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,-1.2E0)); -#751=DIRECTION('',(0.E0,0.E0,-1.E0)); -#752=DIRECTION('',(-1.E0,0.E0,0.E0)); -#753=AXIS2_PLACEMENT_3D('',#750,#751,#752); -#755=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,-1.2E0)); -#756=DIRECTION('',(0.E0,0.E0,-1.E0)); -#757=DIRECTION('',(1.E0,0.E0,0.E0)); -#758=AXIS2_PLACEMENT_3D('',#755,#756,#757); -#760=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,-1.2E0)); -#761=DIRECTION('',(0.E0,0.E0,-1.E0)); -#762=DIRECTION('',(-1.E0,0.E0,0.E0)); -#763=AXIS2_PLACEMENT_3D('',#760,#761,#762); -#765=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,-1.2E0)); -#766=DIRECTION('',(0.E0,0.E0,-1.E0)); -#767=DIRECTION('',(1.E0,0.E0,0.E0)); -#768=AXIS2_PLACEMENT_3D('',#765,#766,#767); -#770=DIRECTION('',(-1.E0,0.E0,0.E0)); -#771=VECTOR('',#770,7.203201E0); -#772=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.2E0)); -#773=LINE('',#772,#771); -#774=DIRECTION('',(0.E0,0.E0,1.E0)); -#775=VECTOR('',#774,3.E-1); -#776=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.5E0)); -#777=LINE('',#776,#775); -#778=DIRECTION('',(0.E0,-1.E0,0.E0)); -#779=VECTOR('',#778,8.77007E-1); -#780=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.2E0)); -#781=LINE('',#780,#779); -#782=DIRECTION('',(0.E0,0.E0,1.E0)); -#783=VECTOR('',#782,3.E-1); -#784=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.5E0)); -#785=LINE('',#784,#783); -#786=DIRECTION('',(1.E0,0.E0,0.E0)); -#787=VECTOR('',#786,4.26601E-1); -#788=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.2E0)); -#789=LINE('',#788,#787); -#790=CARTESIAN_POINT('',(-3.312777055660E0,6.3E0,-2.5E0)); -#791=DIRECTION('',(0.E0,-1.E0,0.E0)); -#792=DIRECTION('',(0.E0,0.E0,1.E0)); -#793=AXIS2_PLACEMENT_3D('',#790,#791,#792); -#795=DIRECTION('',(-3.516254797913E-13,0.E0,1.E0)); -#796=VECTOR('',#795,7.198876764129E-2); -#797=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.271988767641E0)); -#798=LINE('',#797,#796); -#799=DIRECTION('',(0.E0,-1.E0,0.E0)); -#800=VECTOR('',#799,6.3E0); -#801=CARTESIAN_POINT('',(-3.312777055660E0,6.3E0,-1.2E0)); -#802=LINE('',#801,#800); -#803=DIRECTION('',(0.E0,-1.E0,0.E0)); -#804=VECTOR('',#803,1.2E0); -#805=CARTESIAN_POINT('',(-3.312777055660E0,0.E0,-1.2E0)); -#806=LINE('',#805,#804); -#807=DIRECTION('',(0.E0,1.E0,0.E0)); -#808=VECTOR('',#807,7.5E0); -#809=CARTESIAN_POINT('',(-4.612777055660E0,-1.2E0,-2.5E0)); -#810=LINE('',#809,#808); -#811=DIRECTION('',(-1.E0,0.E0,0.E0)); -#812=VECTOR('',#811,6.35E0); -#813=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-1.2E0)); -#814=LINE('',#813,#812); -#815=DIRECTION('',(-1.E0,0.E0,0.E0)); -#816=VECTOR('',#815,6.35E0); -#817=CARTESIAN_POINT('',(3.037222944340E0,0.E0,-1.2E0)); -#818=LINE('',#817,#816); -#819=DIRECTION('',(-1.E0,0.E0,0.E0)); -#820=VECTOR('',#819,8.416151611030E0); -#821=CARTESIAN_POINT('',(-3.312777055660E0,0.E0,-1.2E0)); -#822=LINE('',#821,#820); -#823=DIRECTION('',(-1.E0,0.E0,0.E0)); -#824=VECTOR('',#823,8.702747030800E0); -#825=CARTESIAN_POINT('',(1.173996997514E1,0.E0,-1.2E0)); -#826=LINE('',#825,#824); -#827=DIRECTION('',(7.342274936188E-13,-1.243449787580E-11,1.E0)); -#828=VECTOR('',#827,1.2E0); -#829=CARTESIAN_POINT('',(-1.272892858623E1,9.995988574065E-1,-1.2E0)); -#830=LINE('',#829,#828); -#831=DIRECTION('',(0.E0,0.E0,-1.E0)); -#832=VECTOR('',#831,1.2E0); -#833=CARTESIAN_POINT('',(-1.172892866669E1,0.E0,0.E0)); -#834=LINE('',#833,#832); -#835=DIRECTION('',(0.E0,0.E0,1.E0)); -#836=VECTOR('',#835,1.2E0); -#837=CARTESIAN_POINT('',(1.173996997514E1,0.E0,-1.2E0)); -#838=LINE('',#837,#836); -#839=DIRECTION('',(0.E0,0.E0,-1.E0)); -#840=VECTOR('',#839,1.2E0); -#841=CARTESIAN_POINT('',(1.273996995174E1,9.997835488872E-1,0.E0)); -#842=LINE('',#841,#840); -#843=DIRECTION('',(-2.164513703808E-4,-9.999999765744E-1,0.E0)); -#844=VECTOR('',#843,5.878220937688E1); -#845=CARTESIAN_POINT('',(1.275269344151E1,5.978199154876E1,-1.2E0)); -#846=LINE('',#845,#844); -#847=DIRECTION('',(0.E0,1.065221984694E-11,1.E0)); -#848=VECTOR('',#847,1.2E0); -#849=CARTESIAN_POINT('',(1.275269344151E1,5.978199154876E1,-1.2E0)); -#850=LINE('',#849,#848); -#851=DIRECTION('',(0.E0,0.E0,-1.E0)); -#852=VECTOR('',#851,1.2E0); -#853=CARTESIAN_POINT('',(1.175269346494E1,6.0782208E1,0.E0)); -#854=LINE('',#853,#852); -#855=DIRECTION('',(1.E0,0.E0,0.E0)); -#856=VECTOR('',#855,2.350520218159E1); -#857=CARTESIAN_POINT('',(-1.175250871665E1,6.0782208E1,-1.2E0)); -#858=LINE('',#857,#856); -#859=DIRECTION('',(0.E0,-1.E0,0.E0)); -#860=VECTOR('',#859,7.5E0); -#861=CARTESIAN_POINT('',(4.337222944340E0,6.3E0,-2.5E0)); -#862=LINE('',#861,#860); -#863=DIRECTION('',(0.E0,1.E0,0.E0)); -#864=VECTOR('',#863,1.2E0); -#865=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-1.2E0)); -#866=LINE('',#865,#864); -#867=DIRECTION('',(0.E0,1.E0,0.E0)); -#868=VECTOR('',#867,6.3E0); -#869=CARTESIAN_POINT('',(3.037222944340E0,0.E0,-1.2E0)); -#870=LINE('',#869,#868); -#871=DIRECTION('',(1.E0,0.E0,0.E0)); -#872=VECTOR('',#871,4.266E-1); -#873=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-1.2E0)); -#874=LINE('',#873,#872); -#875=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-2.5E0)); -#876=DIRECTION('',(0.E0,-1.E0,0.E0)); -#877=DIRECTION('',(3.281538461539E-1,0.E0,9.446242921154E-1)); -#878=AXIS2_PLACEMENT_3D('',#875,#876,#877); -#880=DIRECTION('',(0.E0,1.E0,0.E0)); -#881=VECTOR('',#880,8.77007E-1); -#882=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.2E0)); -#883=LINE('',#882,#881); -#884=DIRECTION('',(-1.752894696416E-14,0.E0,1.E0)); -#885=VECTOR('',#884,2.280115797500E-1); -#886=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.5E0)); -#887=LINE('',#886,#885); -#888=DIRECTION('',(9.253346753013E-14,0.E0,1.E0)); -#889=VECTOR('',#888,7.198842025003E-2); -#890=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.271988420250E0)); -#891=LINE('',#890,#889); -#892=DIRECTION('',(1.E0,0.E0,0.E0)); -#893=VECTOR('',#892,7.203201E0); -#894=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.5E0)); -#895=LINE('',#894,#893); -#896=DIRECTION('',(0.E0,1.E0,0.E0)); -#897=VECTOR('',#896,8.77007E-1); -#898=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.5E0)); -#899=LINE('',#898,#897); -#900=DIRECTION('',(-1.E0,0.E0,0.E0)); -#901=VECTOR('',#900,7.203201E0); -#902=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.5E0)); -#903=LINE('',#902,#901); -#904=DIRECTION('',(0.E0,-1.E0,0.E0)); -#905=VECTOR('',#904,8.77007E-1); -#906=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.5E0)); -#907=LINE('',#906,#905); -#908=DIRECTION('',(1.421794531072E-13,0.E0,1.E0)); -#909=VECTOR('',#908,2.280112323587E-1); -#910=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.5E0)); -#911=LINE('',#910,#909); -#912=CARTESIAN_POINT('',(-3.312777055660E0,6.3E0,-2.5E0)); -#913=DIRECTION('',(0.E0,-1.E0,0.E0)); -#914=DIRECTION('',(-3.281546153846E-1,0.E0,9.446240248913E-1)); -#915=AXIS2_PLACEMENT_3D('',#912,#913,#914); -#917=CARTESIAN_POINT('',(-3.312777055660E0,6.3E0,-3.06E0)); -#918=DIRECTION('',(0.E0,-1.E0,0.E0)); -#919=DIRECTION('',(-1.E0,0.E0,0.E0)); -#920=AXIS2_PLACEMENT_3D('',#917,#918,#919); -#922=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-3.06E0)); -#923=DIRECTION('',(0.E0,-1.E0,0.E0)); -#924=DIRECTION('',(-5.226588392851E-13,0.E0,-1.E0)); -#925=AXIS2_PLACEMENT_3D('',#922,#923,#924); -#927=DIRECTION('',(0.E0,0.E0,1.E0)); -#928=VECTOR('',#927,5.6E-1); -#929=CARTESIAN_POINT('',(4.337222944340E0,6.3E0,-3.06E0)); -#930=LINE('',#929,#928); -#931=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-2.5E0)); -#932=DIRECTION('',(0.E0,-1.E0,0.E0)); -#933=DIRECTION('',(1.E0,0.E0,0.E0)); -#934=AXIS2_PLACEMENT_3D('',#931,#932,#933); -#936=DIRECTION('',(0.E0,0.E0,-1.E0)); -#937=VECTOR('',#936,5.6E-1); -#938=CARTESIAN_POINT('',(-4.612777055660E0,-1.2E0,-2.5E0)); -#939=LINE('',#938,#937); -#940=DIRECTION('',(0.E0,0.E0,1.E0)); -#941=VECTOR('',#940,5.6E-1); -#942=CARTESIAN_POINT('',(-4.612777055660E0,6.3E0,-3.06E0)); -#943=LINE('',#942,#941); -#944=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-3.06E0)); -#945=DIRECTION('',(0.E0,1.E0,0.E0)); -#946=DIRECTION('',(5.253916959611E-13,0.E0,-1.E0)); -#947=AXIS2_PLACEMENT_3D('',#944,#945,#946); -#949=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-2.5E0)); -#950=DIRECTION('',(0.E0,1.E0,0.E0)); -#951=DIRECTION('',(-1.E0,0.E0,0.E0)); -#952=AXIS2_PLACEMENT_3D('',#949,#950,#951); -#954=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-2.5E0)); -#955=DIRECTION('',(0.E0,1.E0,0.E0)); -#956=DIRECTION('',(0.E0,0.E0,1.E0)); -#957=AXIS2_PLACEMENT_3D('',#954,#955,#956); -#959=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-3.06E0)); -#960=DIRECTION('',(0.E0,1.E0,0.E0)); -#961=DIRECTION('',(1.E0,0.E0,0.E0)); -#962=AXIS2_PLACEMENT_3D('',#959,#960,#961); -#964=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-2.5E0)); -#965=DIRECTION('',(0.E0,-1.E0,0.E0)); -#966=DIRECTION('',(0.E0,0.E0,1.E0)); -#967=AXIS2_PLACEMENT_3D('',#964,#965,#966); -#969=DIRECTION('',(0.E0,0.E0,-1.E0)); -#970=VECTOR('',#969,5.6E-1); -#971=CARTESIAN_POINT('',(-4.312777055660E0,-1.2E0,-2.5E0)); -#972=LINE('',#971,#970); -#973=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-3.06E0)); -#974=DIRECTION('',(0.E0,-1.E0,0.E0)); -#975=DIRECTION('',(-1.E0,0.E0,0.E0)); -#976=AXIS2_PLACEMENT_3D('',#973,#974,#975); -#978=DIRECTION('',(1.E0,0.E0,0.E0)); -#979=VECTOR('',#978,6.349999999999E0); -#980=CARTESIAN_POINT('',(-3.312777055659E0,-1.2E0,-4.06E0)); -#981=LINE('',#980,#979); -#982=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-3.06E0)); -#983=DIRECTION('',(0.E0,-1.E0,0.E0)); -#984=DIRECTION('',(-7.434053372890E-13,0.E0,-1.E0)); -#985=AXIS2_PLACEMENT_3D('',#982,#983,#984); -#987=DIRECTION('',(0.E0,0.E0,1.E0)); -#988=VECTOR('',#987,5.6E-1); -#989=CARTESIAN_POINT('',(4.037222944340E0,-1.2E0,-3.06E0)); -#990=LINE('',#989,#988); -#991=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-2.5E0)); -#992=DIRECTION('',(0.E0,-1.E0,0.E0)); -#993=DIRECTION('',(1.E0,0.E0,0.E0)); -#994=AXIS2_PLACEMENT_3D('',#991,#992,#993); -#996=DIRECTION('',(-1.E0,0.E0,0.E0)); -#997=VECTOR('',#996,6.35E0); -#998=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-1.5E0)); -#999=LINE('',#998,#997); -#1000=DIRECTION('',(1.847411112976E-14,1.E0,0.E0)); -#1001=VECTOR('',#1000,7.5E0); -#1002=CARTESIAN_POINT('',(-3.312777055659E0,-1.2E0,-4.36E0)); -#1003=LINE('',#1002,#1001); -#1004=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1005=VECTOR('',#1004,7.5E0); -#1006=CARTESIAN_POINT('',(-4.612777055660E0,6.3E0,-3.06E0)); -#1007=LINE('',#1006,#1005); -#1008=DIRECTION('',(1.E0,0.E0,0.E0)); -#1009=VECTOR('',#1008,6.35E0); -#1010=CARTESIAN_POINT('',(-3.312777055659E0,-1.2E0,-4.36E0)); -#1011=LINE('',#1010,#1009); -#1012=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1013=VECTOR('',#1012,6.349999999999E0); -#1014=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-4.36E0)); -#1015=LINE('',#1014,#1013); -#1016=DIRECTION('',(0.E0,1.E0,0.E0)); -#1017=VECTOR('',#1016,7.5E0); -#1018=CARTESIAN_POINT('',(4.337222944340E0,-1.2E0,-3.06E0)); -#1019=LINE('',#1018,#1017); -#1020=DIRECTION('',(4.026408835974E-14,-1.E0,0.E0)); -#1021=VECTOR('',#1020,7.5E0); -#1022=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-4.36E0)); -#1023=LINE('',#1022,#1021); -#1024=DIRECTION('',(0.E0,0.E0,1.E0)); -#1025=VECTOR('',#1024,5.6E-1); -#1026=CARTESIAN_POINT('',(4.337222944340E0,-1.2E0,-3.06E0)); -#1027=LINE('',#1026,#1025); -#1028=DIRECTION('',(0.E0,1.E0,0.E0)); -#1029=VECTOR('',#1028,7.2E0); -#1030=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-1.5E0)); -#1031=LINE('',#1030,#1029); -#1032=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1033=VECTOR('',#1032,7.2E0); -#1034=CARTESIAN_POINT('',(-4.312777055660E0,6.E0,-2.5E0)); -#1035=LINE('',#1034,#1033); -#1036=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1037=VECTOR('',#1036,6.35E0); -#1038=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-1.5E0)); -#1039=LINE('',#1038,#1037); -#1040=DIRECTION('',(0.E0,1.E0,0.E0)); -#1041=VECTOR('',#1040,7.2E0); -#1042=CARTESIAN_POINT('',(4.037222944340E0,-1.2E0,-2.5E0)); -#1043=LINE('',#1042,#1041); -#1044=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1045=VECTOR('',#1044,7.2E0); -#1046=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-1.5E0)); -#1047=LINE('',#1046,#1045); -#1048=DIRECTION('',(0.E0,0.E0,1.E0)); -#1049=VECTOR('',#1048,5.6E-1); -#1050=CARTESIAN_POINT('',(4.037222944340E0,6.E0,-3.06E0)); -#1051=LINE('',#1050,#1049); -#1052=DIRECTION('',(4.650600892041E-14,1.E0,0.E0)); -#1053=VECTOR('',#1052,7.2E0); -#1054=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-4.06E0)); -#1055=LINE('',#1054,#1053); -#1056=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1057=VECTOR('',#1056,7.2E0); -#1058=CARTESIAN_POINT('',(4.037222944340E0,6.E0,-3.06E0)); -#1059=LINE('',#1058,#1057); -#1060=DIRECTION('',(0.E0,1.E0,0.E0)); -#1061=VECTOR('',#1060,7.2E0); -#1062=CARTESIAN_POINT('',(-4.312777055660E0,-1.2E0,-3.06E0)); -#1063=LINE('',#1062,#1061); -#1064=DIRECTION('',(1.554312234475E-14,-1.E0,0.E0)); -#1065=VECTOR('',#1064,7.2E0); -#1066=CARTESIAN_POINT('',(-3.312777055659E0,6.E0,-4.06E0)); -#1067=LINE('',#1066,#1065); -#1068=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-3.06E0)); -#1069=DIRECTION('',(0.E0,1.E0,0.E0)); -#1070=DIRECTION('',(1.E0,0.E0,0.E0)); -#1071=AXIS2_PLACEMENT_3D('',#1068,#1069,#1070); -#1073=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1074=VECTOR('',#1073,6.35E0); -#1075=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-4.06E0)); -#1076=LINE('',#1075,#1074); -#1077=CARTESIAN_POINT('',(-3.312777055660E0,6.E0,-3.06E0)); -#1078=DIRECTION('',(0.E0,1.E0,0.E0)); -#1079=DIRECTION('',(7.434053372890E-13,0.E0,-1.E0)); -#1080=AXIS2_PLACEMENT_3D('',#1077,#1078,#1079); -#1082=DIRECTION('',(0.E0,0.E0,1.E0)); -#1083=VECTOR('',#1082,5.6E-1); -#1084=CARTESIAN_POINT('',(-4.312777055660E0,6.E0,-3.06E0)); -#1085=LINE('',#1084,#1083); -#1086=CARTESIAN_POINT('',(-3.312777055660E0,6.E0,-2.5E0)); -#1087=DIRECTION('',(0.E0,1.E0,0.E0)); -#1088=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1089=AXIS2_PLACEMENT_3D('',#1086,#1087,#1088); -#1091=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-2.5E0)); -#1092=DIRECTION('',(0.E0,1.E0,0.E0)); -#1093=DIRECTION('',(0.E0,0.E0,1.E0)); -#1094=AXIS2_PLACEMENT_3D('',#1091,#1092,#1093); -#1096=DIRECTION('',(0.E0,0.E0,1.E0)); -#1097=VECTOR('',#1096,1.2E0); -#1098=CARTESIAN_POINT('',(8.979445944340E0,5.7658011E1,-1.2E0)); -#1099=LINE('',#1098,#1097); -#1100=DIRECTION('',(0.E0,0.E0,1.E0)); -#1101=VECTOR('',#1100,1.2E0); -#1102=CARTESIAN_POINT('',(1.086179394434E1,5.7658011E1,-1.2E0)); -#1103=LINE('',#1102,#1101); -#1104=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1105=VECTOR('',#1104,1.2E0); -#1106=CARTESIAN_POINT('',(1.212122044434E1,5.1943008E1,0.E0)); -#1107=LINE('',#1106,#1105); -#1108=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1109=VECTOR('',#1108,1.2E0); -#1110=CARTESIAN_POINT('',(1.071802244434E1,5.1943008E1,0.E0)); -#1111=LINE('',#1110,#1109); -#1112=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1113=VECTOR('',#1112,1.2E0); -#1114=CARTESIAN_POINT('',(1.212122044434E1,4.9403008E1,0.E0)); -#1115=LINE('',#1114,#1113); -#1116=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1117=VECTOR('',#1116,1.2E0); -#1118=CARTESIAN_POINT('',(1.071802244434E1,4.9403008E1,0.E0)); -#1119=LINE('',#1118,#1117); -#1120=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1121=VECTOR('',#1120,1.2E0); -#1122=CARTESIAN_POINT('',(1.212122044434E1,4.6863008E1,0.E0)); -#1123=LINE('',#1122,#1121); -#1124=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1125=VECTOR('',#1124,1.2E0); -#1126=CARTESIAN_POINT('',(1.071802244434E1,4.6863008E1,0.E0)); -#1127=LINE('',#1126,#1125); -#1128=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1129=VECTOR('',#1128,1.2E0); -#1130=CARTESIAN_POINT('',(1.212122044434E1,4.4323008E1,0.E0)); -#1131=LINE('',#1130,#1129); -#1132=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1133=VECTOR('',#1132,1.2E0); -#1134=CARTESIAN_POINT('',(1.071802244434E1,4.4323008E1,0.E0)); -#1135=LINE('',#1134,#1133); -#1136=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1137=VECTOR('',#1136,1.2E0); -#1138=CARTESIAN_POINT('',(1.212122044434E1,4.1783008E1,0.E0)); -#1139=LINE('',#1138,#1137); -#1140=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1141=VECTOR('',#1140,1.2E0); -#1142=CARTESIAN_POINT('',(1.071802244434E1,4.1783008E1,0.E0)); -#1143=LINE('',#1142,#1141); -#1144=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1145=VECTOR('',#1144,1.2E0); -#1146=CARTESIAN_POINT('',(1.212122044434E1,3.9243008E1,0.E0)); -#1147=LINE('',#1146,#1145); -#1148=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1149=VECTOR('',#1148,1.2E0); -#1150=CARTESIAN_POINT('',(1.071802244434E1,3.9243008E1,0.E0)); -#1151=LINE('',#1150,#1149); -#1152=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1153=VECTOR('',#1152,1.2E0); -#1154=CARTESIAN_POINT('',(1.222122044434E1,3.6703008E1,0.E0)); -#1155=LINE('',#1154,#1153); -#1156=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1157=VECTOR('',#1156,1.2E0); -#1158=CARTESIAN_POINT('',(1.061802244434E1,3.6703008E1,0.E0)); -#1159=LINE('',#1158,#1157); -#1160=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1161=VECTOR('',#1160,1.2E0); -#1162=CARTESIAN_POINT('',(1.222122044434E1,3.4163008E1,0.E0)); -#1163=LINE('',#1162,#1161); -#1164=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1165=VECTOR('',#1164,1.2E0); -#1166=CARTESIAN_POINT('',(1.061802244434E1,3.4163008E1,0.E0)); -#1167=LINE('',#1166,#1165); -#1168=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1169=VECTOR('',#1168,1.2E0); -#1170=CARTESIAN_POINT('',(1.222122044434E1,3.1623008E1,0.E0)); -#1171=LINE('',#1170,#1169); -#1172=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1173=VECTOR('',#1172,1.2E0); -#1174=CARTESIAN_POINT('',(1.061802244434E1,3.1623008E1,0.E0)); -#1175=LINE('',#1174,#1173); -#1176=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1177=VECTOR('',#1176,1.2E0); -#1178=CARTESIAN_POINT('',(1.222122044434E1,2.9083008E1,0.E0)); -#1179=LINE('',#1178,#1177); -#1180=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1181=VECTOR('',#1180,1.2E0); -#1182=CARTESIAN_POINT('',(1.061802244434E1,2.9083008E1,0.E0)); -#1183=LINE('',#1182,#1181); -#1184=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1185=VECTOR('',#1184,1.2E0); -#1186=CARTESIAN_POINT('',(1.222122044434E1,2.6543008E1,0.E0)); -#1187=LINE('',#1186,#1185); -#1188=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1189=VECTOR('',#1188,1.2E0); -#1190=CARTESIAN_POINT('',(1.061802244434E1,2.6543008E1,0.E0)); -#1191=LINE('',#1190,#1189); -#1192=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1193=VECTOR('',#1192,1.2E0); -#1194=CARTESIAN_POINT('',(1.222122044434E1,2.4003008E1,0.E0)); -#1195=LINE('',#1194,#1193); -#1196=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1197=VECTOR('',#1196,1.2E0); -#1198=CARTESIAN_POINT('',(1.061802244434E1,2.4003008E1,0.E0)); -#1199=LINE('',#1198,#1197); -#1200=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1201=VECTOR('',#1200,1.2E0); -#1202=CARTESIAN_POINT('',(-1.063877955566E1,5.1943407E1,0.E0)); -#1203=LINE('',#1202,#1201); -#1204=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1205=VECTOR('',#1204,1.2E0); -#1206=CARTESIAN_POINT('',(-1.224197755566E1,5.1943407E1,0.E0)); -#1207=LINE('',#1206,#1205); -#1208=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1209=VECTOR('',#1208,1.2E0); -#1210=CARTESIAN_POINT('',(-1.073877955566E1,4.9403407E1,0.E0)); -#1211=LINE('',#1210,#1209); -#1212=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1213=VECTOR('',#1212,1.2E0); -#1214=CARTESIAN_POINT('',(-1.214197755566E1,4.9403407E1,0.E0)); -#1215=LINE('',#1214,#1213); -#1216=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1217=VECTOR('',#1216,1.2E0); -#1218=CARTESIAN_POINT('',(-1.073877955566E1,4.6863407E1,0.E0)); -#1219=LINE('',#1218,#1217); -#1220=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1221=VECTOR('',#1220,1.2E0); -#1222=CARTESIAN_POINT('',(-1.214197755566E1,4.6863407E1,0.E0)); -#1223=LINE('',#1222,#1221); -#1224=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1225=VECTOR('',#1224,1.2E0); -#1226=CARTESIAN_POINT('',(-1.073877955566E1,4.4323407E1,0.E0)); -#1227=LINE('',#1226,#1225); -#1228=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1229=VECTOR('',#1228,1.2E0); -#1230=CARTESIAN_POINT('',(-1.214197755566E1,4.4323407E1,0.E0)); -#1231=LINE('',#1230,#1229); -#1232=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1233=VECTOR('',#1232,1.2E0); -#1234=CARTESIAN_POINT('',(-1.073877955566E1,4.1783407E1,0.E0)); -#1235=LINE('',#1234,#1233); -#1236=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1237=VECTOR('',#1236,1.2E0); -#1238=CARTESIAN_POINT('',(-1.214197755566E1,4.1783407E1,0.E0)); -#1239=LINE('',#1238,#1237); -#1240=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1241=VECTOR('',#1240,1.2E0); -#1242=CARTESIAN_POINT('',(-1.073877955566E1,3.9243407E1,0.E0)); -#1243=LINE('',#1242,#1241); -#1244=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1245=VECTOR('',#1244,1.2E0); -#1246=CARTESIAN_POINT('',(-1.214197755566E1,3.9243407E1,0.E0)); -#1247=LINE('',#1246,#1245); -#1248=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1249=VECTOR('',#1248,1.2E0); -#1250=CARTESIAN_POINT('',(-1.073877955566E1,3.6703407E1,0.E0)); -#1251=LINE('',#1250,#1249); -#1252=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1253=VECTOR('',#1252,1.2E0); -#1254=CARTESIAN_POINT('',(-1.214197755566E1,3.6703407E1,0.E0)); -#1255=LINE('',#1254,#1253); -#1256=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1257=VECTOR('',#1256,1.2E0); -#1258=CARTESIAN_POINT('',(-1.073877955566E1,3.4163407E1,0.E0)); -#1259=LINE('',#1258,#1257); -#1260=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1261=VECTOR('',#1260,1.2E0); -#1262=CARTESIAN_POINT('',(-1.214197755566E1,3.4163407E1,0.E0)); -#1263=LINE('',#1262,#1261); -#1264=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1265=VECTOR('',#1264,1.2E0); -#1266=CARTESIAN_POINT('',(-1.073877955566E1,3.1623407E1,0.E0)); -#1267=LINE('',#1266,#1265); -#1268=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1269=VECTOR('',#1268,1.2E0); -#1270=CARTESIAN_POINT('',(-1.214197755566E1,3.1623407E1,0.E0)); -#1271=LINE('',#1270,#1269); -#1272=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1273=VECTOR('',#1272,1.2E0); -#1274=CARTESIAN_POINT('',(-1.073877955566E1,2.9083407E1,0.E0)); -#1275=LINE('',#1274,#1273); -#1276=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1277=VECTOR('',#1276,1.2E0); -#1278=CARTESIAN_POINT('',(-1.214197755566E1,2.9083407E1,0.E0)); -#1279=LINE('',#1278,#1277); -#1280=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1281=VECTOR('',#1280,1.2E0); -#1282=CARTESIAN_POINT('',(-1.073877955566E1,2.6543407E1,0.E0)); -#1283=LINE('',#1282,#1281); -#1284=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1285=VECTOR('',#1284,1.2E0); -#1286=CARTESIAN_POINT('',(-1.214197755566E1,2.6543407E1,0.E0)); -#1287=LINE('',#1286,#1285); -#1288=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1289=VECTOR('',#1288,1.2E0); -#1290=CARTESIAN_POINT('',(-1.073877955566E1,2.4003407E1,0.E0)); -#1291=LINE('',#1290,#1289); -#1292=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1293=VECTOR('',#1292,1.2E0); -#1294=CARTESIAN_POINT('',(-1.214197755566E1,2.4003407E1,0.E0)); -#1295=LINE('',#1294,#1293); -#1296=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1297=VECTOR('',#1296,7.613631337700E-1); -#1298=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.2E0)); -#1299=LINE('',#1298,#1297); -#1300=DIRECTION('',(0.E0,0.E0,1.E0)); -#1301=VECTOR('',#1300,5.E-1); -#1302=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.7E0)); -#1303=LINE('',#1302,#1301); -#1304=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1305=VECTOR('',#1304,1.703197E0); -#1306=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.2E0)); -#1307=LINE('',#1306,#1305); -#1308=DIRECTION('',(0.E0,0.E0,1.E0)); -#1309=VECTOR('',#1308,5.E-1); -#1310=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.7E0)); -#1311=LINE('',#1310,#1309); -#1312=DIRECTION('',(1.E0,0.E0,0.E0)); -#1313=VECTOR('',#1312,7.613631337700E-1); -#1314=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.2E0)); -#1315=LINE('',#1314,#1313); -#1316=DIRECTION('',(0.E0,0.E0,1.E0)); -#1317=VECTOR('',#1316,5.E-1); -#1318=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.7E0)); -#1319=LINE('',#1318,#1317); -#1320=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1321=VECTOR('',#1320,1.622658E0); -#1322=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.8E0)); -#1323=LINE('',#1322,#1321); -#1324=DIRECTION('',(0.E0,0.E0,1.E0)); -#1325=VECTOR('',#1324,2.E0); -#1326=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-3.2E0)); -#1327=LINE('',#1326,#1325); -#1328=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1329=VECTOR('',#1328,2.344466E0); -#1330=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-1.2E0)); -#1331=LINE('',#1330,#1329); -#1332=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1333=VECTOR('',#1332,1.703197E0); -#1334=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.7E0)); -#1335=LINE('',#1334,#1333); -#1336=DIRECTION('',(0.E0,0.E0,1.E0)); -#1337=VECTOR('',#1336,5.E-1); -#1338=CARTESIAN_POINT('',(-9.250181921890E0,3.5134545E1,-1.7E0)); -#1339=LINE('',#1338,#1337); -#1340=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1341=VECTOR('',#1340,1.752337E0); -#1342=CARTESIAN_POINT('',(-9.250181921890E0,3.5134545E1,-1.2E0)); -#1343=LINE('',#1342,#1341); -#1344=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1345=VECTOR('',#1344,1.622658E0); -#1346=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.2E0)); -#1347=LINE('',#1346,#1345); -#1348=DIRECTION('',(1.E0,0.E0,0.E0)); -#1349=VECTOR('',#1348,1.850000000003E1); -#1350=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-2.2E0)); -#1351=LINE('',#1350,#1349); -#1352=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1353=VECTOR('',#1352,1.622658E0); -#1354=CARTESIAN_POINT('',(9.249818078140E0,3.5004866E1,-2.2E0)); -#1355=LINE('',#1354,#1353); -#1356=DIRECTION('',(0.E0,0.E0,1.E0)); -#1357=VECTOR('',#1356,1.E0); -#1358=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-2.2E0)); -#1359=LINE('',#1358,#1357); -#1360=DIRECTION('',(0.E0,1.E0,0.E0)); -#1361=VECTOR('',#1360,1.852235E0); -#1362=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-1.2E0)); -#1363=LINE('',#1362,#1361); -#1364=DIRECTION('',(0.E0,0.E0,1.E0)); -#1365=VECTOR('',#1364,5.E-1); -#1366=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.7E0)); -#1367=LINE('',#1366,#1365); -#1368=DIRECTION('',(0.E0,1.E0,0.E0)); -#1369=VECTOR('',#1368,2.444567E0); -#1370=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.2E0)); -#1371=LINE('',#1370,#1369); -#1372=DIRECTION('',(0.E0,0.E0,1.E0)); -#1373=VECTOR('',#1372,4.E-1); -#1374=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-3.2E0)); -#1375=LINE('',#1374,#1373); -#1376=DIRECTION('',(0.E0,0.E0,1.E0)); -#1377=VECTOR('',#1376,6.E-1); -#1378=CARTESIAN_POINT('',(9.249818078140E0,3.5004866E1,-2.8E0)); -#1379=LINE('',#1378,#1377); -#1380=DIRECTION('',(0.E0,0.E0,1.E0)); -#1381=VECTOR('',#1380,1.E0); -#1382=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-2.2E0)); -#1383=LINE('',#1382,#1381); -#1384=DIRECTION('',(1.E0,0.E0,0.E0)); -#1385=VECTOR('',#1384,1.850000000003E1); -#1386=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-1.2E0)); -#1387=LINE('',#1386,#1385); -#1388=DIRECTION('',(1.E0,0.E0,0.E0)); -#1389=VECTOR('',#1388,8.416958662E-1); -#1390=CARTESIAN_POINT('',(9.249818078140E0,3.5234443E1,-1.2E0)); -#1391=LINE('',#1390,#1389); -#1392=DIRECTION('',(0.E0,0.E0,1.E0)); -#1393=VECTOR('',#1392,5.E-1); -#1394=CARTESIAN_POINT('',(9.249818078140E0,3.5234443E1,-1.7E0)); -#1395=LINE('',#1394,#1393); -#1396=DIRECTION('',(0.E0,1.E0,0.E0)); -#1397=VECTOR('',#1396,1.503198E0); -#1398=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.2E0)); -#1399=LINE('',#1398,#1397); -#1400=DIRECTION('',(0.E0,0.E0,1.E0)); -#1401=VECTOR('',#1400,5.E-1); -#1402=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.7E0)); -#1403=LINE('',#1402,#1401); -#1404=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1405=VECTOR('',#1404,8.416958662E-1); -#1406=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.2E0)); -#1407=LINE('',#1406,#1405); -#1408=DIRECTION('',(0.E0,0.E0,1.E0)); -#1409=VECTOR('',#1408,5.E-1); -#1410=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.7E0)); -#1411=LINE('',#1410,#1409); -#1412=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1413=VECTOR('',#1412,1.503198E0); -#1414=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.7E0)); -#1415=LINE('',#1414,#1413); -#1416=DIRECTION('',(1.E0,0.E0,0.E0)); -#1417=VECTOR('',#1416,8.416958662E-1); -#1418=CARTESIAN_POINT('',(9.249818078140E0,3.5234443E1,-1.7E0)); -#1419=LINE('',#1418,#1417); -#1420=DIRECTION('',(0.E0,1.E0,0.E0)); -#1421=VECTOR('',#1420,1.503198E0); -#1422=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.7E0)); -#1423=LINE('',#1422,#1421); -#1424=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1425=VECTOR('',#1424,8.416958662E-1); -#1426=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.7E0)); -#1427=LINE('',#1426,#1425); -#1428=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1429=VECTOR('',#1428,1.850000000003E1); -#1430=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-1.2E0)); -#1431=LINE('',#1430,#1429); -#1432=DIRECTION('',(0.E0,0.E0,1.E0)); -#1433=VECTOR('',#1432,2.E0); -#1434=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-3.2E0)); -#1435=LINE('',#1434,#1433); -#1436=DIRECTION('',(0.E0,1.E0,0.E0)); -#1437=VECTOR('',#1436,5.8E0); -#1438=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-3.2E0)); -#1439=LINE('',#1438,#1437); -#1440=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1441=VECTOR('',#1440,1.850000000003E1); -#1442=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-3.2E0)); -#1443=LINE('',#1442,#1441); -#1444=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1445=VECTOR('',#1444,5.8E0); -#1446=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-3.2E0)); -#1447=LINE('',#1446,#1445); -#1448=DIRECTION('',(1.E0,0.E0,0.E0)); -#1449=VECTOR('',#1448,1.850000000003E1); -#1450=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-3.2E0)); -#1451=LINE('',#1450,#1449); -#1452=DIRECTION('',(1.E0,0.E0,0.E0)); -#1453=VECTOR('',#1452,1.850000000003E1); -#1454=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-2.8E0)); -#1455=LINE('',#1454,#1453); -#1456=DIRECTION('',(0.E0,0.E0,1.E0)); -#1457=VECTOR('',#1456,4.E-1); -#1458=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-3.2E0)); -#1459=LINE('',#1458,#1457); -#1460=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1461=VECTOR('',#1460,1.622658E0); -#1462=CARTESIAN_POINT('',(9.249818078140E0,3.5004866E1,-2.8E0)); -#1463=LINE('',#1462,#1461); -#1464=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1465=VECTOR('',#1464,4.097251337700E-1); -#1466=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.8E0)); -#1467=LINE('',#1466,#1465); -#1468=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1469=VECTOR('',#1468,2.168906E0); -#1470=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.8E0)); -#1471=LINE('',#1470,#1469); -#1472=DIRECTION('',(1.E0,0.E0,0.E0)); -#1473=VECTOR('',#1472,1.9400012E1); -#1474=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.8E0)); -#1475=LINE('',#1474,#1473); -#1476=DIRECTION('',(0.E0,1.E0,0.E0)); -#1477=VECTOR('',#1476,2.168906E0); -#1478=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.8E0)); -#1479=LINE('',#1478,#1477); -#1480=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1481=VECTOR('',#1480,4.902868662E-1); -#1482=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.8E0)); -#1483=LINE('',#1482,#1481); -#1484=DIRECTION('',(0.E0,0.E0,1.E0)); -#1485=VECTOR('',#1484,6.E-1); -#1486=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.8E0)); -#1487=LINE('',#1486,#1485); -#1488=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1489=VECTOR('',#1488,4.097251337700E-1); -#1490=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.2E0)); -#1491=LINE('',#1490,#1489); -#1492=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1493=VECTOR('',#1492,2.168906E0); -#1494=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.2E0)); -#1495=LINE('',#1494,#1493); -#1496=DIRECTION('',(0.E0,0.E0,1.E0)); -#1497=VECTOR('',#1496,6.E-1); -#1498=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.8E0)); -#1499=LINE('',#1498,#1497); -#1500=DIRECTION('',(1.E0,0.E0,0.E0)); -#1501=VECTOR('',#1500,1.9400012E1); -#1502=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.2E0)); -#1503=LINE('',#1502,#1501); -#1504=DIRECTION('',(0.E0,0.E0,1.E0)); -#1505=VECTOR('',#1504,6.E-1); -#1506=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.8E0)); -#1507=LINE('',#1506,#1505); -#1508=DIRECTION('',(0.E0,1.E0,0.E0)); -#1509=VECTOR('',#1508,2.168906E0); -#1510=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.2E0)); -#1511=LINE('',#1510,#1509); -#1512=DIRECTION('',(0.E0,0.E0,1.E0)); -#1513=VECTOR('',#1512,6.E-1); -#1514=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.8E0)); -#1515=LINE('',#1514,#1513); -#1516=DIRECTION('',(0.E0,0.E0,1.E0)); -#1517=VECTOR('',#1516,6.E-1); -#1518=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.8E0)); -#1519=LINE('',#1518,#1517); -#1520=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1521=VECTOR('',#1520,4.902868662E-1); -#1522=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.2E0)); -#1523=LINE('',#1522,#1521); -#1524=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1525=VECTOR('',#1524,7.613631337700E-1); -#1526=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.7E0)); -#1527=LINE('',#1526,#1525); -#1528=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1529=VECTOR('',#1528,1.703197E0); -#1530=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.7E0)); -#1531=LINE('',#1530,#1529); -#1532=DIRECTION('',(1.E0,0.E0,0.E0)); -#1533=VECTOR('',#1532,7.613631337700E-1); -#1534=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.7E0)); -#1535=LINE('',#1534,#1533); -#1536=DIRECTION('',(0.E0,0.E0,1.E0)); -#1537=VECTOR('',#1536,1.2E0); -#1538=CARTESIAN_POINT('',(-1.106558605566E1,5.766161E1,-1.2E0)); -#1539=LINE('',#1538,#1537); -#1540=DIRECTION('',(0.E0,0.E0,1.E0)); -#1541=VECTOR('',#1540,1.2E0); -#1542=CARTESIAN_POINT('',(-9.115887055660E0,5.766161E1,-1.2E0)); -#1543=LINE('',#1542,#1541); -#1544=DIRECTION('',(0.E0,0.E0,1.E0)); -#1545=VECTOR('',#1544,1.5E0); -#1546=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-2.7E0)); -#1547=LINE('',#1546,#1545); -#1548=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1549=VECTOR('',#1548,3.35E0); -#1550=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-1.2E0)); -#1551=LINE('',#1550,#1549); -#1552=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1553=VECTOR('',#1552,3.35E0); -#1554=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-2.7E0)); -#1555=LINE('',#1554,#1553); -#1556=DIRECTION('',(1.E0,0.E0,0.E0)); -#1557=VECTOR('',#1556,4.2E0); -#1558=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-2.7E0)); -#1559=LINE('',#1558,#1557); -#1560=DIRECTION('',(0.E0,1.E0,0.E0)); -#1561=VECTOR('',#1560,3.35E0); -#1562=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-2.7E0)); -#1563=LINE('',#1562,#1561); -#1564=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1565=VECTOR('',#1564,4.2E0); -#1566=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-2.7E0)); -#1567=LINE('',#1566,#1565); -#1568=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-2.7E0)); -#1569=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1570=DIRECTION('',(4.060244204343E-14,-1.E0,0.E0)); -#1571=AXIS2_PLACEMENT_3D('',#1568,#1569,#1570); -#1573=DIRECTION('',(1.E0,0.E0,0.E0)); -#1574=VECTOR('',#1573,6.999999997756E-1); -#1575=CARTESIAN_POINT('',(-9.638377555636E0,3.3692085E0,-2.7E0)); -#1576=LINE('',#1575,#1574); -#1577=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-2.7E0)); -#1578=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1579=DIRECTION('',(0.E0,1.E0,0.E0)); -#1580=AXIS2_PLACEMENT_3D('',#1577,#1578,#1579); -#1582=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1583=VECTOR('',#1582,7.000000000004E-1); -#1584=CARTESIAN_POINT('',(-8.938377555660E0,1.2692085E0,-2.7E0)); -#1585=LINE('',#1584,#1583); -#1586=DIRECTION('',(0.E0,0.E0,1.E0)); -#1587=VECTOR('',#1586,1.5E0); -#1588=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-2.7E0)); -#1589=LINE('',#1588,#1587); -#1590=DIRECTION('',(1.E0,0.E0,0.E0)); -#1591=VECTOR('',#1590,4.2E0); -#1592=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-1.2E0)); -#1593=LINE('',#1592,#1591); -#1594=DIRECTION('',(0.E0,0.E0,1.E0)); -#1595=VECTOR('',#1594,1.5E0); -#1596=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-2.7E0)); -#1597=LINE('',#1596,#1595); -#1598=DIRECTION('',(0.E0,1.E0,0.E0)); -#1599=VECTOR('',#1598,3.35E0); -#1600=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-1.2E0)); -#1601=LINE('',#1600,#1599); -#1602=DIRECTION('',(0.E0,0.E0,1.E0)); -#1603=VECTOR('',#1602,1.5E0); -#1604=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-2.7E0)); -#1605=LINE('',#1604,#1603); -#1606=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1607=VECTOR('',#1606,4.2E0); -#1608=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-1.2E0)); -#1609=LINE('',#1608,#1607); -#1610=DIRECTION('',(7.100352052346E-12,0.E0,1.E0)); -#1611=VECTOR('',#1610,7.E-1); -#1612=CARTESIAN_POINT('',(-9.638377555641E0,3.3692085E0,-3.4E0)); -#1613=LINE('',#1612,#1611); -#1614=DIRECTION('',(4.497735517361E-11,0.E0,-1.E0)); -#1615=VECTOR('',#1614,7.E-1); -#1616=CARTESIAN_POINT('',(-9.638377555660E0,1.2692085E0,-2.7E0)); -#1617=LINE('',#1616,#1615); -#1618=CARTESIAN_POINT('',(-9.638377555660E0,3.0692085E0,-3.4E0)); -#1619=DIRECTION('',(1.E0,0.E0,0.E0)); -#1620=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1621=AXIS2_PLACEMENT_3D('',#1618,#1619,#1620); -#1623=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-3.4E0)); -#1624=DIRECTION('',(0.E0,0.E0,1.E0)); -#1625=DIRECTION('',(3.593823651369E-11,1.E0,0.E0)); -#1626=AXIS2_PLACEMENT_3D('',#1623,#1624,#1625); -#1628=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-3.4E0)); -#1629=DIRECTION('',(0.E0,0.E0,1.E0)); -#1630=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); -#1631=AXIS2_PLACEMENT_3D('',#1628,#1629,#1630); -#1633=CARTESIAN_POINT('',(-9.638377555660E0,1.5692085E0,-3.4E0)); -#1634=DIRECTION('',(1.E0,0.E0,0.E0)); -#1635=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1636=AXIS2_PLACEMENT_3D('',#1633,#1634,#1635); -#1638=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-3.7E0)); -#1639=DIRECTION('',(0.E0,0.E0,1.E0)); -#1640=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1641=AXIS2_PLACEMENT_3D('',#1638,#1639,#1640); -#1643=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-3.7E0)); -#1644=DIRECTION('',(0.E0,0.E0,1.E0)); -#1645=DIRECTION('',(0.E0,1.E0,0.E0)); -#1646=AXIS2_PLACEMENT_3D('',#1643,#1644,#1645); -#1648=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1649=VECTOR('',#1648,7.E-1); -#1650=CARTESIAN_POINT('',(-8.938377555660E0,1.5692085E0,-3.7E0)); -#1651=LINE('',#1650,#1649); -#1652=DIRECTION('',(1.E0,0.E0,0.E0)); -#1653=VECTOR('',#1652,6.999999999647E-1); -#1654=CARTESIAN_POINT('',(-9.638377555628E0,1.2692085E0,-3.4E0)); -#1655=LINE('',#1654,#1653); -#1656=DIRECTION('',(5.922881233086E-12,0.E0,1.E0)); -#1657=VECTOR('',#1656,7.E-1); -#1658=CARTESIAN_POINT('',(-8.938377555664E0,1.2692085E0,-3.4E0)); -#1659=LINE('',#1658,#1657); -#1660=DIRECTION('',(5.998757046655E-11,0.E0,-1.E0)); -#1661=VECTOR('',#1660,7.E-1); -#1662=CARTESIAN_POINT('',(-8.938377555860E0,3.3692085E0,-2.7E0)); -#1663=LINE('',#1662,#1661); -#1664=CARTESIAN_POINT('',(-8.938377555660E0,1.5692085E0,-3.4E0)); -#1665=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1666=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1667=AXIS2_PLACEMENT_3D('',#1664,#1665,#1666); -#1669=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-3.4E0)); -#1670=DIRECTION('',(0.E0,0.E0,1.E0)); -#1671=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1672=AXIS2_PLACEMENT_3D('',#1669,#1670,#1671); -#1674=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-3.4E0)); -#1675=DIRECTION('',(0.E0,0.E0,1.E0)); -#1676=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); -#1677=AXIS2_PLACEMENT_3D('',#1674,#1675,#1676); -#1679=CARTESIAN_POINT('',(-8.938377555660E0,3.0692085E0,-3.4E0)); -#1680=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1681=DIRECTION('',(0.E0,1.E0,0.E0)); -#1682=AXIS2_PLACEMENT_3D('',#1679,#1680,#1681); -#1684=DIRECTION('',(1.E0,0.E0,0.E0)); -#1685=VECTOR('',#1684,7.E-1); -#1686=CARTESIAN_POINT('',(-9.638377555660E0,3.0692085E0,-3.7E0)); -#1687=LINE('',#1686,#1685); -#1688=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1689=VECTOR('',#1688,6.999999998226E-1); -#1690=CARTESIAN_POINT('',(-8.938377555818E0,3.3692085E0,-3.4E0)); -#1691=LINE('',#1690,#1689); -#1692=DIRECTION('',(0.E0,0.E0,1.E0)); -#1693=VECTOR('',#1692,1.5E0); -#1694=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-2.7E0)); -#1695=LINE('',#1694,#1693); -#1696=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1697=VECTOR('',#1696,3.35E0); -#1698=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-1.2E0)); -#1699=LINE('',#1698,#1697); -#1700=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1701=VECTOR('',#1700,3.35E0); -#1702=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-2.7E0)); -#1703=LINE('',#1702,#1701); -#1704=DIRECTION('',(1.E0,0.E0,0.E0)); -#1705=VECTOR('',#1704,4.2E0); -#1706=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-2.7E0)); -#1707=LINE('',#1706,#1705); -#1708=DIRECTION('',(0.E0,1.E0,0.E0)); -#1709=VECTOR('',#1708,3.35E0); -#1710=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-2.7E0)); -#1711=LINE('',#1710,#1709); -#1712=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1713=VECTOR('',#1712,4.2E0); -#1714=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-2.7E0)); -#1715=LINE('',#1714,#1713); -#1716=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-2.7E0)); -#1717=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1718=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1719=AXIS2_PLACEMENT_3D('',#1716,#1717,#1718); -#1721=DIRECTION('',(1.E0,0.E0,0.E0)); -#1722=VECTOR('',#1721,6.999999997650E-1); -#1723=CARTESIAN_POINT('',(8.459622944377E0,3.2520075E0,-2.7E0)); -#1724=LINE('',#1723,#1722); -#1725=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-2.7E0)); -#1726=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1727=DIRECTION('',(0.E0,1.E0,0.E0)); -#1728=AXIS2_PLACEMENT_3D('',#1725,#1726,#1727); -#1730=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1731=VECTOR('',#1730,6.999999999986E-1); -#1732=CARTESIAN_POINT('',(9.159622944338E0,1.1520075E0,-2.7E0)); -#1733=LINE('',#1732,#1731); -#1734=DIRECTION('',(0.E0,0.E0,1.E0)); -#1735=VECTOR('',#1734,1.5E0); -#1736=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-2.7E0)); -#1737=LINE('',#1736,#1735); -#1738=DIRECTION('',(1.E0,0.E0,0.E0)); -#1739=VECTOR('',#1738,4.2E0); -#1740=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-1.2E0)); -#1741=LINE('',#1740,#1739); -#1742=DIRECTION('',(0.E0,0.E0,1.E0)); -#1743=VECTOR('',#1742,1.5E0); -#1744=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-2.7E0)); -#1745=LINE('',#1744,#1743); -#1746=DIRECTION('',(0.E0,1.E0,0.E0)); -#1747=VECTOR('',#1746,3.35E0); -#1748=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-1.2E0)); -#1749=LINE('',#1748,#1747); -#1750=DIRECTION('',(0.E0,0.E0,1.E0)); -#1751=VECTOR('',#1750,1.5E0); -#1752=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-2.7E0)); -#1753=LINE('',#1752,#1751); -#1754=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1755=VECTOR('',#1754,4.2E0); -#1756=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-1.2E0)); -#1757=LINE('',#1756,#1755); -#1758=DIRECTION('',(1.433266204133E-11,0.E0,1.E0)); -#1759=VECTOR('',#1758,7.E-1); -#1760=CARTESIAN_POINT('',(8.459622944367E0,3.2520075E0,-3.4E0)); -#1761=LINE('',#1760,#1759); -#1762=DIRECTION('',(4.528948644682E-11,0.E0,-1.E0)); -#1763=VECTOR('',#1762,7.E-1); -#1764=CARTESIAN_POINT('',(8.459622944340E0,1.1520075E0,-2.7E0)); -#1765=LINE('',#1764,#1763); -#1766=CARTESIAN_POINT('',(8.459622944340E0,2.9520075E0,-3.4E0)); -#1767=DIRECTION('',(1.E0,0.E0,0.E0)); -#1768=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1769=AXIS2_PLACEMENT_3D('',#1766,#1767,#1768); -#1771=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-3.4E0)); -#1772=DIRECTION('',(0.E0,0.E0,1.E0)); -#1773=DIRECTION('',(5.274088044600E-11,1.E0,0.E0)); -#1774=AXIS2_PLACEMENT_3D('',#1771,#1772,#1773); -#1776=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-3.4E0)); -#1777=DIRECTION('',(0.E0,0.E0,1.E0)); -#1778=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); -#1779=AXIS2_PLACEMENT_3D('',#1776,#1777,#1778); -#1781=CARTESIAN_POINT('',(8.459622944340E0,1.4520075E0,-3.4E0)); -#1782=DIRECTION('',(1.E0,0.E0,0.E0)); -#1783=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1784=AXIS2_PLACEMENT_3D('',#1781,#1782,#1783); -#1786=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-3.7E0)); -#1787=DIRECTION('',(0.E0,0.E0,1.E0)); -#1788=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1789=AXIS2_PLACEMENT_3D('',#1786,#1787,#1788); -#1791=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-3.7E0)); -#1792=DIRECTION('',(0.E0,0.E0,1.E0)); -#1793=DIRECTION('',(0.E0,1.E0,0.E0)); -#1794=AXIS2_PLACEMENT_3D('',#1791,#1792,#1793); -#1796=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1797=VECTOR('',#1796,7.E-1); -#1798=CARTESIAN_POINT('',(9.159622944340E0,1.4520075E0,-3.7E0)); -#1799=LINE('',#1798,#1797); -#1800=DIRECTION('',(1.E0,0.E0,0.E0)); -#1801=VECTOR('',#1800,6.999999999639E-1); -#1802=CARTESIAN_POINT('',(8.459622944371E0,1.1520075E0,-3.4E0)); -#1803=LINE('',#1802,#1801); -#1804=DIRECTION('',(4.270869372444E-12,0.E0,1.E0)); -#1805=VECTOR('',#1804,7.E-1); -#1806=CARTESIAN_POINT('',(9.159622944335E0,1.1520075E0,-3.4E0)); -#1807=LINE('',#1806,#1805); -#1808=DIRECTION('',(5.858932386868E-11,0.E0,-1.E0)); -#1809=VECTOR('',#1808,7.E-1); -#1810=CARTESIAN_POINT('',(9.159622944142E0,3.2520075E0,-2.7E0)); -#1811=LINE('',#1810,#1809); -#1812=CARTESIAN_POINT('',(9.159622944340E0,1.4520075E0,-3.4E0)); -#1813=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1814=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1815=AXIS2_PLACEMENT_3D('',#1812,#1813,#1814); -#1817=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-3.4E0)); -#1818=DIRECTION('',(0.E0,0.E0,1.E0)); -#1819=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1820=AXIS2_PLACEMENT_3D('',#1817,#1818,#1819); -#1822=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-3.4E0)); -#1823=DIRECTION('',(0.E0,0.E0,1.E0)); -#1824=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); -#1825=AXIS2_PLACEMENT_3D('',#1822,#1823,#1824); -#1827=CARTESIAN_POINT('',(9.159622944340E0,2.9520075E0,-3.4E0)); -#1828=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1829=DIRECTION('',(0.E0,1.E0,0.E0)); -#1830=AXIS2_PLACEMENT_3D('',#1827,#1828,#1829); -#1832=DIRECTION('',(1.E0,0.E0,0.E0)); -#1833=VECTOR('',#1832,7.E-1); -#1834=CARTESIAN_POINT('',(8.459622944340E0,2.9520075E0,-3.7E0)); -#1835=LINE('',#1834,#1833); -#1836=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1837=VECTOR('',#1836,6.999999998161E-1); -#1838=CARTESIAN_POINT('',(9.159622944183E0,3.2520075E0,-3.4E0)); -#1839=LINE('',#1838,#1837); -#1840=DIRECTION('',(1.E0,0.E0,0.E0)); -#1841=VECTOR('',#1840,7.03199E-1); -#1842=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,0.E0)); -#1843=LINE('',#1842,#1841); -#1844=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1845=VECTOR('',#1844,5.E-1); -#1846=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,5.E-1)); -#1847=LINE('',#1846,#1845); -#1848=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1849=VECTOR('',#1848,9.55599E-1); -#1850=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,0.E0)); -#1851=LINE('',#1850,#1849); -#1852=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1853=VECTOR('',#1852,5.E-1); -#1854=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,5.E-1)); -#1855=LINE('',#1854,#1853); -#1856=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1857=VECTOR('',#1856,5.E-1); -#1858=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,5.E-1)); -#1859=LINE('',#1858,#1857); -#1860=DIRECTION('',(1.E0,0.E0,0.E0)); -#1861=VECTOR('',#1860,2.96799E-1); -#1862=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,0.E0)); -#1863=LINE('',#1862,#1861); -#1864=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1865=VECTOR('',#1864,5.E-1); -#1866=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,5.E-1)); -#1867=LINE('',#1866,#1865); -#1868=DIRECTION('',(1.E0,0.E0,0.E0)); -#1869=VECTOR('',#1868,2.96799E-1); -#1870=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,0.E0)); -#1871=LINE('',#1870,#1869); -#1872=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1873=VECTOR('',#1872,5.E-1); -#1874=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,5.E-1)); -#1875=LINE('',#1874,#1873); -#1876=DIRECTION('',(1.E0,0.E0,0.E0)); -#1877=VECTOR('',#1876,2.96799E-1); -#1878=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,0.E0)); -#1879=LINE('',#1878,#1877); -#1880=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1881=VECTOR('',#1880,5.E-1); -#1882=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,5.E-1)); -#1883=LINE('',#1882,#1881); -#1884=DIRECTION('',(1.E0,0.E0,0.E0)); -#1885=VECTOR('',#1884,1.247254E0); -#1886=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,0.E0)); -#1887=LINE('',#1886,#1885); -#1888=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1889=VECTOR('',#1888,2.8E0); -#1890=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,2.8E0)); -#1891=LINE('',#1890,#1889); -#1892=DIRECTION('',(1.E0,0.E0,0.E0)); -#1893=VECTOR('',#1892,1.371384E0); -#1894=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,0.E0)); -#1895=LINE('',#1894,#1893); -#1896=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1897=VECTOR('',#1896,7.03199E-1); -#1898=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,5.E-1)); -#1899=LINE('',#1898,#1897); -#1900=DIRECTION('',(0.E0,1.E0,0.E0)); -#1901=VECTOR('',#1900,9.55599E-1); -#1902=CARTESIAN_POINT('',(6.697298944340E0,4.921817E0,5.E-1)); -#1903=LINE('',#1902,#1901); -#1904=DIRECTION('',(1.E0,0.E0,0.E0)); -#1905=VECTOR('',#1904,7.03199E-1); -#1906=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,5.E-1)); -#1907=LINE('',#1906,#1905); -#1908=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1909=VECTOR('',#1908,9.55599E-1); -#1910=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,5.E-1)); -#1911=LINE('',#1910,#1909); -#1912=DIRECTION('',(0.E0,1.E0,0.E0)); -#1913=VECTOR('',#1912,9.55599E-1); -#1914=CARTESIAN_POINT('',(6.697298944340E0,4.921817E0,0.E0)); -#1915=LINE('',#1914,#1913); -#1916=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1917=VECTOR('',#1916,5.E-1); -#1918=CARTESIAN_POINT('',(6.697298944340E0,4.921817E0,5.E-1)); -#1919=LINE('',#1918,#1917); -#1920=DIRECTION('',(1.E0,0.E0,0.E0)); -#1921=VECTOR('',#1920,7.03199E-1); -#1922=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,0.E0)); -#1923=LINE('',#1922,#1921); -#1924=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1925=VECTOR('',#1924,5.E-1); -#1926=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,5.E-1)); -#1927=LINE('',#1926,#1925); -#1928=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1929=VECTOR('',#1928,9.55599E-1); -#1930=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,0.E0)); -#1931=LINE('',#1930,#1929); -#1932=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1933=VECTOR('',#1932,5.E-1); -#1934=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,5.E-1)); -#1935=LINE('',#1934,#1933); -#1936=DIRECTION('',(0.E0,1.E0,0.E0)); -#1937=VECTOR('',#1936,9.55599E-1); -#1938=CARTESIAN_POINT('',(7.697296944340E0,4.921817E0,0.E0)); -#1939=LINE('',#1938,#1937); -#1940=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1941=VECTOR('',#1940,5.E-1); -#1942=CARTESIAN_POINT('',(7.697296944340E0,4.921817E0,5.E-1)); -#1943=LINE('',#1942,#1941); -#1944=DIRECTION('',(1.E0,0.E0,0.E0)); -#1945=VECTOR('',#1944,7.03199E-1); -#1946=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,0.E0)); -#1947=LINE('',#1946,#1945); -#1948=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1949=VECTOR('',#1948,5.E-1); -#1950=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,5.E-1)); -#1951=LINE('',#1950,#1949); -#1952=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1953=VECTOR('',#1952,9.55599E-1); -#1954=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,0.E0)); -#1955=LINE('',#1954,#1953); -#1956=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1957=VECTOR('',#1956,5.E-1); -#1958=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,5.E-1)); -#1959=LINE('',#1958,#1957); -#1960=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1961=VECTOR('',#1960,7.03199E-1); -#1962=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,5.E-1)); -#1963=LINE('',#1962,#1961); -#1964=DIRECTION('',(0.E0,1.E0,0.E0)); -#1965=VECTOR('',#1964,9.55599E-1); -#1966=CARTESIAN_POINT('',(7.697296944340E0,4.921817E0,5.E-1)); -#1967=LINE('',#1966,#1965); -#1968=DIRECTION('',(1.E0,0.E0,0.E0)); -#1969=VECTOR('',#1968,7.03199E-1); -#1970=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,5.E-1)); -#1971=LINE('',#1970,#1969); -#1972=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1973=VECTOR('',#1972,9.55599E-1); -#1974=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,5.E-1)); -#1975=LINE('',#1974,#1973); -#1976=DIRECTION('',(0.E0,1.E0,0.E0)); -#1977=VECTOR('',#1976,9.55599E-1); -#1978=CARTESIAN_POINT('',(8.697294944340E0,4.921817E0,0.E0)); -#1979=LINE('',#1978,#1977); -#1980=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1981=VECTOR('',#1980,5.E-1); -#1982=CARTESIAN_POINT('',(8.697294944340E0,4.921817E0,5.E-1)); -#1983=LINE('',#1982,#1981); -#1984=DIRECTION('',(1.E0,0.E0,0.E0)); -#1985=VECTOR('',#1984,7.03199E-1); -#1986=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,0.E0)); -#1987=LINE('',#1986,#1985); -#1988=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1989=VECTOR('',#1988,5.E-1); -#1990=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,5.E-1)); -#1991=LINE('',#1990,#1989); -#1992=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1993=VECTOR('',#1992,9.55599E-1); -#1994=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,0.E0)); -#1995=LINE('',#1994,#1993); -#1996=DIRECTION('',(0.E0,0.E0,-1.E0)); -#1997=VECTOR('',#1996,5.E-1); -#1998=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,5.E-1)); -#1999=LINE('',#1998,#1997); -#2000=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2001=VECTOR('',#2000,7.03199E-1); -#2002=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,5.E-1)); -#2003=LINE('',#2002,#2001); -#2004=DIRECTION('',(0.E0,1.E0,0.E0)); -#2005=VECTOR('',#2004,9.55599E-1); -#2006=CARTESIAN_POINT('',(8.697294944340E0,4.921817E0,5.E-1)); -#2007=LINE('',#2006,#2005); -#2008=DIRECTION('',(1.E0,0.E0,0.E0)); -#2009=VECTOR('',#2008,7.03199E-1); -#2010=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,5.E-1)); -#2011=LINE('',#2010,#2009); -#2012=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2013=VECTOR('',#2012,9.55599E-1); -#2014=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,5.E-1)); -#2015=LINE('',#2014,#2013); -#2016=DIRECTION('',(0.E0,1.E0,0.E0)); -#2017=VECTOR('',#2016,9.55599E-1); -#2018=CARTESIAN_POINT('',(9.697292944340E0,4.921817E0,0.E0)); -#2019=LINE('',#2018,#2017); -#2020=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2021=VECTOR('',#2020,5.E-1); -#2022=CARTESIAN_POINT('',(9.697292944340E0,4.921817E0,5.E-1)); -#2023=LINE('',#2022,#2021); -#2024=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2025=VECTOR('',#2024,7.03199E-1); -#2026=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,5.E-1)); -#2027=LINE('',#2026,#2025); -#2028=DIRECTION('',(0.E0,1.E0,0.E0)); -#2029=VECTOR('',#2028,9.55599E-1); -#2030=CARTESIAN_POINT('',(9.697292944340E0,4.921817E0,5.E-1)); -#2031=LINE('',#2030,#2029); -#2032=DIRECTION('',(1.E0,0.E0,0.E0)); -#2033=VECTOR('',#2032,7.03199E-1); -#2034=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,5.E-1)); -#2035=LINE('',#2034,#2033); -#2036=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2037=VECTOR('',#2036,9.55599E-1); -#2038=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,5.E-1)); -#2039=LINE('',#2038,#2037); -#2040=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2041=VECTOR('',#2040,4.699E0); -#2042=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,0.E0)); -#2043=LINE('',#2042,#2041); -#2044=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2045=VECTOR('',#2044,2.8E0); -#2046=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,2.8E0)); -#2047=LINE('',#2046,#2045); -#2048=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2049=VECTOR('',#2048,6.321831E0); -#2050=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,0.E0)); -#2051=LINE('',#2050,#2049); -#2052=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2053=VECTOR('',#2052,2.8E0); -#2054=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,2.8E0)); -#2055=LINE('',#2054,#2053); -#2056=DIRECTION('',(0.E0,1.E0,0.E0)); -#2057=VECTOR('',#2056,4.699E0); -#2058=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,0.E0)); -#2059=LINE('',#2058,#2057); -#2060=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2061=VECTOR('',#2060,2.8E0); -#2062=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,2.8E0)); -#2063=LINE('',#2062,#2061); -#2064=DIRECTION('',(1.E0,0.E0,0.E0)); -#2065=VECTOR('',#2064,6.321831E0); -#2066=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,2.8E0)); -#2067=LINE('',#2066,#2065); -#2068=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2069=VECTOR('',#2068,4.699E0); -#2070=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,2.8E0)); -#2071=LINE('',#2070,#2069); -#2072=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2073=VECTOR('',#2072,6.321831E0); -#2074=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,2.8E0)); -#2075=LINE('',#2074,#2073); -#2076=DIRECTION('',(0.E0,1.E0,0.E0)); -#2077=VECTOR('',#2076,4.699E0); -#2078=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,2.8E0)); -#2079=LINE('',#2078,#2077); -#2080=DIRECTION('',(0.E0,0.E0,1.E0)); -#2081=VECTOR('',#2080,5.E-1); -#2082=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,1.5E0)); -#2083=LINE('',#2082,#2081); -#2084=DIRECTION('',(0.E0,1.E0,0.E0)); -#2085=VECTOR('',#2084,3.5E0); -#2086=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,2.E0)); -#2087=LINE('',#2086,#2085); -#2088=DIRECTION('',(1.E0,0.E0,0.E0)); -#2089=VECTOR('',#2088,4.E-1); -#2090=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,2.E0)); -#2091=LINE('',#2090,#2089); -#2092=DIRECTION('',(0.E0,1.E0,0.E0)); -#2093=VECTOR('',#2092,3.5E0); -#2094=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.E0)); -#2095=LINE('',#2094,#2093); -#2096=DIRECTION('',(0.E0,0.E0,1.E0)); -#2097=VECTOR('',#2096,4.E-1); -#2098=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.E0)); -#2099=LINE('',#2098,#2097); -#2100=DIRECTION('',(1.E0,0.E0,0.E0)); -#2101=VECTOR('',#2100,4.4E0); -#2102=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.4E0)); -#2103=LINE('',#2102,#2101); -#2104=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2105=VECTOR('',#2104,3.5E0); -#2106=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,2.4E0)); -#2107=LINE('',#2106,#2105); -#2108=DIRECTION('',(0.E0,1.E0,0.E0)); -#2109=VECTOR('',#2108,3.5E0); -#2110=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.E0)); -#2111=LINE('',#2110,#2109); -#2112=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2113=VECTOR('',#2112,3.5E0); -#2114=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,2.4E0)); -#2115=LINE('',#2114,#2113); -#2116=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2117=VECTOR('',#2116,4.E-1); -#2118=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.4E0)); -#2119=LINE('',#2118,#2117); -#2120=DIRECTION('',(1.E0,0.E0,0.E0)); -#2121=VECTOR('',#2120,4.E-1); -#2122=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.E0)); -#2123=LINE('',#2122,#2121); -#2124=DIRECTION('',(0.E0,1.E0,0.E0)); -#2125=VECTOR('',#2124,3.5E0); -#2126=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,2.E0)); -#2127=LINE('',#2126,#2125); -#2128=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2129=VECTOR('',#2128,5.E-1); -#2130=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,2.E0)); -#2131=LINE('',#2130,#2129); -#2132=DIRECTION('',(0.E0,1.E0,0.E0)); -#2133=VECTOR('',#2132,3.5E0); -#2134=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,1.5E0)); -#2135=LINE('',#2134,#2133); -#2136=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2137=VECTOR('',#2136,4.E-1); -#2138=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,1.5E0)); -#2139=LINE('',#2138,#2137); -#2140=DIRECTION('',(0.E0,1.E0,0.E0)); -#2141=VECTOR('',#2140,3.5E0); -#2142=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,1.5E0)); -#2143=LINE('',#2142,#2141); -#2144=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2145=VECTOR('',#2144,9.5E-1); -#2146=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,1.5E0)); -#2147=LINE('',#2146,#2145); -#2148=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2149=VECTOR('',#2148,4.4E0); -#2150=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,5.5E-1)); -#2151=LINE('',#2150,#2149); -#2152=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2153=VECTOR('',#2152,3.5E0); -#2154=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,5.5E-1)); -#2155=LINE('',#2154,#2153); -#2156=DIRECTION('',(0.E0,1.E0,0.E0)); -#2157=VECTOR('',#2156,3.5E0); -#2158=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,1.5E0)); -#2159=LINE('',#2158,#2157); -#2160=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2161=VECTOR('',#2160,3.5E0); -#2162=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,5.5E-1)); -#2163=LINE('',#2162,#2161); -#2164=DIRECTION('',(0.E0,0.E0,1.E0)); -#2165=VECTOR('',#2164,9.5E-1); -#2166=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,5.5E-1)); -#2167=LINE('',#2166,#2165); -#2168=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2169=VECTOR('',#2168,4.E-1); -#2170=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,1.5E0)); -#2171=LINE('',#2170,#2169); -#2172=DIRECTION('',(0.E0,1.E0,0.E0)); -#2173=VECTOR('',#2172,3.5E0); -#2174=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,1.5E0)); -#2175=LINE('',#2174,#2173); -#2176=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2177=VECTOR('',#2176,4.E-1); -#2178=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,2.4E0)); -#2179=LINE('',#2178,#2177); -#2180=DIRECTION('',(1.E0,0.E0,0.E0)); -#2181=VECTOR('',#2180,4.E-1); -#2182=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,2.E0)); -#2183=LINE('',#2182,#2181); -#2184=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2185=VECTOR('',#2184,5.E-1); -#2186=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,2.E0)); -#2187=LINE('',#2186,#2185); -#2188=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2189=VECTOR('',#2188,4.E-1); -#2190=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,1.5E0)); -#2191=LINE('',#2190,#2189); -#2192=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2193=VECTOR('',#2192,9.5E-1); -#2194=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,1.5E0)); -#2195=LINE('',#2194,#2193); -#2196=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2197=VECTOR('',#2196,4.4E0); -#2198=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,5.5E-1)); -#2199=LINE('',#2198,#2197); -#2200=DIRECTION('',(0.E0,0.E0,1.E0)); -#2201=VECTOR('',#2200,9.5E-1); -#2202=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,5.5E-1)); -#2203=LINE('',#2202,#2201); -#2204=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2205=VECTOR('',#2204,4.E-1); -#2206=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,1.5E0)); -#2207=LINE('',#2206,#2205); -#2208=DIRECTION('',(0.E0,0.E0,1.E0)); -#2209=VECTOR('',#2208,5.E-1); -#2210=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,1.5E0)); -#2211=LINE('',#2210,#2209); -#2212=DIRECTION('',(1.E0,0.E0,0.E0)); -#2213=VECTOR('',#2212,4.E-1); -#2214=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,2.E0)); -#2215=LINE('',#2214,#2213); -#2216=DIRECTION('',(0.E0,0.E0,1.E0)); -#2217=VECTOR('',#2216,4.E-1); -#2218=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,2.E0)); -#2219=LINE('',#2218,#2217); -#2220=DIRECTION('',(1.E0,0.E0,0.E0)); -#2221=VECTOR('',#2220,4.4E0); -#2222=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,2.4E0)); -#2223=LINE('',#2222,#2221); -#2224=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2225=VECTOR('',#2224,8.332010989986E-1); -#2226=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098999E0,0.E0)); -#2227=LINE('',#2226,#2225); -#2228=DIRECTION('',(1.110223024625E-14,2.021494083237E-12,-1.E0)); -#2229=VECTOR('',#2228,5.E-1); -#2230=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098998E0,5.E-1)); -#2231=LINE('',#2230,#2229); -#2232=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2233=VECTOR('',#2232,6.99999E-1); -#2234=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,0.E0)); -#2235=LINE('',#2234,#2233); -#2236=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2237=VECTOR('',#2236,5.E-1); -#2238=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,5.E-1)); -#2239=LINE('',#2238,#2237); -#2240=DIRECTION('',(0.E0,1.E0,0.E0)); -#2241=VECTOR('',#2240,8.277320303941E-1); -#2242=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,0.E0)); -#2243=LINE('',#2242,#2241); -#2244=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2245=VECTOR('',#2244,5.E-1); -#2246=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,5.E-1)); -#2247=LINE('',#2246,#2245); -#2248=DIRECTION('',(2.264854970235E-13,-3.584434336647E-14,1.E0)); -#2249=VECTOR('',#2248,7.E-1); -#2250=CARTESIAN_POINT('',(-2.551976055660E0,1.123055380860E0,2.8E0)); -#2251=LINE('',#2250,#2249); -#2252=DIRECTION('',(-2.498001805407E-13,-1.539244921998E-13,-1.E0)); -#2253=VECTOR('',#2252,2.8E0); -#2254=CARTESIAN_POINT('',(3.048024944342E0,1.166808000001E0,2.8E0)); -#2255=LINE('',#2254,#2253); -#2256=DIRECTION('',(-9.999694801760E-1,-7.812727859433E-3,0.E0)); -#2257=VECTOR('',#2256,7.000203644983E-1); -#2258=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098998E0,5.E-1)); -#2259=LINE('',#2258,#2257); -#2260=DIRECTION('',(0.E0,6.510347816402E-13,-1.E0)); -#2261=VECTOR('',#2260,5.E-1); -#2262=CARTESIAN_POINT('',(1.980229443404E-1,1.144541030394E0,5.E-1)); -#2263=LINE('',#2262,#2261); -#2264=DIRECTION('',(-9.999694801760E-1,-7.812727857816E-3,0.E0)); -#2265=VECTOR('',#2264,5.700163968001E-1); -#2266=CARTESIAN_POINT('',(1.980229443404E-1,1.144541030394E0,0.E0)); -#2267=LINE('',#2266,#2265); -#2268=DIRECTION('',(-9.999694801760E-1,-7.812727857788E-3,0.E0)); -#2269=VECTOR('',#2268,7.000193644682E-1); -#2270=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,5.E-1)); -#2271=LINE('',#2270,#2269); -#2272=DIRECTION('',(0.E0,7.709388682997E-13,-1.E0)); -#2273=VECTOR('',#2272,5.E-1); -#2274=CARTESIAN_POINT('',(-1.071974055660E0,1.134618586622E0,5.E-1)); -#2275=LINE('',#2274,#2273); -#2276=DIRECTION('',(1.E0,1.378789947925E-13,0.E0)); -#2277=VECTOR('',#2276,1.050001E0); -#2278=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,2.8E0)); -#2279=LINE('',#2278,#2277); -#2280=DIRECTION('',(-2.255151692737E-14,-1.E0,0.E0)); -#2281=VECTOR('',#2280,3.150753619140E0); -#2282=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,2.8E0)); -#2283=LINE('',#2282,#2281); -#2284=DIRECTION('',(-9.999694801760E-1,-7.812727858213E-3,0.E0)); -#2285=VECTOR('',#2284,8.000254166349E-1); -#2286=CARTESIAN_POINT('',(-2.551976055660E0,1.123055380860E0,2.8E0)); -#2287=LINE('',#2286,#2285); -#2288=DIRECTION('',(-7.071067811865E-1,7.071067811866E-1,0.E0)); -#2289=VECTOR('',#2288,3.535533905932E-1); -#2290=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,2.8E0)); -#2291=LINE('',#2290,#2289); -#2292=DIRECTION('',(0.E0,1.E0,0.E0)); -#2293=VECTOR('',#2292,2.907004E0); -#2294=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,2.8E0)); -#2295=LINE('',#2294,#2293); -#2296=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2297=VECTOR('',#2296,2.8E0); -#2298=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,2.8E0)); -#2299=LINE('',#2298,#2297); -#2300=DIRECTION('',(1.E0,1.378789947925E-13,0.E0)); -#2301=VECTOR('',#2300,1.050001E0); -#2302=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,0.E0)); -#2303=LINE('',#2302,#2301); -#2304=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2305=VECTOR('',#2304,2.8E0); -#2306=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,2.8E0)); -#2307=LINE('',#2306,#2305); -#2308=DIRECTION('',(0.E0,1.E0,0.E0)); -#2309=VECTOR('',#2308,2.907004E0); -#2310=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,0.E0)); -#2311=LINE('',#2310,#2309); -#2312=DIRECTION('',(1.459150260936E-14,0.E0,-1.E0)); -#2313=VECTOR('',#2312,2.8E0); -#2314=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,2.8E0)); -#2315=LINE('',#2314,#2313); -#2316=DIRECTION('',(2.253752739989E-13,0.E0,1.E0)); -#2317=VECTOR('',#2316,4.E-1); -#2318=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,3.1E0)); -#2319=LINE('',#2318,#2317); -#2320=DIRECTION('',(2.268027036020E-14,0.E0,-1.E0)); -#2321=VECTOR('',#2320,2.8E0); -#2322=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,2.8E0)); -#2323=LINE('',#2322,#2321); -#2324=DIRECTION('',(-1.972905916192E-14,1.E0,0.E0)); -#2325=VECTOR('',#2324,1.192998E0); -#2326=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,0.E0)); -#2327=LINE('',#2326,#2325); -#2328=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2329=VECTOR('',#2328,4.000000000001E-1); -#2330=CARTESIAN_POINT('',(-2.151976055660E0,4.273809E0,3.1E0)); -#2331=LINE('',#2330,#2329); -#2332=DIRECTION('',(7.556601905415E-14,1.E0,0.E0)); -#2333=VECTOR('',#2332,1.192998E0); -#2334=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,3.1E0)); -#2335=LINE('',#2334,#2333); -#2336=DIRECTION('',(1.E0,0.E0,0.E0)); -#2337=VECTOR('',#2336,4.E-1); -#2338=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,3.1E0)); -#2339=LINE('',#2338,#2337); -#2340=DIRECTION('',(1.797571721926E-14,1.E0,0.E0)); -#2341=VECTOR('',#2340,1.507002E0); -#2342=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,3.1E0)); -#2343=LINE('',#2342,#2341); -#2344=DIRECTION('',(-1.414536317270E-14,1.E0,0.E0)); -#2345=VECTOR('',#2344,1.192998E0); -#2346=CARTESIAN_POINT('',(-2.151976055660E0,4.273809E0,3.1E0)); -#2347=LINE('',#2346,#2345); -#2348=DIRECTION('',(0.E0,0.E0,1.E0)); -#2349=VECTOR('',#2348,2.7E0); -#2350=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,4.E-1)); -#2351=LINE('',#2350,#2349); -#2352=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2353=VECTOR('',#2352,3.1E0); -#2354=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,3.1E0)); -#2355=LINE('',#2354,#2353); -#2356=DIRECTION('',(1.E0,0.E0,0.E0)); -#2357=VECTOR('',#2356,4.899998E0); -#2358=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,0.E0)); -#2359=LINE('',#2358,#2357); -#2360=DIRECTION('',(0.E0,0.E0,1.E0)); -#2361=VECTOR('',#2360,2.7E0); -#2362=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,4.E-1)); -#2363=LINE('',#2362,#2361); -#2364=DIRECTION('',(0.E0,1.E0,0.E0)); -#2365=VECTOR('',#2364,2.7E0); -#2366=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,4.E-1)); -#2367=LINE('',#2366,#2365); -#2368=DIRECTION('',(1.824007356479E-14,1.E0,0.E0)); -#2369=VECTOR('',#2368,1.192998E0); -#2370=CARTESIAN_POINT('',(1.948021944340E0,4.273809E0,3.1E0)); -#2371=LINE('',#2370,#2369); -#2372=DIRECTION('',(1.E0,0.E0,0.E0)); -#2373=VECTOR('',#2372,4.E-1); -#2374=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,3.1E0)); -#2375=LINE('',#2374,#2373); -#2376=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2377=VECTOR('',#2376,4.E-1); -#2378=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.1E0)); -#2379=LINE('',#2378,#2377); -#2380=DIRECTION('',(0.E0,1.E0,0.E0)); -#2381=VECTOR('',#2380,1.192998E0); -#2382=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.1E0)); -#2383=LINE('',#2382,#2381); -#2384=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2385=VECTOR('',#2384,3.1E0); -#2386=CARTESIAN_POINT('',(2.348021944340E0,5.466807E0,3.1E0)); -#2387=LINE('',#2386,#2385); -#2388=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2389=VECTOR('',#2388,1.192998E0); -#2390=CARTESIAN_POINT('',(2.348021944340E0,5.466807E0,0.E0)); -#2391=LINE('',#2390,#2389); -#2392=DIRECTION('',(0.E0,-9.976146892703E-13,1.E0)); -#2393=VECTOR('',#2392,7.E-1); -#2394=CARTESIAN_POINT('',(2.348021944340E0,1.161338900146E0,2.8E0)); -#2395=LINE('',#2394,#2393); -#2396=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2397=VECTOR('',#2396,2.8E0); -#2398=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,2.8E0)); -#2399=LINE('',#2398,#2397); -#2400=DIRECTION('',(1.E0,0.E0,0.E0)); -#2401=VECTOR('',#2400,1.049997E0); -#2402=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,0.E0)); -#2403=LINE('',#2402,#2401); -#2404=DIRECTION('',(-9.999694801760E-1,-7.812727857508E-3,0.E0)); -#2405=VECTOR('',#2404,7.000243646222E-1); -#2406=CARTESIAN_POINT('',(3.048024944342E0,1.166808000001E0,2.8E0)); -#2407=LINE('',#2406,#2405); -#2408=DIRECTION('',(0.E0,1.E0,0.E0)); -#2409=VECTOR('',#2408,3.112470099854E0); -#2410=CARTESIAN_POINT('',(2.348021944340E0,1.161338900146E0,2.8E0)); -#2411=LINE('',#2410,#2409); -#2412=DIRECTION('',(1.E0,0.E0,0.E0)); -#2413=VECTOR('',#2412,1.049997E0); -#2414=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,2.8E0)); -#2415=LINE('',#2414,#2413); -#2416=DIRECTION('',(1.088139678595E-6,-9.999999999994E-1,0.E0)); -#2417=VECTOR('',#2416,2.756999000002E0); -#2418=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,2.8E0)); -#2419=LINE('',#2418,#2417); -#2420=DIRECTION('',(-7.071017303976E-1,-7.071118319394E-1,0.E0)); -#2421=VECTOR('',#2420,4.949740397346E-1); -#2422=CARTESIAN_POINT('',(3.398021944340E0,1.51681E0,2.8E0)); -#2423=LINE('',#2422,#2421); -#2424=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2425=VECTOR('',#2424,2.8E0); -#2426=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,2.8E0)); -#2427=LINE('',#2426,#2425); -#2428=DIRECTION('',(1.088139678917E-6,-9.999999999994E-1,0.E0)); -#2429=VECTOR('',#2428,2.756999000002E0); -#2430=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,0.E0)); -#2431=LINE('',#2430,#2429); -#2432=DIRECTION('',(0.E0,-7.525726074066E-14,-1.E0)); -#2433=VECTOR('',#2432,2.8E0); -#2434=CARTESIAN_POINT('',(3.398021944340E0,1.51681E0,2.8E0)); -#2435=LINE('',#2434,#2433); -#2436=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2437=VECTOR('',#2436,3.112470099854E0); -#2438=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.5E0)); -#2439=LINE('',#2438,#2437); -#2440=DIRECTION('',(-9.999694801760E-1,-7.812727858183E-3,0.E0)); -#2441=VECTOR('',#2440,4.900147551641E0); -#2442=CARTESIAN_POINT('',(2.348021944340E0,1.161338900146E0,3.5E0)); -#2443=LINE('',#2442,#2441); -#2444=DIRECTION('',(-1.522227392597E-14,1.E0,0.E0)); -#2445=VECTOR('',#2444,3.150753619140E0); -#2446=CARTESIAN_POINT('',(-2.551976055660E0,1.123055380860E0,3.5E0)); -#2447=LINE('',#2446,#2445); -#2448=DIRECTION('',(0.E0,0.E0,1.E0)); -#2449=VECTOR('',#2448,4.E-1); -#2450=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.1E0)); -#2451=LINE('',#2450,#2449); -#2452=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2453=VECTOR('',#2452,4.899998E0); -#2454=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.5E0)); -#2455=LINE('',#2454,#2453); -#2456=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2457=VECTOR('',#2456,4.099998E0); -#2458=CARTESIAN_POINT('',(1.948021944340E0,4.273809E0,3.1E0)); -#2459=LINE('',#2458,#2457); -#2460=DIRECTION('',(-2.372205305164E-14,1.E0,0.E0)); -#2461=VECTOR('',#2460,1.507002E0); -#2462=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,3.1E0)); -#2463=LINE('',#2462,#2461); -#2464=DIRECTION('',(0.E0,0.E0,1.E0)); -#2465=VECTOR('',#2464,2.7E0); -#2466=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,4.E-1)); -#2467=LINE('',#2466,#2465); -#2468=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2469=VECTOR('',#2468,4.099998E0); -#2470=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,3.1E0)); -#2471=LINE('',#2470,#2469); -#2472=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2473=VECTOR('',#2472,2.7E0); -#2474=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,3.1E0)); -#2475=LINE('',#2474,#2473); -#2476=DIRECTION('',(1.E0,0.E0,0.E0)); -#2477=VECTOR('',#2476,4.099998E0); -#2478=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,4.E-1)); -#2479=LINE('',#2478,#2477); -#2480=DIRECTION('',(1.E0,0.E0,0.E0)); -#2481=VECTOR('',#2480,4.099998E0); -#2482=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,4.E-1)); -#2483=LINE('',#2482,#2481); -#2484=DIRECTION('',(0.E0,1.E0,0.E0)); -#2485=VECTOR('',#2484,2.7E0); -#2486=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,4.E-1)); -#2487=LINE('',#2486,#2485); -#2488=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2489=VECTOR('',#2488,8.332010989976E-1); -#2490=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098998E0,5.E-1)); -#2491=LINE('',#2490,#2489); -#2492=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2493=VECTOR('',#2492,6.99999E-1); -#2494=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,5.E-1)); -#2495=LINE('',#2494,#2493); -#2496=DIRECTION('',(-1.163563132146E-14,1.E0,0.E0)); -#2497=VECTOR('',#2496,8.277320303937E-1); -#2498=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,5.E-1)); -#2499=LINE('',#2498,#2497); -#2500=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2501=VECTOR('',#2500,8.232756474114E-1); -#2502=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,0.E0)); -#2503=LINE('',#2502,#2501); -#2504=DIRECTION('',(0.E0,3.286260152890E-14,-1.E0)); -#2505=VECTOR('',#2504,5.E-1); -#2506=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,5.E-1)); -#2507=LINE('',#2506,#2505); -#2508=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2509=VECTOR('',#2508,6.999980000004E-1); -#2510=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,0.E0)); -#2511=LINE('',#2510,#2509); -#2512=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2513=VECTOR('',#2512,5.E-1); -#2514=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,5.E-1)); -#2515=LINE('',#2514,#2513); -#2516=DIRECTION('',(0.E0,1.E0,0.E0)); -#2517=VECTOR('',#2516,8.178065866220E-1); -#2518=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,0.E0)); -#2519=LINE('',#2518,#2517); -#2520=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2521=VECTOR('',#2520,5.E-1); -#2522=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,5.E-1)); -#2523=LINE('',#2522,#2521); -#2524=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2525=VECTOR('',#2524,8.232756474114E-1); -#2526=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,5.E-1)); -#2527=LINE('',#2526,#2525); -#2528=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2529=VECTOR('',#2528,6.999980000004E-1); -#2530=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,5.E-1)); -#2531=LINE('',#2530,#2529); -#2532=DIRECTION('',(0.E0,1.E0,0.E0)); -#2533=VECTOR('',#2532,8.178065866216E-1); -#2534=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,5.E-1)); -#2535=LINE('',#2534,#2533); -#2536=DIRECTION('',(-8.515854688085E-12,-1.258371185031E-11,1.E0)); -#2537=VECTOR('',#2536,5.E-1); -#2538=CARTESIAN_POINT('',(1.221762301888E1,1.244094896399E1,0.E0)); -#2539=LINE('',#2538,#2537); -#2540=DIRECTION('',(-1.456612608308E-13,5.364597654989E-13,-1.E0)); -#2541=VECTOR('',#2540,5.E-1); -#2542=CARTESIAN_POINT('',(1.142831881882E1,1.261240665682E1,5.E-1)); -#2543=LINE('',#2542,#2541); -#2544=DIRECTION('',(4.347233429975E-7,-9.999999999999E-1,0.E0)); -#2545=VECTOR('',#2544,1.714549711546E-1); -#2546=CARTESIAN_POINT('',(1.221762486980E1,8.183865971155E0,5.E-1)); -#2547=LINE('',#2546,#2545); -#2548=DIRECTION('',(-6.646307436033E-12,1.106806953780E-13,-1.E0)); -#2549=VECTOR('',#2548,1.3E0); -#2550=CARTESIAN_POINT('',(1.221762294434E1,1.2612407E1,1.8E0)); -#2551=LINE('',#2550,#2549); -#2552=DIRECTION('',(4.347692178278E-7,-9.999999999999E-1,0.E0)); -#2553=VECTOR('',#2552,1.714580360174E-1); -#2554=CARTESIAN_POINT('',(1.221762294433E1,1.2612407E1,5.E-1)); -#2555=LINE('',#2554,#2553); -#2556=DIRECTION('',(4.347871369506E-7,-9.999999999999E-1,0.E0)); -#2557=VECTOR('',#2556,4.257082992836E0); -#2558=CARTESIAN_POINT('',(1.221762301888E1,1.244094896399E1,0.E0)); -#2559=LINE('',#2558,#2557); -#2560=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,5.E-1)); -#2561=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2562=DIRECTION('',(4.986734111352E-1,8.667899566947E-1,0.E0)); -#2563=AXIS2_PLACEMENT_3D('',#2560,#2561,#2562); -#2565=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,5.E-1)); -#2566=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2567=DIRECTION('',(1.E0,0.E0,0.E0)); -#2568=AXIS2_PLACEMENT_3D('',#2565,#2566,#2567); -#2570=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,5.E-1)); -#2571=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2572=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2573=AXIS2_PLACEMENT_3D('',#2570,#2571,#2572); -#2575=DIRECTION('',(-9.999999999999E-1,-4.347872793123E-7,0.E0)); -#2576=VECTOR('',#2575,7.893051858709E-1); -#2577=CARTESIAN_POINT('',(1.221762494434E1,8.012411E0,5.E-1)); -#2578=LINE('',#2577,#2576); -#2579=DIRECTION('',(-9.999999999999E-1,-4.347804324712E-7,0.E0)); -#2580=VECTOR('',#2579,1.510694814131E0); -#2581=CARTESIAN_POINT('',(1.142831975847E1,8.012410656821E0,0.E0)); -#2582=LINE('',#2581,#2580); -#2583=DIRECTION('',(3.170113744160E-13,-5.643349035941E-13,-1.E0)); -#2584=VECTOR('',#2583,1.3E0); -#2585=CARTESIAN_POINT('',(1.221762494434E1,8.012411000001E0,1.8E0)); -#2586=LINE('',#2585,#2584); -#2587=DIRECTION('',(-1.168842800325E-12,-3.694822225953E-13,1.E0)); -#2588=VECTOR('',#2587,5.E-1); -#2589=CARTESIAN_POINT('',(1.142831975847E1,8.012410656821E0,0.E0)); -#2590=LINE('',#2589,#2588); -#2591=DIRECTION('',(2.660982545422E-12,-3.918643187717E-12,-1.E0)); -#2592=VECTOR('',#2591,5.E-1); -#2593=CARTESIAN_POINT('',(1.221762486980E1,8.183865971155E0,5.E-1)); -#2594=LINE('',#2593,#2592); -#2595=DIRECTION('',(-4.347737750837E-7,9.999999999999E-1,0.E0)); -#2596=VECTOR('',#2595,9.833822848649E-1); -#2597=CARTESIAN_POINT('',(9.917623418980E0,1.152071396626E1,5.E-1)); -#2598=LINE('',#2597,#2596); -#2599=DIRECTION('',(-4.347840663417E-7,9.999999999999E-1,0.E0)); -#2600=VECTOR('',#2599,1.083097488726E-1); -#2601=CARTESIAN_POINT('',(9.917622991431E0,1.250409625113E1,0.E0)); -#2602=LINE('',#2601,#2600); -#2603=DIRECTION('',(-7.056084112062E-13,-3.059281223412E-14,-1.E0)); -#2604=VECTOR('',#2603,1.8E0); -#2605=CARTESIAN_POINT('',(9.917624944340E0,8.01241E0,1.8E0)); -#2606=LINE('',#2605,#2604); -#2607=DIRECTION('',(-4.347612976383E-7,9.999999999999E-1,0.E0)); -#2608=VECTOR('',#2607,1.083068858374E-1); -#2609=CARTESIAN_POINT('',(9.917624944339E0,8.01241E0,0.E0)); -#2610=LINE('',#2609,#2608); -#2611=DIRECTION('',(-4.347781738149E-7,9.999999999999E-1,0.E0)); -#2612=VECTOR('',#2611,9.833844457079E-1); -#2613=CARTESIAN_POINT('',(9.917624897253E0,8.120716885842E0,5.E-1)); -#2614=LINE('',#2613,#2612); -#2615=DIRECTION('',(-4.347891875385E-7,9.999999999999E-1,0.E0)); -#2616=VECTOR('',#2615,2.416612634703E0); -#2617=CARTESIAN_POINT('',(9.917624469696E0,9.104101331556E0,0.E0)); -#2618=LINE('',#2617,#2616); -#2619=CARTESIAN_POINT('',(9.667618444340E0,1.2012405E1,5.E-1)); -#2620=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2621=DIRECTION('',(4.532359946319E-1,-8.913905615217E-1,0.E0)); -#2622=AXIS2_PLACEMENT_3D('',#2619,#2620,#2621); -#2624=CARTESIAN_POINT('',(9.667618444340E0,1.2012405E1,5.E-1)); -#2625=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2626=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2627=AXIS2_PLACEMENT_3D('',#2624,#2625,#2626); -#2629=DIRECTION('',(-3.911537760359E-12,-8.462563982903E-12,-1.E0)); -#2630=VECTOR('',#2629,5.E-1); -#2631=CARTESIAN_POINT('',(9.917623418980E0,1.152071396626E1,5.E-1)); -#2632=LINE('',#2631,#2630); -#2633=DIRECTION('',(5.577760475717E-13,-3.019806626980E-13,1.E0)); -#2634=VECTOR('',#2633,5.E-1); -#2635=CARTESIAN_POINT('',(9.917622991431E0,1.250409625113E1,0.E0)); -#2636=LINE('',#2635,#2634); -#2637=DIRECTION('',(9.999999999999E-1,4.347885385678E-7,0.E0)); -#2638=VECTOR('',#2637,7.893041255167E-1); -#2639=CARTESIAN_POINT('',(1.142831881882E1,1.261240665682E1,5.E-1)); -#2640=LINE('',#2639,#2638); -#2641=DIRECTION('',(0.E0,-1.381610875089E-14,-1.E0)); -#2642=VECTOR('',#2641,1.8E0); -#2643=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,1.8E0)); -#2644=LINE('',#2643,#2642); -#2645=DIRECTION('',(9.999999999999E-1,4.347799038905E-7,0.E0)); -#2646=VECTOR('',#2645,1.510695874475E0); -#2647=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,0.E0)); -#2648=LINE('',#2647,#2646); -#2649=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,5.E-1)); -#2650=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2651=DIRECTION('',(-8.133401576934E-1,-5.817884391110E-1,0.E0)); -#2652=AXIS2_PLACEMENT_3D('',#2649,#2650,#2651); -#2654=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,5.E-1)); -#2655=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2656=DIRECTION('',(-1.E0,-1.476362859147E-14,0.E0)); -#2657=AXIS2_PLACEMENT_3D('',#2654,#2655,#2656); -#2659=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,5.E-1)); -#2660=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2661=DIRECTION('',(1.E0,0.E0,0.E0)); -#2662=AXIS2_PLACEMENT_3D('',#2659,#2660,#2661); -#2664=DIRECTION('',(-9.999999999999E-1,-4.347829960795E-7,0.E0)); -#2665=VECTOR('',#2664,2.3E0); -#2666=CARTESIAN_POINT('',(1.221762494434E1,8.012411000001E0,1.8E0)); -#2667=LINE('',#2666,#2665); -#2668=DIRECTION('',(-4.347829945519E-7,9.999999999999E-1,0.E0)); -#2669=VECTOR('',#2668,4.599996E0); -#2670=CARTESIAN_POINT('',(9.917624944340E0,8.01241E0,1.8E0)); -#2671=LINE('',#2670,#2669); -#2672=DIRECTION('',(9.999999999999E-1,4.347826778797E-7,0.E0)); -#2673=VECTOR('',#2672,2.300000000001E0); -#2674=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,1.8E0)); -#2675=LINE('',#2674,#2673); -#2676=DIRECTION('',(4.347827597637E-7,-9.999999999999E-1,0.E0)); -#2677=VECTOR('',#2676,4.599995999999E0); -#2678=CARTESIAN_POINT('',(1.221762294434E1,1.2612407E1,1.8E0)); -#2679=LINE('',#2678,#2677); -#2680=DIRECTION('',(-3.844036200462E-12,-9.073630735656E-12,-1.E0)); -#2681=VECTOR('',#2680,5.E-1); -#2682=CARTESIAN_POINT('',(9.917624897253E0,8.120716885842E0,5.E-1)); -#2683=LINE('',#2682,#2681); -#2684=DIRECTION('',(6.814104835939E-12,-1.190869625134E-11,1.E0)); -#2685=VECTOR('',#2684,5.E-1); -#2686=CARTESIAN_POINT('',(9.917624469696E0,9.104101331556E0,0.E0)); -#2687=LINE('',#2686,#2685); -#2688=CARTESIAN_POINT('',(9.667620944340E0,8.612409E0,5.E-1)); -#2689=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2690=DIRECTION('',(4.532337315079E-1,-8.913917122250E-1,0.E0)); -#2691=AXIS2_PLACEMENT_3D('',#2688,#2689,#2690); -#2693=CARTESIAN_POINT('',(9.667620944340E0,8.612409E0,5.E-1)); -#2694=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2695=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2696=AXIS2_PLACEMENT_3D('',#2693,#2694,#2695); -#2698=DIRECTION('',(-1.469713239999E-11,0.E0,1.E0)); -#2699=VECTOR('',#2698,8.E-1); -#2700=CARTESIAN_POINT('',(1.221762434652E1,9.387409E0,6.E-1)); -#2701=LINE('',#2700,#2699); -#2702=DIRECTION('',(-9.999999999999E-1,-4.347835139631E-7,0.E0)); -#2703=VECTOR('',#2702,1.119999999976E0); -#2704=CARTESIAN_POINT('',(1.333762434650E1,9.387409486958E0,6.E-1)); -#2705=LINE('',#2704,#2703); -#2706=DIRECTION('',(-4.347859134585E-7,9.999999999999E-1,0.E0)); -#2707=VECTOR('',#2706,1.85E0); -#2708=CARTESIAN_POINT('',(1.221762434651E1,9.387409E0,1.4E0)); -#2709=LINE('',#2708,#2707); -#2710=DIRECTION('',(-9.999999999999E-1,-4.347835155479E-7,0.E0)); -#2711=VECTOR('',#2710,1.119999999979E0); -#2712=CARTESIAN_POINT('',(1.333762434649E1,9.387409486958E0,1.4E0)); -#2713=LINE('',#2712,#2711); -#2714=DIRECTION('',(1.469713239999E-11,0.E0,-1.E0)); -#2715=VECTOR('',#2714,8.E-1); -#2716=CARTESIAN_POINT('',(1.221762354216E1,1.1237409E1,1.4E0)); -#2717=LINE('',#2716,#2715); -#2718=DIRECTION('',(-9.999999999999E-1,-4.347843053922E-7,0.E0)); -#2719=VECTOR('',#2718,1.119999999979E0); -#2720=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,1.4E0)); -#2721=LINE('',#2720,#2719); -#2722=DIRECTION('',(4.347859134585E-7,-9.999999999999E-1,0.E0)); -#2723=VECTOR('',#2722,1.85E0); -#2724=CARTESIAN_POINT('',(1.221762354217E1,1.1237409E1,6.E-1)); -#2725=LINE('',#2724,#2723); -#2726=DIRECTION('',(-9.999999999999E-1,-4.347843069796E-7,0.E0)); -#2727=VECTOR('',#2726,1.119999999976E0); -#2728=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,6.E-1)); -#2729=LINE('',#2728,#2727); -#2730=DIRECTION('',(-1.052713471950E-11,0.E0,1.E0)); -#2731=VECTOR('',#2730,8.E-1); -#2732=CARTESIAN_POINT('',(1.333762434650E1,9.387409486958E0,6.E-1)); -#2733=LINE('',#2732,#2731); -#2734=DIRECTION('',(-4.347859134583E-7,9.999999999999E-1,0.E0)); -#2735=VECTOR('',#2734,1.850000000001E0); -#2736=CARTESIAN_POINT('',(1.333762434649E1,9.387409486958E0,1.4E0)); -#2737=LINE('',#2736,#2735); -#2738=DIRECTION('',(1.052935516554E-11,0.E0,-1.E0)); -#2739=VECTOR('',#2738,8.E-1); -#2740=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,1.4E0)); -#2741=LINE('',#2740,#2739); -#2742=DIRECTION('',(4.347859134583E-7,-9.999999999999E-1,0.E0)); -#2743=VECTOR('',#2742,1.850000000001E0); -#2744=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,6.E-1)); -#2745=LINE('',#2744,#2743); -#2746=DIRECTION('',(1.E0,0.E0,0.E0)); -#2747=VECTOR('',#2746,5.08E0); -#2748=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,0.E0)); -#2749=LINE('',#2748,#2747); -#2750=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2751=VECTOR('',#2750,2.E0); -#2752=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,2.E0)); -#2753=LINE('',#2752,#2751); -#2754=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2755=VECTOR('',#2754,4.826E0); -#2756=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,0.E0)); -#2757=LINE('',#2756,#2755); -#2758=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2759=VECTOR('',#2758,2.E0); -#2760=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,2.E0)); -#2761=LINE('',#2760,#2759); -#2762=DIRECTION('',(0.E0,1.E0,0.E0)); -#2763=VECTOR('',#2762,8.128E-1); -#2764=CARTESIAN_POINT('',(2.489430944340E0,2.7254208E1,1.E0)); -#2765=LINE('',#2764,#2763); -#2766=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2767=VECTOR('',#2766,5.E-1); -#2768=CARTESIAN_POINT('',(2.489430944340E0,2.7254208E1,1.5E0)); -#2769=LINE('',#2768,#2767); -#2770=DIRECTION('',(0.E0,1.E0,0.E0)); -#2771=VECTOR('',#2770,8.128E-1); -#2772=CARTESIAN_POINT('',(2.489430944340E0,2.5984208E1,1.E0)); -#2773=LINE('',#2772,#2771); -#2774=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2775=VECTOR('',#2774,5.E-1); -#2776=CARTESIAN_POINT('',(2.489430944340E0,2.5984208E1,1.5E0)); -#2777=LINE('',#2776,#2775); -#2778=DIRECTION('',(0.E0,1.E0,0.E0)); -#2779=VECTOR('',#2778,8.128E-1); -#2780=CARTESIAN_POINT('',(2.489430944340E0,2.4714208E1,1.E0)); -#2781=LINE('',#2780,#2779); -#2782=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2783=VECTOR('',#2782,5.E-1); -#2784=CARTESIAN_POINT('',(2.489430944340E0,2.4714208E1,1.5E0)); -#2785=LINE('',#2784,#2783); -#2786=DIRECTION('',(0.E0,1.E0,0.E0)); -#2787=VECTOR('',#2786,8.128E-1); -#2788=CARTESIAN_POINT('',(2.489430944340E0,2.3444208E1,1.E0)); -#2789=LINE('',#2788,#2787); -#2790=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2791=VECTOR('',#2790,5.E-1); -#2792=CARTESIAN_POINT('',(2.489430944340E0,2.3444208E1,1.5E0)); -#2793=LINE('',#2792,#2791); -#2794=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2795=VECTOR('',#2794,5.08E0); -#2796=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,0.E0)); -#2797=LINE('',#2796,#2795); -#2798=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2799=VECTOR('',#2798,2.E0); -#2800=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,2.E0)); -#2801=LINE('',#2800,#2799); -#2802=DIRECTION('',(0.E0,1.E0,0.E0)); -#2803=VECTOR('',#2802,4.826E0); -#2804=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,0.E0)); -#2805=LINE('',#2804,#2803); -#2806=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2807=VECTOR('',#2806,2.E0); -#2808=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,2.E0)); -#2809=LINE('',#2808,#2807); -#2810=DIRECTION('',(0.E0,1.E0,0.E0)); -#2811=VECTOR('',#2810,8.128E-1); -#2812=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.5E0)); -#2813=LINE('',#2812,#2811); -#2814=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2815=VECTOR('',#2814,5.E-1); -#2816=CARTESIAN_POINT('',(-2.590569055660E0,2.8067008E1,1.5E0)); -#2817=LINE('',#2816,#2815); -#2818=DIRECTION('',(0.E0,1.E0,0.E0)); -#2819=VECTOR('',#2818,8.128E-1); -#2820=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.5E0)); -#2821=LINE('',#2820,#2819); -#2822=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2823=VECTOR('',#2822,5.E-1); -#2824=CARTESIAN_POINT('',(-2.590569055660E0,2.6797008E1,1.5E0)); -#2825=LINE('',#2824,#2823); -#2826=DIRECTION('',(0.E0,1.E0,0.E0)); -#2827=VECTOR('',#2826,8.128E-1); -#2828=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.5E0)); -#2829=LINE('',#2828,#2827); -#2830=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2831=VECTOR('',#2830,5.E-1); -#2832=CARTESIAN_POINT('',(-2.590569055660E0,2.5527008E1,1.5E0)); -#2833=LINE('',#2832,#2831); -#2834=DIRECTION('',(0.E0,1.E0,0.E0)); -#2835=VECTOR('',#2834,8.128E-1); -#2836=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.5E0)); -#2837=LINE('',#2836,#2835); -#2838=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2839=VECTOR('',#2838,5.E-1); -#2840=CARTESIAN_POINT('',(-2.590569055660E0,2.4257008E1,1.5E0)); -#2841=LINE('',#2840,#2839); -#2842=DIRECTION('',(1.E0,0.E0,0.E0)); -#2843=VECTOR('',#2842,5.08E0); -#2844=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,2.E0)); -#2845=LINE('',#2844,#2843); -#2846=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2847=VECTOR('',#2846,4.826E0); -#2848=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,2.E0)); -#2849=LINE('',#2848,#2847); -#2850=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2851=VECTOR('',#2850,5.08E0); -#2852=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,2.E0)); -#2853=LINE('',#2852,#2851); -#2854=DIRECTION('',(0.E0,1.E0,0.E0)); -#2855=VECTOR('',#2854,4.826E0); -#2856=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,2.E0)); -#2857=LINE('',#2856,#2855); -#2858=DIRECTION('',(0.E0,1.E0,0.E0)); -#2859=VECTOR('',#2858,8.128E-1); -#2860=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.E0)); -#2861=LINE('',#2860,#2859); -#2862=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2863=VECTOR('',#2862,8.128E-1); -#2864=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,1.E0)); -#2865=LINE('',#2864,#2863); -#2866=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2867=VECTOR('',#2866,1.E0); -#2868=CARTESIAN_POINT('',(-3.479569055660E0,2.8067008E1,1.5E0)); -#2869=LINE('',#2868,#2867); -#2870=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2871=VECTOR('',#2870,5.E-1); -#2872=CARTESIAN_POINT('',(-4.749569055660E0,2.8067008E1,5.E-1)); -#2873=LINE('',#2872,#2871); -#2874=DIRECTION('',(1.E0,0.E0,0.E0)); -#2875=VECTOR('',#2874,4.826E-1); -#2876=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,1.E0)); -#2877=LINE('',#2876,#2875); -#2878=DIRECTION('',(0.E0,1.E0,0.E0)); -#2879=VECTOR('',#2878,8.128E-1); -#2880=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,5.E-1)); -#2881=LINE('',#2880,#2879); -#2882=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2883=VECTOR('',#2882,8.89E-1); -#2884=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.5E0)); -#2885=LINE('',#2884,#2883); -#2886=DIRECTION('',(0.E0,1.E0,0.E0)); -#2887=VECTOR('',#2886,8.128E-1); -#2888=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,1.5E0)); -#2889=LINE('',#2888,#2887); -#2890=DIRECTION('',(1.E0,0.E0,0.E0)); -#2891=VECTOR('',#2890,8.89E-1); -#2892=CARTESIAN_POINT('',(-3.479569055660E0,2.8067008E1,1.5E0)); -#2893=LINE('',#2892,#2891); -#2894=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2895=VECTOR('',#2894,4.826E-1); -#2896=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.E0)); -#2897=LINE('',#2896,#2895); -#2898=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2899=VECTOR('',#2898,1.E0); -#2900=CARTESIAN_POINT('',(-3.073169055660E0,2.7254208E1,1.E0)); -#2901=LINE('',#2900,#2899); -#2902=DIRECTION('',(0.E0,0.E0,1.E0)); -#2903=VECTOR('',#2902,1.E0); -#2904=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,5.E-1)); -#2905=LINE('',#2904,#2903); -#2906=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2907=VECTOR('',#2906,5.E-1); -#2908=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.5E0)); -#2909=LINE('',#2908,#2907); -#2910=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2911=VECTOR('',#2910,1.E0); -#2912=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,1.E0)); -#2913=LINE('',#2912,#2911); -#2914=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2915=VECTOR('',#2914,8.128E-1); -#2916=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,0.E0)); -#2917=LINE('',#2916,#2915); -#2918=DIRECTION('',(0.E0,1.E0,0.E0)); -#2919=VECTOR('',#2918,8.128E-1); -#2920=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,0.E0)); -#2921=LINE('',#2920,#2919); -#2922=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2923=VECTOR('',#2922,5.E-1); -#2924=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,5.E-1)); -#2925=LINE('',#2924,#2923); -#2926=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2927=VECTOR('',#2926,1.27E0); -#2928=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,5.E-1)); -#2929=LINE('',#2928,#2927); -#2930=DIRECTION('',(0.E0,1.E0,0.E0)); -#2931=VECTOR('',#2930,8.128E-1); -#2932=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,5.E-1)); -#2933=LINE('',#2932,#2931); -#2934=DIRECTION('',(1.E0,0.E0,0.E0)); -#2935=VECTOR('',#2934,1.27E0); -#2936=CARTESIAN_POINT('',(-4.749569055660E0,2.8067008E1,5.E-1)); -#2937=LINE('',#2936,#2935); -#2938=DIRECTION('',(0.E0,1.E0,0.E0)); -#2939=VECTOR('',#2938,8.128E-1); -#2940=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.E0)); -#2941=LINE('',#2940,#2939); -#2942=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2943=VECTOR('',#2942,8.128E-1); -#2944=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,1.E0)); -#2945=LINE('',#2944,#2943); -#2946=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2947=VECTOR('',#2946,1.E0); -#2948=CARTESIAN_POINT('',(-3.479569055660E0,2.6797008E1,1.5E0)); -#2949=LINE('',#2948,#2947); -#2950=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2951=VECTOR('',#2950,5.E-1); -#2952=CARTESIAN_POINT('',(-4.749569055660E0,2.6797008E1,5.E-1)); -#2953=LINE('',#2952,#2951); -#2954=DIRECTION('',(1.E0,0.E0,0.E0)); -#2955=VECTOR('',#2954,4.826E-1); -#2956=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,1.E0)); -#2957=LINE('',#2956,#2955); -#2958=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2959=VECTOR('',#2958,1.E0); -#2960=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,1.5E0)); -#2961=LINE('',#2960,#2959); -#2962=DIRECTION('',(0.E0,1.E0,0.E0)); -#2963=VECTOR('',#2962,8.128E-1); -#2964=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,5.E-1)); -#2965=LINE('',#2964,#2963); -#2966=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2967=VECTOR('',#2966,8.89E-1); -#2968=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.5E0)); -#2969=LINE('',#2968,#2967); -#2970=DIRECTION('',(0.E0,1.E0,0.E0)); -#2971=VECTOR('',#2970,8.128E-1); -#2972=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,1.5E0)); -#2973=LINE('',#2972,#2971); -#2974=DIRECTION('',(1.E0,0.E0,0.E0)); -#2975=VECTOR('',#2974,8.89E-1); -#2976=CARTESIAN_POINT('',(-3.479569055660E0,2.6797008E1,1.5E0)); -#2977=LINE('',#2976,#2975); -#2978=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2979=VECTOR('',#2978,4.826E-1); -#2980=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.E0)); -#2981=LINE('',#2980,#2979); -#2982=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2983=VECTOR('',#2982,1.E0); -#2984=CARTESIAN_POINT('',(-3.073169055660E0,2.5984208E1,1.E0)); -#2985=LINE('',#2984,#2983); -#2986=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2987=VECTOR('',#2986,5.E-1); -#2988=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.5E0)); -#2989=LINE('',#2988,#2987); -#2990=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2991=VECTOR('',#2990,1.E0); -#2992=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,1.E0)); -#2993=LINE('',#2992,#2991); -#2994=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2995=VECTOR('',#2994,8.128E-1); -#2996=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,0.E0)); -#2997=LINE('',#2996,#2995); -#2998=DIRECTION('',(0.E0,1.E0,0.E0)); -#2999=VECTOR('',#2998,8.128E-1); -#3000=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,0.E0)); -#3001=LINE('',#3000,#2999); -#3002=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3003=VECTOR('',#3002,5.E-1); -#3004=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,5.E-1)); -#3005=LINE('',#3004,#3003); -#3006=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3007=VECTOR('',#3006,1.27E0); -#3008=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,5.E-1)); -#3009=LINE('',#3008,#3007); -#3010=DIRECTION('',(0.E0,1.E0,0.E0)); -#3011=VECTOR('',#3010,8.128E-1); -#3012=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,5.E-1)); -#3013=LINE('',#3012,#3011); -#3014=DIRECTION('',(1.E0,0.E0,0.E0)); -#3015=VECTOR('',#3014,1.27E0); -#3016=CARTESIAN_POINT('',(-4.749569055660E0,2.6797008E1,5.E-1)); -#3017=LINE('',#3016,#3015); -#3018=DIRECTION('',(0.E0,1.E0,0.E0)); -#3019=VECTOR('',#3018,8.128E-1); -#3020=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.E0)); -#3021=LINE('',#3020,#3019); -#3022=DIRECTION('',(-1.748382715945E-14,-1.E0,0.E0)); -#3023=VECTOR('',#3022,8.128E-1); -#3024=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,1.E0)); -#3025=LINE('',#3024,#3023); -#3026=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3027=VECTOR('',#3026,1.E0); -#3028=CARTESIAN_POINT('',(-3.479569055660E0,2.5527008E1,1.5E0)); -#3029=LINE('',#3028,#3027); -#3030=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3031=VECTOR('',#3030,5.E-1); -#3032=CARTESIAN_POINT('',(-4.749569055660E0,2.5527008E1,5.E-1)); -#3033=LINE('',#3032,#3031); -#3034=DIRECTION('',(1.E0,0.E0,0.E0)); -#3035=VECTOR('',#3034,4.826E-1); -#3036=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,1.E0)); -#3037=LINE('',#3036,#3035); -#3038=DIRECTION('',(0.E0,1.E0,0.E0)); -#3039=VECTOR('',#3038,8.128E-1); -#3040=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,5.E-1)); -#3041=LINE('',#3040,#3039); -#3042=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3043=VECTOR('',#3042,8.89E-1); -#3044=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.5E0)); -#3045=LINE('',#3044,#3043); -#3046=DIRECTION('',(0.E0,1.E0,0.E0)); -#3047=VECTOR('',#3046,8.128E-1); -#3048=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,1.5E0)); -#3049=LINE('',#3048,#3047); -#3050=DIRECTION('',(1.E0,0.E0,0.E0)); -#3051=VECTOR('',#3050,8.89E-1); -#3052=CARTESIAN_POINT('',(-3.479569055660E0,2.5527008E1,1.5E0)); -#3053=LINE('',#3052,#3051); -#3054=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3055=VECTOR('',#3054,4.826E-1); -#3056=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.E0)); -#3057=LINE('',#3056,#3055); -#3058=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3059=VECTOR('',#3058,1.E0); -#3060=CARTESIAN_POINT('',(-3.073169055660E0,2.4714208E1,1.E0)); -#3061=LINE('',#3060,#3059); -#3062=DIRECTION('',(0.E0,0.E0,1.E0)); -#3063=VECTOR('',#3062,1.E0); -#3064=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,5.E-1)); -#3065=LINE('',#3064,#3063); -#3066=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3067=VECTOR('',#3066,5.E-1); -#3068=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.5E0)); -#3069=LINE('',#3068,#3067); -#3070=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3071=VECTOR('',#3070,1.E0); -#3072=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,1.E0)); -#3073=LINE('',#3072,#3071); -#3074=DIRECTION('',(-1.857656635692E-14,-1.E0,0.E0)); -#3075=VECTOR('',#3074,8.128E-1); -#3076=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,0.E0)); -#3077=LINE('',#3076,#3075); -#3078=DIRECTION('',(0.E0,1.E0,0.E0)); -#3079=VECTOR('',#3078,8.128E-1); -#3080=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,0.E0)); -#3081=LINE('',#3080,#3079); -#3082=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3083=VECTOR('',#3082,5.E-1); -#3084=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,5.E-1)); -#3085=LINE('',#3084,#3083); -#3086=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3087=VECTOR('',#3086,1.27E0); -#3088=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,5.E-1)); -#3089=LINE('',#3088,#3087); -#3090=DIRECTION('',(0.E0,1.E0,0.E0)); -#3091=VECTOR('',#3090,8.128E-1); -#3092=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,5.E-1)); -#3093=LINE('',#3092,#3091); -#3094=DIRECTION('',(1.E0,0.E0,0.E0)); -#3095=VECTOR('',#3094,1.27E0); -#3096=CARTESIAN_POINT('',(-4.749569055660E0,2.5527008E1,5.E-1)); -#3097=LINE('',#3096,#3095); -#3098=DIRECTION('',(0.E0,1.E0,0.E0)); -#3099=VECTOR('',#3098,8.128E-1); -#3100=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.E0)); -#3101=LINE('',#3100,#3099); -#3102=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3103=VECTOR('',#3102,8.128E-1); -#3104=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,1.E0)); -#3105=LINE('',#3104,#3103); -#3106=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3107=VECTOR('',#3106,1.E0); -#3108=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,1.5E0)); -#3109=LINE('',#3108,#3107); -#3110=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3111=VECTOR('',#3110,5.E-1); -#3112=CARTESIAN_POINT('',(-4.749569055660E0,2.4257008E1,5.E-1)); -#3113=LINE('',#3112,#3111); -#3114=DIRECTION('',(1.E0,0.E0,0.E0)); -#3115=VECTOR('',#3114,4.826E-1); -#3116=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,1.E0)); -#3117=LINE('',#3116,#3115); -#3118=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3119=VECTOR('',#3118,1.E0); -#3120=CARTESIAN_POINT('',(-3.479569055660E0,2.3444208E1,1.5E0)); -#3121=LINE('',#3120,#3119); -#3122=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3123=VECTOR('',#3122,8.89E-1); -#3124=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.5E0)); -#3125=LINE('',#3124,#3123); -#3126=DIRECTION('',(0.E0,1.E0,0.E0)); -#3127=VECTOR('',#3126,8.128E-1); -#3128=CARTESIAN_POINT('',(-3.479569055660E0,2.3444208E1,1.5E0)); -#3129=LINE('',#3128,#3127); -#3130=DIRECTION('',(1.E0,0.E0,0.E0)); -#3131=VECTOR('',#3130,8.89E-1); -#3132=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,1.5E0)); -#3133=LINE('',#3132,#3131); -#3134=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3135=VECTOR('',#3134,4.826E-1); -#3136=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.E0)); -#3137=LINE('',#3136,#3135); -#3138=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3139=VECTOR('',#3138,1.E0); -#3140=CARTESIAN_POINT('',(-3.073169055660E0,2.3444208E1,1.E0)); -#3141=LINE('',#3140,#3139); -#3142=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3143=VECTOR('',#3142,5.E-1); -#3144=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.5E0)); -#3145=LINE('',#3144,#3143); -#3146=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3147=VECTOR('',#3146,1.E0); -#3148=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,1.E0)); -#3149=LINE('',#3148,#3147); -#3150=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3151=VECTOR('',#3150,8.128E-1); -#3152=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,0.E0)); -#3153=LINE('',#3152,#3151); -#3154=DIRECTION('',(0.E0,1.E0,0.E0)); -#3155=VECTOR('',#3154,8.128E-1); -#3156=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,0.E0)); -#3157=LINE('',#3156,#3155); -#3158=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3159=VECTOR('',#3158,5.E-1); -#3160=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,5.E-1)); -#3161=LINE('',#3160,#3159); -#3162=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3163=VECTOR('',#3162,8.128E-1); -#3164=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,5.E-1)); -#3165=LINE('',#3164,#3163); -#3166=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3167=VECTOR('',#3166,1.27E0); -#3168=CARTESIAN_POINT('',(-3.479569055660E0,2.3444208E1,5.E-1)); -#3169=LINE('',#3168,#3167); -#3170=DIRECTION('',(0.E0,1.E0,0.E0)); -#3171=VECTOR('',#3170,8.128E-1); -#3172=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,5.E-1)); -#3173=LINE('',#3172,#3171); -#3174=DIRECTION('',(1.E0,0.E0,0.E0)); -#3175=VECTOR('',#3174,1.27E0); -#3176=CARTESIAN_POINT('',(-4.749569055660E0,2.4257008E1,5.E-1)); -#3177=LINE('',#3176,#3175); -#3178=DIRECTION('',(0.E0,1.E0,0.E0)); -#3179=VECTOR('',#3178,8.128E-1); -#3180=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,1.E0)); -#3181=LINE('',#3180,#3179); -#3182=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3183=VECTOR('',#3182,4.826E-1); -#3184=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,1.E0)); -#3185=LINE('',#3184,#3183); -#3186=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3187=VECTOR('',#3186,1.E0); -#3188=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,1.5E0)); -#3189=LINE('',#3188,#3187); -#3190=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3191=VECTOR('',#3190,5.E-1); -#3192=CARTESIAN_POINT('',(4.648430944340E0,2.7254208E1,5.E-1)); -#3193=LINE('',#3192,#3191); -#3194=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3195=VECTOR('',#3194,1.E0); -#3196=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,1.E0)); -#3197=LINE('',#3196,#3195); -#3198=DIRECTION('',(0.E0,1.E0,0.E0)); -#3199=VECTOR('',#3198,8.128E-1); -#3200=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,0.E0)); -#3201=LINE('',#3200,#3199); -#3202=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3203=VECTOR('',#3202,5.E-1); -#3204=CARTESIAN_POINT('',(2.489430944340E0,2.8067008E1,1.5E0)); -#3205=LINE('',#3204,#3203); -#3206=DIRECTION('',(1.E0,0.E0,0.E0)); -#3207=VECTOR('',#3206,4.826E-1); -#3208=CARTESIAN_POINT('',(2.489430944340E0,2.8067008E1,1.E0)); -#3209=LINE('',#3208,#3207); -#3210=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3211=VECTOR('',#3210,1.E0); -#3212=CARTESIAN_POINT('',(2.972030944340E0,2.8067008E1,1.E0)); -#3213=LINE('',#3212,#3211); -#3214=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3215=VECTOR('',#3214,1.E0); -#3216=CARTESIAN_POINT('',(3.378430944340E0,2.8067008E1,1.5E0)); -#3217=LINE('',#3216,#3215); -#3218=DIRECTION('',(0.E0,1.E0,0.E0)); -#3219=VECTOR('',#3218,8.128E-1); -#3220=CARTESIAN_POINT('',(2.489430944340E0,2.7254208E1,1.5E0)); -#3221=LINE('',#3220,#3219); -#3222=DIRECTION('',(1.E0,0.E0,0.E0)); -#3223=VECTOR('',#3222,8.89E-1); -#3224=CARTESIAN_POINT('',(2.489430944340E0,2.8067008E1,1.5E0)); -#3225=LINE('',#3224,#3223); -#3226=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3227=VECTOR('',#3226,8.128E-1); -#3228=CARTESIAN_POINT('',(3.378430944340E0,2.8067008E1,1.5E0)); -#3229=LINE('',#3228,#3227); -#3230=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3231=VECTOR('',#3230,8.89E-1); -#3232=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,1.5E0)); -#3233=LINE('',#3232,#3231); -#3234=DIRECTION('',(0.E0,1.E0,0.E0)); -#3235=VECTOR('',#3234,8.128E-1); -#3236=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,5.E-1)); -#3237=LINE('',#3236,#3235); -#3238=DIRECTION('',(1.E0,0.E0,0.E0)); -#3239=VECTOR('',#3238,1.27E0); -#3240=CARTESIAN_POINT('',(3.378430944340E0,2.8067008E1,5.E-1)); -#3241=LINE('',#3240,#3239); -#3242=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3243=VECTOR('',#3242,8.128E-1); -#3244=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,5.E-1)); -#3245=LINE('',#3244,#3243); -#3246=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3247=VECTOR('',#3246,1.27E0); -#3248=CARTESIAN_POINT('',(4.648430944340E0,2.7254208E1,5.E-1)); -#3249=LINE('',#3248,#3247); -#3250=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3251=VECTOR('',#3250,8.128E-1); -#3252=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,0.E0)); -#3253=LINE('',#3252,#3251); -#3254=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3255=VECTOR('',#3254,5.E-1); -#3256=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,5.E-1)); -#3257=LINE('',#3256,#3255); -#3258=DIRECTION('',(0.E0,1.E0,0.E0)); -#3259=VECTOR('',#3258,8.128E-1); -#3260=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,1.E0)); -#3261=LINE('',#3260,#3259); -#3262=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3263=VECTOR('',#3262,4.826E-1); -#3264=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,1.E0)); -#3265=LINE('',#3264,#3263); -#3266=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3267=VECTOR('',#3266,5.E-1); -#3268=CARTESIAN_POINT('',(4.648430944340E0,2.5984208E1,5.E-1)); -#3269=LINE('',#3268,#3267); -#3270=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3271=VECTOR('',#3270,1.E0); -#3272=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,1.E0)); -#3273=LINE('',#3272,#3271); -#3274=DIRECTION('',(0.E0,1.E0,0.E0)); -#3275=VECTOR('',#3274,8.128E-1); -#3276=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,0.E0)); -#3277=LINE('',#3276,#3275); -#3278=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3279=VECTOR('',#3278,5.E-1); -#3280=CARTESIAN_POINT('',(2.489430944340E0,2.6797008E1,1.5E0)); -#3281=LINE('',#3280,#3279); -#3282=DIRECTION('',(1.E0,0.E0,0.E0)); -#3283=VECTOR('',#3282,4.826E-1); -#3284=CARTESIAN_POINT('',(2.489430944340E0,2.6797008E1,1.E0)); -#3285=LINE('',#3284,#3283); -#3286=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3287=VECTOR('',#3286,1.E0); -#3288=CARTESIAN_POINT('',(2.972030944340E0,2.6797008E1,1.E0)); -#3289=LINE('',#3288,#3287); -#3290=DIRECTION('',(0.E0,0.E0,1.E0)); -#3291=VECTOR('',#3290,1.E0); -#3292=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,5.E-1)); -#3293=LINE('',#3292,#3291); -#3294=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3295=VECTOR('',#3294,1.E0); -#3296=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,1.5E0)); -#3297=LINE('',#3296,#3295); -#3298=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3299=VECTOR('',#3298,8.128E-1); -#3300=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,5.E-1)); -#3301=LINE('',#3300,#3299); -#3302=DIRECTION('',(0.E0,1.E0,0.E0)); -#3303=VECTOR('',#3302,8.128E-1); -#3304=CARTESIAN_POINT('',(2.489430944340E0,2.5984208E1,1.5E0)); -#3305=LINE('',#3304,#3303); -#3306=DIRECTION('',(1.E0,0.E0,0.E0)); -#3307=VECTOR('',#3306,8.89E-1); -#3308=CARTESIAN_POINT('',(2.489430944340E0,2.6797008E1,1.5E0)); -#3309=LINE('',#3308,#3307); -#3310=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3311=VECTOR('',#3310,8.128E-1); -#3312=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,1.5E0)); -#3313=LINE('',#3312,#3311); -#3314=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3315=VECTOR('',#3314,8.89E-1); -#3316=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,1.5E0)); -#3317=LINE('',#3316,#3315); -#3318=DIRECTION('',(1.E0,0.E0,0.E0)); -#3319=VECTOR('',#3318,1.27E0); -#3320=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,5.E-1)); -#3321=LINE('',#3320,#3319); -#3322=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3323=VECTOR('',#3322,8.128E-1); -#3324=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,5.E-1)); -#3325=LINE('',#3324,#3323); -#3326=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3327=VECTOR('',#3326,1.27E0); -#3328=CARTESIAN_POINT('',(4.648430944340E0,2.5984208E1,5.E-1)); -#3329=LINE('',#3328,#3327); -#3330=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3331=VECTOR('',#3330,8.128E-1); -#3332=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,0.E0)); -#3333=LINE('',#3332,#3331); -#3334=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3335=VECTOR('',#3334,5.E-1); -#3336=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,5.E-1)); -#3337=LINE('',#3336,#3335); -#3338=DIRECTION('',(0.E0,1.E0,0.E0)); -#3339=VECTOR('',#3338,8.128E-1); -#3340=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,1.E0)); -#3341=LINE('',#3340,#3339); -#3342=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3343=VECTOR('',#3342,4.826E-1); -#3344=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,1.E0)); -#3345=LINE('',#3344,#3343); -#3346=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3347=VECTOR('',#3346,1.E0); -#3348=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,1.5E0)); -#3349=LINE('',#3348,#3347); -#3350=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3351=VECTOR('',#3350,5.E-1); -#3352=CARTESIAN_POINT('',(4.648430944340E0,2.4714208E1,5.E-1)); -#3353=LINE('',#3352,#3351); -#3354=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3355=VECTOR('',#3354,1.E0); -#3356=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,1.E0)); -#3357=LINE('',#3356,#3355); -#3358=DIRECTION('',(0.E0,1.E0,0.E0)); -#3359=VECTOR('',#3358,8.128E-1); -#3360=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,0.E0)); -#3361=LINE('',#3360,#3359); -#3362=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3363=VECTOR('',#3362,5.E-1); -#3364=CARTESIAN_POINT('',(2.489430944340E0,2.5527008E1,1.5E0)); -#3365=LINE('',#3364,#3363); -#3366=DIRECTION('',(1.E0,0.E0,0.E0)); -#3367=VECTOR('',#3366,4.826E-1); -#3368=CARTESIAN_POINT('',(2.489430944340E0,2.5527008E1,1.E0)); -#3369=LINE('',#3368,#3367); -#3370=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3371=VECTOR('',#3370,1.E0); -#3372=CARTESIAN_POINT('',(2.972030944340E0,2.5527008E1,1.E0)); -#3373=LINE('',#3372,#3371); -#3374=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3375=VECTOR('',#3374,1.E0); -#3376=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,1.5E0)); -#3377=LINE('',#3376,#3375); -#3378=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3379=VECTOR('',#3378,8.128E-1); -#3380=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,5.E-1)); -#3381=LINE('',#3380,#3379); -#3382=DIRECTION('',(0.E0,1.E0,0.E0)); -#3383=VECTOR('',#3382,8.128E-1); -#3384=CARTESIAN_POINT('',(2.489430944340E0,2.4714208E1,1.5E0)); -#3385=LINE('',#3384,#3383); -#3386=DIRECTION('',(1.E0,0.E0,0.E0)); -#3387=VECTOR('',#3386,8.89E-1); -#3388=CARTESIAN_POINT('',(2.489430944340E0,2.5527008E1,1.5E0)); -#3389=LINE('',#3388,#3387); -#3390=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3391=VECTOR('',#3390,8.128E-1); -#3392=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,1.5E0)); -#3393=LINE('',#3392,#3391); -#3394=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3395=VECTOR('',#3394,8.89E-1); -#3396=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,1.5E0)); -#3397=LINE('',#3396,#3395); -#3398=DIRECTION('',(1.E0,0.E0,0.E0)); -#3399=VECTOR('',#3398,1.27E0); -#3400=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,5.E-1)); -#3401=LINE('',#3400,#3399); -#3402=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3403=VECTOR('',#3402,8.128E-1); -#3404=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,5.E-1)); -#3405=LINE('',#3404,#3403); -#3406=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3407=VECTOR('',#3406,1.27E0); -#3408=CARTESIAN_POINT('',(4.648430944340E0,2.4714208E1,5.E-1)); -#3409=LINE('',#3408,#3407); -#3410=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3411=VECTOR('',#3410,8.128E-1); -#3412=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,0.E0)); -#3413=LINE('',#3412,#3411); -#3414=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3415=VECTOR('',#3414,5.E-1); -#3416=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,5.E-1)); -#3417=LINE('',#3416,#3415); -#3418=DIRECTION('',(0.E0,1.E0,0.E0)); -#3419=VECTOR('',#3418,8.128E-1); -#3420=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,1.E0)); -#3421=LINE('',#3420,#3419); -#3422=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3423=VECTOR('',#3422,4.826E-1); -#3424=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,1.E0)); -#3425=LINE('',#3424,#3423); -#3426=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3427=VECTOR('',#3426,5.E-1); -#3428=CARTESIAN_POINT('',(4.648430944340E0,2.3444208E1,5.E-1)); -#3429=LINE('',#3428,#3427); -#3430=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3431=VECTOR('',#3430,1.E0); -#3432=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,1.E0)); -#3433=LINE('',#3432,#3431); -#3434=DIRECTION('',(0.E0,1.E0,0.E0)); -#3435=VECTOR('',#3434,8.128E-1); -#3436=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,0.E0)); -#3437=LINE('',#3436,#3435); -#3438=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3439=VECTOR('',#3438,5.E-1); -#3440=CARTESIAN_POINT('',(2.489430944340E0,2.4257008E1,1.5E0)); -#3441=LINE('',#3440,#3439); -#3442=DIRECTION('',(1.E0,0.E0,0.E0)); -#3443=VECTOR('',#3442,4.826E-1); -#3444=CARTESIAN_POINT('',(2.489430944340E0,2.4257008E1,1.E0)); -#3445=LINE('',#3444,#3443); -#3446=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3447=VECTOR('',#3446,1.E0); -#3448=CARTESIAN_POINT('',(2.972030944340E0,2.4257008E1,1.E0)); -#3449=LINE('',#3448,#3447); -#3450=DIRECTION('',(0.E0,0.E0,1.E0)); -#3451=VECTOR('',#3450,1.E0); -#3452=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,5.E-1)); -#3453=LINE('',#3452,#3451); -#3454=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3455=VECTOR('',#3454,1.E0); -#3456=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,1.5E0)); -#3457=LINE('',#3456,#3455); -#3458=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3459=VECTOR('',#3458,8.128E-1); -#3460=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,5.E-1)); -#3461=LINE('',#3460,#3459); -#3462=DIRECTION('',(0.E0,1.E0,0.E0)); -#3463=VECTOR('',#3462,8.128E-1); -#3464=CARTESIAN_POINT('',(2.489430944340E0,2.3444208E1,1.5E0)); -#3465=LINE('',#3464,#3463); -#3466=DIRECTION('',(1.E0,0.E0,0.E0)); -#3467=VECTOR('',#3466,8.89E-1); -#3468=CARTESIAN_POINT('',(2.489430944340E0,2.4257008E1,1.5E0)); -#3469=LINE('',#3468,#3467); -#3470=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3471=VECTOR('',#3470,8.128E-1); -#3472=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,1.5E0)); -#3473=LINE('',#3472,#3471); -#3474=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3475=VECTOR('',#3474,8.89E-1); -#3476=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,1.5E0)); -#3477=LINE('',#3476,#3475); -#3478=DIRECTION('',(1.E0,0.E0,0.E0)); -#3479=VECTOR('',#3478,1.27E0); -#3480=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,5.E-1)); -#3481=LINE('',#3480,#3479); -#3482=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3483=VECTOR('',#3482,8.128E-1); -#3484=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,5.E-1)); -#3485=LINE('',#3484,#3483); -#3486=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3487=VECTOR('',#3486,1.27E0); -#3488=CARTESIAN_POINT('',(4.648430944340E0,2.3444208E1,5.E-1)); -#3489=LINE('',#3488,#3487); -#3490=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3491=VECTOR('',#3490,8.128E-1); -#3492=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,0.E0)); -#3493=LINE('',#3492,#3491); -#3494=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3495=VECTOR('',#3494,5.E-1); -#3496=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,5.E-1)); -#3497=LINE('',#3496,#3495); -#3498=DIRECTION('',(1.E0,0.E0,0.E0)); -#3499=VECTOR('',#3498,2.65391E-1); -#3500=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,0.E0)); -#3501=LINE('',#3500,#3499); -#3502=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3503=VECTOR('',#3502,2.E-1); -#3504=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,2.E-1)); -#3505=LINE('',#3504,#3503); -#3506=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3507=VECTOR('',#3506,5.603189E0); -#3508=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,0.E0)); -#3509=LINE('',#3508,#3507); -#3510=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3511=VECTOR('',#3510,2.E-1); -#3512=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,2.E-1)); -#3513=LINE('',#3512,#3511); -#3514=DIRECTION('',(-1.E0,2.677342998670E-14,0.E0)); -#3515=VECTOR('',#3514,2.65391E-1); -#3516=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,0.E0)); -#3517=LINE('',#3516,#3515); -#3518=DIRECTION('',(0.E0,-3.552713678801E-14,-1.E0)); -#3519=VECTOR('',#3518,2.E-1); -#3520=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,2.E-1)); -#3521=LINE('',#3520,#3519); -#3522=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3523=VECTOR('',#3522,5.603189E0); -#3524=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,2.E-1)); -#3525=LINE('',#3524,#3523); -#3526=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3527=VECTOR('',#3526,2.E-1); -#3528=CARTESIAN_POINT('',(4.211525944340E0,3.9159137E1,2.E-1)); -#3529=LINE('',#3528,#3527); -#3530=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3531=VECTOR('',#3530,7.74624E-1); -#3532=CARTESIAN_POINT('',(4.211525944340E0,3.9159137E1,0.E0)); -#3533=LINE('',#3532,#3531); -#3534=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3535=VECTOR('',#3534,8.E-1); -#3536=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,8.E-1)); -#3537=LINE('',#3536,#3535); -#3538=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3539=VECTOR('',#3538,7.74624E-1); -#3540=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,0.E0)); -#3541=LINE('',#3540,#3539); -#3542=DIRECTION('',(1.E0,0.E0,0.E0)); -#3543=VECTOR('',#3542,2.65391E-1); -#3544=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,2.E-1)); -#3545=LINE('',#3544,#3543); -#3546=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3547=VECTOR('',#3546,5.603189E0); -#3548=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,2.E-1)); -#3549=LINE('',#3548,#3547); -#3550=DIRECTION('',(-1.E0,-2.677342998670E-14,0.E0)); -#3551=VECTOR('',#3550,2.65391E-1); -#3552=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,2.E-1)); -#3553=LINE('',#3552,#3551); -#3554=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3555=VECTOR('',#3554,5.603189E0); -#3556=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,2.E-1)); -#3557=LINE('',#3556,#3555); -#3558=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3559=VECTOR('',#3558,2.E-1); -#3560=CARTESIAN_POINT('',(-2.166287055660E0,3.8384513E1,2.E-1)); -#3561=LINE('',#3560,#3559); -#3562=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3563=VECTOR('',#3562,7.74624E-1); -#3564=CARTESIAN_POINT('',(-2.166287055660E0,3.8384513E1,0.E0)); -#3565=LINE('',#3564,#3563); -#3566=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3567=VECTOR('',#3566,8.E-1); -#3568=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,8.E-1)); -#3569=LINE('',#3568,#3567); -#3570=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3571=VECTOR('',#3570,7.74624E-1); -#3572=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,0.E0)); -#3573=LINE('',#3572,#3571); -#3574=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3575=VECTOR('',#3574,2.65392E-1); -#3576=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,2.E-1)); -#3577=LINE('',#3576,#3575); -#3578=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3579=VECTOR('',#3578,5.603189E0); -#3580=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,2.E-1)); -#3581=LINE('',#3580,#3579); -#3582=DIRECTION('',(0.E0,1.E0,0.E0)); -#3583=VECTOR('',#3582,2.65392E-1); -#3584=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,2.E-1)); -#3585=LINE('',#3584,#3583); -#3586=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3587=VECTOR('',#3586,2.65392E-1); -#3588=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,0.E0)); -#3589=LINE('',#3588,#3587); -#3590=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3591=VECTOR('',#3590,2.E-1); -#3592=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,2.E-1)); -#3593=LINE('',#3592,#3591); -#3594=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3595=VECTOR('',#3594,5.603189E0); -#3596=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,0.E0)); -#3597=LINE('',#3596,#3595); -#3598=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3599=VECTOR('',#3598,2.E-1); -#3600=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,2.E-1)); -#3601=LINE('',#3600,#3599); -#3602=DIRECTION('',(0.E0,1.E0,0.E0)); -#3603=VECTOR('',#3602,2.65392E-1); -#3604=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,0.E0)); -#3605=LINE('',#3604,#3603); -#3606=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3607=VECTOR('',#3606,2.E-1); -#3608=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,2.E-1)); -#3609=LINE('',#3608,#3607); -#3610=DIRECTION('',(0.E0,1.E0,0.E0)); -#3611=VECTOR('',#3610,5.603189E0); -#3612=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,2.E-1)); -#3613=LINE('',#3612,#3611); -#3614=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3615=VECTOR('',#3614,2.E-1); -#3616=CARTESIAN_POINT('',(-2.940911055660E0,4.4762326E1,2.E-1)); -#3617=LINE('',#3616,#3615); -#3618=DIRECTION('',(0.E0,1.E0,0.E0)); -#3619=VECTOR('',#3618,7.74624E-1); -#3620=CARTESIAN_POINT('',(-2.940911055660E0,4.4762326E1,0.E0)); -#3621=LINE('',#3620,#3619); -#3622=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3623=VECTOR('',#3622,8.E-1); -#3624=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,8.E-1)); -#3625=LINE('',#3624,#3623); -#3626=DIRECTION('',(0.E0,1.E0,0.E0)); -#3627=VECTOR('',#3626,7.74624E-1); -#3628=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,0.E0)); -#3629=LINE('',#3628,#3627); -#3630=DIRECTION('',(-1.E0,-2.677332910412E-14,0.E0)); -#3631=VECTOR('',#3630,2.65392E-1); -#3632=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,2.E-1)); -#3633=LINE('',#3632,#3631); -#3634=DIRECTION('',(0.E0,1.E0,0.E0)); -#3635=VECTOR('',#3634,5.603189E0); -#3636=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,2.E-1)); -#3637=LINE('',#3636,#3635); -#3638=DIRECTION('',(1.E0,0.E0,0.E0)); -#3639=VECTOR('',#3638,2.65392E-1); -#3640=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,2.E-1)); -#3641=LINE('',#3640,#3639); -#3642=DIRECTION('',(-1.E0,2.677332910412E-14,0.E0)); -#3643=VECTOR('',#3642,2.65392E-1); -#3644=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,0.E0)); -#3645=LINE('',#3644,#3643); -#3646=DIRECTION('',(0.E0,-3.552713678801E-14,-1.E0)); -#3647=VECTOR('',#3646,2.E-1); -#3648=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,2.E-1)); -#3649=LINE('',#3648,#3647); -#3650=DIRECTION('',(0.E0,1.E0,0.E0)); -#3651=VECTOR('',#3650,5.603189E0); -#3652=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,0.E0)); -#3653=LINE('',#3652,#3651); -#3654=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3655=VECTOR('',#3654,2.E-1); -#3656=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,2.E-1)); -#3657=LINE('',#3656,#3655); -#3658=DIRECTION('',(1.E0,0.E0,0.E0)); -#3659=VECTOR('',#3658,2.65392E-1); -#3660=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,0.E0)); -#3661=LINE('',#3660,#3659); -#3662=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3663=VECTOR('',#3662,2.E-1); -#3664=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,2.E-1)); -#3665=LINE('',#3664,#3663); -#3666=DIRECTION('',(1.E0,0.E0,0.E0)); -#3667=VECTOR('',#3666,5.603189E0); -#3668=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,2.E-1)); -#3669=LINE('',#3668,#3667); -#3670=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3671=VECTOR('',#3670,2.E-1); -#3672=CARTESIAN_POINT('',(3.436901944340E0,4.553695E1,2.E-1)); -#3673=LINE('',#3672,#3671); -#3674=DIRECTION('',(1.E0,0.E0,0.E0)); -#3675=VECTOR('',#3674,7.74624E-1); -#3676=CARTESIAN_POINT('',(3.436901944340E0,4.553695E1,0.E0)); -#3677=LINE('',#3676,#3675); -#3678=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3679=VECTOR('',#3678,8.E-1); -#3680=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,8.E-1)); -#3681=LINE('',#3680,#3679); -#3682=DIRECTION('',(1.E0,0.E0,0.E0)); -#3683=VECTOR('',#3682,7.74624E-1); -#3684=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,0.E0)); -#3685=LINE('',#3684,#3683); -#3686=DIRECTION('',(0.E0,1.E0,0.E0)); -#3687=VECTOR('',#3686,2.65392E-1); -#3688=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,2.E-1)); -#3689=LINE('',#3688,#3687); -#3690=DIRECTION('',(1.E0,0.E0,0.E0)); -#3691=VECTOR('',#3690,5.603189E0); -#3692=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,2.E-1)); -#3693=LINE('',#3692,#3691); -#3694=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3695=VECTOR('',#3694,2.65392E-1); -#3696=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,2.E-1)); -#3697=LINE('',#3696,#3695); -#3698=DIRECTION('',(0.E0,1.E0,0.E0)); -#3699=VECTOR('',#3698,2.65392E-1); -#3700=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,0.E0)); -#3701=LINE('',#3700,#3699); -#3702=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3703=VECTOR('',#3702,2.E-1); -#3704=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,2.E-1)); -#3705=LINE('',#3704,#3703); -#3706=DIRECTION('',(1.E0,0.E0,0.E0)); -#3707=VECTOR('',#3706,5.603189E0); -#3708=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,0.E0)); -#3709=LINE('',#3708,#3707); -#3710=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3711=VECTOR('',#3710,2.E-1); -#3712=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,2.E-1)); -#3713=LINE('',#3712,#3711); -#3714=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3715=VECTOR('',#3714,2.65392E-1); -#3716=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,0.E0)); -#3717=LINE('',#3716,#3715); -#3718=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3719=VECTOR('',#3718,2.E-1); -#3720=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,2.E-1)); -#3721=LINE('',#3720,#3719); -#3722=DIRECTION('',(0.E0,1.E0,0.E0)); -#3723=VECTOR('',#3722,7.152437E0); -#3724=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,8.E-1)); -#3725=LINE('',#3724,#3723); -#3726=DIRECTION('',(1.E0,0.E0,0.E0)); -#3727=VECTOR('',#3726,7.152437E0); -#3728=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,8.E-1)); -#3729=LINE('',#3728,#3727); -#3730=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3731=VECTOR('',#3730,7.152437E0); -#3732=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,8.E-1)); -#3733=LINE('',#3732,#3731); -#3734=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3735=VECTOR('',#3734,7.152437E0); -#3736=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,8.E-1)); -#3737=LINE('',#3736,#3735); -#3738=DIRECTION('',(1.E0,0.E0,0.E0)); -#3739=VECTOR('',#3738,1.983232E0); -#3740=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,0.E0)); -#3741=LINE('',#3740,#3739); -#3742=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3743=VECTOR('',#3742,2.E-1); -#3744=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,2.E-1)); -#3745=LINE('',#3744,#3743); -#3746=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3747=VECTOR('',#3746,2.27914E-1); -#3748=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,0.E0)); -#3749=LINE('',#3748,#3747); -#3750=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3751=VECTOR('',#3750,2.E-1); -#3752=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,2.E-1)); -#3753=LINE('',#3752,#3751); -#3754=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3755=VECTOR('',#3754,2.E-1); -#3756=CARTESIAN_POINT('',(4.166846944340E0,3.3308806E1,2.E-1)); -#3757=LINE('',#3756,#3755); -#3758=DIRECTION('',(1.E0,0.E0,0.E0)); -#3759=VECTOR('',#3758,8.4582E-2); -#3760=CARTESIAN_POINT('',(4.166846944340E0,3.3308806E1,0.E0)); -#3761=LINE('',#3760,#3759); -#3762=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3763=VECTOR('',#3762,8.E-1); -#3764=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,8.E-1)); -#3765=LINE('',#3764,#3763); -#3766=DIRECTION('',(1.E0,0.E0,0.E0)); -#3767=VECTOR('',#3766,8.4582E-2); -#3768=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,0.E0)); -#3769=LINE('',#3768,#3767); -#3770=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3771=VECTOR('',#3770,1.983232E0); -#3772=CARTESIAN_POINT('',(4.166846944340E0,3.3308806E1,2.E-1)); -#3773=LINE('',#3772,#3771); -#3774=DIRECTION('',(0.E0,1.E0,0.E0)); -#3775=VECTOR('',#3774,2.27914E-1); -#3776=CARTESIAN_POINT('',(2.183614944340E0,3.3308806E1,2.E-1)); -#3777=LINE('',#3776,#3775); -#3778=DIRECTION('',(1.E0,0.E0,0.E0)); -#3779=VECTOR('',#3778,1.983232E0); -#3780=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,2.E-1)); -#3781=LINE('',#3780,#3779); -#3782=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3783=VECTOR('',#3782,2.27914E-1); -#3784=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,2.E-1)); -#3785=LINE('',#3784,#3783); -#3786=DIRECTION('',(0.E0,1.E0,0.E0)); -#3787=VECTOR('',#3786,2.27914E-1); -#3788=CARTESIAN_POINT('',(2.183614944340E0,3.3308806E1,0.E0)); -#3789=LINE('',#3788,#3787); -#3790=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3791=VECTOR('',#3790,2.E-1); -#3792=CARTESIAN_POINT('',(2.183614944340E0,3.3308806E1,2.E-1)); -#3793=LINE('',#3792,#3791); -#3794=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3795=VECTOR('',#3794,2.152396E0); -#3796=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,0.E0)); -#3797=LINE('',#3796,#3795); -#3798=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3799=VECTOR('',#3798,8.E-1); -#3800=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,8.E-1)); -#3801=LINE('',#3800,#3799); -#3802=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3803=VECTOR('',#3802,1.983232E0); -#3804=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,2.E-1)); -#3805=LINE('',#3804,#3803); -#3806=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3807=VECTOR('',#3806,2.E-1); -#3808=CARTESIAN_POINT('',(2.183614944340E0,3.115641E1,2.E-1)); -#3809=LINE('',#3808,#3807); -#3810=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3811=VECTOR('',#3810,8.4582E-2); -#3812=CARTESIAN_POINT('',(2.183614944340E0,3.115641E1,0.E0)); -#3813=LINE('',#3812,#3811); -#3814=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3815=VECTOR('',#3814,8.E-1); -#3816=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,8.E-1)); -#3817=LINE('',#3816,#3815); -#3818=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3819=VECTOR('',#3818,8.4582E-2); -#3820=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,0.E0)); -#3821=LINE('',#3820,#3819); -#3822=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3823=VECTOR('',#3822,2.27914E-1); -#3824=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,2.E-1)); -#3825=LINE('',#3824,#3823); -#3826=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3827=VECTOR('',#3826,1.983232E0); -#3828=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,2.E-1)); -#3829=LINE('',#3828,#3827); -#3830=DIRECTION('',(0.E0,1.E0,0.E0)); -#3831=VECTOR('',#3830,2.27914E-1); -#3832=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,2.E-1)); -#3833=LINE('',#3832,#3831); -#3834=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3835=VECTOR('',#3834,2.27914E-1); -#3836=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,0.E0)); -#3837=LINE('',#3836,#3835); -#3838=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3839=VECTOR('',#3838,2.E-1); -#3840=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,2.E-1)); -#3841=LINE('',#3840,#3839); -#3842=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3843=VECTOR('',#3842,1.983232E0); -#3844=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,0.E0)); -#3845=LINE('',#3844,#3843); -#3846=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3847=VECTOR('',#3846,2.E-1); -#3848=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,2.E-1)); -#3849=LINE('',#3848,#3847); -#3850=DIRECTION('',(0.E0,1.E0,0.E0)); -#3851=VECTOR('',#3850,2.27914E-1); -#3852=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,0.E0)); -#3853=LINE('',#3852,#3851); -#3854=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3855=VECTOR('',#3854,2.E-1); -#3856=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,2.E-1)); -#3857=LINE('',#3856,#3855); -#3858=DIRECTION('',(0.E0,1.E0,0.E0)); -#3859=VECTOR('',#3858,2.152396E0); -#3860=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,0.E0)); -#3861=LINE('',#3860,#3859); -#3862=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3863=VECTOR('',#3862,8.E-1); -#3864=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,8.E-1)); -#3865=LINE('',#3864,#3863); -#3866=DIRECTION('',(1.E0,0.E0,0.E0)); -#3867=VECTOR('',#3866,2.152396E0); -#3868=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,8.E-1)); -#3869=LINE('',#3868,#3867); -#3870=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3871=VECTOR('',#3870,2.152396E0); -#3872=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,8.E-1)); -#3873=LINE('',#3872,#3871); -#3874=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3875=VECTOR('',#3874,2.152396E0); -#3876=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,8.E-1)); -#3877=LINE('',#3876,#3875); -#3878=DIRECTION('',(0.E0,1.E0,0.E0)); -#3879=VECTOR('',#3878,2.152396E0); -#3880=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,8.E-1)); -#3881=LINE('',#3880,#3879); -#3882=DIRECTION('',(1.E0,0.E0,0.E0)); -#3883=VECTOR('',#3882,3.269998E0); -#3884=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,0.E0)); -#3885=LINE('',#3884,#3883); -#3886=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3887=VECTOR('',#3886,5.E-1); -#3888=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,5.E-1)); -#3889=LINE('',#3888,#3887); -#3890=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3891=VECTOR('',#3890,3.820002E0); -#3892=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,0.E0)); -#3893=LINE('',#3892,#3891); -#3894=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3895=VECTOR('',#3894,5.E-1); -#3896=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,5.E-1)); -#3897=LINE('',#3896,#3895); -#3898=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3899=VECTOR('',#3898,3.269998E0); -#3900=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,0.E0)); -#3901=LINE('',#3900,#3899); -#3902=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3903=VECTOR('',#3902,5.E-1); -#3904=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,5.E-1)); -#3905=LINE('',#3904,#3903); -#3906=DIRECTION('',(0.E0,1.E0,0.E0)); -#3907=VECTOR('',#3906,3.820002E0); -#3908=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,0.E0)); -#3909=LINE('',#3908,#3907); -#3910=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3911=VECTOR('',#3910,5.E-1); -#3912=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,5.E-1)); -#3913=LINE('',#3912,#3911); -#3914=DIRECTION('',(1.E0,0.E0,0.E0)); -#3915=VECTOR('',#3914,3.269998E0); -#3916=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,5.E-1)); -#3917=LINE('',#3916,#3915); -#3918=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3919=VECTOR('',#3918,3.820002E0); -#3920=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,5.E-1)); -#3921=LINE('',#3920,#3919); -#3922=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3923=VECTOR('',#3922,3.269998E0); -#3924=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,5.E-1)); -#3925=LINE('',#3924,#3923); -#3926=DIRECTION('',(0.E0,1.E0,0.E0)); -#3927=VECTOR('',#3926,3.820002E0); -#3928=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,5.E-1)); -#3929=LINE('',#3928,#3927); -#3930=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3931=VECTOR('',#3930,1.58961403E-1); -#3932=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,0.E0)); -#3933=LINE('',#3932,#3931); -#3934=DIRECTION('',(0.E0,3.552713678801E-14,-1.E0)); -#3935=VECTOR('',#3934,2.E-1); -#3936=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,2.E-1)); -#3937=LINE('',#3936,#3935); -#3938=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3939=VECTOR('',#3938,2.E-1); -#3940=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,2.E-1)); -#3941=LINE('',#3940,#3939); -#3942=DIRECTION('',(1.E0,0.E0,0.E0)); -#3943=VECTOR('',#3942,3.445891032E-1); -#3944=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,0.E0)); -#3945=LINE('',#3944,#3943); -#3946=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3947=VECTOR('',#3946,3.E-1); -#3948=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,3.E-1)); -#3949=LINE('',#3948,#3947); -#3950=DIRECTION('',(1.E0,0.E0,0.E0)); -#3951=VECTOR('',#3950,5.5848E-1); -#3952=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,0.E0)); -#3953=LINE('',#3952,#3951); -#3954=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3955=VECTOR('',#3954,1.662328E0); -#3956=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,2.E-1)); -#3957=LINE('',#3956,#3955); -#3958=DIRECTION('',(0.E0,1.E0,0.E0)); -#3959=VECTOR('',#3958,1.58961403E-1); -#3960=CARTESIAN_POINT('',(7.735902944340E0,5.3409647E1,2.E-1)); -#3961=LINE('',#3960,#3959); -#3962=DIRECTION('',(1.E0,0.E0,0.E0)); -#3963=VECTOR('',#3962,1.662328E0); -#3964=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,2.E-1)); -#3965=LINE('',#3964,#3963); -#3966=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3967=VECTOR('',#3966,1.58961403E-1); -#3968=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,2.E-1)); -#3969=LINE('',#3968,#3967); -#3970=DIRECTION('',(0.E0,1.E0,0.E0)); -#3971=VECTOR('',#3970,1.58961403E-1); -#3972=CARTESIAN_POINT('',(7.735902944340E0,5.3409647E1,0.E0)); -#3973=LINE('',#3972,#3971); -#3974=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3975=VECTOR('',#3974,2.E-1); -#3976=CARTESIAN_POINT('',(7.735902944340E0,5.3409647E1,2.E-1)); -#3977=LINE('',#3976,#3975); -#3978=DIRECTION('',(1.E0,0.E0,0.E0)); -#3979=VECTOR('',#3978,1.662328E0); -#3980=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,0.E0)); -#3981=LINE('',#3980,#3979); -#3982=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3983=VECTOR('',#3982,2.E-1); -#3984=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,2.E-1)); -#3985=LINE('',#3984,#3983); -#3986=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3987=VECTOR('',#3986,3.519998E0); -#3988=CARTESIAN_POINT('',(9.742820047540E0,5.3409647E1,0.E0)); -#3989=LINE('',#3988,#3987); -#3990=DIRECTION('',(2.096101070492E-12,0.E0,-1.E0)); -#3991=VECTOR('',#3990,3.E-1); -#3992=CARTESIAN_POINT('',(9.742820047539E0,5.3409647E1,3.E-1)); -#3993=LINE('',#3992,#3991); -#3994=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3995=VECTOR('',#3994,1.484528E0); -#3996=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,2.E-1)); -#3997=LINE('',#3996,#3995); -#3998=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3999=VECTOR('',#3998,2.E-1); -#4000=CARTESIAN_POINT('',(7.735902944340E0,4.9889649E1,2.E-1)); -#4001=LINE('',#4000,#3999); -#4002=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4003=VECTOR('',#4002,5.5848E-1); -#4004=CARTESIAN_POINT('',(7.735902944340E0,4.9889649E1,0.E0)); -#4005=LINE('',#4004,#4003); -#4006=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4007=VECTOR('',#4006,3.E-1); -#4008=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,3.E-1)); -#4009=LINE('',#4008,#4007); -#4010=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4011=VECTOR('',#4010,5.223891032E-1); -#4012=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,0.E0)); -#4013=LINE('',#4012,#4011); -#4014=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4015=VECTOR('',#4014,1.31044E-1); -#4016=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,2.E-1)); -#4017=LINE('',#4016,#4015); -#4018=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4019=VECTOR('',#4018,1.484528E0); -#4020=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,2.E-1)); -#4021=LINE('',#4020,#4019); -#4022=DIRECTION('',(0.E0,1.E0,0.E0)); -#4023=VECTOR('',#4022,1.31044E-1); -#4024=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,2.E-1)); -#4025=LINE('',#4024,#4023); -#4026=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4027=VECTOR('',#4026,1.31044E-1); -#4028=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,0.E0)); -#4029=LINE('',#4028,#4027); -#4030=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4031=VECTOR('',#4030,2.E-1); -#4032=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,2.E-1)); -#4033=LINE('',#4032,#4031); -#4034=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4035=VECTOR('',#4034,1.484528E0); -#4036=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,0.E0)); -#4037=LINE('',#4036,#4035); -#4038=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4039=VECTOR('',#4038,2.E-1); -#4040=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,2.E-1)); -#4041=LINE('',#4040,#4039); -#4042=DIRECTION('',(0.E0,1.E0,0.E0)); -#4043=VECTOR('',#4042,1.31044E-1); -#4044=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,0.E0)); -#4045=LINE('',#4044,#4043); -#4046=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4047=VECTOR('',#4046,2.E-1); -#4048=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,2.E-1)); -#4049=LINE('',#4048,#4047); -#4050=DIRECTION('',(0.E0,1.E0,0.E0)); -#4051=VECTOR('',#4050,1.003199E0); -#4052=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,2.E-1)); -#4053=LINE('',#4052,#4051); -#4054=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4055=VECTOR('',#4054,2.E-1); -#4056=CARTESIAN_POINT('',(7.177422944340E0,5.2171245E1,2.E-1)); -#4057=LINE('',#4056,#4055); -#4058=DIRECTION('',(0.E0,1.E0,0.E0)); -#4059=VECTOR('',#4058,1.238402E0); -#4060=CARTESIAN_POINT('',(7.177422944340E0,5.2171245E1,0.E0)); -#4061=LINE('',#4060,#4059); -#4062=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4063=VECTOR('',#4062,3.E-1); -#4064=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,3.E-1)); -#4065=LINE('',#4064,#4063); -#4066=DIRECTION('',(0.E0,1.E0,0.E0)); -#4067=VECTOR('',#4066,1.278397E0); -#4068=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,0.E0)); -#4069=LINE('',#4068,#4067); -#4070=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4071=VECTOR('',#4070,5.71518E-1); -#4072=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,2.E-1)); -#4073=LINE('',#4072,#4071); -#4074=DIRECTION('',(0.E0,1.E0,0.E0)); -#4075=VECTOR('',#4074,1.003199E0); -#4076=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,2.E-1)); -#4077=LINE('',#4076,#4075); -#4078=DIRECTION('',(1.E0,0.E0,0.E0)); -#4079=VECTOR('',#4078,5.71518E-1); -#4080=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,2.E-1)); -#4081=LINE('',#4080,#4079); -#4082=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4083=VECTOR('',#4082,5.71518E-1); -#4084=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,0.E0)); -#4085=LINE('',#4084,#4083); -#4086=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4087=VECTOR('',#4086,2.E-1); -#4088=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,2.E-1)); -#4089=LINE('',#4088,#4087); -#4090=DIRECTION('',(0.E0,1.E0,0.E0)); -#4091=VECTOR('',#4090,1.003199E0); -#4092=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,0.E0)); -#4093=LINE('',#4092,#4091); -#4094=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4095=VECTOR('',#4094,2.E-1); -#4096=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,2.E-1)); -#4097=LINE('',#4096,#4095); -#4098=DIRECTION('',(1.E0,0.E0,0.E0)); -#4099=VECTOR('',#4098,5.71518E-1); -#4100=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,0.E0)); -#4101=LINE('',#4100,#4099); -#4102=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4103=VECTOR('',#4102,2.E-1); -#4104=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,2.E-1)); -#4105=LINE('',#4104,#4103); -#4106=CARTESIAN_POINT('',(9.739849118967E0,5.166964548108E1,3.E-1)); -#4107=CARTESIAN_POINT('',(9.739849118967E0,5.107631231196E1,3.E-1)); -#4108=CARTESIAN_POINT('',(9.742820047540E0,5.048298216912E1,3.E-1)); -#4109=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,3.E-1)); -#4111=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4112=VECTOR('',#4111,2.565397103200E0); -#4113=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,3.E-1)); -#4114=LINE('',#4113,#4112); -#4115=DIRECTION('',(0.E0,1.E0,0.E0)); -#4116=VECTOR('',#4115,3.519998E0); -#4117=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,3.E-1)); -#4118=LINE('',#4117,#4116); -#4119=DIRECTION('',(1.E0,0.E0,0.E0)); -#4120=VECTOR('',#4119,2.565397103199E0); -#4121=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,3.E-1)); -#4122=LINE('',#4121,#4120); -#4123=CARTESIAN_POINT('',(9.742820047539E0,5.3409647E1,3.E-1)); -#4124=CARTESIAN_POINT('',(9.742820047539E0,5.282964546174E1,3.E-1)); -#4125=CARTESIAN_POINT('',(9.739849118967E0,5.224964701934E1,3.E-1)); -#4126=CARTESIAN_POINT('',(9.739849118967E0,5.166964548108E1,3.E-1)); -#4128=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,3.E-1)); -#4129=DIRECTION('',(0.E0,0.E0,1.E0)); -#4130=DIRECTION('',(1.E0,-1.889152656531E-8,0.E0)); -#4131=AXIS2_PLACEMENT_3D('',#4128,#4129,#4130); -#4133=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,3.E-1)); -#4134=DIRECTION('',(0.E0,0.E0,1.E0)); -#4135=DIRECTION('',(0.E0,1.E0,0.E0)); -#4136=AXIS2_PLACEMENT_3D('',#4133,#4134,#4135); -#4138=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,3.E-1)); -#4139=DIRECTION('',(0.E0,0.E0,1.E0)); -#4140=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4141=AXIS2_PLACEMENT_3D('',#4138,#4139,#4140); -#4143=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4144=VECTOR('',#4143,9.E-1); -#4145=CARTESIAN_POINT('',(8.737500944340E0,5.26696455E1,1.2E0)); -#4146=LINE('',#4145,#4144); -#4147=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4148=VECTOR('',#4147,9.E-1); -#4149=CARTESIAN_POINT('',(8.737500944340E0,5.06696455E1,1.2E0)); -#4150=LINE('',#4149,#4148); -#4151=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); -#4152=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4153=DIRECTION('',(0.E0,1.E0,0.E0)); -#4154=AXIS2_PLACEMENT_3D('',#4151,#4152,#4153); -#4156=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); -#4157=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4158=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4159=AXIS2_PLACEMENT_3D('',#4156,#4157,#4158); -#4161=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); -#4162=DIRECTION('',(0.E0,0.E0,1.E0)); -#4163=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4164=AXIS2_PLACEMENT_3D('',#4161,#4162,#4163); -#4166=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); -#4167=DIRECTION('',(0.E0,0.E0,1.E0)); -#4168=DIRECTION('',(0.E0,1.E0,0.E0)); -#4169=AXIS2_PLACEMENT_3D('',#4166,#4167,#4168); -#4171=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4172=VECTOR('',#4171,1.2E0); -#4173=CARTESIAN_POINT('',(8.737500944340E0,5.08696455E1,1.2E0)); -#4174=LINE('',#4173,#4172); -#4175=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4176=VECTOR('',#4175,1.2E0); -#4177=CARTESIAN_POINT('',(8.737500944340E0,5.24696455E1,1.2E0)); -#4178=LINE('',#4177,#4176); -#4179=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); -#4180=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4181=DIRECTION('',(0.E0,1.E0,0.E0)); -#4182=AXIS2_PLACEMENT_3D('',#4179,#4180,#4181); -#4184=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); -#4185=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4186=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4187=AXIS2_PLACEMENT_3D('',#4184,#4185,#4186); -#4189=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); -#4190=DIRECTION('',(0.E0,0.E0,1.E0)); -#4191=DIRECTION('',(0.E0,1.E0,0.E0)); -#4192=AXIS2_PLACEMENT_3D('',#4189,#4190,#4191); -#4194=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); -#4195=DIRECTION('',(0.E0,0.E0,1.E0)); -#4196=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4197=AXIS2_PLACEMENT_3D('',#4194,#4195,#4196); -#4199=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4200=VECTOR('',#4199,1.2E0); -#4201=CARTESIAN_POINT('',(8.737500944340E0,5.14196455E1,1.2E0)); -#4202=LINE('',#4201,#4200); -#4203=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); -#4204=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4205=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4206=AXIS2_PLACEMENT_3D('',#4203,#4204,#4205); -#4208=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); -#4209=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4210=DIRECTION('',(0.E0,1.E0,0.E0)); -#4211=AXIS2_PLACEMENT_3D('',#4208,#4209,#4210); -#4213=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4214=VECTOR('',#4213,1.2E0); -#4215=CARTESIAN_POINT('',(8.737500944340E0,5.19196455E1,1.2E0)); -#4216=LINE('',#4215,#4214); -#4217=DIRECTION('',(1.E0,0.E0,0.E0)); -#4218=VECTOR('',#4217,1.5516E0); -#4219=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,0.E0)); -#4220=LINE('',#4219,#4218); -#4221=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4222=VECTOR('',#4221,5.E-1); -#4223=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,5.E-1)); -#4224=LINE('',#4223,#4222); -#4225=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4226=VECTOR('',#4225,7.51602E-1); -#4227=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,0.E0)); -#4228=LINE('',#4227,#4226); -#4229=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4230=VECTOR('',#4229,5.E-1); -#4231=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,5.E-1)); -#4232=LINE('',#4231,#4230); -#4233=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4234=VECTOR('',#4233,1.5516E0); -#4235=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,0.E0)); -#4236=LINE('',#4235,#4234); -#4237=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4238=VECTOR('',#4237,5.E-1); -#4239=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,5.E-1)); -#4240=LINE('',#4239,#4238); -#4241=DIRECTION('',(0.E0,1.E0,0.E0)); -#4242=VECTOR('',#4241,7.51602E-1); -#4243=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,0.E0)); -#4244=LINE('',#4243,#4242); -#4245=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4246=VECTOR('',#4245,5.E-1); -#4247=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,5.E-1)); -#4248=LINE('',#4247,#4246); -#4249=DIRECTION('',(1.E0,0.E0,0.E0)); -#4250=VECTOR('',#4249,1.5516E0); -#4251=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,5.E-1)); -#4252=LINE('',#4251,#4250); -#4253=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4254=VECTOR('',#4253,7.51602E-1); -#4255=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,5.E-1)); -#4256=LINE('',#4255,#4254); -#4257=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4258=VECTOR('',#4257,1.5516E0); -#4259=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,5.E-1)); -#4260=LINE('',#4259,#4258); -#4261=DIRECTION('',(0.E0,1.E0,0.E0)); -#4262=VECTOR('',#4261,7.51602E-1); -#4263=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,5.E-1)); -#4264=LINE('',#4263,#4262); -#4265=DIRECTION('',(1.E0,0.E0,0.E0)); -#4266=VECTOR('',#4265,1.5516E0); -#4267=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,0.E0)); -#4268=LINE('',#4267,#4266); -#4269=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4270=VECTOR('',#4269,5.E-1); -#4271=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,5.E-1)); -#4272=LINE('',#4271,#4270); -#4273=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4274=VECTOR('',#4273,7.51602E-1); -#4275=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,0.E0)); -#4276=LINE('',#4275,#4274); -#4277=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4278=VECTOR('',#4277,5.E-1); -#4279=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,5.E-1)); -#4280=LINE('',#4279,#4278); -#4281=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4282=VECTOR('',#4281,1.5516E0); -#4283=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,0.E0)); -#4284=LINE('',#4283,#4282); -#4285=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4286=VECTOR('',#4285,5.E-1); -#4287=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,5.E-1)); -#4288=LINE('',#4287,#4286); -#4289=DIRECTION('',(0.E0,1.E0,0.E0)); -#4290=VECTOR('',#4289,7.51602E-1); -#4291=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,0.E0)); -#4292=LINE('',#4291,#4290); -#4293=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4294=VECTOR('',#4293,5.E-1); -#4295=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,5.E-1)); -#4296=LINE('',#4295,#4294); -#4297=DIRECTION('',(1.E0,0.E0,0.E0)); -#4298=VECTOR('',#4297,1.5516E0); -#4299=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,5.E-1)); -#4300=LINE('',#4299,#4298); -#4301=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4302=VECTOR('',#4301,7.51602E-1); -#4303=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,5.E-1)); -#4304=LINE('',#4303,#4302); -#4305=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4306=VECTOR('',#4305,1.5516E0); -#4307=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,5.E-1)); -#4308=LINE('',#4307,#4306); -#4309=DIRECTION('',(0.E0,1.E0,0.E0)); -#4310=VECTOR('',#4309,7.51602E-1); -#4311=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,5.E-1)); -#4312=LINE('',#4311,#4310); -#4313=DIRECTION('',(1.E0,0.E0,0.E0)); -#4314=VECTOR('',#4313,1.5516E0); -#4315=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,0.E0)); -#4316=LINE('',#4315,#4314); -#4317=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4318=VECTOR('',#4317,4.E-1); -#4319=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,4.E-1)); -#4320=LINE('',#4319,#4318); -#4321=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4322=VECTOR('',#4321,7.51602E-1); -#4323=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,0.E0)); -#4324=LINE('',#4323,#4322); -#4325=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4326=VECTOR('',#4325,4.E-1); -#4327=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,4.E-1)); -#4328=LINE('',#4327,#4326); -#4329=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4330=VECTOR('',#4329,1.5516E0); -#4331=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,0.E0)); -#4332=LINE('',#4331,#4330); -#4333=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4334=VECTOR('',#4333,4.E-1); -#4335=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,4.E-1)); -#4336=LINE('',#4335,#4334); -#4337=DIRECTION('',(0.E0,1.E0,0.E0)); -#4338=VECTOR('',#4337,7.51602E-1); -#4339=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,0.E0)); -#4340=LINE('',#4339,#4338); -#4341=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4342=VECTOR('',#4341,4.E-1); -#4343=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,4.E-1)); -#4344=LINE('',#4343,#4342); -#4345=DIRECTION('',(1.E0,0.E0,0.E0)); -#4346=VECTOR('',#4345,1.5516E0); -#4347=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,4.E-1)); -#4348=LINE('',#4347,#4346); -#4349=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4350=VECTOR('',#4349,7.51602E-1); -#4351=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,4.E-1)); -#4352=LINE('',#4351,#4350); -#4353=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4354=VECTOR('',#4353,1.5516E0); -#4355=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,4.E-1)); -#4356=LINE('',#4355,#4354); -#4357=DIRECTION('',(0.E0,1.E0,0.E0)); -#4358=VECTOR('',#4357,7.51602E-1); -#4359=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,4.E-1)); -#4360=LINE('',#4359,#4358); -#4361=DIRECTION('',(0.E0,1.E0,0.E0)); -#4362=VECTOR('',#4361,7.51602E-1); -#4363=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,0.E0)); -#4364=LINE('',#4363,#4362); -#4365=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4366=VECTOR('',#4365,4.E-1); -#4367=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,4.E-1)); -#4368=LINE('',#4367,#4366); -#4369=DIRECTION('',(1.E0,0.E0,0.E0)); -#4370=VECTOR('',#4369,1.5516E0); -#4371=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,0.E0)); -#4372=LINE('',#4371,#4370); -#4373=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4374=VECTOR('',#4373,4.E-1); -#4375=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,4.E-1)); -#4376=LINE('',#4375,#4374); -#4377=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4378=VECTOR('',#4377,7.51602E-1); -#4379=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,0.E0)); -#4380=LINE('',#4379,#4378); -#4381=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4382=VECTOR('',#4381,4.E-1); -#4383=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,4.E-1)); -#4384=LINE('',#4383,#4382); -#4385=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4386=VECTOR('',#4385,1.5516E0); -#4387=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,0.E0)); -#4388=LINE('',#4387,#4386); -#4389=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4390=VECTOR('',#4389,4.E-1); -#4391=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,4.E-1)); -#4392=LINE('',#4391,#4390); -#4393=DIRECTION('',(0.E0,1.E0,0.E0)); -#4394=VECTOR('',#4393,7.51602E-1); -#4395=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,4.E-1)); -#4396=LINE('',#4395,#4394); -#4397=DIRECTION('',(1.E0,0.E0,0.E0)); -#4398=VECTOR('',#4397,1.5516E0); -#4399=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,4.E-1)); -#4400=LINE('',#4399,#4398); -#4401=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4402=VECTOR('',#4401,7.51602E-1); -#4403=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,4.E-1)); -#4404=LINE('',#4403,#4402); -#4405=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4406=VECTOR('',#4405,1.5516E0); -#4407=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,4.E-1)); -#4408=LINE('',#4407,#4406); -#4409=DIRECTION('',(1.E0,0.E0,0.E0)); -#4410=VECTOR('',#4409,1.5516E0); -#4411=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,0.E0)); -#4412=LINE('',#4411,#4410); -#4413=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4414=VECTOR('',#4413,4.E-1); -#4415=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,4.E-1)); -#4416=LINE('',#4415,#4414); -#4417=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4418=VECTOR('',#4417,7.51602E-1); -#4419=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,0.E0)); -#4420=LINE('',#4419,#4418); -#4421=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4422=VECTOR('',#4421,4.E-1); -#4423=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,4.E-1)); -#4424=LINE('',#4423,#4422); -#4425=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4426=VECTOR('',#4425,1.5516E0); -#4427=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,0.E0)); -#4428=LINE('',#4427,#4426); -#4429=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4430=VECTOR('',#4429,4.E-1); -#4431=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,4.E-1)); -#4432=LINE('',#4431,#4430); -#4433=DIRECTION('',(0.E0,1.E0,0.E0)); -#4434=VECTOR('',#4433,7.51602E-1); -#4435=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,0.E0)); -#4436=LINE('',#4435,#4434); -#4437=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4438=VECTOR('',#4437,4.E-1); -#4439=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,4.E-1)); -#4440=LINE('',#4439,#4438); -#4441=DIRECTION('',(1.E0,0.E0,0.E0)); -#4442=VECTOR('',#4441,1.5516E0); -#4443=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,4.E-1)); -#4444=LINE('',#4443,#4442); -#4445=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4446=VECTOR('',#4445,7.51602E-1); -#4447=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,4.E-1)); -#4448=LINE('',#4447,#4446); -#4449=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4450=VECTOR('',#4449,1.5516E0); -#4451=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,4.E-1)); -#4452=LINE('',#4451,#4450); -#4453=DIRECTION('',(0.E0,1.E0,0.E0)); -#4454=VECTOR('',#4453,7.51602E-1); -#4455=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,4.E-1)); -#4456=LINE('',#4455,#4454); -#4457=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4458=VECTOR('',#4457,7.51602E-1); -#4459=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,0.E0)); -#4460=LINE('',#4459,#4458); -#4461=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4462=VECTOR('',#4461,4.E-1); -#4463=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,4.E-1)); -#4464=LINE('',#4463,#4462); -#4465=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4466=VECTOR('',#4465,1.5516E0); -#4467=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,0.E0)); -#4468=LINE('',#4467,#4466); -#4469=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4470=VECTOR('',#4469,4.E-1); -#4471=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,4.E-1)); -#4472=LINE('',#4471,#4470); -#4473=DIRECTION('',(0.E0,1.E0,0.E0)); -#4474=VECTOR('',#4473,7.51602E-1); -#4475=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,0.E0)); -#4476=LINE('',#4475,#4474); -#4477=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4478=VECTOR('',#4477,4.E-1); -#4479=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,4.E-1)); -#4480=LINE('',#4479,#4478); -#4481=DIRECTION('',(1.E0,0.E0,0.E0)); -#4482=VECTOR('',#4481,1.5516E0); -#4483=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,0.E0)); -#4484=LINE('',#4483,#4482); -#4485=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4486=VECTOR('',#4485,4.E-1); -#4487=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,4.E-1)); -#4488=LINE('',#4487,#4486); -#4489=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4490=VECTOR('',#4489,7.51602E-1); -#4491=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,4.E-1)); -#4492=LINE('',#4491,#4490); -#4493=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4494=VECTOR('',#4493,1.5516E0); -#4495=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,4.E-1)); -#4496=LINE('',#4495,#4494); -#4497=DIRECTION('',(0.E0,1.E0,0.E0)); -#4498=VECTOR('',#4497,7.51602E-1); -#4499=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,4.E-1)); -#4500=LINE('',#4499,#4498); -#4501=DIRECTION('',(1.E0,0.E0,0.E0)); -#4502=VECTOR('',#4501,1.5516E0); -#4503=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,4.E-1)); -#4504=LINE('',#4503,#4502); -#4505=DIRECTION('',(1.E0,0.E0,0.E0)); -#4506=VECTOR('',#4505,1.5516E0); -#4507=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,0.E0)); -#4508=LINE('',#4507,#4506); -#4509=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4510=VECTOR('',#4509,4.E-1); -#4511=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,4.E-1)); -#4512=LINE('',#4511,#4510); -#4513=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4514=VECTOR('',#4513,7.51602E-1); -#4515=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,0.E0)); -#4516=LINE('',#4515,#4514); -#4517=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4518=VECTOR('',#4517,4.E-1); -#4519=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,4.E-1)); -#4520=LINE('',#4519,#4518); -#4521=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4522=VECTOR('',#4521,1.5516E0); -#4523=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,0.E0)); -#4524=LINE('',#4523,#4522); -#4525=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4526=VECTOR('',#4525,4.E-1); -#4527=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,4.E-1)); -#4528=LINE('',#4527,#4526); -#4529=DIRECTION('',(0.E0,1.E0,0.E0)); -#4530=VECTOR('',#4529,7.51602E-1); -#4531=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,0.E0)); -#4532=LINE('',#4531,#4530); -#4533=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4534=VECTOR('',#4533,4.E-1); -#4535=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,4.E-1)); -#4536=LINE('',#4535,#4534); -#4537=DIRECTION('',(1.E0,0.E0,0.E0)); -#4538=VECTOR('',#4537,1.5516E0); -#4539=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,4.E-1)); -#4540=LINE('',#4539,#4538); -#4541=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4542=VECTOR('',#4541,7.51602E-1); -#4543=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,4.E-1)); -#4544=LINE('',#4543,#4542); -#4545=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4546=VECTOR('',#4545,1.5516E0); -#4547=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,4.E-1)); -#4548=LINE('',#4547,#4546); -#4549=DIRECTION('',(0.E0,1.E0,0.E0)); -#4550=VECTOR('',#4549,7.51602E-1); -#4551=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,4.E-1)); -#4552=LINE('',#4551,#4550); -#4553=DIRECTION('',(1.E0,0.E0,0.E0)); -#4554=VECTOR('',#4553,1.5516E0); -#4555=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,0.E0)); -#4556=LINE('',#4555,#4554); -#4557=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4558=VECTOR('',#4557,4.E-1); -#4559=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,4.E-1)); -#4560=LINE('',#4559,#4558); -#4561=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4562=VECTOR('',#4561,7.51602E-1); -#4563=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,0.E0)); -#4564=LINE('',#4563,#4562); -#4565=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4566=VECTOR('',#4565,4.E-1); -#4567=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,4.E-1)); -#4568=LINE('',#4567,#4566); -#4569=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4570=VECTOR('',#4569,1.5516E0); -#4571=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,0.E0)); -#4572=LINE('',#4571,#4570); -#4573=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4574=VECTOR('',#4573,4.E-1); -#4575=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,4.E-1)); -#4576=LINE('',#4575,#4574); -#4577=DIRECTION('',(0.E0,1.E0,0.E0)); -#4578=VECTOR('',#4577,7.51602E-1); -#4579=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,0.E0)); -#4580=LINE('',#4579,#4578); -#4581=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4582=VECTOR('',#4581,4.E-1); -#4583=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,4.E-1)); -#4584=LINE('',#4583,#4582); -#4585=DIRECTION('',(1.E0,0.E0,0.E0)); -#4586=VECTOR('',#4585,1.5516E0); -#4587=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,4.E-1)); -#4588=LINE('',#4587,#4586); -#4589=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4590=VECTOR('',#4589,7.51602E-1); -#4591=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,4.E-1)); -#4592=LINE('',#4591,#4590); -#4593=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4594=VECTOR('',#4593,1.5516E0); -#4595=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,4.E-1)); -#4596=LINE('',#4595,#4594); -#4597=DIRECTION('',(0.E0,1.E0,0.E0)); -#4598=VECTOR('',#4597,7.51602E-1); -#4599=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,4.E-1)); -#4600=LINE('',#4599,#4598); -#4601=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4602=VECTOR('',#4601,1.5516E0); -#4603=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,0.E0)); -#4604=LINE('',#4603,#4602); -#4605=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4606=VECTOR('',#4605,4.E-1); -#4607=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,4.E-1)); -#4608=LINE('',#4607,#4606); -#4609=DIRECTION('',(0.E0,1.E0,0.E0)); -#4610=VECTOR('',#4609,7.51602E-1); -#4611=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,0.E0)); -#4612=LINE('',#4611,#4610); -#4613=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4614=VECTOR('',#4613,4.E-1); -#4615=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,4.E-1)); -#4616=LINE('',#4615,#4614); -#4617=DIRECTION('',(1.E0,0.E0,0.E0)); -#4618=VECTOR('',#4617,1.5516E0); -#4619=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,0.E0)); -#4620=LINE('',#4619,#4618); -#4621=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4622=VECTOR('',#4621,4.E-1); -#4623=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,4.E-1)); -#4624=LINE('',#4623,#4622); -#4625=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4626=VECTOR('',#4625,7.51602E-1); -#4627=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,0.E0)); -#4628=LINE('',#4627,#4626); -#4629=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4630=VECTOR('',#4629,4.E-1); -#4631=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,4.E-1)); -#4632=LINE('',#4631,#4630); -#4633=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4634=VECTOR('',#4633,1.5516E0); -#4635=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,4.E-1)); -#4636=LINE('',#4635,#4634); -#4637=DIRECTION('',(0.E0,1.E0,0.E0)); -#4638=VECTOR('',#4637,7.51602E-1); -#4639=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,4.E-1)); -#4640=LINE('',#4639,#4638); -#4641=DIRECTION('',(1.E0,0.E0,0.E0)); -#4642=VECTOR('',#4641,1.5516E0); -#4643=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,4.E-1)); -#4644=LINE('',#4643,#4642); -#4645=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4646=VECTOR('',#4645,7.51602E-1); -#4647=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,4.E-1)); -#4648=LINE('',#4647,#4646); -#4649=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4650=VECTOR('',#4649,7.51601E-1); -#4651=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,0.E0)); -#4652=LINE('',#4651,#4650); -#4653=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4654=VECTOR('',#4653,4.E-1); -#4655=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,4.E-1)); -#4656=LINE('',#4655,#4654); -#4657=DIRECTION('',(0.E0,1.E0,0.E0)); -#4658=VECTOR('',#4657,1.551599E0); -#4659=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,0.E0)); -#4660=LINE('',#4659,#4658); -#4661=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4662=VECTOR('',#4661,4.E-1); -#4663=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,4.E-1)); -#4664=LINE('',#4663,#4662); -#4665=DIRECTION('',(1.E0,0.E0,0.E0)); -#4666=VECTOR('',#4665,7.51601E-1); -#4667=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,0.E0)); -#4668=LINE('',#4667,#4666); -#4669=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4670=VECTOR('',#4669,4.E-1); -#4671=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,4.E-1)); -#4672=LINE('',#4671,#4670); -#4673=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4674=VECTOR('',#4673,1.551599E0); -#4675=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,0.E0)); -#4676=LINE('',#4675,#4674); -#4677=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4678=VECTOR('',#4677,4.E-1); -#4679=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,4.E-1)); -#4680=LINE('',#4679,#4678); -#4681=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4682=VECTOR('',#4681,7.51601E-1); -#4683=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,4.E-1)); -#4684=LINE('',#4683,#4682); -#4685=DIRECTION('',(0.E0,1.E0,0.E0)); -#4686=VECTOR('',#4685,1.551599E0); -#4687=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,4.E-1)); -#4688=LINE('',#4687,#4686); -#4689=DIRECTION('',(1.E0,0.E0,0.E0)); -#4690=VECTOR('',#4689,7.51601E-1); -#4691=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,4.E-1)); -#4692=LINE('',#4691,#4690); -#4693=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4694=VECTOR('',#4693,1.551599E0); -#4695=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,4.E-1)); -#4696=LINE('',#4695,#4694); -#4697=DIRECTION('',(1.E0,0.E0,0.E0)); -#4698=VECTOR('',#4697,7.51601E-1); -#4699=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,0.E0)); -#4700=LINE('',#4699,#4698); -#4701=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4702=VECTOR('',#4701,4.E-1); -#4703=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,4.E-1)); -#4704=LINE('',#4703,#4702); -#4705=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4706=VECTOR('',#4705,1.551599E0); -#4707=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,0.E0)); -#4708=LINE('',#4707,#4706); -#4709=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4710=VECTOR('',#4709,4.E-1); -#4711=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,4.E-1)); -#4712=LINE('',#4711,#4710); -#4713=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4714=VECTOR('',#4713,7.51601E-1); -#4715=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,0.E0)); -#4716=LINE('',#4715,#4714); -#4717=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4718=VECTOR('',#4717,4.E-1); -#4719=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,4.E-1)); -#4720=LINE('',#4719,#4718); -#4721=DIRECTION('',(0.E0,1.E0,0.E0)); -#4722=VECTOR('',#4721,1.551599E0); -#4723=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,0.E0)); -#4724=LINE('',#4723,#4722); -#4725=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4726=VECTOR('',#4725,4.E-1); -#4727=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,4.E-1)); -#4728=LINE('',#4727,#4726); -#4729=DIRECTION('',(1.E0,0.E0,0.E0)); -#4730=VECTOR('',#4729,7.51601E-1); -#4731=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,4.E-1)); -#4732=LINE('',#4731,#4730); -#4733=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4734=VECTOR('',#4733,1.551599E0); -#4735=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,4.E-1)); -#4736=LINE('',#4735,#4734); -#4737=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4738=VECTOR('',#4737,7.51601E-1); -#4739=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,4.E-1)); -#4740=LINE('',#4739,#4738); -#4741=DIRECTION('',(0.E0,1.E0,0.E0)); -#4742=VECTOR('',#4741,1.551599E0); -#4743=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,4.E-1)); -#4744=LINE('',#4743,#4742); -#4745=DIRECTION('',(1.E0,0.E0,0.E0)); -#4746=VECTOR('',#4745,7.51601E-1); -#4747=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,0.E0)); -#4748=LINE('',#4747,#4746); -#4749=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4750=VECTOR('',#4749,4.E-1); -#4751=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,4.E-1)); -#4752=LINE('',#4751,#4750); -#4753=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4754=VECTOR('',#4753,1.551599E0); -#4755=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,0.E0)); -#4756=LINE('',#4755,#4754); -#4757=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4758=VECTOR('',#4757,4.E-1); -#4759=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,4.E-1)); -#4760=LINE('',#4759,#4758); -#4761=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4762=VECTOR('',#4761,7.51601E-1); -#4763=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,0.E0)); -#4764=LINE('',#4763,#4762); -#4765=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4766=VECTOR('',#4765,4.E-1); -#4767=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,4.E-1)); -#4768=LINE('',#4767,#4766); -#4769=DIRECTION('',(0.E0,1.E0,0.E0)); -#4770=VECTOR('',#4769,1.551599E0); -#4771=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,0.E0)); -#4772=LINE('',#4771,#4770); -#4773=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4774=VECTOR('',#4773,4.E-1); -#4775=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,4.E-1)); -#4776=LINE('',#4775,#4774); -#4777=DIRECTION('',(1.E0,0.E0,0.E0)); -#4778=VECTOR('',#4777,7.51601E-1); -#4779=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,4.E-1)); -#4780=LINE('',#4779,#4778); -#4781=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4782=VECTOR('',#4781,1.551599E0); -#4783=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,4.E-1)); -#4784=LINE('',#4783,#4782); -#4785=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4786=VECTOR('',#4785,7.51601E-1); -#4787=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,4.E-1)); -#4788=LINE('',#4787,#4786); -#4789=DIRECTION('',(0.E0,1.E0,0.E0)); -#4790=VECTOR('',#4789,1.551599E0); -#4791=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,4.E-1)); -#4792=LINE('',#4791,#4790); -#4793=DIRECTION('',(1.E0,0.E0,0.E0)); -#4794=VECTOR('',#4793,1.5516E0); -#4795=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,0.E0)); -#4796=LINE('',#4795,#4794); -#4797=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4798=VECTOR('',#4797,4.E-1); -#4799=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,4.E-1)); -#4800=LINE('',#4799,#4798); -#4801=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4802=VECTOR('',#4801,7.51602E-1); -#4803=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,0.E0)); -#4804=LINE('',#4803,#4802); -#4805=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4806=VECTOR('',#4805,4.E-1); -#4807=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,4.E-1)); -#4808=LINE('',#4807,#4806); -#4809=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4810=VECTOR('',#4809,1.5516E0); -#4811=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,0.E0)); -#4812=LINE('',#4811,#4810); -#4813=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4814=VECTOR('',#4813,4.E-1); -#4815=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,4.E-1)); -#4816=LINE('',#4815,#4814); -#4817=DIRECTION('',(0.E0,1.E0,0.E0)); -#4818=VECTOR('',#4817,7.51602E-1); -#4819=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,0.E0)); -#4820=LINE('',#4819,#4818); -#4821=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4822=VECTOR('',#4821,4.E-1); -#4823=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,4.E-1)); -#4824=LINE('',#4823,#4822); -#4825=DIRECTION('',(1.E0,0.E0,0.E0)); -#4826=VECTOR('',#4825,1.5516E0); -#4827=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,4.E-1)); -#4828=LINE('',#4827,#4826); -#4829=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4830=VECTOR('',#4829,7.51602E-1); -#4831=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,4.E-1)); -#4832=LINE('',#4831,#4830); -#4833=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4834=VECTOR('',#4833,1.5516E0); -#4835=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,4.E-1)); -#4836=LINE('',#4835,#4834); -#4837=DIRECTION('',(0.E0,1.E0,0.E0)); -#4838=VECTOR('',#4837,7.51602E-1); -#4839=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,4.E-1)); -#4840=LINE('',#4839,#4838); -#4841=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4842=VECTOR('',#4841,7.51602E-1); -#4843=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,0.E0)); -#4844=LINE('',#4843,#4842); -#4845=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4846=VECTOR('',#4845,4.E-1); -#4847=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,4.E-1)); -#4848=LINE('',#4847,#4846); -#4849=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4850=VECTOR('',#4849,1.5516E0); -#4851=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,0.E0)); -#4852=LINE('',#4851,#4850); -#4853=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4854=VECTOR('',#4853,4.E-1); -#4855=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,4.E-1)); -#4856=LINE('',#4855,#4854); -#4857=DIRECTION('',(0.E0,1.E0,0.E0)); -#4858=VECTOR('',#4857,7.51602E-1); -#4859=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,0.E0)); -#4860=LINE('',#4859,#4858); -#4861=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4862=VECTOR('',#4861,4.E-1); -#4863=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,4.E-1)); -#4864=LINE('',#4863,#4862); -#4865=DIRECTION('',(1.E0,0.E0,0.E0)); -#4866=VECTOR('',#4865,1.5516E0); -#4867=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,0.E0)); -#4868=LINE('',#4867,#4866); -#4869=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4870=VECTOR('',#4869,4.E-1); -#4871=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,4.E-1)); -#4872=LINE('',#4871,#4870); -#4873=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4874=VECTOR('',#4873,7.51602E-1); -#4875=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,4.E-1)); -#4876=LINE('',#4875,#4874); -#4877=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4878=VECTOR('',#4877,1.5516E0); -#4879=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,4.E-1)); -#4880=LINE('',#4879,#4878); -#4881=DIRECTION('',(0.E0,1.E0,0.E0)); -#4882=VECTOR('',#4881,7.51602E-1); -#4883=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,4.E-1)); -#4884=LINE('',#4883,#4882); -#4885=DIRECTION('',(1.E0,0.E0,0.E0)); -#4886=VECTOR('',#4885,1.5516E0); -#4887=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,4.E-1)); -#4888=LINE('',#4887,#4886); -#4889=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4890=VECTOR('',#4889,1.5516E0); -#4891=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,0.E0)); -#4892=LINE('',#4891,#4890); -#4893=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4894=VECTOR('',#4893,4.E-1); -#4895=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,4.E-1)); -#4896=LINE('',#4895,#4894); -#4897=DIRECTION('',(0.E0,1.E0,0.E0)); -#4898=VECTOR('',#4897,7.51602E-1); -#4899=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,0.E0)); -#4900=LINE('',#4899,#4898); -#4901=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4902=VECTOR('',#4901,4.E-1); -#4903=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,4.E-1)); -#4904=LINE('',#4903,#4902); -#4905=DIRECTION('',(1.E0,0.E0,0.E0)); -#4906=VECTOR('',#4905,1.5516E0); -#4907=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,0.E0)); -#4908=LINE('',#4907,#4906); -#4909=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4910=VECTOR('',#4909,4.E-1); -#4911=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,4.E-1)); -#4912=LINE('',#4911,#4910); -#4913=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4914=VECTOR('',#4913,7.51602E-1); -#4915=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,0.E0)); -#4916=LINE('',#4915,#4914); -#4917=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4918=VECTOR('',#4917,4.E-1); -#4919=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,4.E-1)); -#4920=LINE('',#4919,#4918); -#4921=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4922=VECTOR('',#4921,1.5516E0); -#4923=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,4.E-1)); -#4924=LINE('',#4923,#4922); -#4925=DIRECTION('',(0.E0,1.E0,0.E0)); -#4926=VECTOR('',#4925,7.51602E-1); -#4927=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,4.E-1)); -#4928=LINE('',#4927,#4926); -#4929=DIRECTION('',(1.E0,0.E0,0.E0)); -#4930=VECTOR('',#4929,1.5516E0); -#4931=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,4.E-1)); -#4932=LINE('',#4931,#4930); -#4933=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4934=VECTOR('',#4933,7.51602E-1); -#4935=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,4.E-1)); -#4936=LINE('',#4935,#4934); -#4937=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4938=VECTOR('',#4937,7.51602E-1); -#4939=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,0.E0)); -#4940=LINE('',#4939,#4938); -#4941=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4942=VECTOR('',#4941,4.E-1); -#4943=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,4.E-1)); -#4944=LINE('',#4943,#4942); -#4945=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4946=VECTOR('',#4945,1.5516E0); -#4947=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,0.E0)); -#4948=LINE('',#4947,#4946); -#4949=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4950=VECTOR('',#4949,4.E-1); -#4951=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,4.E-1)); -#4952=LINE('',#4951,#4950); -#4953=DIRECTION('',(0.E0,1.E0,0.E0)); -#4954=VECTOR('',#4953,7.51602E-1); -#4955=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,0.E0)); -#4956=LINE('',#4955,#4954); -#4957=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4958=VECTOR('',#4957,4.E-1); -#4959=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,4.E-1)); -#4960=LINE('',#4959,#4958); -#4961=DIRECTION('',(1.E0,0.E0,0.E0)); -#4962=VECTOR('',#4961,1.5516E0); -#4963=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,0.E0)); -#4964=LINE('',#4963,#4962); -#4965=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4966=VECTOR('',#4965,4.E-1); -#4967=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,4.E-1)); -#4968=LINE('',#4967,#4966); -#4969=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4970=VECTOR('',#4969,7.51602E-1); -#4971=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,4.E-1)); -#4972=LINE('',#4971,#4970); -#4973=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4974=VECTOR('',#4973,1.5516E0); -#4975=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,4.E-1)); -#4976=LINE('',#4975,#4974); -#4977=DIRECTION('',(0.E0,1.E0,0.E0)); -#4978=VECTOR('',#4977,7.51602E-1); -#4979=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,4.E-1)); -#4980=LINE('',#4979,#4978); -#4981=DIRECTION('',(1.E0,0.E0,0.E0)); -#4982=VECTOR('',#4981,1.5516E0); -#4983=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,4.E-1)); -#4984=LINE('',#4983,#4982); -#4985=DIRECTION('',(1.E0,0.E0,0.E0)); -#4986=VECTOR('',#4985,1.5516E0); -#4987=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,0.E0)); -#4988=LINE('',#4987,#4986); -#4989=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4990=VECTOR('',#4989,4.E-1); -#4991=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,4.E-1)); -#4992=LINE('',#4991,#4990); -#4993=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4994=VECTOR('',#4993,7.51602E-1); -#4995=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,0.E0)); -#4996=LINE('',#4995,#4994); -#4997=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4998=VECTOR('',#4997,4.E-1); -#4999=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,4.E-1)); -#5000=LINE('',#4999,#4998); -#5001=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5002=VECTOR('',#5001,1.5516E0); -#5003=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,0.E0)); -#5004=LINE('',#5003,#5002); -#5005=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5006=VECTOR('',#5005,4.E-1); -#5007=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,4.E-1)); -#5008=LINE('',#5007,#5006); -#5009=DIRECTION('',(0.E0,1.E0,0.E0)); -#5010=VECTOR('',#5009,7.51602E-1); -#5011=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,0.E0)); -#5012=LINE('',#5011,#5010); -#5013=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5014=VECTOR('',#5013,4.E-1); -#5015=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,4.E-1)); -#5016=LINE('',#5015,#5014); -#5017=DIRECTION('',(1.E0,0.E0,0.E0)); -#5018=VECTOR('',#5017,1.5516E0); -#5019=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,4.E-1)); -#5020=LINE('',#5019,#5018); -#5021=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5022=VECTOR('',#5021,7.51602E-1); -#5023=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,4.E-1)); -#5024=LINE('',#5023,#5022); -#5025=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5026=VECTOR('',#5025,1.5516E0); -#5027=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,4.E-1)); -#5028=LINE('',#5027,#5026); -#5029=DIRECTION('',(0.E0,1.E0,0.E0)); -#5030=VECTOR('',#5029,7.51602E-1); -#5031=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,4.E-1)); -#5032=LINE('',#5031,#5030); -#5033=DIRECTION('',(0.E0,1.E0,0.E0)); -#5034=VECTOR('',#5033,7.51602E-1); -#5035=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,0.E0)); -#5036=LINE('',#5035,#5034); -#5037=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5038=VECTOR('',#5037,4.E-1); -#5039=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,4.E-1)); -#5040=LINE('',#5039,#5038); -#5041=DIRECTION('',(1.E0,0.E0,0.E0)); -#5042=VECTOR('',#5041,1.5516E0); -#5043=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,0.E0)); -#5044=LINE('',#5043,#5042); -#5045=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5046=VECTOR('',#5045,4.E-1); -#5047=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,4.E-1)); -#5048=LINE('',#5047,#5046); -#5049=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5050=VECTOR('',#5049,7.51602E-1); -#5051=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,0.E0)); -#5052=LINE('',#5051,#5050); -#5053=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5054=VECTOR('',#5053,4.E-1); -#5055=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,4.E-1)); -#5056=LINE('',#5055,#5054); -#5057=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5058=VECTOR('',#5057,1.5516E0); -#5059=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,0.E0)); -#5060=LINE('',#5059,#5058); -#5061=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5062=VECTOR('',#5061,4.E-1); -#5063=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,4.E-1)); -#5064=LINE('',#5063,#5062); -#5065=DIRECTION('',(0.E0,1.E0,0.E0)); -#5066=VECTOR('',#5065,7.51602E-1); -#5067=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,4.E-1)); -#5068=LINE('',#5067,#5066); -#5069=DIRECTION('',(1.E0,0.E0,0.E0)); -#5070=VECTOR('',#5069,1.5516E0); -#5071=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,4.E-1)); -#5072=LINE('',#5071,#5070); -#5073=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5074=VECTOR('',#5073,7.51602E-1); -#5075=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,4.E-1)); -#5076=LINE('',#5075,#5074); -#5077=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5078=VECTOR('',#5077,1.5516E0); -#5079=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,4.E-1)); -#5080=LINE('',#5079,#5078); -#5081=DIRECTION('',(1.E0,0.E0,0.E0)); -#5082=VECTOR('',#5081,1.5516E0); -#5083=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,0.E0)); -#5084=LINE('',#5083,#5082); -#5085=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5086=VECTOR('',#5085,4.E-1); -#5087=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,4.E-1)); -#5088=LINE('',#5087,#5086); -#5089=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5090=VECTOR('',#5089,7.51602E-1); -#5091=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,0.E0)); -#5092=LINE('',#5091,#5090); -#5093=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5094=VECTOR('',#5093,4.E-1); -#5095=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,4.E-1)); -#5096=LINE('',#5095,#5094); -#5097=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5098=VECTOR('',#5097,1.5516E0); -#5099=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,0.E0)); -#5100=LINE('',#5099,#5098); -#5101=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5102=VECTOR('',#5101,4.E-1); -#5103=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,4.E-1)); -#5104=LINE('',#5103,#5102); -#5105=DIRECTION('',(0.E0,1.E0,0.E0)); -#5106=VECTOR('',#5105,7.51602E-1); -#5107=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,0.E0)); -#5108=LINE('',#5107,#5106); -#5109=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5110=VECTOR('',#5109,4.E-1); -#5111=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,4.E-1)); -#5112=LINE('',#5111,#5110); -#5113=DIRECTION('',(1.E0,0.E0,0.E0)); -#5114=VECTOR('',#5113,1.5516E0); -#5115=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,4.E-1)); -#5116=LINE('',#5115,#5114); -#5117=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5118=VECTOR('',#5117,7.51602E-1); -#5119=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,4.E-1)); -#5120=LINE('',#5119,#5118); -#5121=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5122=VECTOR('',#5121,1.5516E0); -#5123=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,4.E-1)); -#5124=LINE('',#5123,#5122); -#5125=DIRECTION('',(0.E0,1.E0,0.E0)); -#5126=VECTOR('',#5125,7.51602E-1); -#5127=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,4.E-1)); -#5128=LINE('',#5127,#5126); -#5129=DIRECTION('',(1.E0,0.E0,0.E0)); -#5130=VECTOR('',#5129,7.51602E-1); -#5131=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,0.E0)); -#5132=LINE('',#5131,#5130); -#5133=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5134=VECTOR('',#5133,4.E-1); -#5135=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,4.E-1)); -#5136=LINE('',#5135,#5134); -#5137=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5138=VECTOR('',#5137,1.551599E0); -#5139=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,0.E0)); -#5140=LINE('',#5139,#5138); -#5141=DIRECTION('',(0.E0,1.776356839400E-14,-1.E0)); -#5142=VECTOR('',#5141,4.E-1); -#5143=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,4.E-1)); -#5144=LINE('',#5143,#5142); -#5145=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5146=VECTOR('',#5145,7.51602E-1); -#5147=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,0.E0)); -#5148=LINE('',#5147,#5146); -#5149=DIRECTION('',(0.E0,5.329070518201E-14,-1.E0)); -#5150=VECTOR('',#5149,4.E-1); -#5151=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,4.E-1)); -#5152=LINE('',#5151,#5150); -#5153=DIRECTION('',(0.E0,1.E0,0.E0)); -#5154=VECTOR('',#5153,1.551599E0); -#5155=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,0.E0)); -#5156=LINE('',#5155,#5154); -#5157=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5158=VECTOR('',#5157,4.E-1); -#5159=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,4.E-1)); -#5160=LINE('',#5159,#5158); -#5161=DIRECTION('',(1.E0,0.E0,0.E0)); -#5162=VECTOR('',#5161,7.51602E-1); -#5163=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,4.E-1)); -#5164=LINE('',#5163,#5162); -#5165=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5166=VECTOR('',#5165,1.551599E0); -#5167=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,4.E-1)); -#5168=LINE('',#5167,#5166); -#5169=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5170=VECTOR('',#5169,7.51602E-1); -#5171=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,4.E-1)); -#5172=LINE('',#5171,#5170); -#5173=DIRECTION('',(0.E0,1.E0,0.E0)); -#5174=VECTOR('',#5173,1.551599E0); -#5175=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,4.E-1)); -#5176=LINE('',#5175,#5174); -#5177=DIRECTION('',(1.E0,0.E0,0.E0)); -#5178=VECTOR('',#5177,7.01598E-1); -#5179=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,0.E0)); -#5180=LINE('',#5179,#5178); -#5181=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5182=VECTOR('',#5181,4.E-1); -#5183=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,4.E-1)); -#5184=LINE('',#5183,#5182); -#5185=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5186=VECTOR('',#5185,7.51602E-1); -#5187=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,0.E0)); -#5188=LINE('',#5187,#5186); -#5189=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5190=VECTOR('',#5189,4.E-1); -#5191=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,4.E-1)); -#5192=LINE('',#5191,#5190); -#5193=DIRECTION('',(-1.E0,-1.012749089593E-14,0.E0)); -#5194=VECTOR('',#5193,7.01598E-1); -#5195=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,0.E0)); -#5196=LINE('',#5195,#5194); -#5197=DIRECTION('',(0.E0,1.776356839400E-14,-1.E0)); -#5198=VECTOR('',#5197,4.E-1); -#5199=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,4.E-1)); -#5200=LINE('',#5199,#5198); -#5201=DIRECTION('',(0.E0,1.E0,0.E0)); -#5202=VECTOR('',#5201,7.51602E-1); -#5203=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,0.E0)); -#5204=LINE('',#5203,#5202); -#5205=DIRECTION('',(0.E0,1.776356839400E-14,-1.E0)); -#5206=VECTOR('',#5205,4.E-1); -#5207=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,4.E-1)); -#5208=LINE('',#5207,#5206); -#5209=DIRECTION('',(1.E0,0.E0,0.E0)); -#5210=VECTOR('',#5209,7.01598E-1); -#5211=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,4.E-1)); -#5212=LINE('',#5211,#5210); -#5213=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5214=VECTOR('',#5213,7.51602E-1); -#5215=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,4.E-1)); -#5216=LINE('',#5215,#5214); -#5217=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5218=VECTOR('',#5217,7.01598E-1); -#5219=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,4.E-1)); -#5220=LINE('',#5219,#5218); -#5221=DIRECTION('',(0.E0,1.E0,0.E0)); -#5222=VECTOR('',#5221,7.51602E-1); -#5223=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,4.E-1)); -#5224=LINE('',#5223,#5222); -#5225=DIRECTION('',(0.E0,1.E0,0.E0)); -#5226=VECTOR('',#5225,1.5516E0); -#5227=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,0.E0)); -#5228=LINE('',#5227,#5226); -#5229=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5230=VECTOR('',#5229,4.E-1); -#5231=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,4.E-1)); -#5232=LINE('',#5231,#5230); -#5233=DIRECTION('',(1.E0,0.E0,0.E0)); -#5234=VECTOR('',#5233,7.51602E-1); -#5235=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,0.E0)); -#5236=LINE('',#5235,#5234); -#5237=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5238=VECTOR('',#5237,4.E-1); -#5239=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,4.E-1)); -#5240=LINE('',#5239,#5238); -#5241=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5242=VECTOR('',#5241,1.5516E0); -#5243=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,0.E0)); -#5244=LINE('',#5243,#5242); -#5245=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5246=VECTOR('',#5245,4.E-1); -#5247=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,4.E-1)); -#5248=LINE('',#5247,#5246); -#5249=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5250=VECTOR('',#5249,7.51602E-1); -#5251=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,0.E0)); -#5252=LINE('',#5251,#5250); -#5253=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5254=VECTOR('',#5253,4.E-1); -#5255=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,4.E-1)); -#5256=LINE('',#5255,#5254); -#5257=DIRECTION('',(0.E0,1.E0,0.E0)); -#5258=VECTOR('',#5257,1.5516E0); -#5259=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,4.E-1)); -#5260=LINE('',#5259,#5258); -#5261=DIRECTION('',(1.E0,0.E0,0.E0)); -#5262=VECTOR('',#5261,7.51602E-1); -#5263=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,4.E-1)); -#5264=LINE('',#5263,#5262); -#5265=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5266=VECTOR('',#5265,1.5516E0); -#5267=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,4.E-1)); -#5268=LINE('',#5267,#5266); -#5269=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5270=VECTOR('',#5269,7.51602E-1); -#5271=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,4.E-1)); -#5272=LINE('',#5271,#5270); -#5273=DIRECTION('',(0.E0,1.E0,0.E0)); -#5274=VECTOR('',#5273,7.51601E-1); -#5275=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,0.E0)); -#5276=LINE('',#5275,#5274); -#5277=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5278=VECTOR('',#5277,5.E-1); -#5279=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,5.E-1)); -#5280=LINE('',#5279,#5278); -#5281=DIRECTION('',(1.E0,0.E0,0.E0)); -#5282=VECTOR('',#5281,1.551599E0); -#5283=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,0.E0)); -#5284=LINE('',#5283,#5282); -#5285=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5286=VECTOR('',#5285,5.E-1); -#5287=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,5.E-1)); -#5288=LINE('',#5287,#5286); -#5289=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5290=VECTOR('',#5289,7.51601E-1); -#5291=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,0.E0)); -#5292=LINE('',#5291,#5290); -#5293=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5294=VECTOR('',#5293,5.E-1); -#5295=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,5.E-1)); -#5296=LINE('',#5295,#5294); -#5297=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5298=VECTOR('',#5297,1.551599E0); -#5299=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,0.E0)); -#5300=LINE('',#5299,#5298); -#5301=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5302=VECTOR('',#5301,5.E-1); -#5303=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,5.E-1)); -#5304=LINE('',#5303,#5302); -#5305=DIRECTION('',(0.E0,1.E0,0.E0)); -#5306=VECTOR('',#5305,7.51601E-1); -#5307=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,5.E-1)); -#5308=LINE('',#5307,#5306); -#5309=DIRECTION('',(1.E0,0.E0,0.E0)); -#5310=VECTOR('',#5309,1.551599E0); -#5311=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,5.E-1)); -#5312=LINE('',#5311,#5310); -#5313=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5314=VECTOR('',#5313,7.51601E-1); -#5315=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,5.E-1)); -#5316=LINE('',#5315,#5314); -#5317=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5318=VECTOR('',#5317,1.551599E0); -#5319=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,5.E-1)); -#5320=LINE('',#5319,#5318); -#5321=DIRECTION('',(1.E0,0.E0,0.E0)); -#5322=VECTOR('',#5321,1.551599E0); -#5323=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,0.E0)); -#5324=LINE('',#5323,#5322); -#5325=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5326=VECTOR('',#5325,5.E-1); -#5327=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,5.E-1)); -#5328=LINE('',#5327,#5326); -#5329=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5330=VECTOR('',#5329,7.51601E-1); -#5331=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,0.E0)); -#5332=LINE('',#5331,#5330); -#5333=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5334=VECTOR('',#5333,5.E-1); -#5335=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,5.E-1)); -#5336=LINE('',#5335,#5334); -#5337=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5338=VECTOR('',#5337,1.551599E0); -#5339=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,0.E0)); -#5340=LINE('',#5339,#5338); -#5341=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5342=VECTOR('',#5341,5.E-1); -#5343=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,5.E-1)); -#5344=LINE('',#5343,#5342); -#5345=DIRECTION('',(0.E0,1.E0,0.E0)); -#5346=VECTOR('',#5345,7.51601E-1); -#5347=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,0.E0)); -#5348=LINE('',#5347,#5346); -#5349=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5350=VECTOR('',#5349,5.E-1); -#5351=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,5.E-1)); -#5352=LINE('',#5351,#5350); -#5353=DIRECTION('',(1.E0,0.E0,0.E0)); -#5354=VECTOR('',#5353,1.551599E0); -#5355=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,5.E-1)); -#5356=LINE('',#5355,#5354); -#5357=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5358=VECTOR('',#5357,7.51601E-1); -#5359=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,5.E-1)); -#5360=LINE('',#5359,#5358); -#5361=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5362=VECTOR('',#5361,1.551599E0); -#5363=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,5.E-1)); -#5364=LINE('',#5363,#5362); -#5365=DIRECTION('',(0.E0,1.E0,0.E0)); -#5366=VECTOR('',#5365,7.51601E-1); -#5367=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,5.E-1)); -#5368=LINE('',#5367,#5366); -#5369=DIRECTION('',(0.E0,1.E0,0.E0)); -#5370=VECTOR('',#5369,1.551599E0); -#5371=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,0.E0)); -#5372=LINE('',#5371,#5370); -#5373=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5374=VECTOR('',#5373,5.E-1); -#5375=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,5.E-1)); -#5376=LINE('',#5375,#5374); -#5377=DIRECTION('',(1.E0,0.E0,0.E0)); -#5378=VECTOR('',#5377,7.51601E-1); -#5379=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,0.E0)); -#5380=LINE('',#5379,#5378); -#5381=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5382=VECTOR('',#5381,5.E-1); -#5383=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,5.E-1)); -#5384=LINE('',#5383,#5382); -#5385=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5386=VECTOR('',#5385,1.551599E0); -#5387=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,0.E0)); -#5388=LINE('',#5387,#5386); -#5389=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5390=VECTOR('',#5389,5.E-1); -#5391=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,5.E-1)); -#5392=LINE('',#5391,#5390); -#5393=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5394=VECTOR('',#5393,7.51601E-1); -#5395=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,0.E0)); -#5396=LINE('',#5395,#5394); -#5397=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5398=VECTOR('',#5397,5.E-1); -#5399=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,5.E-1)); -#5400=LINE('',#5399,#5398); -#5401=DIRECTION('',(0.E0,1.E0,0.E0)); -#5402=VECTOR('',#5401,1.551599E0); -#5403=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,5.E-1)); -#5404=LINE('',#5403,#5402); -#5405=DIRECTION('',(1.E0,0.E0,0.E0)); -#5406=VECTOR('',#5405,7.51601E-1); -#5407=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,5.E-1)); -#5408=LINE('',#5407,#5406); -#5409=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5410=VECTOR('',#5409,1.551599E0); -#5411=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,5.E-1)); -#5412=LINE('',#5411,#5410); -#5413=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5414=VECTOR('',#5413,7.51601E-1); -#5415=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,5.E-1)); -#5416=LINE('',#5415,#5414); -#5417=DIRECTION('',(0.E0,1.E0,0.E0)); -#5418=VECTOR('',#5417,7.51601E-1); -#5419=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,0.E0)); -#5420=LINE('',#5419,#5418); -#5421=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5422=VECTOR('',#5421,5.E-1); -#5423=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,5.E-1)); -#5424=LINE('',#5423,#5422); -#5425=DIRECTION('',(1.E0,0.E0,0.E0)); -#5426=VECTOR('',#5425,1.551599E0); -#5427=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,0.E0)); -#5428=LINE('',#5427,#5426); -#5429=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5430=VECTOR('',#5429,5.E-1); -#5431=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,5.E-1)); -#5432=LINE('',#5431,#5430); -#5433=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5434=VECTOR('',#5433,7.51601E-1); -#5435=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,0.E0)); -#5436=LINE('',#5435,#5434); -#5437=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5438=VECTOR('',#5437,5.E-1); -#5439=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,5.E-1)); -#5440=LINE('',#5439,#5438); -#5441=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5442=VECTOR('',#5441,1.551599E0); -#5443=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,0.E0)); -#5444=LINE('',#5443,#5442); -#5445=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5446=VECTOR('',#5445,5.E-1); -#5447=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,5.E-1)); -#5448=LINE('',#5447,#5446); -#5449=DIRECTION('',(0.E0,1.E0,0.E0)); -#5450=VECTOR('',#5449,7.51601E-1); -#5451=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,5.E-1)); -#5452=LINE('',#5451,#5450); -#5453=DIRECTION('',(1.E0,0.E0,0.E0)); -#5454=VECTOR('',#5453,1.551599E0); -#5455=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,5.E-1)); -#5456=LINE('',#5455,#5454); -#5457=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5458=VECTOR('',#5457,7.51601E-1); -#5459=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,5.E-1)); -#5460=LINE('',#5459,#5458); -#5461=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5462=VECTOR('',#5461,1.551599E0); -#5463=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,5.E-1)); -#5464=LINE('',#5463,#5462); -#5465=DIRECTION('',(1.E0,0.E0,0.E0)); -#5466=VECTOR('',#5465,1.551599E0); -#5467=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,0.E0)); -#5468=LINE('',#5467,#5466); -#5469=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5470=VECTOR('',#5469,5.E-1); -#5471=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,5.E-1)); -#5472=LINE('',#5471,#5470); -#5473=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5474=VECTOR('',#5473,7.51601E-1); -#5475=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,0.E0)); -#5476=LINE('',#5475,#5474); -#5477=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5478=VECTOR('',#5477,5.E-1); -#5479=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,5.E-1)); -#5480=LINE('',#5479,#5478); -#5481=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5482=VECTOR('',#5481,1.551599E0); -#5483=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,0.E0)); -#5484=LINE('',#5483,#5482); -#5485=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5486=VECTOR('',#5485,5.E-1); -#5487=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,5.E-1)); -#5488=LINE('',#5487,#5486); -#5489=DIRECTION('',(0.E0,1.E0,0.E0)); -#5490=VECTOR('',#5489,7.51601E-1); -#5491=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,0.E0)); -#5492=LINE('',#5491,#5490); -#5493=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5494=VECTOR('',#5493,5.E-1); -#5495=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,5.E-1)); -#5496=LINE('',#5495,#5494); -#5497=DIRECTION('',(1.E0,0.E0,0.E0)); -#5498=VECTOR('',#5497,1.551599E0); -#5499=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,5.E-1)); -#5500=LINE('',#5499,#5498); -#5501=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5502=VECTOR('',#5501,7.51601E-1); -#5503=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,5.E-1)); -#5504=LINE('',#5503,#5502); -#5505=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5506=VECTOR('',#5505,1.551599E0); -#5507=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,5.E-1)); -#5508=LINE('',#5507,#5506); -#5509=DIRECTION('',(0.E0,1.E0,0.E0)); -#5510=VECTOR('',#5509,7.51601E-1); -#5511=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,5.E-1)); -#5512=LINE('',#5511,#5510); -#5513=DIRECTION('',(0.E0,1.E0,0.E0)); -#5514=VECTOR('',#5513,7.51601E-1); -#5515=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,0.E0)); -#5516=LINE('',#5515,#5514); -#5517=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5518=VECTOR('',#5517,5.E-1); -#5519=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,5.E-1)); -#5520=LINE('',#5519,#5518); -#5521=DIRECTION('',(1.E0,0.E0,0.E0)); -#5522=VECTOR('',#5521,1.551599E0); -#5523=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,0.E0)); -#5524=LINE('',#5523,#5522); -#5525=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5526=VECTOR('',#5525,5.E-1); -#5527=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,5.E-1)); -#5528=LINE('',#5527,#5526); -#5529=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5530=VECTOR('',#5529,7.51601E-1); -#5531=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,0.E0)); -#5532=LINE('',#5531,#5530); -#5533=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5534=VECTOR('',#5533,5.E-1); -#5535=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,5.E-1)); -#5536=LINE('',#5535,#5534); -#5537=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5538=VECTOR('',#5537,1.551599E0); -#5539=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,0.E0)); -#5540=LINE('',#5539,#5538); -#5541=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5542=VECTOR('',#5541,5.E-1); -#5543=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,5.E-1)); -#5544=LINE('',#5543,#5542); -#5545=DIRECTION('',(0.E0,1.E0,0.E0)); -#5546=VECTOR('',#5545,7.51601E-1); -#5547=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,5.E-1)); -#5548=LINE('',#5547,#5546); -#5549=DIRECTION('',(1.E0,0.E0,0.E0)); -#5550=VECTOR('',#5549,1.551599E0); -#5551=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,5.E-1)); -#5552=LINE('',#5551,#5550); -#5553=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5554=VECTOR('',#5553,7.51601E-1); -#5555=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,5.E-1)); -#5556=LINE('',#5555,#5554); -#5557=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5558=VECTOR('',#5557,1.551599E0); -#5559=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,5.E-1)); -#5560=LINE('',#5559,#5558); -#5561=DIRECTION('',(1.E0,0.E0,0.E0)); -#5562=VECTOR('',#5561,1.5516E0); -#5563=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,0.E0)); -#5564=LINE('',#5563,#5562); -#5565=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5566=VECTOR('',#5565,5.E-1); -#5567=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,5.E-1)); -#5568=LINE('',#5567,#5566); -#5569=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5570=VECTOR('',#5569,7.51601E-1); -#5571=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,0.E0)); -#5572=LINE('',#5571,#5570); -#5573=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5574=VECTOR('',#5573,5.E-1); -#5575=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,5.E-1)); -#5576=LINE('',#5575,#5574); -#5577=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5578=VECTOR('',#5577,1.5516E0); -#5579=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,0.E0)); -#5580=LINE('',#5579,#5578); -#5581=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5582=VECTOR('',#5581,5.E-1); -#5583=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,5.E-1)); -#5584=LINE('',#5583,#5582); -#5585=DIRECTION('',(0.E0,1.E0,0.E0)); -#5586=VECTOR('',#5585,7.51601E-1); -#5587=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,0.E0)); -#5588=LINE('',#5587,#5586); -#5589=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5590=VECTOR('',#5589,5.E-1); -#5591=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,5.E-1)); -#5592=LINE('',#5591,#5590); -#5593=DIRECTION('',(1.E0,0.E0,0.E0)); -#5594=VECTOR('',#5593,1.5516E0); -#5595=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,5.E-1)); -#5596=LINE('',#5595,#5594); -#5597=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5598=VECTOR('',#5597,7.51601E-1); -#5599=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,5.E-1)); -#5600=LINE('',#5599,#5598); -#5601=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5602=VECTOR('',#5601,1.5516E0); -#5603=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,5.E-1)); -#5604=LINE('',#5603,#5602); -#5605=DIRECTION('',(0.E0,1.E0,0.E0)); -#5606=VECTOR('',#5605,7.51601E-1); -#5607=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,5.E-1)); -#5608=LINE('',#5607,#5606); -#5609=DIRECTION('',(1.E0,0.E0,0.E0)); -#5610=VECTOR('',#5609,1.5516E0); -#5611=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,0.E0)); -#5612=LINE('',#5611,#5610); -#5613=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5614=VECTOR('',#5613,5.E-1); -#5615=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,5.E-1)); -#5616=LINE('',#5615,#5614); -#5617=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5618=VECTOR('',#5617,7.51601E-1); -#5619=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,0.E0)); -#5620=LINE('',#5619,#5618); -#5621=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5622=VECTOR('',#5621,5.E-1); -#5623=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,5.E-1)); -#5624=LINE('',#5623,#5622); -#5625=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5626=VECTOR('',#5625,1.5516E0); -#5627=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,0.E0)); -#5628=LINE('',#5627,#5626); -#5629=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5630=VECTOR('',#5629,5.E-1); -#5631=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,5.E-1)); -#5632=LINE('',#5631,#5630); -#5633=DIRECTION('',(0.E0,1.E0,0.E0)); -#5634=VECTOR('',#5633,7.51601E-1); -#5635=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,0.E0)); -#5636=LINE('',#5635,#5634); -#5637=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5638=VECTOR('',#5637,5.E-1); -#5639=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,5.E-1)); -#5640=LINE('',#5639,#5638); -#5641=DIRECTION('',(1.E0,0.E0,0.E0)); -#5642=VECTOR('',#5641,1.5516E0); -#5643=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,5.E-1)); -#5644=LINE('',#5643,#5642); -#5645=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5646=VECTOR('',#5645,7.51601E-1); -#5647=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,5.E-1)); -#5648=LINE('',#5647,#5646); -#5649=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5650=VECTOR('',#5649,1.5516E0); -#5651=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,5.E-1)); -#5652=LINE('',#5651,#5650); -#5653=DIRECTION('',(0.E0,1.E0,0.E0)); -#5654=VECTOR('',#5653,7.51601E-1); -#5655=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,5.E-1)); -#5656=LINE('',#5655,#5654); -#5657=DIRECTION('',(0.E0,1.E0,0.E0)); -#5658=VECTOR('',#5657,7.51601E-1); -#5659=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,0.E0)); -#5660=LINE('',#5659,#5658); -#5661=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5662=VECTOR('',#5661,5.E-1); -#5663=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,5.E-1)); -#5664=LINE('',#5663,#5662); -#5665=DIRECTION('',(1.E0,0.E0,0.E0)); -#5666=VECTOR('',#5665,1.5516E0); -#5667=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,0.E0)); -#5668=LINE('',#5667,#5666); -#5669=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5670=VECTOR('',#5669,5.E-1); -#5671=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,5.E-1)); -#5672=LINE('',#5671,#5670); -#5673=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5674=VECTOR('',#5673,7.51601E-1); -#5675=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,0.E0)); -#5676=LINE('',#5675,#5674); -#5677=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5678=VECTOR('',#5677,5.E-1); -#5679=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,5.E-1)); -#5680=LINE('',#5679,#5678); -#5681=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5682=VECTOR('',#5681,1.5516E0); -#5683=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,0.E0)); -#5684=LINE('',#5683,#5682); -#5685=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5686=VECTOR('',#5685,5.E-1); -#5687=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,5.E-1)); -#5688=LINE('',#5687,#5686); -#5689=DIRECTION('',(0.E0,1.E0,0.E0)); -#5690=VECTOR('',#5689,7.51601E-1); -#5691=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,5.E-1)); -#5692=LINE('',#5691,#5690); -#5693=DIRECTION('',(1.E0,0.E0,0.E0)); -#5694=VECTOR('',#5693,1.5516E0); -#5695=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,5.E-1)); -#5696=LINE('',#5695,#5694); -#5697=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5698=VECTOR('',#5697,7.51601E-1); -#5699=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,5.E-1)); -#5700=LINE('',#5699,#5698); -#5701=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5702=VECTOR('',#5701,1.5516E0); -#5703=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,5.E-1)); -#5704=LINE('',#5703,#5702); -#5705=DIRECTION('',(0.E0,1.E0,0.E0)); -#5706=VECTOR('',#5705,7.51601E-1); -#5707=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,0.E0)); -#5708=LINE('',#5707,#5706); -#5709=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5710=VECTOR('',#5709,5.E-1); -#5711=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,5.E-1)); -#5712=LINE('',#5711,#5710); -#5713=DIRECTION('',(1.E0,0.E0,0.E0)); -#5714=VECTOR('',#5713,1.5516E0); -#5715=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,0.E0)); -#5716=LINE('',#5715,#5714); -#5717=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5718=VECTOR('',#5717,5.E-1); -#5719=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,5.E-1)); -#5720=LINE('',#5719,#5718); -#5721=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5722=VECTOR('',#5721,7.51601E-1); -#5723=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,0.E0)); -#5724=LINE('',#5723,#5722); -#5725=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5726=VECTOR('',#5725,5.E-1); -#5727=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,5.E-1)); -#5728=LINE('',#5727,#5726); -#5729=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5730=VECTOR('',#5729,1.5516E0); -#5731=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,0.E0)); -#5732=LINE('',#5731,#5730); -#5733=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5734=VECTOR('',#5733,5.E-1); -#5735=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,5.E-1)); -#5736=LINE('',#5735,#5734); -#5737=DIRECTION('',(0.E0,1.E0,0.E0)); -#5738=VECTOR('',#5737,7.51601E-1); -#5739=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,5.E-1)); -#5740=LINE('',#5739,#5738); -#5741=DIRECTION('',(1.E0,0.E0,0.E0)); -#5742=VECTOR('',#5741,1.5516E0); -#5743=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,5.E-1)); -#5744=LINE('',#5743,#5742); -#5745=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5746=VECTOR('',#5745,7.51601E-1); -#5747=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,5.E-1)); -#5748=LINE('',#5747,#5746); -#5749=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5750=VECTOR('',#5749,1.5516E0); -#5751=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,5.E-1)); -#5752=LINE('',#5751,#5750); -#5753=DIRECTION('',(0.E0,1.E0,0.E0)); -#5754=VECTOR('',#5753,1.5516E0); -#5755=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,0.E0)); -#5756=LINE('',#5755,#5754); -#5757=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5758=VECTOR('',#5757,5.E-1); -#5759=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,5.E-1)); -#5760=LINE('',#5759,#5758); -#5761=DIRECTION('',(1.E0,0.E0,0.E0)); -#5762=VECTOR('',#5761,7.51601E-1); -#5763=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,0.E0)); -#5764=LINE('',#5763,#5762); -#5765=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5766=VECTOR('',#5765,5.E-1); -#5767=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,5.E-1)); -#5768=LINE('',#5767,#5766); -#5769=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5770=VECTOR('',#5769,1.5516E0); -#5771=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,0.E0)); -#5772=LINE('',#5771,#5770); -#5773=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5774=VECTOR('',#5773,5.E-1); -#5775=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,5.E-1)); -#5776=LINE('',#5775,#5774); -#5777=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5778=VECTOR('',#5777,7.51601E-1); -#5779=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,0.E0)); -#5780=LINE('',#5779,#5778); -#5781=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5782=VECTOR('',#5781,5.E-1); -#5783=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,5.E-1)); -#5784=LINE('',#5783,#5782); -#5785=DIRECTION('',(0.E0,1.E0,0.E0)); -#5786=VECTOR('',#5785,1.5516E0); -#5787=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,5.E-1)); -#5788=LINE('',#5787,#5786); -#5789=DIRECTION('',(1.E0,0.E0,0.E0)); -#5790=VECTOR('',#5789,7.51601E-1); -#5791=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,5.E-1)); -#5792=LINE('',#5791,#5790); -#5793=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5794=VECTOR('',#5793,1.5516E0); -#5795=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,5.E-1)); -#5796=LINE('',#5795,#5794); -#5797=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5798=VECTOR('',#5797,7.51601E-1); -#5799=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,5.E-1)); -#5800=LINE('',#5799,#5798); -#5801=DIRECTION('',(1.E0,0.E0,0.E0)); -#5802=VECTOR('',#5801,7.51601E-1); -#5803=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,0.E0)); -#5804=LINE('',#5803,#5802); -#5805=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5806=VECTOR('',#5805,5.E-1); -#5807=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,5.E-1)); -#5808=LINE('',#5807,#5806); -#5809=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5810=VECTOR('',#5809,1.5516E0); -#5811=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,0.E0)); -#5812=LINE('',#5811,#5810); -#5813=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5814=VECTOR('',#5813,5.E-1); -#5815=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,5.E-1)); -#5816=LINE('',#5815,#5814); -#5817=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5818=VECTOR('',#5817,7.51601E-1); -#5819=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,0.E0)); -#5820=LINE('',#5819,#5818); -#5821=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5822=VECTOR('',#5821,5.E-1); -#5823=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,5.E-1)); -#5824=LINE('',#5823,#5822); -#5825=DIRECTION('',(0.E0,1.E0,0.E0)); -#5826=VECTOR('',#5825,1.5516E0); -#5827=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,0.E0)); -#5828=LINE('',#5827,#5826); -#5829=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5830=VECTOR('',#5829,5.E-1); -#5831=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,5.E-1)); -#5832=LINE('',#5831,#5830); -#5833=DIRECTION('',(1.E0,0.E0,0.E0)); -#5834=VECTOR('',#5833,7.51601E-1); -#5835=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,5.E-1)); -#5836=LINE('',#5835,#5834); -#5837=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5838=VECTOR('',#5837,1.5516E0); -#5839=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,5.E-1)); -#5840=LINE('',#5839,#5838); -#5841=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5842=VECTOR('',#5841,7.51601E-1); -#5843=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,5.E-1)); -#5844=LINE('',#5843,#5842); -#5845=DIRECTION('',(0.E0,1.E0,0.E0)); -#5846=VECTOR('',#5845,1.5516E0); -#5847=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,5.E-1)); -#5848=LINE('',#5847,#5846); -#5849=DIRECTION('',(1.E0,0.E0,0.E0)); -#5850=VECTOR('',#5849,1.551599E0); -#5851=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,0.E0)); -#5852=LINE('',#5851,#5850); -#5853=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5854=VECTOR('',#5853,5.E-1); -#5855=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,5.E-1)); -#5856=LINE('',#5855,#5854); -#5857=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5858=VECTOR('',#5857,7.51601E-1); -#5859=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,0.E0)); -#5860=LINE('',#5859,#5858); -#5861=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5862=VECTOR('',#5861,5.E-1); -#5863=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,5.E-1)); -#5864=LINE('',#5863,#5862); -#5865=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5866=VECTOR('',#5865,1.551599E0); -#5867=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,0.E0)); -#5868=LINE('',#5867,#5866); -#5869=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5870=VECTOR('',#5869,5.E-1); -#5871=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,5.E-1)); -#5872=LINE('',#5871,#5870); -#5873=DIRECTION('',(0.E0,1.E0,0.E0)); -#5874=VECTOR('',#5873,7.51601E-1); -#5875=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,0.E0)); -#5876=LINE('',#5875,#5874); -#5877=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5878=VECTOR('',#5877,5.E-1); -#5879=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,5.E-1)); -#5880=LINE('',#5879,#5878); -#5881=DIRECTION('',(1.E0,0.E0,0.E0)); -#5882=VECTOR('',#5881,1.551599E0); -#5883=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,5.E-1)); -#5884=LINE('',#5883,#5882); -#5885=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5886=VECTOR('',#5885,7.51601E-1); -#5887=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,5.E-1)); -#5888=LINE('',#5887,#5886); -#5889=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5890=VECTOR('',#5889,1.551599E0); -#5891=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,5.E-1)); -#5892=LINE('',#5891,#5890); -#5893=DIRECTION('',(0.E0,1.E0,0.E0)); -#5894=VECTOR('',#5893,7.51601E-1); -#5895=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,5.E-1)); -#5896=LINE('',#5895,#5894); -#5897=DIRECTION('',(1.E0,0.E0,0.E0)); -#5898=VECTOR('',#5897,7.51601E-1); -#5899=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,0.E0)); -#5900=LINE('',#5899,#5898); -#5901=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5902=VECTOR('',#5901,5.E-1); -#5903=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,5.E-1)); -#5904=LINE('',#5903,#5902); -#5905=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5906=VECTOR('',#5905,1.5516E0); -#5907=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,0.E0)); -#5908=LINE('',#5907,#5906); -#5909=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5910=VECTOR('',#5909,5.E-1); -#5911=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,5.E-1)); -#5912=LINE('',#5911,#5910); -#5913=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5914=VECTOR('',#5913,7.51601E-1); -#5915=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,0.E0)); -#5916=LINE('',#5915,#5914); -#5917=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5918=VECTOR('',#5917,5.E-1); -#5919=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,5.E-1)); -#5920=LINE('',#5919,#5918); -#5921=DIRECTION('',(0.E0,1.E0,0.E0)); -#5922=VECTOR('',#5921,1.5516E0); -#5923=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,0.E0)); -#5924=LINE('',#5923,#5922); -#5925=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5926=VECTOR('',#5925,5.E-1); -#5927=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,5.E-1)); -#5928=LINE('',#5927,#5926); -#5929=DIRECTION('',(1.E0,0.E0,0.E0)); -#5930=VECTOR('',#5929,7.51601E-1); -#5931=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,5.E-1)); -#5932=LINE('',#5931,#5930); -#5933=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5934=VECTOR('',#5933,1.5516E0); -#5935=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,5.E-1)); -#5936=LINE('',#5935,#5934); -#5937=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5938=VECTOR('',#5937,7.51601E-1); -#5939=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,5.E-1)); -#5940=LINE('',#5939,#5938); -#5941=DIRECTION('',(0.E0,1.E0,0.E0)); -#5942=VECTOR('',#5941,1.5516E0); -#5943=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,5.E-1)); -#5944=LINE('',#5943,#5942); -#5945=DIRECTION('',(1.E0,0.E0,0.E0)); -#5946=VECTOR('',#5945,7.51601E-1); -#5947=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,0.E0)); -#5948=LINE('',#5947,#5946); -#5949=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5950=VECTOR('',#5949,5.E-1); -#5951=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,5.E-1)); -#5952=LINE('',#5951,#5950); -#5953=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5954=VECTOR('',#5953,1.5516E0); -#5955=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,0.E0)); -#5956=LINE('',#5955,#5954); -#5957=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5958=VECTOR('',#5957,5.E-1); -#5959=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,5.E-1)); -#5960=LINE('',#5959,#5958); -#5961=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5962=VECTOR('',#5961,7.51601E-1); -#5963=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,0.E0)); -#5964=LINE('',#5963,#5962); -#5965=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5966=VECTOR('',#5965,5.E-1); -#5967=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,5.E-1)); -#5968=LINE('',#5967,#5966); -#5969=DIRECTION('',(0.E0,1.E0,0.E0)); -#5970=VECTOR('',#5969,1.5516E0); -#5971=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,0.E0)); -#5972=LINE('',#5971,#5970); -#5973=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5974=VECTOR('',#5973,5.E-1); -#5975=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,5.E-1)); -#5976=LINE('',#5975,#5974); -#5977=DIRECTION('',(1.E0,0.E0,0.E0)); -#5978=VECTOR('',#5977,7.51601E-1); -#5979=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,5.E-1)); -#5980=LINE('',#5979,#5978); -#5981=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5982=VECTOR('',#5981,1.5516E0); -#5983=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,5.E-1)); -#5984=LINE('',#5983,#5982); -#5985=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5986=VECTOR('',#5985,7.51601E-1); -#5987=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,5.E-1)); -#5988=LINE('',#5987,#5986); -#5989=DIRECTION('',(0.E0,1.E0,0.E0)); -#5990=VECTOR('',#5989,1.5516E0); -#5991=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,5.E-1)); -#5992=LINE('',#5991,#5990); -#5993=DIRECTION('',(1.E0,0.E0,0.E0)); -#5994=VECTOR('',#5993,7.51601E-1); -#5995=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,0.E0)); -#5996=LINE('',#5995,#5994); -#5997=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5998=VECTOR('',#5997,5.E-1); -#5999=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,5.E-1)); -#6000=LINE('',#5999,#5998); -#6001=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6002=VECTOR('',#6001,1.5516E0); -#6003=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,0.E0)); -#6004=LINE('',#6003,#6002); -#6005=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6006=VECTOR('',#6005,5.E-1); -#6007=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,5.E-1)); -#6008=LINE('',#6007,#6006); -#6009=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6010=VECTOR('',#6009,7.51601E-1); -#6011=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,0.E0)); -#6012=LINE('',#6011,#6010); -#6013=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6014=VECTOR('',#6013,5.E-1); -#6015=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,5.E-1)); -#6016=LINE('',#6015,#6014); -#6017=DIRECTION('',(0.E0,1.E0,0.E0)); -#6018=VECTOR('',#6017,1.5516E0); -#6019=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,0.E0)); -#6020=LINE('',#6019,#6018); -#6021=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6022=VECTOR('',#6021,5.E-1); -#6023=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,5.E-1)); -#6024=LINE('',#6023,#6022); -#6025=DIRECTION('',(1.E0,0.E0,0.E0)); -#6026=VECTOR('',#6025,7.51601E-1); -#6027=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,5.E-1)); -#6028=LINE('',#6027,#6026); -#6029=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6030=VECTOR('',#6029,1.5516E0); -#6031=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,5.E-1)); -#6032=LINE('',#6031,#6030); -#6033=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6034=VECTOR('',#6033,7.51601E-1); -#6035=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,5.E-1)); -#6036=LINE('',#6035,#6034); -#6037=DIRECTION('',(0.E0,1.E0,0.E0)); -#6038=VECTOR('',#6037,1.5516E0); -#6039=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,5.E-1)); -#6040=LINE('',#6039,#6038); -#6041=DIRECTION('',(1.E0,0.E0,0.E0)); -#6042=VECTOR('',#6041,1.5516E0); -#6043=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,0.E0)); -#6044=LINE('',#6043,#6042); -#6045=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6046=VECTOR('',#6045,5.E-1); -#6047=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,5.E-1)); -#6048=LINE('',#6047,#6046); -#6049=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6050=VECTOR('',#6049,7.51601E-1); -#6051=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,0.E0)); -#6052=LINE('',#6051,#6050); -#6053=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6054=VECTOR('',#6053,5.E-1); -#6055=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,5.E-1)); -#6056=LINE('',#6055,#6054); -#6057=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6058=VECTOR('',#6057,1.5516E0); -#6059=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,0.E0)); -#6060=LINE('',#6059,#6058); -#6061=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6062=VECTOR('',#6061,5.E-1); -#6063=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,5.E-1)); -#6064=LINE('',#6063,#6062); -#6065=DIRECTION('',(0.E0,1.E0,0.E0)); -#6066=VECTOR('',#6065,7.51601E-1); -#6067=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,0.E0)); -#6068=LINE('',#6067,#6066); -#6069=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6070=VECTOR('',#6069,5.E-1); -#6071=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,5.E-1)); -#6072=LINE('',#6071,#6070); -#6073=DIRECTION('',(1.E0,0.E0,0.E0)); -#6074=VECTOR('',#6073,1.5516E0); -#6075=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,5.E-1)); -#6076=LINE('',#6075,#6074); -#6077=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6078=VECTOR('',#6077,7.51601E-1); -#6079=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,5.E-1)); -#6080=LINE('',#6079,#6078); -#6081=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6082=VECTOR('',#6081,1.5516E0); -#6083=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,5.E-1)); -#6084=LINE('',#6083,#6082); -#6085=DIRECTION('',(0.E0,1.E0,0.E0)); -#6086=VECTOR('',#6085,7.51601E-1); -#6087=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,5.E-1)); -#6088=LINE('',#6087,#6086); -#6089=DIRECTION('',(1.E0,0.E0,0.E0)); -#6090=VECTOR('',#6089,7.516009999996E-1); -#6091=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,0.E0)); -#6092=LINE('',#6091,#6090); -#6093=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6094=VECTOR('',#6093,5.E-1); -#6095=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,5.E-1)); -#6096=LINE('',#6095,#6094); -#6097=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6098=VECTOR('',#6097,1.5516E0); -#6099=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,0.E0)); -#6100=LINE('',#6099,#6098); -#6101=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6102=VECTOR('',#6101,5.E-1); -#6103=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,5.E-1)); -#6104=LINE('',#6103,#6102); -#6105=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6106=VECTOR('',#6105,7.516009999996E-1); -#6107=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,0.E0)); -#6108=LINE('',#6107,#6106); -#6109=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6110=VECTOR('',#6109,5.E-1); -#6111=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,5.E-1)); -#6112=LINE('',#6111,#6110); -#6113=DIRECTION('',(0.E0,1.E0,0.E0)); -#6114=VECTOR('',#6113,1.5516E0); -#6115=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,0.E0)); -#6116=LINE('',#6115,#6114); -#6117=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6118=VECTOR('',#6117,5.E-1); -#6119=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,5.E-1)); -#6120=LINE('',#6119,#6118); -#6121=DIRECTION('',(1.E0,0.E0,0.E0)); -#6122=VECTOR('',#6121,7.516009999996E-1); -#6123=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,5.E-1)); -#6124=LINE('',#6123,#6122); -#6125=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6126=VECTOR('',#6125,1.5516E0); -#6127=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,5.E-1)); -#6128=LINE('',#6127,#6126); -#6129=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6130=VECTOR('',#6129,7.516009999996E-1); -#6131=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,5.E-1)); -#6132=LINE('',#6131,#6130); -#6133=DIRECTION('',(0.E0,1.E0,0.E0)); -#6134=VECTOR('',#6133,1.5516E0); -#6135=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,5.E-1)); -#6136=LINE('',#6135,#6134); -#6137=DIRECTION('',(1.E0,0.E0,0.E0)); -#6138=VECTOR('',#6137,1.5516E0); -#6139=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,0.E0)); -#6140=LINE('',#6139,#6138); -#6141=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6142=VECTOR('',#6141,5.E-1); -#6143=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,5.E-1)); -#6144=LINE('',#6143,#6142); -#6145=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6146=VECTOR('',#6145,7.45203E-1); -#6147=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,0.E0)); -#6148=LINE('',#6147,#6146); -#6149=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6150=VECTOR('',#6149,5.E-1); -#6151=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,5.E-1)); -#6152=LINE('',#6151,#6150); -#6153=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6154=VECTOR('',#6153,1.5516E0); -#6155=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,0.E0)); -#6156=LINE('',#6155,#6154); -#6157=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6158=VECTOR('',#6157,5.E-1); -#6159=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,5.E-1)); -#6160=LINE('',#6159,#6158); -#6161=DIRECTION('',(0.E0,1.E0,0.E0)); -#6162=VECTOR('',#6161,7.45203E-1); -#6163=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,0.E0)); -#6164=LINE('',#6163,#6162); -#6165=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6166=VECTOR('',#6165,5.E-1); -#6167=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,5.E-1)); -#6168=LINE('',#6167,#6166); -#6169=DIRECTION('',(1.E0,0.E0,0.E0)); -#6170=VECTOR('',#6169,1.5516E0); -#6171=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,5.E-1)); -#6172=LINE('',#6171,#6170); -#6173=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6174=VECTOR('',#6173,7.45203E-1); -#6175=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,5.E-1)); -#6176=LINE('',#6175,#6174); -#6177=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6178=VECTOR('',#6177,1.5516E0); -#6179=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,5.E-1)); -#6180=LINE('',#6179,#6178); -#6181=DIRECTION('',(0.E0,1.E0,0.E0)); -#6182=VECTOR('',#6181,7.45203E-1); -#6183=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,5.E-1)); -#6184=LINE('',#6183,#6182); -#6185=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6186=VECTOR('',#6185,1.183198E0); -#6187=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,0.E0)); -#6188=LINE('',#6187,#6186); -#6189=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6190=VECTOR('',#6189,8.E-1); -#6191=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,8.E-1)); -#6192=LINE('',#6191,#6190); -#6193=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6194=VECTOR('',#6193,2.673202E0); -#6195=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,0.E0)); -#6196=LINE('',#6195,#6194); -#6197=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6198=VECTOR('',#6197,8.E-1); -#6199=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,8.E-1)); -#6200=LINE('',#6199,#6198); -#6201=DIRECTION('',(0.E0,1.E0,0.E0)); -#6202=VECTOR('',#6201,1.183198E0); -#6203=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,0.E0)); -#6204=LINE('',#6203,#6202); -#6205=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6206=VECTOR('',#6205,8.E-1); -#6207=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,8.E-1)); -#6208=LINE('',#6207,#6206); -#6209=DIRECTION('',(1.E0,0.E0,0.E0)); -#6210=VECTOR('',#6209,2.673202E0); -#6211=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,0.E0)); -#6212=LINE('',#6211,#6210); -#6213=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6214=VECTOR('',#6213,8.E-1); -#6215=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,8.E-1)); -#6216=LINE('',#6215,#6214); -#6217=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6218=VECTOR('',#6217,1.183198E0); -#6219=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,8.E-1)); -#6220=LINE('',#6219,#6218); -#6221=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6222=VECTOR('',#6221,2.673202E0); -#6223=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,8.E-1)); -#6224=LINE('',#6223,#6222); -#6225=DIRECTION('',(0.E0,1.E0,0.E0)); -#6226=VECTOR('',#6225,1.183198E0); -#6227=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,8.E-1)); -#6228=LINE('',#6227,#6226); -#6229=DIRECTION('',(1.E0,0.E0,0.E0)); -#6230=VECTOR('',#6229,2.673202E0); -#6231=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,8.E-1)); -#6232=LINE('',#6231,#6230); -#6233=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6234=VECTOR('',#6233,1.183198E0); -#6235=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,0.E0)); -#6236=LINE('',#6235,#6234); -#6237=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6238=VECTOR('',#6237,8.E-1); -#6239=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,8.E-1)); -#6240=LINE('',#6239,#6238); -#6241=DIRECTION('',(0.E0,1.E0,0.E0)); -#6242=VECTOR('',#6241,2.673203E0); -#6243=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,0.E0)); -#6244=LINE('',#6243,#6242); -#6245=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6246=VECTOR('',#6245,8.E-1); -#6247=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,8.E-1)); -#6248=LINE('',#6247,#6246); -#6249=DIRECTION('',(1.E0,0.E0,0.E0)); -#6250=VECTOR('',#6249,1.183198E0); -#6251=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,0.E0)); -#6252=LINE('',#6251,#6250); -#6253=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6254=VECTOR('',#6253,8.E-1); -#6255=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,8.E-1)); -#6256=LINE('',#6255,#6254); -#6257=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6258=VECTOR('',#6257,2.673203E0); -#6259=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,0.E0)); -#6260=LINE('',#6259,#6258); -#6261=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6262=VECTOR('',#6261,8.E-1); -#6263=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,8.E-1)); -#6264=LINE('',#6263,#6262); -#6265=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6266=VECTOR('',#6265,1.183198E0); -#6267=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,8.E-1)); -#6268=LINE('',#6267,#6266); -#6269=DIRECTION('',(0.E0,1.E0,0.E0)); -#6270=VECTOR('',#6269,2.673203E0); -#6271=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,8.E-1)); -#6272=LINE('',#6271,#6270); -#6273=DIRECTION('',(1.E0,0.E0,0.E0)); -#6274=VECTOR('',#6273,1.183198E0); -#6275=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,8.E-1)); -#6276=LINE('',#6275,#6274); -#6277=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6278=VECTOR('',#6277,2.673203E0); -#6279=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,8.E-1)); -#6280=LINE('',#6279,#6278); -#6281=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6282=VECTOR('',#6281,2.673203E0); -#6283=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,0.E0)); -#6284=LINE('',#6283,#6282); -#6285=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6286=VECTOR('',#6285,8.E-1); -#6287=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,8.E-1)); -#6288=LINE('',#6287,#6286); -#6289=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6290=VECTOR('',#6289,1.183198E0); -#6291=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,0.E0)); -#6292=LINE('',#6291,#6290); -#6293=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6294=VECTOR('',#6293,8.E-1); -#6295=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,8.E-1)); -#6296=LINE('',#6295,#6294); -#6297=DIRECTION('',(0.E0,1.E0,0.E0)); -#6298=VECTOR('',#6297,2.673203E0); -#6299=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,0.E0)); -#6300=LINE('',#6299,#6298); -#6301=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6302=VECTOR('',#6301,8.E-1); -#6303=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,8.E-1)); -#6304=LINE('',#6303,#6302); -#6305=DIRECTION('',(1.E0,0.E0,0.E0)); -#6306=VECTOR('',#6305,1.183198E0); -#6307=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,0.E0)); -#6308=LINE('',#6307,#6306); -#6309=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6310=VECTOR('',#6309,8.E-1); -#6311=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,8.E-1)); -#6312=LINE('',#6311,#6310); -#6313=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6314=VECTOR('',#6313,2.673203E0); -#6315=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,8.E-1)); -#6316=LINE('',#6315,#6314); -#6317=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6318=VECTOR('',#6317,1.183198E0); -#6319=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,8.E-1)); -#6320=LINE('',#6319,#6318); -#6321=DIRECTION('',(0.E0,1.E0,0.E0)); -#6322=VECTOR('',#6321,2.673203E0); -#6323=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,8.E-1)); -#6324=LINE('',#6323,#6322); -#6325=DIRECTION('',(1.E0,0.E0,0.E0)); -#6326=VECTOR('',#6325,1.183198E0); -#6327=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,8.E-1)); -#6328=LINE('',#6327,#6326); -#6329=DIRECTION('',(1.E0,0.E0,0.E0)); -#6330=VECTOR('',#6329,1.183198E0); -#6331=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,0.E0)); -#6332=LINE('',#6331,#6330); -#6333=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6334=VECTOR('',#6333,8.E-1); -#6335=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,8.E-1)); -#6336=LINE('',#6335,#6334); -#6337=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6338=VECTOR('',#6337,2.673203E0); -#6339=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,0.E0)); -#6340=LINE('',#6339,#6338); -#6341=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6342=VECTOR('',#6341,8.E-1); -#6343=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,8.E-1)); -#6344=LINE('',#6343,#6342); -#6345=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6346=VECTOR('',#6345,1.183198E0); -#6347=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,0.E0)); -#6348=LINE('',#6347,#6346); -#6349=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6350=VECTOR('',#6349,8.E-1); -#6351=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,8.E-1)); -#6352=LINE('',#6351,#6350); -#6353=DIRECTION('',(0.E0,1.E0,0.E0)); -#6354=VECTOR('',#6353,2.673203E0); -#6355=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,0.E0)); -#6356=LINE('',#6355,#6354); -#6357=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6358=VECTOR('',#6357,8.E-1); -#6359=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,8.E-1)); -#6360=LINE('',#6359,#6358); -#6361=DIRECTION('',(1.E0,0.E0,0.E0)); -#6362=VECTOR('',#6361,1.183198E0); -#6363=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,8.E-1)); -#6364=LINE('',#6363,#6362); -#6365=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6366=VECTOR('',#6365,2.673203E0); -#6367=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,8.E-1)); -#6368=LINE('',#6367,#6366); -#6369=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6370=VECTOR('',#6369,1.183198E0); -#6371=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,8.E-1)); -#6372=LINE('',#6371,#6370); -#6373=DIRECTION('',(0.E0,1.E0,0.E0)); -#6374=VECTOR('',#6373,2.673203E0); -#6375=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,8.E-1)); -#6376=LINE('',#6375,#6374); -#6377=DIRECTION('',(1.E0,0.E0,0.E0)); -#6378=VECTOR('',#6377,2.673203E0); -#6379=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,0.E0)); -#6380=LINE('',#6379,#6378); -#6381=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6382=VECTOR('',#6381,8.E-1); -#6383=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,8.E-1)); -#6384=LINE('',#6383,#6382); -#6385=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6386=VECTOR('',#6385,1.183198E0); -#6387=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,0.E0)); -#6388=LINE('',#6387,#6386); -#6389=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6390=VECTOR('',#6389,8.E-1); -#6391=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,8.E-1)); -#6392=LINE('',#6391,#6390); -#6393=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6394=VECTOR('',#6393,2.673203E0); -#6395=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,0.E0)); -#6396=LINE('',#6395,#6394); -#6397=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6398=VECTOR('',#6397,8.E-1); -#6399=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,8.E-1)); -#6400=LINE('',#6399,#6398); -#6401=DIRECTION('',(0.E0,1.E0,0.E0)); -#6402=VECTOR('',#6401,1.183198E0); -#6403=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,0.E0)); -#6404=LINE('',#6403,#6402); -#6405=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6406=VECTOR('',#6405,8.E-1); -#6407=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,8.E-1)); -#6408=LINE('',#6407,#6406); -#6409=DIRECTION('',(1.E0,0.E0,0.E0)); -#6410=VECTOR('',#6409,2.673203E0); -#6411=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,8.E-1)); -#6412=LINE('',#6411,#6410); -#6413=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6414=VECTOR('',#6413,1.183198E0); -#6415=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,8.E-1)); -#6416=LINE('',#6415,#6414); -#6417=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6418=VECTOR('',#6417,2.673203E0); -#6419=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,8.E-1)); -#6420=LINE('',#6419,#6418); -#6421=DIRECTION('',(0.E0,1.E0,0.E0)); -#6422=VECTOR('',#6421,1.183198E0); -#6423=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,8.E-1)); -#6424=LINE('',#6423,#6422); -#6425=DIRECTION('',(1.E0,0.E0,0.E0)); -#6426=VECTOR('',#6425,2.673203E0); -#6427=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,0.E0)); -#6428=LINE('',#6427,#6426); -#6429=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6430=VECTOR('',#6429,8.E-1); -#6431=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,8.E-1)); -#6432=LINE('',#6431,#6430); -#6433=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6434=VECTOR('',#6433,1.183198E0); -#6435=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,0.E0)); -#6436=LINE('',#6435,#6434); -#6437=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6438=VECTOR('',#6437,8.E-1); -#6439=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,8.E-1)); -#6440=LINE('',#6439,#6438); -#6441=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6442=VECTOR('',#6441,2.673203E0); -#6443=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,0.E0)); -#6444=LINE('',#6443,#6442); -#6445=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6446=VECTOR('',#6445,8.E-1); -#6447=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,8.E-1)); -#6448=LINE('',#6447,#6446); -#6449=DIRECTION('',(0.E0,1.E0,0.E0)); -#6450=VECTOR('',#6449,1.183198E0); -#6451=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,0.E0)); -#6452=LINE('',#6451,#6450); -#6453=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6454=VECTOR('',#6453,8.E-1); -#6455=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,8.E-1)); -#6456=LINE('',#6455,#6454); -#6457=DIRECTION('',(1.E0,0.E0,0.E0)); -#6458=VECTOR('',#6457,2.673203E0); -#6459=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,8.E-1)); -#6460=LINE('',#6459,#6458); -#6461=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6462=VECTOR('',#6461,1.183198E0); -#6463=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,8.E-1)); -#6464=LINE('',#6463,#6462); -#6465=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6466=VECTOR('',#6465,2.673203E0); -#6467=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,8.E-1)); -#6468=LINE('',#6467,#6466); -#6469=DIRECTION('',(0.E0,1.E0,0.E0)); -#6470=VECTOR('',#6469,1.183198E0); -#6471=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,8.E-1)); -#6472=LINE('',#6471,#6470); -#6473=DIRECTION('',(0.E0,1.E0,0.E0)); -#6474=VECTOR('',#6473,1.183198E0); -#6475=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,0.E0)); -#6476=LINE('',#6475,#6474); -#6477=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6478=VECTOR('',#6477,8.E-1); -#6479=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,8.E-1)); -#6480=LINE('',#6479,#6478); -#6481=DIRECTION('',(1.E0,0.E0,0.E0)); -#6482=VECTOR('',#6481,2.673203E0); -#6483=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,0.E0)); -#6484=LINE('',#6483,#6482); -#6485=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6486=VECTOR('',#6485,8.E-1); -#6487=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,8.E-1)); -#6488=LINE('',#6487,#6486); -#6489=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6490=VECTOR('',#6489,1.183198E0); -#6491=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,0.E0)); -#6492=LINE('',#6491,#6490); -#6493=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6494=VECTOR('',#6493,8.E-1); -#6495=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,8.E-1)); -#6496=LINE('',#6495,#6494); -#6497=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6498=VECTOR('',#6497,2.673203E0); -#6499=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,0.E0)); -#6500=LINE('',#6499,#6498); -#6501=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6502=VECTOR('',#6501,8.E-1); -#6503=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,8.E-1)); -#6504=LINE('',#6503,#6502); -#6505=DIRECTION('',(0.E0,1.E0,0.E0)); -#6506=VECTOR('',#6505,1.183198E0); -#6507=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,8.E-1)); -#6508=LINE('',#6507,#6506); -#6509=DIRECTION('',(1.E0,0.E0,0.E0)); -#6510=VECTOR('',#6509,2.673203E0); -#6511=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,8.E-1)); -#6512=LINE('',#6511,#6510); -#6513=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6514=VECTOR('',#6513,1.183198E0); -#6515=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,8.E-1)); -#6516=LINE('',#6515,#6514); -#6517=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6518=VECTOR('',#6517,2.673203E0); -#6519=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,8.E-1)); -#6520=LINE('',#6519,#6518); -#6521=DIRECTION('',(1.E0,0.E0,0.E0)); -#6522=VECTOR('',#6521,1.183198E0); -#6523=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,0.E0)); -#6524=LINE('',#6523,#6522); -#6525=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6526=VECTOR('',#6525,8.E-1); -#6527=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,8.E-1)); -#6528=LINE('',#6527,#6526); -#6529=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6530=VECTOR('',#6529,2.673203E0); -#6531=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,0.E0)); -#6532=LINE('',#6531,#6530); -#6533=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6534=VECTOR('',#6533,8.E-1); -#6535=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,8.E-1)); -#6536=LINE('',#6535,#6534); -#6537=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6538=VECTOR('',#6537,1.183198E0); -#6539=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,0.E0)); -#6540=LINE('',#6539,#6538); -#6541=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6542=VECTOR('',#6541,8.E-1); -#6543=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,8.E-1)); -#6544=LINE('',#6543,#6542); -#6545=DIRECTION('',(0.E0,1.E0,0.E0)); -#6546=VECTOR('',#6545,2.673203E0); -#6547=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,0.E0)); -#6548=LINE('',#6547,#6546); -#6549=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6550=VECTOR('',#6549,8.E-1); -#6551=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,8.E-1)); -#6552=LINE('',#6551,#6550); -#6553=DIRECTION('',(1.E0,0.E0,0.E0)); -#6554=VECTOR('',#6553,1.183198E0); -#6555=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,8.E-1)); -#6556=LINE('',#6555,#6554); -#6557=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6558=VECTOR('',#6557,2.673203E0); -#6559=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,8.E-1)); -#6560=LINE('',#6559,#6558); -#6561=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6562=VECTOR('',#6561,1.183198E0); -#6563=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,8.E-1)); -#6564=LINE('',#6563,#6562); -#6565=DIRECTION('',(0.E0,1.E0,0.E0)); -#6566=VECTOR('',#6565,2.673203E0); -#6567=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,8.E-1)); -#6568=LINE('',#6567,#6566); -#6569=DIRECTION('',(1.E0,0.E0,0.E0)); -#6570=VECTOR('',#6569,1.6732E0); -#6571=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,0.E0)); -#6572=LINE('',#6571,#6570); -#6573=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6574=VECTOR('',#6573,1.2E0); -#6575=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,1.2E0)); -#6576=LINE('',#6575,#6574); -#6577=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6578=VECTOR('',#6577,3.143199E0); -#6579=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,0.E0)); -#6580=LINE('',#6579,#6578); -#6581=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6582=VECTOR('',#6581,1.2E0); -#6583=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,1.2E0)); -#6584=LINE('',#6583,#6582); -#6585=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6586=VECTOR('',#6585,1.6732E0); -#6587=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,0.E0)); -#6588=LINE('',#6587,#6586); -#6589=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6590=VECTOR('',#6589,1.2E0); -#6591=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,1.2E0)); -#6592=LINE('',#6591,#6590); -#6593=DIRECTION('',(0.E0,1.E0,0.E0)); -#6594=VECTOR('',#6593,3.143199E0); -#6595=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,0.E0)); -#6596=LINE('',#6595,#6594); -#6597=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6598=VECTOR('',#6597,1.2E0); -#6599=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,1.2E0)); -#6600=LINE('',#6599,#6598); -#6601=DIRECTION('',(1.E0,0.E0,0.E0)); -#6602=VECTOR('',#6601,1.6732E0); -#6603=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,1.2E0)); -#6604=LINE('',#6603,#6602); -#6605=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6606=VECTOR('',#6605,3.143199E0); -#6607=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,1.2E0)); -#6608=LINE('',#6607,#6606); -#6609=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6610=VECTOR('',#6609,1.6732E0); -#6611=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,1.2E0)); -#6612=LINE('',#6611,#6610); -#6613=DIRECTION('',(0.E0,1.E0,0.E0)); -#6614=VECTOR('',#6613,3.143199E0); -#6615=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,1.2E0)); -#6616=LINE('',#6615,#6614); -#6617=DIRECTION('',(1.E0,0.E0,0.E0)); -#6618=VECTOR('',#6617,1.6732E0); -#6619=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,0.E0)); -#6620=LINE('',#6619,#6618); -#6621=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6622=VECTOR('',#6621,1.2E0); -#6623=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,1.2E0)); -#6624=LINE('',#6623,#6622); -#6625=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6626=VECTOR('',#6625,3.143199E0); -#6627=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,0.E0)); -#6628=LINE('',#6627,#6626); -#6629=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6630=VECTOR('',#6629,1.2E0); -#6631=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,1.2E0)); -#6632=LINE('',#6631,#6630); -#6633=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6634=VECTOR('',#6633,1.6732E0); -#6635=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,0.E0)); -#6636=LINE('',#6635,#6634); -#6637=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6638=VECTOR('',#6637,1.2E0); -#6639=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,1.2E0)); -#6640=LINE('',#6639,#6638); -#6641=DIRECTION('',(0.E0,1.E0,0.E0)); -#6642=VECTOR('',#6641,3.143199E0); -#6643=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,0.E0)); -#6644=LINE('',#6643,#6642); -#6645=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6646=VECTOR('',#6645,1.2E0); -#6647=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,1.2E0)); -#6648=LINE('',#6647,#6646); -#6649=DIRECTION('',(1.E0,0.E0,0.E0)); -#6650=VECTOR('',#6649,1.6732E0); -#6651=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,1.2E0)); -#6652=LINE('',#6651,#6650); -#6653=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6654=VECTOR('',#6653,3.143199E0); -#6655=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,1.2E0)); -#6656=LINE('',#6655,#6654); -#6657=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6658=VECTOR('',#6657,1.6732E0); -#6659=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,1.2E0)); -#6660=LINE('',#6659,#6658); -#6661=DIRECTION('',(0.E0,1.E0,0.E0)); -#6662=VECTOR('',#6661,3.143199E0); -#6663=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,1.2E0)); -#6664=LINE('',#6663,#6662); -#6665=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6666=VECTOR('',#6665,1.6732E0); -#6667=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,0.E0)); -#6668=LINE('',#6667,#6666); -#6669=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6670=VECTOR('',#6669,1.2E0); -#6671=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,1.2E0)); -#6672=LINE('',#6671,#6670); -#6673=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6674=VECTOR('',#6673,3.1432E0); -#6675=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,0.E0)); -#6676=LINE('',#6675,#6674); -#6677=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6678=VECTOR('',#6677,1.2E0); -#6679=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,1.2E0)); -#6680=LINE('',#6679,#6678); -#6681=DIRECTION('',(0.E0,1.E0,0.E0)); -#6682=VECTOR('',#6681,1.6732E0); -#6683=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,0.E0)); -#6684=LINE('',#6683,#6682); -#6685=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6686=VECTOR('',#6685,1.2E0); -#6687=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,1.2E0)); -#6688=LINE('',#6687,#6686); -#6689=DIRECTION('',(1.E0,0.E0,0.E0)); -#6690=VECTOR('',#6689,3.1432E0); -#6691=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,0.E0)); -#6692=LINE('',#6691,#6690); -#6693=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6694=VECTOR('',#6693,1.2E0); -#6695=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,1.2E0)); -#6696=LINE('',#6695,#6694); -#6697=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6698=VECTOR('',#6697,1.6732E0); -#6699=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,1.2E0)); -#6700=LINE('',#6699,#6698); -#6701=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6702=VECTOR('',#6701,3.1432E0); -#6703=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,1.2E0)); -#6704=LINE('',#6703,#6702); -#6705=DIRECTION('',(0.E0,1.E0,0.E0)); -#6706=VECTOR('',#6705,1.6732E0); -#6707=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,1.2E0)); -#6708=LINE('',#6707,#6706); -#6709=DIRECTION('',(1.E0,0.E0,0.E0)); -#6710=VECTOR('',#6709,3.1432E0); -#6711=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,1.2E0)); -#6712=LINE('',#6711,#6710); -#6713=DIRECTION('',(1.E0,0.E0,0.E0)); -#6714=VECTOR('',#6713,1.673199E0); -#6715=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,0.E0)); -#6716=LINE('',#6715,#6714); -#6717=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6718=VECTOR('',#6717,1.2E0); -#6719=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,1.2E0)); -#6720=LINE('',#6719,#6718); -#6721=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6722=VECTOR('',#6721,3.143199E0); -#6723=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,0.E0)); -#6724=LINE('',#6723,#6722); -#6725=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6726=VECTOR('',#6725,1.2E0); -#6727=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,1.2E0)); -#6728=LINE('',#6727,#6726); -#6729=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6730=VECTOR('',#6729,1.673199E0); -#6731=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,0.E0)); -#6732=LINE('',#6731,#6730); -#6733=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6734=VECTOR('',#6733,1.2E0); -#6735=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,1.2E0)); -#6736=LINE('',#6735,#6734); -#6737=DIRECTION('',(0.E0,1.E0,0.E0)); -#6738=VECTOR('',#6737,3.143199E0); -#6739=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,0.E0)); -#6740=LINE('',#6739,#6738); -#6741=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6742=VECTOR('',#6741,1.2E0); -#6743=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,1.2E0)); -#6744=LINE('',#6743,#6742); -#6745=DIRECTION('',(1.E0,0.E0,0.E0)); -#6746=VECTOR('',#6745,1.673199E0); -#6747=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,1.2E0)); -#6748=LINE('',#6747,#6746); -#6749=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6750=VECTOR('',#6749,3.143199E0); -#6751=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,1.2E0)); -#6752=LINE('',#6751,#6750); -#6753=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6754=VECTOR('',#6753,1.673199E0); -#6755=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,1.2E0)); -#6756=LINE('',#6755,#6754); -#6757=DIRECTION('',(0.E0,1.E0,0.E0)); -#6758=VECTOR('',#6757,3.143199E0); -#6759=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,1.2E0)); -#6760=LINE('',#6759,#6758); -#6761=DIRECTION('',(1.E0,0.E0,0.E0)); -#6762=VECTOR('',#6761,3.143199E0); -#6763=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,0.E0)); -#6764=LINE('',#6763,#6762); -#6765=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6766=VECTOR('',#6765,1.2E0); -#6767=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,1.2E0)); -#6768=LINE('',#6767,#6766); -#6769=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6770=VECTOR('',#6769,1.6732E0); -#6771=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,0.E0)); -#6772=LINE('',#6771,#6770); -#6773=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6774=VECTOR('',#6773,1.2E0); -#6775=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,1.2E0)); -#6776=LINE('',#6775,#6774); -#6777=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6778=VECTOR('',#6777,3.143199E0); -#6779=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,0.E0)); -#6780=LINE('',#6779,#6778); -#6781=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6782=VECTOR('',#6781,1.2E0); -#6783=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,1.2E0)); -#6784=LINE('',#6783,#6782); -#6785=DIRECTION('',(0.E0,1.E0,0.E0)); -#6786=VECTOR('',#6785,1.6732E0); -#6787=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,0.E0)); -#6788=LINE('',#6787,#6786); -#6789=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6790=VECTOR('',#6789,1.2E0); -#6791=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,1.2E0)); -#6792=LINE('',#6791,#6790); -#6793=DIRECTION('',(1.E0,0.E0,0.E0)); -#6794=VECTOR('',#6793,3.143199E0); -#6795=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,1.2E0)); -#6796=LINE('',#6795,#6794); -#6797=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6798=VECTOR('',#6797,1.6732E0); -#6799=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,1.2E0)); -#6800=LINE('',#6799,#6798); -#6801=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6802=VECTOR('',#6801,3.143199E0); -#6803=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,1.2E0)); -#6804=LINE('',#6803,#6802); -#6805=DIRECTION('',(0.E0,1.E0,0.E0)); -#6806=VECTOR('',#6805,1.6732E0); -#6807=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,1.2E0)); -#6808=LINE('',#6807,#6806); -#6809=DIRECTION('',(1.E0,0.E0,0.E0)); -#6810=VECTOR('',#6809,4.313199E0); -#6811=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,0.E0)); -#6812=LINE('',#6811,#6810); -#6813=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6814=VECTOR('',#6813,1.5E0); -#6815=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,1.5E0)); -#6816=LINE('',#6815,#6814); -#6817=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6818=VECTOR('',#6817,2.003197E0); -#6819=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,0.E0)); -#6820=LINE('',#6819,#6818); -#6821=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6822=VECTOR('',#6821,1.5E0); -#6823=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,1.5E0)); -#6824=LINE('',#6823,#6822); -#6825=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6826=VECTOR('',#6825,4.313199E0); -#6827=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,0.E0)); -#6828=LINE('',#6827,#6826); -#6829=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6830=VECTOR('',#6829,1.5E0); -#6831=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,1.5E0)); -#6832=LINE('',#6831,#6830); -#6833=DIRECTION('',(0.E0,1.E0,0.E0)); -#6834=VECTOR('',#6833,2.003197E0); -#6835=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,0.E0)); -#6836=LINE('',#6835,#6834); -#6837=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6838=VECTOR('',#6837,1.5E0); -#6839=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,1.5E0)); -#6840=LINE('',#6839,#6838); -#6841=DIRECTION('',(1.E0,0.E0,0.E0)); -#6842=VECTOR('',#6841,4.313199E0); -#6843=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,1.5E0)); -#6844=LINE('',#6843,#6842); -#6845=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6846=VECTOR('',#6845,2.003197E0); -#6847=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,1.5E0)); -#6848=LINE('',#6847,#6846); -#6849=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6850=VECTOR('',#6849,4.313199E0); -#6851=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,1.5E0)); -#6852=LINE('',#6851,#6850); -#6853=DIRECTION('',(0.E0,1.E0,0.E0)); -#6854=VECTOR('',#6853,2.003197E0); -#6855=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,1.5E0)); -#6856=LINE('',#6855,#6854); -#6857=DIRECTION('',(0.E0,1.E0,0.E0)); -#6858=VECTOR('',#6857,4.3132E0); -#6859=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,0.E0)); -#6860=LINE('',#6859,#6858); -#6861=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6862=VECTOR('',#6861,1.5E0); -#6863=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,1.5E0)); -#6864=LINE('',#6863,#6862); -#6865=DIRECTION('',(1.E0,0.E0,0.E0)); -#6866=VECTOR('',#6865,2.003196E0); -#6867=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,0.E0)); -#6868=LINE('',#6867,#6866); -#6869=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6870=VECTOR('',#6869,1.5E0); -#6871=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,1.5E0)); -#6872=LINE('',#6871,#6870); -#6873=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6874=VECTOR('',#6873,4.3132E0); -#6875=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,0.E0)); -#6876=LINE('',#6875,#6874); -#6877=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6878=VECTOR('',#6877,1.5E0); -#6879=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,1.5E0)); -#6880=LINE('',#6879,#6878); -#6881=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6882=VECTOR('',#6881,2.003196E0); -#6883=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,0.E0)); -#6884=LINE('',#6883,#6882); -#6885=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6886=VECTOR('',#6885,1.5E0); -#6887=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,1.5E0)); -#6888=LINE('',#6887,#6886); -#6889=DIRECTION('',(0.E0,1.E0,0.E0)); -#6890=VECTOR('',#6889,4.3132E0); -#6891=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,1.5E0)); -#6892=LINE('',#6891,#6890); -#6893=DIRECTION('',(1.E0,0.E0,0.E0)); -#6894=VECTOR('',#6893,2.003196E0); -#6895=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,1.5E0)); -#6896=LINE('',#6895,#6894); -#6897=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6898=VECTOR('',#6897,4.3132E0); -#6899=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,1.5E0)); -#6900=LINE('',#6899,#6898); -#6901=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6902=VECTOR('',#6901,2.003196E0); -#6903=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,1.5E0)); -#6904=LINE('',#6903,#6902); -#6905=DIRECTION('',(1.E0,0.E0,0.E0)); -#6906=VECTOR('',#6905,1.033196E0); -#6907=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,0.E0)); -#6908=LINE('',#6907,#6906); -#6909=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6910=VECTOR('',#6909,5.E-1); -#6911=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,5.E-1)); -#6912=LINE('',#6911,#6910); -#6913=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6914=VECTOR('',#6913,9.31598E-1); -#6915=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,0.E0)); -#6916=LINE('',#6915,#6914); -#6917=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6918=VECTOR('',#6917,5.E-1); -#6919=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,5.E-1)); -#6920=LINE('',#6919,#6918); -#6921=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6922=VECTOR('',#6921,5.E-1); -#6923=CARTESIAN_POINT('',(1.020862094434E1,1.9950809E1,5.E-1)); -#6924=LINE('',#6923,#6922); -#6925=DIRECTION('',(1.E0,0.E0,0.E0)); -#6926=VECTOR('',#6925,1.08399E-1); -#6927=CARTESIAN_POINT('',(1.020862094434E1,1.9950809E1,0.E0)); -#6928=LINE('',#6927,#6926); -#6929=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6930=VECTOR('',#6929,8.E-1); -#6931=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,8.E-1)); -#6932=LINE('',#6931,#6930); -#6933=DIRECTION('',(1.E0,0.E0,0.E0)); -#6934=VECTOR('',#6933,1.084E-1); -#6935=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,0.E0)); -#6936=LINE('',#6935,#6934); -#6937=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6938=VECTOR('',#6937,1.033196E0); -#6939=CARTESIAN_POINT('',(1.020862094434E1,1.9950809E1,5.E-1)); -#6940=LINE('',#6939,#6938); -#6941=DIRECTION('',(0.E0,1.E0,0.E0)); -#6942=VECTOR('',#6941,9.31598E-1); -#6943=CARTESIAN_POINT('',(9.175424944340E0,1.9950809E1,5.E-1)); -#6944=LINE('',#6943,#6942); -#6945=DIRECTION('',(1.E0,0.E0,0.E0)); -#6946=VECTOR('',#6945,1.033196E0); -#6947=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,5.E-1)); -#6948=LINE('',#6947,#6946); -#6949=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6950=VECTOR('',#6949,9.31598E-1); -#6951=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,5.E-1)); -#6952=LINE('',#6951,#6950); -#6953=DIRECTION('',(0.E0,1.E0,0.E0)); -#6954=VECTOR('',#6953,9.31598E-1); -#6955=CARTESIAN_POINT('',(9.175424944340E0,1.9950809E1,0.E0)); -#6956=LINE('',#6955,#6954); -#6957=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6958=VECTOR('',#6957,5.E-1); -#6959=CARTESIAN_POINT('',(9.175424944340E0,1.9950809E1,5.E-1)); -#6960=LINE('',#6959,#6958); -#6961=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6962=VECTOR('',#6961,1.700002E0); -#6963=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,0.E0)); -#6964=LINE('',#6963,#6962); -#6965=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6966=VECTOR('',#6965,8.E-1); -#6967=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,8.E-1)); -#6968=LINE('',#6967,#6966); -#6969=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6970=VECTOR('',#6969,1.033196E0); -#6971=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,5.E-1)); -#6972=LINE('',#6971,#6970); -#6973=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6974=VECTOR('',#6973,5.E-1); -#6975=CARTESIAN_POINT('',(9.175424944340E0,1.8250807E1,5.E-1)); -#6976=LINE('',#6975,#6974); -#6977=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6978=VECTOR('',#6977,1.084E-1); -#6979=CARTESIAN_POINT('',(9.175424944340E0,1.8250807E1,0.E0)); -#6980=LINE('',#6979,#6978); -#6981=DIRECTION('',(0.E0,0.E0,-1.E0)); -#6982=VECTOR('',#6981,8.E-1); -#6983=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,8.E-1)); -#6984=LINE('',#6983,#6982); -#6985=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6986=VECTOR('',#6985,1.08399E-1); -#6987=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,0.E0)); -#6988=LINE('',#6987,#6986); -#6989=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6990=VECTOR('',#6989,9.31598E-1); -#6991=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,5.E-1)); -#6992=LINE('',#6991,#6990); -#6993=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6994=VECTOR('',#6993,1.033196E0); -#6995=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,5.E-1)); -#6996=LINE('',#6995,#6994); -#6997=DIRECTION('',(0.E0,1.E0,0.E0)); -#6998=VECTOR('',#6997,9.31598E-1); -#6999=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,5.E-1)); -#7000=LINE('',#6999,#6998); -#7001=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7002=VECTOR('',#7001,9.31598E-1); -#7003=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,0.E0)); -#7004=LINE('',#7003,#7002); -#7005=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7006=VECTOR('',#7005,5.E-1); -#7007=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,5.E-1)); -#7008=LINE('',#7007,#7006); -#7009=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7010=VECTOR('',#7009,1.033196E0); -#7011=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,0.E0)); -#7012=LINE('',#7011,#7010); -#7013=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7014=VECTOR('',#7013,5.E-1); -#7015=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,5.E-1)); -#7016=LINE('',#7015,#7014); -#7017=DIRECTION('',(0.E0,1.E0,0.E0)); -#7018=VECTOR('',#7017,9.31598E-1); -#7019=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,0.E0)); -#7020=LINE('',#7019,#7018); -#7021=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7022=VECTOR('',#7021,5.E-1); -#7023=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,5.E-1)); -#7024=LINE('',#7023,#7022); -#7025=DIRECTION('',(0.E0,1.E0,0.E0)); -#7026=VECTOR('',#7025,1.700002E0); -#7027=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,0.E0)); -#7028=LINE('',#7027,#7026); -#7029=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7030=VECTOR('',#7029,8.E-1); -#7031=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,8.E-1)); -#7032=LINE('',#7031,#7030); -#7033=DIRECTION('',(1.E0,0.E0,0.E0)); -#7034=VECTOR('',#7033,1.249995E0); -#7035=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,8.E-1)); -#7036=LINE('',#7035,#7034); -#7037=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7038=VECTOR('',#7037,1.700002E0); -#7039=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,8.E-1)); -#7040=LINE('',#7039,#7038); -#7041=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7042=VECTOR('',#7041,1.249995E0); -#7043=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,8.E-1)); -#7044=LINE('',#7043,#7042); -#7045=DIRECTION('',(0.E0,1.E0,0.E0)); -#7046=VECTOR('',#7045,1.700002E0); -#7047=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,8.E-1)); -#7048=LINE('',#7047,#7046); -#7049=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7050=VECTOR('',#7049,9.31598E-1); -#7051=CARTESIAN_POINT('',(8.633825944340E0,1.8250807E1,0.E0)); -#7052=LINE('',#7051,#7050); -#7053=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7054=VECTOR('',#7053,5.E-1); -#7055=CARTESIAN_POINT('',(8.633825944340E0,1.8250807E1,5.E-1)); -#7056=LINE('',#7055,#7054); -#7057=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7058=VECTOR('',#7057,1.033206E0); -#7059=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,0.E0)); -#7060=LINE('',#7059,#7058); -#7061=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7062=VECTOR('',#7061,5.E-1); -#7063=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,5.E-1)); -#7064=LINE('',#7063,#7062); -#7065=DIRECTION('',(0.E0,1.E0,0.E0)); -#7066=VECTOR('',#7065,9.31598E-1); -#7067=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,0.E0)); -#7068=LINE('',#7067,#7066); -#7069=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7070=VECTOR('',#7069,5.E-1); -#7071=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,5.E-1)); -#7072=LINE('',#7071,#7070); -#7073=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7074=VECTOR('',#7073,5.E-1); -#7075=CARTESIAN_POINT('',(7.600619944340E0,1.8250807E1,5.E-1)); -#7076=LINE('',#7075,#7074); -#7077=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7078=VECTOR('',#7077,1.08395E-1); -#7079=CARTESIAN_POINT('',(7.600619944340E0,1.8250807E1,0.E0)); -#7080=LINE('',#7079,#7078); -#7081=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7082=VECTOR('',#7081,8.E-1); -#7083=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,8.E-1)); -#7084=LINE('',#7083,#7082); -#7085=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7086=VECTOR('',#7085,1.08394E-1); -#7087=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,0.E0)); -#7088=LINE('',#7087,#7086); -#7089=DIRECTION('',(1.E0,0.E0,0.E0)); -#7090=VECTOR('',#7089,1.033206E0); -#7091=CARTESIAN_POINT('',(7.600619944340E0,1.8250807E1,5.E-1)); -#7092=LINE('',#7091,#7090); -#7093=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7094=VECTOR('',#7093,9.31598E-1); -#7095=CARTESIAN_POINT('',(8.633825944340E0,1.8250807E1,5.E-1)); -#7096=LINE('',#7095,#7094); -#7097=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7098=VECTOR('',#7097,1.033206E0); -#7099=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,5.E-1)); -#7100=LINE('',#7099,#7098); -#7101=DIRECTION('',(0.E0,1.E0,0.E0)); -#7102=VECTOR('',#7101,9.31598E-1); -#7103=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,5.E-1)); -#7104=LINE('',#7103,#7102); -#7105=DIRECTION('',(0.E0,1.E0,0.E0)); -#7106=VECTOR('',#7105,1.700002E0); -#7107=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,0.E0)); -#7108=LINE('',#7107,#7106); -#7109=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7110=VECTOR('',#7109,8.E-1); -#7111=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,8.E-1)); -#7112=LINE('',#7111,#7110); -#7113=DIRECTION('',(1.E0,0.E0,0.E0)); -#7114=VECTOR('',#7113,1.033206E0); -#7115=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,5.E-1)); -#7116=LINE('',#7115,#7114); -#7117=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7118=VECTOR('',#7117,5.E-1); -#7119=CARTESIAN_POINT('',(8.633825944340E0,1.9950809E1,5.E-1)); -#7120=LINE('',#7119,#7118); -#7121=DIRECTION('',(1.E0,0.E0,0.E0)); -#7122=VECTOR('',#7121,1.08394E-1); -#7123=CARTESIAN_POINT('',(8.633825944340E0,1.9950809E1,0.E0)); -#7124=LINE('',#7123,#7122); -#7125=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7126=VECTOR('',#7125,8.E-1); -#7127=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,8.E-1)); -#7128=LINE('',#7127,#7126); -#7129=DIRECTION('',(1.E0,0.E0,0.E0)); -#7130=VECTOR('',#7129,1.08395E-1); -#7131=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,0.E0)); -#7132=LINE('',#7131,#7130); -#7133=DIRECTION('',(0.E0,1.E0,0.E0)); -#7134=VECTOR('',#7133,9.31598E-1); -#7135=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,5.E-1)); -#7136=LINE('',#7135,#7134); -#7137=DIRECTION('',(1.E0,0.E0,0.E0)); -#7138=VECTOR('',#7137,1.033206E0); -#7139=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,5.E-1)); -#7140=LINE('',#7139,#7138); -#7141=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7142=VECTOR('',#7141,9.31598E-1); -#7143=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,5.E-1)); -#7144=LINE('',#7143,#7142); -#7145=DIRECTION('',(0.E0,1.E0,0.E0)); -#7146=VECTOR('',#7145,9.31598E-1); -#7147=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,0.E0)); -#7148=LINE('',#7147,#7146); -#7149=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7150=VECTOR('',#7149,5.E-1); -#7151=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,5.E-1)); -#7152=LINE('',#7151,#7150); -#7153=DIRECTION('',(1.E0,0.E0,0.E0)); -#7154=VECTOR('',#7153,1.033206E0); -#7155=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,0.E0)); -#7156=LINE('',#7155,#7154); -#7157=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7158=VECTOR('',#7157,5.E-1); -#7159=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,5.E-1)); -#7160=LINE('',#7159,#7158); -#7161=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7162=VECTOR('',#7161,9.31598E-1); -#7163=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,0.E0)); -#7164=LINE('',#7163,#7162); -#7165=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7166=VECTOR('',#7165,5.E-1); -#7167=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,5.E-1)); -#7168=LINE('',#7167,#7166); -#7169=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7170=VECTOR('',#7169,1.700002E0); -#7171=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,0.E0)); -#7172=LINE('',#7171,#7170); -#7173=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7174=VECTOR('',#7173,8.E-1); -#7175=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,8.E-1)); -#7176=LINE('',#7175,#7174); -#7177=DIRECTION('',(1.E0,0.E0,0.E0)); -#7178=VECTOR('',#7177,1.249995E0); -#7179=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,8.E-1)); -#7180=LINE('',#7179,#7178); -#7181=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7182=VECTOR('',#7181,1.700002E0); -#7183=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,8.E-1)); -#7184=LINE('',#7183,#7182); -#7185=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7186=VECTOR('',#7185,1.249995E0); -#7187=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,8.E-1)); -#7188=LINE('',#7187,#7186); -#7189=DIRECTION('',(0.E0,1.E0,0.E0)); -#7190=VECTOR('',#7189,1.700002E0); -#7191=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,8.E-1)); -#7192=LINE('',#7191,#7190); -#7193=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7194=VECTOR('',#7193,9.144E-1); -#7195=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,0.E0)); -#7196=LINE('',#7195,#7194); -#7197=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7198=VECTOR('',#7197,5.E-1); -#7199=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,5.E-1)); -#7200=LINE('',#7199,#7198); -#7201=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7202=VECTOR('',#7201,8.50001E-1); -#7203=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,0.E0)); -#7204=LINE('',#7203,#7202); -#7205=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7206=VECTOR('',#7205,5.E-1); -#7207=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,5.E-1)); -#7208=LINE('',#7207,#7206); -#7209=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7210=VECTOR('',#7209,8.50001E-1); -#7211=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,5.E-1)); -#7212=LINE('',#7211,#7210); -#7213=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7214=VECTOR('',#7213,5.E-1); -#7215=CARTESIAN_POINT('',(3.780621944340E0,1.7522597E1,5.E-1)); -#7216=LINE('',#7215,#7214); -#7217=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7218=VECTOR('',#7217,1.016E-1); -#7219=CARTESIAN_POINT('',(3.780621944340E0,1.7522597E1,0.E0)); -#7220=LINE('',#7219,#7218); -#7221=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7222=VECTOR('',#7221,1.2E0); -#7223=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,1.2E0)); -#7224=LINE('',#7223,#7222); -#7225=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7226=VECTOR('',#7225,1.016E-1); -#7227=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,0.E0)); -#7228=LINE('',#7227,#7226); -#7229=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7230=VECTOR('',#7229,9.144E-1); -#7231=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,5.E-1)); -#7232=LINE('',#7231,#7230); -#7233=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7234=VECTOR('',#7233,5.E-1); -#7235=CARTESIAN_POINT('',(3.780621944340E0,1.9390419E1,5.E-1)); -#7236=LINE('',#7235,#7234); -#7237=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7238=VECTOR('',#7237,1.017821E0); -#7239=CARTESIAN_POINT('',(3.780621944340E0,1.9390419E1,0.E0)); -#7240=LINE('',#7239,#7238); -#7241=DIRECTION('',(1.E0,0.E0,0.E0)); -#7242=VECTOR('',#7241,8.50001E-1); -#7243=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,5.E-1)); -#7244=LINE('',#7243,#7242); -#7245=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7246=VECTOR('',#7245,8.50001E-1); -#7247=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,5.E-1)); -#7248=LINE('',#7247,#7246); -#7249=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7250=VECTOR('',#7249,8.50001E-1); -#7251=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,5.E-1)); -#7252=LINE('',#7251,#7250); -#7253=DIRECTION('',(1.E0,0.E0,0.E0)); -#7254=VECTOR('',#7253,8.50001E-1); -#7255=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,0.E0)); -#7256=LINE('',#7255,#7254); -#7257=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7258=VECTOR('',#7257,5.E-1); -#7259=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,5.E-1)); -#7260=LINE('',#7259,#7258); -#7261=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7262=VECTOR('',#7261,8.50001E-1); -#7263=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,0.E0)); -#7264=LINE('',#7263,#7262); -#7265=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7266=VECTOR('',#7265,5.E-1); -#7267=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,5.E-1)); -#7268=LINE('',#7267,#7266); -#7269=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7270=VECTOR('',#7269,8.50001E-1); -#7271=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,0.E0)); -#7272=LINE('',#7271,#7270); -#7273=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7274=VECTOR('',#7273,5.E-1); -#7275=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,5.E-1)); -#7276=LINE('',#7275,#7274); -#7277=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7278=VECTOR('',#7277,1.2E0); -#7279=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,0.E0)); -#7280=LINE('',#7279,#7278); -#7281=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7282=VECTOR('',#7281,1.2E0); -#7283=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,1.2E0)); -#7284=LINE('',#7283,#7282); -#7285=DIRECTION('',(0.E0,1.E0,0.E0)); -#7286=VECTOR('',#7285,8.5E-1); -#7287=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,5.E-1)); -#7288=LINE('',#7287,#7286); -#7289=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7290=VECTOR('',#7289,5.E-1); -#7291=CARTESIAN_POINT('',(2.580621944340E0,1.9322608E1,5.E-1)); -#7292=LINE('',#7291,#7290); -#7293=DIRECTION('',(0.E0,1.E0,0.E0)); -#7294=VECTOR('',#7293,1.083811E0); -#7295=CARTESIAN_POINT('',(2.580621944340E0,1.9322608E1,0.E0)); -#7296=LINE('',#7295,#7294); -#7297=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7298=VECTOR('',#7297,1.2E0); -#7299=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,1.2E0)); -#7300=LINE('',#7299,#7298); -#7301=DIRECTION('',(0.E0,1.E0,0.E0)); -#7302=VECTOR('',#7301,1.051611E0); -#7303=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,0.E0)); -#7304=LINE('',#7303,#7302); -#7305=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7306=VECTOR('',#7305,9.06998E-1); -#7307=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,5.E-1)); -#7308=LINE('',#7307,#7306); -#7309=DIRECTION('',(0.E0,1.E0,0.E0)); -#7310=VECTOR('',#7309,8.5E-1); -#7311=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,5.E-1)); -#7312=LINE('',#7311,#7310); -#7313=DIRECTION('',(1.E0,0.E0,0.E0)); -#7314=VECTOR('',#7313,9.06998E-1); -#7315=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,5.E-1)); -#7316=LINE('',#7315,#7314); -#7317=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7318=VECTOR('',#7317,9.06998E-1); -#7319=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,0.E0)); -#7320=LINE('',#7319,#7318); -#7321=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7322=VECTOR('',#7321,5.E-1); -#7323=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,5.E-1)); -#7324=LINE('',#7323,#7322); -#7325=DIRECTION('',(0.E0,1.E0,0.E0)); -#7326=VECTOR('',#7325,8.5E-1); -#7327=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,0.E0)); -#7328=LINE('',#7327,#7326); -#7329=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7330=VECTOR('',#7329,5.E-1); -#7331=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,5.E-1)); -#7332=LINE('',#7331,#7330); -#7333=DIRECTION('',(1.E0,0.E0,0.E0)); -#7334=VECTOR('',#7333,9.06998E-1); -#7335=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,0.E0)); -#7336=LINE('',#7335,#7334); -#7337=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7338=VECTOR('',#7337,5.E-1); -#7339=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,5.E-1)); -#7340=LINE('',#7339,#7338); -#7341=DIRECTION('',(1.E0,0.E0,0.E0)); -#7342=VECTOR('',#7341,1.2E0); -#7343=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,0.E0)); -#7344=LINE('',#7343,#7342); -#7345=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7346=VECTOR('',#7345,1.2E0); -#7347=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,1.2E0)); -#7348=LINE('',#7347,#7346); -#7349=DIRECTION('',(1.E0,0.E0,0.E0)); -#7350=VECTOR('',#7349,1.2E0); -#7351=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,1.2E0)); -#7352=LINE('',#7351,#7350); -#7353=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7354=VECTOR('',#7353,2.985422E0); -#7355=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,1.2E0)); -#7356=LINE('',#7355,#7354); -#7357=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7358=VECTOR('',#7357,1.2E0); -#7359=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,1.2E0)); -#7360=LINE('',#7359,#7358); -#7361=DIRECTION('',(0.E0,1.E0,0.E0)); -#7362=VECTOR('',#7361,2.985422E0); -#7363=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,1.2E0)); -#7364=LINE('',#7363,#7362); -#7365=DIRECTION('',(1.E0,0.E0,0.E0)); -#7366=VECTOR('',#7365,8.50001E-1); -#7367=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,0.E0)); -#7368=LINE('',#7367,#7366); -#7369=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7370=VECTOR('',#7369,5.E-1); -#7371=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,5.E-1)); -#7372=LINE('',#7371,#7370); -#7373=DIRECTION('',(1.E0,0.E0,0.E0)); -#7374=VECTOR('',#7373,8.50001E-1); -#7375=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,5.E-1)); -#7376=LINE('',#7375,#7374); -#7377=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7378=VECTOR('',#7377,9.144E-1); -#7379=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,5.E-1)); -#7380=LINE('',#7379,#7378); -#7381=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7382=VECTOR('',#7381,8.50001E-1); -#7383=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,5.E-1)); -#7384=LINE('',#7383,#7382); -#7385=DIRECTION('',(1.E0,0.E0,0.E0)); -#7386=VECTOR('',#7385,9.144E-1); -#7387=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,0.E0)); -#7388=LINE('',#7387,#7386); -#7389=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7390=VECTOR('',#7389,5.E-1); -#7391=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,5.E-1)); -#7392=LINE('',#7391,#7390); -#7393=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7394=VECTOR('',#7393,9.144E-1); -#7395=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,0.E0)); -#7396=LINE('',#7395,#7394); -#7397=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7398=VECTOR('',#7397,5.E-1); -#7399=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,5.E-1)); -#7400=LINE('',#7399,#7398); -#7401=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7402=VECTOR('',#7401,9.144E-1); -#7403=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,0.E0)); -#7404=LINE('',#7403,#7402); -#7405=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7406=VECTOR('',#7405,5.E-1); -#7407=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,5.E-1)); -#7408=LINE('',#7407,#7406); -#7409=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7410=VECTOR('',#7409,9.144E-1); -#7411=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,5.E-1)); -#7412=LINE('',#7411,#7410); -#7413=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7414=VECTOR('',#7413,5.E-1); -#7415=CARTESIAN_POINT('',(-2.093577055660E0,1.9827197E1,5.E-1)); -#7416=LINE('',#7415,#7414); -#7417=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7418=VECTOR('',#7417,1.016E-1); -#7419=CARTESIAN_POINT('',(-2.093577055660E0,1.9827197E1,0.E0)); -#7420=LINE('',#7419,#7418); -#7421=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7422=VECTOR('',#7421,1.2E0); -#7423=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,1.2E0)); -#7424=LINE('',#7423,#7422); -#7425=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7426=VECTOR('',#7425,1.016E-1); -#7427=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,0.E0)); -#7428=LINE('',#7427,#7426); -#7429=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7430=VECTOR('',#7429,9.144E-1); -#7431=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,5.E-1)); -#7432=LINE('',#7431,#7430); -#7433=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7434=VECTOR('',#7433,5.E-1); -#7435=CARTESIAN_POINT('',(-2.093577055660E0,2.1727219E1,5.E-1)); -#7436=LINE('',#7435,#7434); -#7437=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7438=VECTOR('',#7437,9.85622E-1); -#7439=CARTESIAN_POINT('',(-2.093577055660E0,2.1727219E1,0.E0)); -#7440=LINE('',#7439,#7438); -#7441=DIRECTION('',(1.E0,0.E0,0.E0)); -#7442=VECTOR('',#7441,9.144E-1); -#7443=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,5.E-1)); -#7444=LINE('',#7443,#7442); -#7445=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7446=VECTOR('',#7445,9.144E-1); -#7447=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,5.E-1)); -#7448=LINE('',#7447,#7446); -#7449=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7450=VECTOR('',#7449,9.144E-1); -#7451=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,5.E-1)); -#7452=LINE('',#7451,#7450); -#7453=DIRECTION('',(1.E0,0.E0,0.E0)); -#7454=VECTOR('',#7453,9.144E-1); -#7455=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,0.E0)); -#7456=LINE('',#7455,#7454); -#7457=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7458=VECTOR('',#7457,5.E-1); -#7459=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,5.E-1)); -#7460=LINE('',#7459,#7458); -#7461=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7462=VECTOR('',#7461,9.144E-1); -#7463=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,0.E0)); -#7464=LINE('',#7463,#7462); -#7465=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7466=VECTOR('',#7465,5.E-1); -#7467=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,5.E-1)); -#7468=LINE('',#7467,#7466); -#7469=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7470=VECTOR('',#7469,9.144E-1); -#7471=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,0.E0)); -#7472=LINE('',#7471,#7470); -#7473=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7474=VECTOR('',#7473,5.E-1); -#7475=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,5.E-1)); -#7476=LINE('',#7475,#7474); -#7477=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7478=VECTOR('',#7477,1.2E0); -#7479=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,0.E0)); -#7480=LINE('',#7479,#7478); -#7481=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7482=VECTOR('',#7481,1.2E0); -#7483=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,1.2E0)); -#7484=LINE('',#7483,#7482); -#7485=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7486=VECTOR('',#7485,5.E-1); -#7487=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,5.E-1)); -#7488=LINE('',#7487,#7486); -#7489=DIRECTION('',(0.E0,1.E0,0.E0)); -#7490=VECTOR('',#7489,1.051611E0); -#7491=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,0.E0)); -#7492=LINE('',#7491,#7490); -#7493=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7494=VECTOR('',#7493,1.2E0); -#7495=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,1.2E0)); -#7496=LINE('',#7495,#7494); -#7497=DIRECTION('',(0.E0,1.E0,0.E0)); -#7498=VECTOR('',#7497,1.051611E0); -#7499=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,0.E0)); -#7500=LINE('',#7499,#7498); -#7501=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7502=VECTOR('',#7501,9.144E-1); -#7503=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,5.E-1)); -#7504=LINE('',#7503,#7502); -#7505=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7506=VECTOR('',#7505,9.24799E-1); -#7507=CARTESIAN_POINT('',(-3.293577055660E0,2.0777208E1,5.E-1)); -#7508=LINE('',#7507,#7506); -#7509=DIRECTION('',(0.E0,1.E0,0.E0)); -#7510=VECTOR('',#7509,9.144E-1); -#7511=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,5.E-1)); -#7512=LINE('',#7511,#7510); -#7513=DIRECTION('',(1.E0,0.E0,0.E0)); -#7514=VECTOR('',#7513,9.24799E-1); -#7515=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,5.E-1)); -#7516=LINE('',#7515,#7514); -#7517=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7518=VECTOR('',#7517,9.24799E-1); -#7519=CARTESIAN_POINT('',(-3.293577055660E0,2.0777208E1,0.E0)); -#7520=LINE('',#7519,#7518); -#7521=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7522=VECTOR('',#7521,5.E-1); -#7523=CARTESIAN_POINT('',(-3.293577055660E0,2.0777208E1,5.E-1)); -#7524=LINE('',#7523,#7522); -#7525=DIRECTION('',(0.E0,1.E0,0.E0)); -#7526=VECTOR('',#7525,9.144E-1); -#7527=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,0.E0)); -#7528=LINE('',#7527,#7526); -#7529=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7530=VECTOR('',#7529,5.E-1); -#7531=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,5.E-1)); -#7532=LINE('',#7531,#7530); -#7533=DIRECTION('',(1.E0,0.E0,0.E0)); -#7534=VECTOR('',#7533,9.24799E-1); -#7535=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,0.E0)); -#7536=LINE('',#7535,#7534); -#7537=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7538=VECTOR('',#7537,5.E-1); -#7539=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,5.E-1)); -#7540=LINE('',#7539,#7538); -#7541=DIRECTION('',(1.E0,0.E0,0.E0)); -#7542=VECTOR('',#7541,1.2E0); -#7543=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,0.E0)); -#7544=LINE('',#7543,#7542); -#7545=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7546=VECTOR('',#7545,1.2E0); -#7547=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,1.2E0)); -#7548=LINE('',#7547,#7546); -#7549=DIRECTION('',(1.E0,0.E0,0.E0)); -#7550=VECTOR('',#7549,1.2E0); -#7551=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,1.2E0)); -#7552=LINE('',#7551,#7550); -#7553=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7554=VECTOR('',#7553,3.017622E0); -#7555=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,1.2E0)); -#7556=LINE('',#7555,#7554); -#7557=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7558=VECTOR('',#7557,1.2E0); -#7559=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,1.2E0)); -#7560=LINE('',#7559,#7558); -#7561=DIRECTION('',(0.E0,1.E0,0.E0)); -#7562=VECTOR('',#7561,3.017622E0); -#7563=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,1.2E0)); -#7564=LINE('',#7563,#7562); -#7565=DIRECTION('',(1.E0,0.E0,0.E0)); -#7566=VECTOR('',#7565,9.144E-1); -#7567=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,5.E-1)); -#7568=LINE('',#7567,#7566); -#7569=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7570=VECTOR('',#7569,9.144E-1); -#7571=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,5.E-1)); -#7572=LINE('',#7571,#7570); -#7573=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7574=VECTOR('',#7573,9.144E-1); -#7575=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,5.E-1)); -#7576=LINE('',#7575,#7574); -#7577=DIRECTION('',(1.E0,0.E0,0.E0)); -#7578=VECTOR('',#7577,9.144E-1); -#7579=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,0.E0)); -#7580=LINE('',#7579,#7578); -#7581=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7582=VECTOR('',#7581,5.E-1); -#7583=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,5.E-1)); -#7584=LINE('',#7583,#7582); -#7585=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7586=VECTOR('',#7585,9.24799E-1); -#7587=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,0.E0)); -#7588=LINE('',#7587,#7586); -#7589=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7590=VECTOR('',#7589,5.E-1); -#7591=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,5.E-1)); -#7592=LINE('',#7591,#7590); -#7593=DIRECTION('',(1.E0,0.E0,0.E0)); -#7594=VECTOR('',#7593,9.144E-1); -#7595=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,5.E-1)); -#7596=LINE('',#7595,#7594); -#7597=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7598=VECTOR('',#7597,5.E-1); -#7599=CARTESIAN_POINT('',(-3.820777055660E0,1.8243408E1,5.E-1)); -#7600=LINE('',#7599,#7598); -#7601=DIRECTION('',(1.E0,0.E0,0.E0)); -#7602=VECTOR('',#7601,1.05161E0); -#7603=CARTESIAN_POINT('',(-3.820777055660E0,1.8243408E1,0.E0)); -#7604=LINE('',#7603,#7602); -#7605=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7606=VECTOR('',#7605,1.2E0); -#7607=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,1.2E0)); -#7608=LINE('',#7607,#7606); -#7609=DIRECTION('',(1.E0,0.E0,0.E0)); -#7610=VECTOR('',#7609,1.051611E0); -#7611=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,0.E0)); -#7612=LINE('',#7611,#7610); -#7613=DIRECTION('',(0.E0,1.E0,0.E0)); -#7614=VECTOR('',#7613,9.24799E-1); -#7615=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,5.E-1)); -#7616=LINE('',#7615,#7614); -#7617=DIRECTION('',(1.E0,0.E0,0.E0)); -#7618=VECTOR('',#7617,9.144E-1); -#7619=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,5.E-1)); -#7620=LINE('',#7619,#7618); -#7621=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7622=VECTOR('',#7621,9.24799E-1); -#7623=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,5.E-1)); -#7624=LINE('',#7623,#7622); -#7625=DIRECTION('',(0.E0,1.E0,0.E0)); -#7626=VECTOR('',#7625,9.24799E-1); -#7627=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,0.E0)); -#7628=LINE('',#7627,#7626); -#7629=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7630=VECTOR('',#7629,5.E-1); -#7631=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,5.E-1)); -#7632=LINE('',#7631,#7630); -#7633=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7634=VECTOR('',#7633,1.2E0); -#7635=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,0.E0)); -#7636=LINE('',#7635,#7634); -#7637=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7638=VECTOR('',#7637,1.2E0); -#7639=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,1.2E0)); -#7640=LINE('',#7639,#7638); -#7641=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7642=VECTOR('',#7641,5.E-1); -#7643=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,5.E-1)); -#7644=LINE('',#7643,#7642); -#7645=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7646=VECTOR('',#7645,9.85621E-1); -#7647=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,0.E0)); -#7648=LINE('',#7647,#7646); -#7649=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7650=VECTOR('',#7649,5.E-1); -#7651=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,5.E-1)); -#7652=LINE('',#7651,#7650); -#7653=DIRECTION('',(-1.E0,3.496765431890E-14,0.E0)); -#7654=VECTOR('',#7653,1.016E-1); -#7655=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,0.E0)); -#7656=LINE('',#7655,#7654); -#7657=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7658=VECTOR('',#7657,1.2E0); -#7659=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,1.2E0)); -#7660=LINE('',#7659,#7658); -#7661=DIRECTION('',(-1.E0,3.496765431890E-14,0.E0)); -#7662=VECTOR('',#7661,1.016E-1); -#7663=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,0.E0)); -#7664=LINE('',#7663,#7662); -#7665=DIRECTION('',(1.E0,0.E0,0.E0)); -#7666=VECTOR('',#7665,9.144E-1); -#7667=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,5.E-1)); -#7668=LINE('',#7667,#7666); -#7669=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7670=VECTOR('',#7669,9.144E-1); -#7671=CARTESIAN_POINT('',(-2.870767055660E0,1.7043408E1,5.E-1)); -#7672=LINE('',#7671,#7670); -#7673=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7674=VECTOR('',#7673,9.144E-1); -#7675=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,5.E-1)); -#7676=LINE('',#7675,#7674); -#7677=DIRECTION('',(0.E0,1.E0,0.E0)); -#7678=VECTOR('',#7677,9.144E-1); -#7679=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,5.E-1)); -#7680=LINE('',#7679,#7678); -#7681=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7682=VECTOR('',#7681,9.144E-1); -#7683=CARTESIAN_POINT('',(-2.870767055660E0,1.7043408E1,0.E0)); -#7684=LINE('',#7683,#7682); -#7685=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7686=VECTOR('',#7685,5.E-1); -#7687=CARTESIAN_POINT('',(-2.870767055660E0,1.7043408E1,5.E-1)); -#7688=LINE('',#7687,#7686); -#7689=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7690=VECTOR('',#7689,9.144E-1); -#7691=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,0.E0)); -#7692=LINE('',#7691,#7690); -#7693=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7694=VECTOR('',#7693,5.E-1); -#7695=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,5.E-1)); -#7696=LINE('',#7695,#7694); -#7697=DIRECTION('',(0.E0,1.E0,0.E0)); -#7698=VECTOR('',#7697,9.144E-1); -#7699=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,0.E0)); -#7700=LINE('',#7699,#7698); -#7701=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7702=VECTOR('',#7701,5.E-1); -#7703=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,5.E-1)); -#7704=LINE('',#7703,#7702); -#7705=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7706=VECTOR('',#7705,9.144E-1); -#7707=CARTESIAN_POINT('',(-4.770788055660E0,1.7043408E1,0.E0)); -#7708=LINE('',#7707,#7706); -#7709=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7710=VECTOR('',#7709,5.E-1); -#7711=CARTESIAN_POINT('',(-4.770788055660E0,1.7043408E1,5.E-1)); -#7712=LINE('',#7711,#7710); -#7713=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7714=VECTOR('',#7713,9.144E-1); -#7715=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,0.E0)); -#7716=LINE('',#7715,#7714); -#7717=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7718=VECTOR('',#7717,5.E-1); -#7719=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,5.E-1)); -#7720=LINE('',#7719,#7718); -#7721=DIRECTION('',(0.E0,1.E0,0.E0)); -#7722=VECTOR('',#7721,9.144E-1); -#7723=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,0.E0)); -#7724=LINE('',#7723,#7722); -#7725=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7726=VECTOR('',#7725,5.E-1); -#7727=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,5.E-1)); -#7728=LINE('',#7727,#7726); -#7729=DIRECTION('',(1.E0,0.E0,0.E0)); -#7730=VECTOR('',#7729,9.144E-1); -#7731=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,5.E-1)); -#7732=LINE('',#7731,#7730); -#7733=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7734=VECTOR('',#7733,9.144E-1); -#7735=CARTESIAN_POINT('',(-4.770788055660E0,1.7043408E1,5.E-1)); -#7736=LINE('',#7735,#7734); -#7737=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7738=VECTOR('',#7737,9.144E-1); -#7739=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,5.E-1)); -#7740=LINE('',#7739,#7738); -#7741=DIRECTION('',(0.E0,1.E0,0.E0)); -#7742=VECTOR('',#7741,9.144E-1); -#7743=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,5.E-1)); -#7744=LINE('',#7743,#7742); -#7745=DIRECTION('',(0.E0,1.E0,0.E0)); -#7746=VECTOR('',#7745,1.2E0); -#7747=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,0.E0)); -#7748=LINE('',#7747,#7746); -#7749=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7750=VECTOR('',#7749,1.2E0); -#7751=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,1.2E0)); -#7752=LINE('',#7751,#7750); -#7753=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7754=VECTOR('',#7753,1.2E0); -#7755=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,1.2E0)); -#7756=LINE('',#7755,#7754); -#7757=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7758=VECTOR('',#7757,3.017621E0); -#7759=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,1.2E0)); -#7760=LINE('',#7759,#7758); -#7761=DIRECTION('',(0.E0,1.E0,0.E0)); -#7762=VECTOR('',#7761,1.2E0); -#7763=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,1.2E0)); -#7764=LINE('',#7763,#7762); -#7765=DIRECTION('',(1.E0,0.E0,0.E0)); -#7766=VECTOR('',#7765,3.017621E0); -#7767=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,1.2E0)); -#7768=LINE('',#7767,#7766); -#7769=DIRECTION('',(1.E0,0.E0,0.E0)); -#7770=VECTOR('',#7769,9.247990000004E-1); -#7771=CARTESIAN_POINT('',(-1.884177055660E0,1.4300208E1,0.E0)); -#7772=LINE('',#7771,#7770); -#7773=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7774=VECTOR('',#7773,5.E-1); -#7775=CARTESIAN_POINT('',(-1.884177055660E0,1.4300208E1,5.E-1)); -#7776=LINE('',#7775,#7774); -#7777=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7778=VECTOR('',#7777,9.144E-1); -#7779=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,0.E0)); -#7780=LINE('',#7779,#7778); -#7781=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7782=VECTOR('',#7781,5.E-1); -#7783=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,5.E-1)); -#7784=LINE('',#7783,#7782); -#7785=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7786=VECTOR('',#7785,9.247990000004E-1); -#7787=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,0.E0)); -#7788=LINE('',#7787,#7786); -#7789=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7790=VECTOR('',#7789,5.E-1); -#7791=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,5.E-1)); -#7792=LINE('',#7791,#7790); -#7793=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7794=VECTOR('',#7793,5.E-1); -#7795=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,5.E-1)); -#7796=LINE('',#7795,#7794); -#7797=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7798=VECTOR('',#7797,1.051611E0); -#7799=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,0.E0)); -#7800=LINE('',#7799,#7798); -#7801=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7802=VECTOR('',#7801,1.2E0); -#7803=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,1.2E0)); -#7804=LINE('',#7803,#7802); -#7805=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7806=VECTOR('',#7805,1.051611E0); -#7807=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,0.E0)); -#7808=LINE('',#7807,#7806); -#7809=DIRECTION('',(0.E0,1.E0,0.E0)); -#7810=VECTOR('',#7809,9.144E-1); -#7811=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,5.E-1)); -#7812=LINE('',#7811,#7810); -#7813=DIRECTION('',(1.E0,0.E0,0.E0)); -#7814=VECTOR('',#7813,9.247990000004E-1); -#7815=CARTESIAN_POINT('',(-1.884177055660E0,1.4300208E1,5.E-1)); -#7816=LINE('',#7815,#7814); -#7817=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7818=VECTOR('',#7817,9.144E-1); -#7819=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,5.E-1)); -#7820=LINE('',#7819,#7818); -#7821=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7822=VECTOR('',#7821,9.247990000004E-1); -#7823=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,5.E-1)); -#7824=LINE('',#7823,#7822); -#7825=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7826=VECTOR('',#7825,1.2E0); -#7827=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,0.E0)); -#7828=LINE('',#7827,#7826); -#7829=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7830=VECTOR('',#7829,1.2E0); -#7831=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,1.2E0)); -#7832=LINE('',#7831,#7830); -#7833=DIRECTION('',(0.E0,1.E0,0.E0)); -#7834=VECTOR('',#7833,9.144E-1); -#7835=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,5.E-1)); -#7836=LINE('',#7835,#7834); -#7837=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7838=VECTOR('',#7837,5.E-1); -#7839=CARTESIAN_POINT('',(-3.084177055660E0,1.5250219E1,5.E-1)); -#7840=LINE('',#7839,#7838); -#7841=DIRECTION('',(0.E0,1.E0,0.E0)); -#7842=VECTOR('',#7841,1.016E-1); -#7843=CARTESIAN_POINT('',(-3.084177055660E0,1.5250219E1,0.E0)); -#7844=LINE('',#7843,#7842); -#7845=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7846=VECTOR('',#7845,1.2E0); -#7847=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,1.2E0)); -#7848=LINE('',#7847,#7846); -#7849=DIRECTION('',(0.E0,1.E0,0.E0)); -#7850=VECTOR('',#7849,1.016E-1); -#7851=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,0.E0)); -#7852=LINE('',#7851,#7850); -#7853=DIRECTION('',(0.E0,1.E0,0.E0)); -#7854=VECTOR('',#7853,9.144E-1); -#7855=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,5.E-1)); -#7856=LINE('',#7855,#7854); -#7857=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7858=VECTOR('',#7857,5.E-1); -#7859=CARTESIAN_POINT('',(-3.084177055660E0,1.3350197E1,5.E-1)); -#7860=LINE('',#7859,#7858); -#7861=DIRECTION('',(0.E0,1.E0,0.E0)); -#7862=VECTOR('',#7861,9.85622E-1); -#7863=CARTESIAN_POINT('',(-3.084177055660E0,1.3350197E1,0.E0)); -#7864=LINE('',#7863,#7862); -#7865=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7866=VECTOR('',#7865,9.144E-1); -#7867=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,5.E-1)); -#7868=LINE('',#7867,#7866); -#7869=DIRECTION('',(0.E0,1.E0,0.E0)); -#7870=VECTOR('',#7869,9.144E-1); -#7871=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,5.E-1)); -#7872=LINE('',#7871,#7870); -#7873=DIRECTION('',(1.E0,0.E0,0.E0)); -#7874=VECTOR('',#7873,9.144E-1); -#7875=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,5.E-1)); -#7876=LINE('',#7875,#7874); -#7877=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7878=VECTOR('',#7877,9.144E-1); -#7879=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,0.E0)); -#7880=LINE('',#7879,#7878); -#7881=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7882=VECTOR('',#7881,5.E-1); -#7883=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,5.E-1)); -#7884=LINE('',#7883,#7882); -#7885=DIRECTION('',(0.E0,1.E0,0.E0)); -#7886=VECTOR('',#7885,9.144E-1); -#7887=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,0.E0)); -#7888=LINE('',#7887,#7886); -#7889=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7890=VECTOR('',#7889,5.E-1); -#7891=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,5.E-1)); -#7892=LINE('',#7891,#7890); -#7893=DIRECTION('',(1.E0,0.E0,0.E0)); -#7894=VECTOR('',#7893,9.144E-1); -#7895=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,0.E0)); -#7896=LINE('',#7895,#7894); -#7897=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7898=VECTOR('',#7897,5.E-1); -#7899=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,5.E-1)); -#7900=LINE('',#7899,#7898); -#7901=DIRECTION('',(1.E0,0.E0,0.E0)); -#7902=VECTOR('',#7901,1.2E0); -#7903=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,0.E0)); -#7904=LINE('',#7903,#7902); -#7905=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7906=VECTOR('',#7905,1.2E0); -#7907=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,1.2E0)); -#7908=LINE('',#7907,#7906); -#7909=DIRECTION('',(0.E0,1.E0,0.E0)); -#7910=VECTOR('',#7909,3.017622E0); -#7911=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,1.2E0)); -#7912=LINE('',#7911,#7910); -#7913=DIRECTION('',(1.E0,0.E0,0.E0)); -#7914=VECTOR('',#7913,1.2E0); -#7915=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,1.2E0)); -#7916=LINE('',#7915,#7914); -#7917=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7918=VECTOR('',#7917,3.017622E0); -#7919=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,1.2E0)); -#7920=LINE('',#7919,#7918); -#7921=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7922=VECTOR('',#7921,1.2E0); -#7923=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,1.2E0)); -#7924=LINE('',#7923,#7922); -#7925=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7926=VECTOR('',#7925,9.144E-1); -#7927=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,0.E0)); -#7928=LINE('',#7927,#7926); -#7929=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7930=VECTOR('',#7929,5.E-1); -#7931=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,5.E-1)); -#7932=LINE('',#7931,#7930); -#7933=DIRECTION('',(0.E0,1.E0,0.E0)); -#7934=VECTOR('',#7933,9.144E-1); -#7935=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,0.E0)); -#7936=LINE('',#7935,#7934); -#7937=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7938=VECTOR('',#7937,5.E-1); -#7939=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,5.E-1)); -#7940=LINE('',#7939,#7938); -#7941=DIRECTION('',(1.E0,0.E0,0.E0)); -#7942=VECTOR('',#7941,9.144E-1); -#7943=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,0.E0)); -#7944=LINE('',#7943,#7942); -#7945=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7946=VECTOR('',#7945,5.E-1); -#7947=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,5.E-1)); -#7948=LINE('',#7947,#7946); -#7949=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7950=VECTOR('',#7949,9.144E-1); -#7951=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,5.E-1)); -#7952=LINE('',#7951,#7950); -#7953=DIRECTION('',(0.E0,1.E0,0.E0)); -#7954=VECTOR('',#7953,9.144E-1); -#7955=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,5.E-1)); -#7956=LINE('',#7955,#7954); -#7957=DIRECTION('',(1.E0,0.E0,0.E0)); -#7958=VECTOR('',#7957,9.144E-1); -#7959=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,5.E-1)); -#7960=LINE('',#7959,#7958); -#7961=DIRECTION('',(1.E0,0.E0,0.E0)); -#7962=VECTOR('',#7961,9.49998E-1); -#7963=CARTESIAN_POINT('',(-4.395780556596E-1,1.1698207E1,0.E0)); -#7964=LINE('',#7963,#7962); -#7965=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7966=VECTOR('',#7965,5.E-1); -#7967=CARTESIAN_POINT('',(-4.395780556596E-1,1.1698207E1,5.E-1)); -#7968=LINE('',#7967,#7966); -#7969=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7970=VECTOR('',#7969,1.399998E0); -#7971=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,0.E0)); -#7972=LINE('',#7971,#7970); -#7973=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7974=VECTOR('',#7973,5.E-1); -#7975=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,5.E-1)); -#7976=LINE('',#7975,#7974); -#7977=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7978=VECTOR('',#7977,9.49998E-1); -#7979=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,0.E0)); -#7980=LINE('',#7979,#7978); -#7981=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7982=VECTOR('',#7981,5.E-1); -#7983=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,5.E-1)); -#7984=LINE('',#7983,#7982); -#7985=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7986=VECTOR('',#7985,5.E-1); -#7987=CARTESIAN_POINT('',(-4.395780556596E-1,1.0298209E1,5.E-1)); -#7988=LINE('',#7987,#7986); -#7989=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7990=VECTOR('',#7989,2.00002E-1); -#7991=CARTESIAN_POINT('',(-4.395780556596E-1,1.0298209E1,0.E0)); -#7992=LINE('',#7991,#7990); -#7993=DIRECTION('',(0.E0,0.E0,-1.E0)); -#7994=VECTOR('',#7993,1.2E0); -#7995=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,1.2E0)); -#7996=LINE('',#7995,#7994); -#7997=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7998=VECTOR('',#7997,2.00002E-1); -#7999=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,0.E0)); -#8000=LINE('',#7999,#7998); -#8001=DIRECTION('',(0.E0,1.E0,0.E0)); -#8002=VECTOR('',#8001,1.399998E0); -#8003=CARTESIAN_POINT('',(-4.395780556596E-1,1.0298209E1,5.E-1)); -#8004=LINE('',#8003,#8002); -#8005=DIRECTION('',(1.E0,0.E0,0.E0)); -#8006=VECTOR('',#8005,9.49998E-1); -#8007=CARTESIAN_POINT('',(-4.395780556596E-1,1.1698207E1,5.E-1)); -#8008=LINE('',#8007,#8006); -#8009=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8010=VECTOR('',#8009,1.399998E0); -#8011=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,5.E-1)); -#8012=LINE('',#8011,#8010); -#8013=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8014=VECTOR('',#8013,9.49998E-1); -#8015=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,5.E-1)); -#8016=LINE('',#8015,#8014); -#8017=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8018=VECTOR('',#8017,2.799999E0); -#8019=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,0.E0)); -#8020=LINE('',#8019,#8018); -#8021=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8022=VECTOR('',#8021,1.2E0); -#8023=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,1.2E0)); -#8024=LINE('',#8023,#8022); -#8025=DIRECTION('',(0.E0,1.E0,0.E0)); -#8026=VECTOR('',#8025,1.399998E0); -#8027=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,5.E-1)); -#8028=LINE('',#8027,#8026); -#8029=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8030=VECTOR('',#8029,5.E-1); -#8031=CARTESIAN_POINT('',(-3.239577055660E0,1.1698207E1,5.E-1)); -#8032=LINE('',#8031,#8030); -#8033=DIRECTION('',(0.E0,1.E0,0.E0)); -#8034=VECTOR('',#8033,2.00002E-1); -#8035=CARTESIAN_POINT('',(-3.239577055660E0,1.1698207E1,0.E0)); -#8036=LINE('',#8035,#8034); -#8037=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8038=VECTOR('',#8037,1.2E0); -#8039=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,1.2E0)); -#8040=LINE('',#8039,#8038); -#8041=DIRECTION('',(0.E0,1.E0,0.E0)); -#8042=VECTOR('',#8041,2.00002E-1); -#8043=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,0.E0)); -#8044=LINE('',#8043,#8042); -#8045=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8046=VECTOR('',#8045,9.49998E-1); -#8047=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,5.E-1)); -#8048=LINE('',#8047,#8046); -#8049=DIRECTION('',(0.E0,1.E0,0.E0)); -#8050=VECTOR('',#8049,1.399998E0); -#8051=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,5.E-1)); -#8052=LINE('',#8051,#8050); -#8053=DIRECTION('',(1.E0,0.E0,0.E0)); -#8054=VECTOR('',#8053,9.49998E-1); -#8055=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,5.E-1)); -#8056=LINE('',#8055,#8054); -#8057=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8058=VECTOR('',#8057,9.49998E-1); -#8059=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,0.E0)); -#8060=LINE('',#8059,#8058); -#8061=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8062=VECTOR('',#8061,5.E-1); -#8063=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,5.E-1)); -#8064=LINE('',#8063,#8062); -#8065=DIRECTION('',(0.E0,1.E0,0.E0)); -#8066=VECTOR('',#8065,1.399998E0); -#8067=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,0.E0)); -#8068=LINE('',#8067,#8066); -#8069=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8070=VECTOR('',#8069,5.E-1); -#8071=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,5.E-1)); -#8072=LINE('',#8071,#8070); -#8073=DIRECTION('',(1.E0,0.E0,0.E0)); -#8074=VECTOR('',#8073,9.49998E-1); -#8075=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,0.E0)); -#8076=LINE('',#8075,#8074); -#8077=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8078=VECTOR('',#8077,5.E-1); -#8079=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,5.E-1)); -#8080=LINE('',#8079,#8078); -#8081=DIRECTION('',(1.E0,0.E0,0.E0)); -#8082=VECTOR('',#8081,2.799999E0); -#8083=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,0.E0)); -#8084=LINE('',#8083,#8082); -#8085=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8086=VECTOR('',#8085,1.2E0); -#8087=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,1.2E0)); -#8088=LINE('',#8087,#8086); -#8089=DIRECTION('',(1.E0,0.E0,0.E0)); -#8090=VECTOR('',#8089,2.799999E0); -#8091=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,1.2E0)); -#8092=LINE('',#8091,#8090); -#8093=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8094=VECTOR('',#8093,1.800002E0); -#8095=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,1.2E0)); -#8096=LINE('',#8095,#8094); -#8097=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8098=VECTOR('',#8097,2.799999E0); -#8099=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,1.2E0)); -#8100=LINE('',#8099,#8098); -#8101=DIRECTION('',(0.E0,1.E0,0.E0)); -#8102=VECTOR('',#8101,1.800002E0); -#8103=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,1.2E0)); -#8104=LINE('',#8103,#8102); -#8105=DIRECTION('',(1.E0,0.E0,0.E0)); -#8106=VECTOR('',#8105,1.049998E0); -#8107=CARTESIAN_POINT('',(5.664420944340E0,1.5855005E1,0.E0)); -#8108=LINE('',#8107,#8106); -#8109=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8110=VECTOR('',#8109,5.E-1); -#8111=CARTESIAN_POINT('',(5.664420944340E0,1.5855005E1,5.E-1)); -#8112=LINE('',#8111,#8110); -#8113=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8114=VECTOR('',#8113,5.99998E-1); -#8115=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,0.E0)); -#8116=LINE('',#8115,#8114); -#8117=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8118=VECTOR('',#8117,5.E-1); -#8119=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,5.E-1)); -#8120=LINE('',#8119,#8118); -#8121=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8122=VECTOR('',#8121,1.049998E0); -#8123=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,0.E0)); -#8124=LINE('',#8123,#8122); -#8125=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8126=VECTOR('',#8125,5.E-1); -#8127=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,5.E-1)); -#8128=LINE('',#8127,#8126); -#8129=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8130=VECTOR('',#8129,5.E-1); -#8131=CARTESIAN_POINT('',(5.664420944340E0,1.5255007E1,5.E-1)); -#8132=LINE('',#8131,#8130); -#8133=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8134=VECTOR('',#8133,1.3E0); -#8135=CARTESIAN_POINT('',(5.664420944340E0,1.5255007E1,0.E0)); -#8136=LINE('',#8135,#8134); -#8137=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8138=VECTOR('',#8137,5.E-1); -#8139=CARTESIAN_POINT('',(5.664420944340E0,1.3355008E1,5.E-1)); -#8140=LINE('',#8139,#8138); -#8141=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8142=VECTOR('',#8141,3.00002E-1); -#8143=CARTESIAN_POINT('',(5.664420944340E0,1.3355008E1,0.E0)); -#8144=LINE('',#8143,#8142); -#8145=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8146=VECTOR('',#8145,1.5E0); -#8147=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,1.5E0)); -#8148=LINE('',#8147,#8146); -#8149=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8150=VECTOR('',#8149,3.E-1); -#8151=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,0.E0)); -#8152=LINE('',#8151,#8150); -#8153=DIRECTION('',(0.E0,1.E0,0.E0)); -#8154=VECTOR('',#8153,5.99998E-1); -#8155=CARTESIAN_POINT('',(5.664420944340E0,1.5255007E1,5.E-1)); -#8156=LINE('',#8155,#8154); -#8157=DIRECTION('',(1.E0,0.E0,0.E0)); -#8158=VECTOR('',#8157,1.049998E0); -#8159=CARTESIAN_POINT('',(5.664420944340E0,1.5855005E1,5.E-1)); -#8160=LINE('',#8159,#8158); -#8161=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8162=VECTOR('',#8161,5.99998E-1); -#8163=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,5.E-1)); -#8164=LINE('',#8163,#8162); -#8165=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8166=VECTOR('',#8165,1.049998E0); -#8167=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,5.E-1)); -#8168=LINE('',#8167,#8166); -#8169=DIRECTION('',(1.E0,0.E0,0.E0)); -#8170=VECTOR('',#8169,1.049998E0); -#8171=CARTESIAN_POINT('',(5.664420944340E0,1.3955007E1,0.E0)); -#8172=LINE('',#8171,#8170); -#8173=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8174=VECTOR('',#8173,5.E-1); -#8175=CARTESIAN_POINT('',(5.664420944340E0,1.3955007E1,5.E-1)); -#8176=LINE('',#8175,#8174); -#8177=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8178=VECTOR('',#8177,5.99999E-1); -#8179=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,0.E0)); -#8180=LINE('',#8179,#8178); -#8181=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8182=VECTOR('',#8181,5.E-1); -#8183=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,5.E-1)); -#8184=LINE('',#8183,#8182); -#8185=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8186=VECTOR('',#8185,1.049998E0); -#8187=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,0.E0)); -#8188=LINE('',#8187,#8186); -#8189=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8190=VECTOR('',#8189,5.E-1); -#8191=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,5.E-1)); -#8192=LINE('',#8191,#8190); -#8193=DIRECTION('',(0.E0,1.E0,0.E0)); -#8194=VECTOR('',#8193,5.99999E-1); -#8195=CARTESIAN_POINT('',(5.664420944340E0,1.3355008E1,5.E-1)); -#8196=LINE('',#8195,#8194); -#8197=DIRECTION('',(1.E0,0.E0,0.E0)); -#8198=VECTOR('',#8197,1.049998E0); -#8199=CARTESIAN_POINT('',(5.664420944340E0,1.3955007E1,5.E-1)); -#8200=LINE('',#8199,#8198); -#8201=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8202=VECTOR('',#8201,5.99999E-1); -#8203=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,5.E-1)); -#8204=LINE('',#8203,#8202); -#8205=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8206=VECTOR('',#8205,1.049998E0); -#8207=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,5.E-1)); -#8208=LINE('',#8207,#8206); -#8209=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8210=VECTOR('',#8209,1.800002E0); -#8211=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,0.E0)); -#8212=LINE('',#8211,#8210); -#8213=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8214=VECTOR('',#8213,1.5E0); -#8215=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,1.5E0)); -#8216=LINE('',#8215,#8214); -#8217=DIRECTION('',(0.E0,1.E0,0.E0)); -#8218=VECTOR('',#8217,5.99998E-1); -#8219=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,5.E-1)); -#8220=LINE('',#8219,#8218); -#8221=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8222=VECTOR('',#8221,5.E-1); -#8223=CARTESIAN_POINT('',(3.864418944340E0,1.5855005E1,5.E-1)); -#8224=LINE('',#8223,#8222); -#8225=DIRECTION('',(0.E0,1.E0,0.E0)); -#8226=VECTOR('',#8225,3.E-1); -#8227=CARTESIAN_POINT('',(3.864418944340E0,1.5855005E1,0.E0)); -#8228=LINE('',#8227,#8226); -#8229=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8230=VECTOR('',#8229,1.5E0); -#8231=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,1.5E0)); -#8232=LINE('',#8231,#8230); -#8233=DIRECTION('',(0.E0,1.E0,0.E0)); -#8234=VECTOR('',#8233,3.00002E-1); -#8235=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,0.E0)); -#8236=LINE('',#8235,#8234); -#8237=DIRECTION('',(0.E0,1.E0,0.E0)); -#8238=VECTOR('',#8237,5.99999E-1); -#8239=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,5.E-1)); -#8240=LINE('',#8239,#8238); -#8241=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8242=VECTOR('',#8241,5.E-1); -#8243=CARTESIAN_POINT('',(3.864418944340E0,1.3955007E1,5.E-1)); -#8244=LINE('',#8243,#8242); -#8245=DIRECTION('',(0.E0,1.E0,0.E0)); -#8246=VECTOR('',#8245,3.49999E-1); -#8247=CARTESIAN_POINT('',(3.864418944340E0,1.3955007E1,0.E0)); -#8248=LINE('',#8247,#8246); -#8249=DIRECTION('',(0.E0,1.E0,0.E0)); -#8250=VECTOR('',#8249,5.99999E-1); -#8251=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,5.E-1)); -#8252=LINE('',#8251,#8250); -#8253=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8254=VECTOR('',#8253,5.E-1); -#8255=CARTESIAN_POINT('',(3.864418944340E0,1.4905005E1,5.E-1)); -#8256=LINE('',#8255,#8254); -#8257=DIRECTION('',(0.E0,1.E0,0.E0)); -#8258=VECTOR('',#8257,3.50002E-1); -#8259=CARTESIAN_POINT('',(3.864418944340E0,1.4905005E1,0.E0)); -#8260=LINE('',#8259,#8258); -#8261=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8262=VECTOR('',#8261,1.049998E0); -#8263=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,5.E-1)); -#8264=LINE('',#8263,#8262); -#8265=DIRECTION('',(0.E0,1.E0,0.E0)); -#8266=VECTOR('',#8265,5.99998E-1); -#8267=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,5.E-1)); -#8268=LINE('',#8267,#8266); -#8269=DIRECTION('',(1.E0,0.E0,0.E0)); -#8270=VECTOR('',#8269,1.049998E0); -#8271=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,5.E-1)); -#8272=LINE('',#8271,#8270); -#8273=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8274=VECTOR('',#8273,1.049998E0); -#8275=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,0.E0)); -#8276=LINE('',#8275,#8274); -#8277=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8278=VECTOR('',#8277,5.E-1); -#8279=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,5.E-1)); -#8280=LINE('',#8279,#8278); -#8281=DIRECTION('',(0.E0,1.E0,0.E0)); -#8282=VECTOR('',#8281,5.99998E-1); -#8283=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,0.E0)); -#8284=LINE('',#8283,#8282); -#8285=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8286=VECTOR('',#8285,5.E-1); -#8287=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,5.E-1)); -#8288=LINE('',#8287,#8286); -#8289=DIRECTION('',(1.E0,0.E0,0.E0)); -#8290=VECTOR('',#8289,1.049998E0); -#8291=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,0.E0)); -#8292=LINE('',#8291,#8290); -#8293=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8294=VECTOR('',#8293,5.E-1); -#8295=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,5.E-1)); -#8296=LINE('',#8295,#8294); -#8297=DIRECTION('',(1.E0,0.E0,0.E0)); -#8298=VECTOR('',#8297,1.800002E0); -#8299=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,0.E0)); -#8300=LINE('',#8299,#8298); -#8301=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8302=VECTOR('',#8301,1.5E0); -#8303=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,1.5E0)); -#8304=LINE('',#8303,#8302); -#8305=DIRECTION('',(1.E0,0.E0,0.E0)); -#8306=VECTOR('',#8305,1.800002E0); -#8307=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,1.5E0)); -#8308=LINE('',#8307,#8306); -#8309=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8310=VECTOR('',#8309,3.099999E0); -#8311=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,1.5E0)); -#8312=LINE('',#8311,#8310); -#8313=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8314=VECTOR('',#8313,1.800002E0); -#8315=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,1.5E0)); -#8316=LINE('',#8315,#8314); -#8317=DIRECTION('',(0.E0,1.E0,0.E0)); -#8318=VECTOR('',#8317,3.099999E0); -#8319=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,1.5E0)); -#8320=LINE('',#8319,#8318); -#8321=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8322=VECTOR('',#8321,1.049998E0); -#8323=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,0.E0)); -#8324=LINE('',#8323,#8322); -#8325=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8326=VECTOR('',#8325,5.E-1); -#8327=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,5.E-1)); -#8328=LINE('',#8327,#8326); -#8329=DIRECTION('',(0.E0,1.E0,0.E0)); -#8330=VECTOR('',#8329,5.99999E-1); -#8331=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,0.E0)); -#8332=LINE('',#8331,#8330); -#8333=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8334=VECTOR('',#8333,5.E-1); -#8335=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,5.E-1)); -#8336=LINE('',#8335,#8334); -#8337=DIRECTION('',(1.E0,0.E0,0.E0)); -#8338=VECTOR('',#8337,1.049998E0); -#8339=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,0.E0)); -#8340=LINE('',#8339,#8338); -#8341=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8342=VECTOR('',#8341,5.E-1); -#8343=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,5.E-1)); -#8344=LINE('',#8343,#8342); -#8345=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8346=VECTOR('',#8345,1.049998E0); -#8347=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,5.E-1)); -#8348=LINE('',#8347,#8346); -#8349=DIRECTION('',(0.E0,1.E0,0.E0)); -#8350=VECTOR('',#8349,5.99999E-1); -#8351=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,5.E-1)); -#8352=LINE('',#8351,#8350); -#8353=DIRECTION('',(1.E0,0.E0,0.E0)); -#8354=VECTOR('',#8353,1.049998E0); -#8355=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,5.E-1)); -#8356=LINE('',#8355,#8354); -#8357=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8358=VECTOR('',#8357,1.049998E0); -#8359=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,0.E0)); -#8360=LINE('',#8359,#8358); -#8361=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8362=VECTOR('',#8361,5.E-1); -#8363=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,5.E-1)); -#8364=LINE('',#8363,#8362); -#8365=DIRECTION('',(0.E0,1.E0,0.E0)); -#8366=VECTOR('',#8365,5.99999E-1); -#8367=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,0.E0)); -#8368=LINE('',#8367,#8366); -#8369=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8370=VECTOR('',#8369,5.E-1); -#8371=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,5.E-1)); -#8372=LINE('',#8371,#8370); -#8373=DIRECTION('',(1.E0,0.E0,0.E0)); -#8374=VECTOR('',#8373,1.049998E0); -#8375=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,0.E0)); -#8376=LINE('',#8375,#8374); -#8377=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8378=VECTOR('',#8377,5.E-1); -#8379=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,5.E-1)); -#8380=LINE('',#8379,#8378); -#8381=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8382=VECTOR('',#8381,1.049998E0); -#8383=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,5.E-1)); -#8384=LINE('',#8383,#8382); -#8385=DIRECTION('',(0.E0,1.E0,0.E0)); -#8386=VECTOR('',#8385,5.99999E-1); -#8387=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,5.E-1)); -#8388=LINE('',#8387,#8386); -#8389=DIRECTION('',(1.E0,0.E0,0.E0)); -#8390=VECTOR('',#8389,1.049998E0); -#8391=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,5.E-1)); -#8392=LINE('',#8391,#8390); -#8393=DIRECTION('',(1.E0,0.E0,0.E0)); -#8394=VECTOR('',#8393,1.5516E0); -#8395=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,0.E0)); -#8396=LINE('',#8395,#8394); -#8397=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8398=VECTOR('',#8397,5.E-1); -#8399=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,5.E-1)); -#8400=LINE('',#8399,#8398); -#8401=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8402=VECTOR('',#8401,7.51602E-1); -#8403=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,0.E0)); -#8404=LINE('',#8403,#8402); -#8405=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8406=VECTOR('',#8405,5.E-1); -#8407=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,5.E-1)); -#8408=LINE('',#8407,#8406); -#8409=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8410=VECTOR('',#8409,1.5516E0); -#8411=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,0.E0)); -#8412=LINE('',#8411,#8410); -#8413=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8414=VECTOR('',#8413,5.E-1); -#8415=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,5.E-1)); -#8416=LINE('',#8415,#8414); -#8417=DIRECTION('',(1.299885127594E-14,1.E0,0.E0)); -#8418=VECTOR('',#8417,7.51602E-1); -#8419=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,0.E0)); -#8420=LINE('',#8419,#8418); -#8421=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8422=VECTOR('',#8421,5.E-1); -#8423=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,5.E-1)); -#8424=LINE('',#8423,#8422); -#8425=DIRECTION('',(1.E0,0.E0,0.E0)); -#8426=VECTOR('',#8425,1.5516E0); -#8427=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,5.E-1)); -#8428=LINE('',#8427,#8426); -#8429=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8430=VECTOR('',#8429,7.51602E-1); -#8431=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,5.E-1)); -#8432=LINE('',#8431,#8430); -#8433=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8434=VECTOR('',#8433,1.5516E0); -#8435=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,5.E-1)); -#8436=LINE('',#8435,#8434); -#8437=DIRECTION('',(1.181713752358E-14,1.E0,0.E0)); -#8438=VECTOR('',#8437,7.51602E-1); -#8439=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,5.E-1)); -#8440=LINE('',#8439,#8438); -#8441=DIRECTION('',(1.E0,0.E0,0.E0)); -#8442=VECTOR('',#8441,1.183198E0); -#8443=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,0.E0)); -#8444=LINE('',#8443,#8442); -#8445=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8446=VECTOR('',#8445,8.E-1); -#8447=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,8.E-1)); -#8448=LINE('',#8447,#8446); -#8449=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8450=VECTOR('',#8449,2.673202E0); -#8451=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,0.E0)); -#8452=LINE('',#8451,#8450); -#8453=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8454=VECTOR('',#8453,8.E-1); -#8455=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,8.E-1)); -#8456=LINE('',#8455,#8454); -#8457=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8458=VECTOR('',#8457,1.183198E0); -#8459=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,0.E0)); -#8460=LINE('',#8459,#8458); -#8461=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8462=VECTOR('',#8461,8.E-1); -#8463=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,8.E-1)); -#8464=LINE('',#8463,#8462); -#8465=DIRECTION('',(0.E0,1.E0,0.E0)); -#8466=VECTOR('',#8465,2.673202E0); -#8467=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,0.E0)); -#8468=LINE('',#8467,#8466); -#8469=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8470=VECTOR('',#8469,8.E-1); -#8471=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,8.E-1)); -#8472=LINE('',#8471,#8470); -#8473=DIRECTION('',(1.E0,0.E0,0.E0)); -#8474=VECTOR('',#8473,1.183198E0); -#8475=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,8.E-1)); -#8476=LINE('',#8475,#8474); -#8477=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8478=VECTOR('',#8477,2.673202E0); -#8479=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,8.E-1)); -#8480=LINE('',#8479,#8478); -#8481=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8482=VECTOR('',#8481,1.183198E0); -#8483=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,8.E-1)); -#8484=LINE('',#8483,#8482); -#8485=DIRECTION('',(0.E0,1.E0,0.E0)); -#8486=VECTOR('',#8485,2.673202E0); -#8487=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,8.E-1)); -#8488=LINE('',#8487,#8486); -#8489=DIRECTION('',(1.E0,0.E0,0.E0)); -#8490=VECTOR('',#8489,5.99999E-1); -#8491=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,0.E0)); -#8492=LINE('',#8491,#8490); -#8493=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8494=VECTOR('',#8493,5.E-1); -#8495=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,5.E-1)); -#8496=LINE('',#8495,#8494); -#8497=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8498=VECTOR('',#8497,4.99999E-1); -#8499=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,0.E0)); -#8500=LINE('',#8499,#8498); -#8501=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8502=VECTOR('',#8501,5.E-1); -#8503=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,5.E-1)); -#8504=LINE('',#8503,#8502); -#8505=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8506=VECTOR('',#8505,5.E-1); -#8507=CARTESIAN_POINT('',(-9.296776055660E0,1.5454206E1,5.E-1)); -#8508=LINE('',#8507,#8506); -#8509=DIRECTION('',(1.E0,0.E0,0.E0)); -#8510=VECTOR('',#8509,1.3E0); -#8511=CARTESIAN_POINT('',(-9.296776055660E0,1.5454206E1,0.E0)); -#8512=LINE('',#8511,#8510); -#8513=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8514=VECTOR('',#8513,5.E-1); -#8515=CARTESIAN_POINT('',(-7.396777055660E0,1.5454206E1,5.E-1)); -#8516=LINE('',#8515,#8514); -#8517=DIRECTION('',(1.E0,1.184229998067E-14,0.E0)); -#8518=VECTOR('',#8517,3.00002E-1); -#8519=CARTESIAN_POINT('',(-7.396777055660E0,1.5454206E1,0.E0)); -#8520=LINE('',#8519,#8518); -#8521=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8522=VECTOR('',#8521,8.E-1); -#8523=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,8.E-1)); -#8524=LINE('',#8523,#8522); -#8525=DIRECTION('',(1.E0,1.184241840406E-14,0.E0)); -#8526=VECTOR('',#8525,2.99999E-1); -#8527=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,0.E0)); -#8528=LINE('',#8527,#8526); -#8529=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8530=VECTOR('',#8529,5.99999E-1); -#8531=CARTESIAN_POINT('',(-9.296776055660E0,1.5454206E1,5.E-1)); -#8532=LINE('',#8531,#8530); -#8533=DIRECTION('',(0.E0,1.E0,0.E0)); -#8534=VECTOR('',#8533,4.99999E-1); -#8535=CARTESIAN_POINT('',(-9.896775055660E0,1.5454206E1,5.E-1)); -#8536=LINE('',#8535,#8534); -#8537=DIRECTION('',(1.E0,0.E0,0.E0)); -#8538=VECTOR('',#8537,5.99999E-1); -#8539=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,5.E-1)); -#8540=LINE('',#8539,#8538); -#8541=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8542=VECTOR('',#8541,4.99999E-1); -#8543=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,5.E-1)); -#8544=LINE('',#8543,#8542); -#8545=DIRECTION('',(0.E0,1.E0,0.E0)); -#8546=VECTOR('',#8545,4.99999E-1); -#8547=CARTESIAN_POINT('',(-9.896775055660E0,1.5454206E1,0.E0)); -#8548=LINE('',#8547,#8546); -#8549=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8550=VECTOR('',#8549,5.E-1); -#8551=CARTESIAN_POINT('',(-9.896775055660E0,1.5454206E1,5.E-1)); -#8552=LINE('',#8551,#8550); -#8553=DIRECTION('',(1.E0,0.E0,0.E0)); -#8554=VECTOR('',#8553,5.99999E-1); -#8555=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,0.E0)); -#8556=LINE('',#8555,#8554); -#8557=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8558=VECTOR('',#8557,5.E-1); -#8559=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,5.E-1)); -#8560=LINE('',#8559,#8558); -#8561=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8562=VECTOR('',#8561,4.99999E-1); -#8563=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,0.E0)); -#8564=LINE('',#8563,#8562); -#8565=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8566=VECTOR('',#8565,5.E-1); -#8567=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,5.E-1)); -#8568=LINE('',#8567,#8566); -#8569=DIRECTION('',(0.E0,1.E0,0.E0)); -#8570=VECTOR('',#8569,4.99999E-1); -#8571=CARTESIAN_POINT('',(-7.996776055660E0,1.5454206E1,0.E0)); -#8572=LINE('',#8571,#8570); -#8573=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8574=VECTOR('',#8573,5.E-1); -#8575=CARTESIAN_POINT('',(-7.996776055660E0,1.5454206E1,5.E-1)); -#8576=LINE('',#8575,#8574); -#8577=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8578=VECTOR('',#8577,5.99999E-1); -#8579=CARTESIAN_POINT('',(-7.396777055660E0,1.5454206E1,5.E-1)); -#8580=LINE('',#8579,#8578); -#8581=DIRECTION('',(0.E0,1.E0,0.E0)); -#8582=VECTOR('',#8581,4.99999E-1); -#8583=CARTESIAN_POINT('',(-7.996776055660E0,1.5454206E1,5.E-1)); -#8584=LINE('',#8583,#8582); -#8585=DIRECTION('',(1.E0,0.E0,0.E0)); -#8586=VECTOR('',#8585,5.99999E-1); -#8587=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,5.E-1)); -#8588=LINE('',#8587,#8586); -#8589=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8590=VECTOR('',#8589,4.99999E-1); -#8591=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,5.E-1)); -#8592=LINE('',#8591,#8590); -#8593=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8594=VECTOR('',#8593,1.800001E0); -#8595=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,0.E0)); -#8596=LINE('',#8595,#8594); -#8597=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8598=VECTOR('',#8597,8.E-1); -#8599=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,8.E-1)); -#8600=LINE('',#8599,#8598); -#8601=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8602=VECTOR('',#8601,5.99999E-1); -#8603=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,5.E-1)); -#8604=LINE('',#8603,#8602); -#8605=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8606=VECTOR('',#8605,5.E-1); -#8607=CARTESIAN_POINT('',(-9.896775055660E0,1.3654205E1,5.E-1)); -#8608=LINE('',#8607,#8606); -#8609=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8610=VECTOR('',#8609,2.99999E-1); -#8611=CARTESIAN_POINT('',(-9.896775055660E0,1.3654205E1,0.E0)); -#8612=LINE('',#8611,#8610); -#8613=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8614=VECTOR('',#8613,8.E-1); -#8615=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,8.E-1)); -#8616=LINE('',#8615,#8614); -#8617=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8618=VECTOR('',#8617,3.00002E-1); -#8619=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,0.E0)); -#8620=LINE('',#8619,#8618); -#8621=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8622=VECTOR('',#8621,5.99999E-1); -#8623=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,5.E-1)); -#8624=LINE('',#8623,#8622); -#8625=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8626=VECTOR('',#8625,5.E-1); -#8627=CARTESIAN_POINT('',(-7.996776055660E0,1.3654205E1,5.E-1)); -#8628=LINE('',#8627,#8626); -#8629=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8630=VECTOR('',#8629,3.49999E-1); -#8631=CARTESIAN_POINT('',(-7.996776055660E0,1.3654205E1,0.E0)); -#8632=LINE('',#8631,#8630); -#8633=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8634=VECTOR('',#8633,5.99999E-1); -#8635=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,5.E-1)); -#8636=LINE('',#8635,#8634); -#8637=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8638=VECTOR('',#8637,5.E-1); -#8639=CARTESIAN_POINT('',(-8.946774055660E0,1.3654205E1,5.E-1)); -#8640=LINE('',#8639,#8638); -#8641=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8642=VECTOR('',#8641,3.50002E-1); -#8643=CARTESIAN_POINT('',(-8.946774055660E0,1.3654205E1,0.E0)); -#8644=LINE('',#8643,#8642); -#8645=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8646=VECTOR('',#8645,4.99999E-1); -#8647=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,5.E-1)); -#8648=LINE('',#8647,#8646); -#8649=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8650=VECTOR('',#8649,5.99999E-1); -#8651=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,5.E-1)); -#8652=LINE('',#8651,#8650); -#8653=DIRECTION('',(0.E0,1.E0,0.E0)); -#8654=VECTOR('',#8653,4.99999E-1); -#8655=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,5.E-1)); -#8656=LINE('',#8655,#8654); -#8657=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8658=VECTOR('',#8657,4.99999E-1); -#8659=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,0.E0)); -#8660=LINE('',#8659,#8658); -#8661=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8662=VECTOR('',#8661,5.E-1); -#8663=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,5.E-1)); -#8664=LINE('',#8663,#8662); -#8665=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8666=VECTOR('',#8665,5.99999E-1); -#8667=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,0.E0)); -#8668=LINE('',#8667,#8666); -#8669=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8670=VECTOR('',#8669,5.E-1); -#8671=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,5.E-1)); -#8672=LINE('',#8671,#8670); -#8673=DIRECTION('',(0.E0,1.E0,0.E0)); -#8674=VECTOR('',#8673,4.99999E-1); -#8675=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,0.E0)); -#8676=LINE('',#8675,#8674); -#8677=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8678=VECTOR('',#8677,5.E-1); -#8679=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,5.E-1)); -#8680=LINE('',#8679,#8678); -#8681=DIRECTION('',(0.E0,1.E0,0.E0)); -#8682=VECTOR('',#8681,1.800001E0); -#8683=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,0.E0)); -#8684=LINE('',#8683,#8682); -#8685=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8686=VECTOR('',#8685,8.E-1); -#8687=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,8.E-1)); -#8688=LINE('',#8687,#8686); -#8689=DIRECTION('',(1.E0,0.E0,0.E0)); -#8690=VECTOR('',#8689,3.099999E0); -#8691=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,8.E-1)); -#8692=LINE('',#8691,#8690); -#8693=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8694=VECTOR('',#8693,1.800001E0); -#8695=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,8.E-1)); -#8696=LINE('',#8695,#8694); -#8697=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8698=VECTOR('',#8697,3.099999E0); -#8699=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,8.E-1)); -#8700=LINE('',#8699,#8698); -#8701=DIRECTION('',(0.E0,1.E0,0.E0)); -#8702=VECTOR('',#8701,1.800001E0); -#8703=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,8.E-1)); -#8704=LINE('',#8703,#8702); -#8705=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8706=VECTOR('',#8705,4.99999E-1); -#8707=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,0.E0)); -#8708=LINE('',#8707,#8706); -#8709=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8710=VECTOR('',#8709,5.E-1); -#8711=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,5.E-1)); -#8712=LINE('',#8711,#8710); -#8713=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8714=VECTOR('',#8713,5.99999E-1); -#8715=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,0.E0)); -#8716=LINE('',#8715,#8714); -#8717=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8718=VECTOR('',#8717,5.E-1); -#8719=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,5.E-1)); -#8720=LINE('',#8719,#8718); -#8721=DIRECTION('',(0.E0,1.E0,0.E0)); -#8722=VECTOR('',#8721,4.99999E-1); -#8723=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,0.E0)); -#8724=LINE('',#8723,#8722); -#8725=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8726=VECTOR('',#8725,5.E-1); -#8727=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,5.E-1)); -#8728=LINE('',#8727,#8726); -#8729=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8730=VECTOR('',#8729,4.99999E-1); -#8731=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,5.E-1)); -#8732=LINE('',#8731,#8730); -#8733=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8734=VECTOR('',#8733,5.99999E-1); -#8735=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,5.E-1)); -#8736=LINE('',#8735,#8734); -#8737=DIRECTION('',(0.E0,1.E0,0.E0)); -#8738=VECTOR('',#8737,4.99999E-1); -#8739=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,5.E-1)); -#8740=LINE('',#8739,#8738); -#8741=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8742=VECTOR('',#8741,4.99999E-1); -#8743=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,0.E0)); -#8744=LINE('',#8743,#8742); -#8745=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8746=VECTOR('',#8745,5.E-1); -#8747=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,5.E-1)); -#8748=LINE('',#8747,#8746); -#8749=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8750=VECTOR('',#8749,5.99999E-1); -#8751=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,0.E0)); -#8752=LINE('',#8751,#8750); -#8753=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8754=VECTOR('',#8753,5.E-1); -#8755=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,5.E-1)); -#8756=LINE('',#8755,#8754); -#8757=DIRECTION('',(0.E0,1.E0,0.E0)); -#8758=VECTOR('',#8757,4.99999E-1); -#8759=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,0.E0)); -#8760=LINE('',#8759,#8758); -#8761=DIRECTION('',(0.E0,0.E0,-1.E0)); -#8762=VECTOR('',#8761,5.E-1); -#8763=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,5.E-1)); -#8764=LINE('',#8763,#8762); -#8765=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8766=VECTOR('',#8765,4.99999E-1); -#8767=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,5.E-1)); -#8768=LINE('',#8767,#8766); -#8769=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8770=VECTOR('',#8769,5.99999E-1); -#8771=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,5.E-1)); -#8772=LINE('',#8771,#8770); -#8773=DIRECTION('',(0.E0,1.E0,0.E0)); -#8774=VECTOR('',#8773,4.99999E-1); -#8775=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,5.E-1)); -#8776=LINE('',#8775,#8774); -#8777=CARTESIAN_POINT('',(-1.275250863619E1,5.978180685750E1,0.E0)); -#8778=CARTESIAN_POINT('',(-1.175250871665E1,6.0782208E1,0.E0)); -#8779=VERTEX_POINT('',#8777); -#8780=VERTEX_POINT('',#8778); -#8781=CARTESIAN_POINT('',(1.175269346494E1,6.0782208E1,0.E0)); -#8782=VERTEX_POINT('',#8781); -#8783=CARTESIAN_POINT('',(1.275269344151E1,5.978199154887E1,0.E0)); -#8784=VERTEX_POINT('',#8783); -#8785=CARTESIAN_POINT('',(1.273996995174E1,9.997835488872E-1,0.E0)); -#8786=VERTEX_POINT('',#8785); -#8787=CARTESIAN_POINT('',(1.173996997514E1,0.E0,0.E0)); -#8788=VERTEX_POINT('',#8787); -#8789=CARTESIAN_POINT('',(-1.172892866669E1,0.E0,0.E0)); -#8790=VERTEX_POINT('',#8789); -#8791=CARTESIAN_POINT('',(-1.272892858623E1,9.995988573783E-1,0.E0)); -#8792=VERTEX_POINT('',#8791); -#8793=CARTESIAN_POINT('',(-9.115887055660E0,5.766161E1,0.E0)); -#8794=CARTESIAN_POINT('',(-1.106558605566E1,5.766161E1,0.E0)); -#8795=VERTEX_POINT('',#8793); -#8796=VERTEX_POINT('',#8794); -#8797=CARTESIAN_POINT('',(1.086179394434E1,5.7658011E1,0.E0)); -#8798=CARTESIAN_POINT('',(8.979445944340E0,5.7658011E1,0.E0)); -#8799=VERTEX_POINT('',#8797); -#8800=VERTEX_POINT('',#8798); -#8801=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,0.E0)); -#8802=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,0.E0)); -#8803=VERTEX_POINT('',#8801); -#8804=VERTEX_POINT('',#8802); -#8805=CARTESIAN_POINT('',(9.697292944340E0,4.921817E0,0.E0)); -#8806=VERTEX_POINT('',#8805); -#8807=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,0.E0)); -#8808=VERTEX_POINT('',#8807); -#8809=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,0.E0)); -#8810=VERTEX_POINT('',#8809); -#8811=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,0.E0)); -#8812=VERTEX_POINT('',#8811); -#8813=CARTESIAN_POINT('',(8.697294944340E0,4.921817E0,0.E0)); -#8814=VERTEX_POINT('',#8813); -#8815=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,0.E0)); -#8816=VERTEX_POINT('',#8815); -#8817=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,0.E0)); -#8818=VERTEX_POINT('',#8817); -#8819=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,0.E0)); -#8820=VERTEX_POINT('',#8819); -#8821=CARTESIAN_POINT('',(7.697296944340E0,4.921817E0,0.E0)); -#8822=VERTEX_POINT('',#8821); -#8823=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,0.E0)); -#8824=VERTEX_POINT('',#8823); -#8825=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,0.E0)); -#8826=VERTEX_POINT('',#8825); -#8827=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,0.E0)); -#8828=VERTEX_POINT('',#8827); -#8829=CARTESIAN_POINT('',(6.697298944340E0,4.921817E0,0.E0)); -#8830=VERTEX_POINT('',#8829); -#8831=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,0.E0)); -#8832=VERTEX_POINT('',#8831); -#8833=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,0.E0)); -#8834=VERTEX_POINT('',#8833); -#8835=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,0.E0)); -#8836=VERTEX_POINT('',#8835); -#8837=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,0.E0)); -#8838=VERTEX_POINT('',#8837); -#8839=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,0.E0)); -#8840=VERTEX_POINT('',#8839); -#8841=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098999E0,0.E0)); -#8842=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,0.E0)); -#8843=VERTEX_POINT('',#8841); -#8844=VERTEX_POINT('',#8842); -#8845=CARTESIAN_POINT('',(3.048024944341E0,1.166808E0,0.E0)); -#8846=VERTEX_POINT('',#8845); -#8847=CARTESIAN_POINT('',(3.398021944340E0,1.51681E0,0.E0)); -#8848=VERTEX_POINT('',#8847); -#8849=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,0.E0)); -#8850=VERTEX_POINT('',#8849); -#8851=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,0.E0)); -#8852=VERTEX_POINT('',#8851); -#8853=CARTESIAN_POINT('',(2.348021944340E0,5.466807E0,0.E0)); -#8854=VERTEX_POINT('',#8853); -#8855=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,0.E0)); -#8856=VERTEX_POINT('',#8855); -#8857=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,0.E0)); -#8858=VERTEX_POINT('',#8857); -#8859=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,0.E0)); -#8860=VERTEX_POINT('',#8859); -#8861=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,0.E0)); -#8862=VERTEX_POINT('',#8861); -#8863=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,0.E0)); -#8864=VERTEX_POINT('',#8863); -#8865=CARTESIAN_POINT('',(-1.071974055660E0,1.134618586622E0,0.E0)); -#8866=VERTEX_POINT('',#8865); -#8867=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,0.E0)); -#8868=VERTEX_POINT('',#8867); -#8869=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,0.E0)); -#8870=VERTEX_POINT('',#8869); -#8871=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,0.E0)); -#8872=VERTEX_POINT('',#8871); -#8873=CARTESIAN_POINT('',(1.980229443404E-1,1.144541030394E0,0.E0)); -#8874=VERTEX_POINT('',#8873); -#8875=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,0.E0)); -#8876=VERTEX_POINT('',#8875); -#8877=CARTESIAN_POINT('',(1.221762301888E1,1.244094896399E1,0.E0)); -#8878=CARTESIAN_POINT('',(1.251922244434E1,1.296241E1,0.E0)); -#8879=VERTEX_POINT('',#8877); -#8880=VERTEX_POINT('',#8878); -#8881=CARTESIAN_POINT('',(1.131602444434E1,1.296241E1,0.E0)); -#8882=VERTEX_POINT('',#8881); -#8883=CARTESIAN_POINT('',(1.142831881881E1,1.261240665682E1,0.E0)); -#8884=VERTEX_POINT('',#8883); -#8885=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,0.E0)); -#8886=VERTEX_POINT('',#8885); -#8887=CARTESIAN_POINT('',(9.917622991431E0,1.250409625113E1,0.E0)); -#8888=VERTEX_POINT('',#8887); -#8889=CARTESIAN_POINT('',(9.116018444340E0,1.2012405E1,0.E0)); -#8890=VERTEX_POINT('',#8889); -#8891=CARTESIAN_POINT('',(9.917623418972E0,1.152071396626E1,0.E0)); -#8892=VERTEX_POINT('',#8891); -#8893=CARTESIAN_POINT('',(9.917624469696E0,9.104101331556E0,0.E0)); -#8894=VERTEX_POINT('',#8893); -#8895=CARTESIAN_POINT('',(9.116020444340E0,8.612409E0,0.E0)); -#8896=VERTEX_POINT('',#8895); -#8897=CARTESIAN_POINT('',(9.917624897250E0,8.120716885837E0,0.E0)); -#8898=VERTEX_POINT('',#8897); -#8899=CARTESIAN_POINT('',(9.917624944339E0,8.01241E0,0.E0)); -#8900=VERTEX_POINT('',#8899); -#8901=CARTESIAN_POINT('',(1.142831975847E1,8.012410656821E0,0.E0)); -#8902=VERTEX_POINT('',#8901); -#8903=CARTESIAN_POINT('',(1.131602444434E1,7.662406E0,0.E0)); -#8904=VERTEX_POINT('',#8903); -#8905=CARTESIAN_POINT('',(1.251922244434E1,7.662406E0,0.E0)); -#8906=VERTEX_POINT('',#8905); -#8907=CARTESIAN_POINT('',(1.221762486981E1,8.183865971155E0,0.E0)); -#8908=VERTEX_POINT('',#8907); -#8909=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,0.E0)); -#8910=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,0.E0)); -#8911=VERTEX_POINT('',#8909); -#8912=VERTEX_POINT('',#8910); -#8913=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,0.E0)); -#8914=VERTEX_POINT('',#8913); -#8915=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,0.E0)); -#8916=VERTEX_POINT('',#8915); -#8917=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,0.E0)); -#8918=CARTESIAN_POINT('',(-3.073169055660E0,2.7254208E1,0.E0)); -#8919=VERTEX_POINT('',#8917); -#8920=VERTEX_POINT('',#8918); -#8921=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,0.E0)); -#8922=VERTEX_POINT('',#8921); -#8923=CARTESIAN_POINT('',(-4.749569055660E0,2.8067008E1,0.E0)); -#8924=VERTEX_POINT('',#8923); -#8925=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,0.E0)); -#8926=CARTESIAN_POINT('',(-3.073169055660E0,2.5984208E1,0.E0)); -#8927=VERTEX_POINT('',#8925); -#8928=VERTEX_POINT('',#8926); -#8929=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,0.E0)); -#8930=VERTEX_POINT('',#8929); -#8931=CARTESIAN_POINT('',(-4.749569055660E0,2.6797008E1,0.E0)); -#8932=VERTEX_POINT('',#8931); -#8933=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,0.E0)); -#8934=CARTESIAN_POINT('',(-3.073169055660E0,2.4714208E1,0.E0)); -#8935=VERTEX_POINT('',#8933); -#8936=VERTEX_POINT('',#8934); -#8937=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,0.E0)); -#8938=VERTEX_POINT('',#8937); -#8939=CARTESIAN_POINT('',(-4.749569055660E0,2.5527008E1,0.E0)); -#8940=VERTEX_POINT('',#8939); -#8941=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,0.E0)); -#8942=CARTESIAN_POINT('',(-3.073169055660E0,2.3444208E1,0.E0)); -#8943=VERTEX_POINT('',#8941); -#8944=VERTEX_POINT('',#8942); -#8945=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,0.E0)); -#8946=VERTEX_POINT('',#8945); -#8947=CARTESIAN_POINT('',(-4.749569055660E0,2.4257008E1,0.E0)); -#8948=VERTEX_POINT('',#8947); -#8949=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,0.E0)); -#8950=CARTESIAN_POINT('',(2.972030944340E0,2.8067008E1,0.E0)); -#8951=VERTEX_POINT('',#8949); -#8952=VERTEX_POINT('',#8950); -#8953=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,0.E0)); -#8954=VERTEX_POINT('',#8953); -#8955=CARTESIAN_POINT('',(4.648430944340E0,2.7254208E1,0.E0)); -#8956=VERTEX_POINT('',#8955); -#8957=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,0.E0)); -#8958=CARTESIAN_POINT('',(2.972030944340E0,2.6797008E1,0.E0)); -#8959=VERTEX_POINT('',#8957); -#8960=VERTEX_POINT('',#8958); -#8961=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,0.E0)); -#8962=VERTEX_POINT('',#8961); -#8963=CARTESIAN_POINT('',(4.648430944340E0,2.5984208E1,0.E0)); -#8964=VERTEX_POINT('',#8963); -#8965=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,0.E0)); -#8966=CARTESIAN_POINT('',(2.972030944340E0,2.5527008E1,0.E0)); -#8967=VERTEX_POINT('',#8965); -#8968=VERTEX_POINT('',#8966); -#8969=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,0.E0)); -#8970=VERTEX_POINT('',#8969); -#8971=CARTESIAN_POINT('',(4.648430944340E0,2.4714208E1,0.E0)); -#8972=VERTEX_POINT('',#8971); -#8973=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,0.E0)); -#8974=CARTESIAN_POINT('',(2.972030944340E0,2.4257008E1,0.E0)); -#8975=VERTEX_POINT('',#8973); -#8976=VERTEX_POINT('',#8974); -#8977=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,0.E0)); -#8978=VERTEX_POINT('',#8977); -#8979=CARTESIAN_POINT('',(4.648430944340E0,2.3444208E1,0.E0)); -#8980=VERTEX_POINT('',#8979); -#8981=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,0.E0)); -#8982=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,0.E0)); -#8983=VERTEX_POINT('',#8981); -#8984=VERTEX_POINT('',#8982); -#8985=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,0.E0)); -#8986=VERTEX_POINT('',#8985); -#8987=CARTESIAN_POINT('',(3.436901944340E0,4.553695E1,0.E0)); -#8988=VERTEX_POINT('',#8987); -#8989=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,0.E0)); -#8990=VERTEX_POINT('',#8989); -#8991=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,0.E0)); -#8992=VERTEX_POINT('',#8991); -#8993=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,0.E0)); -#8994=VERTEX_POINT('',#8993); -#8995=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,0.E0)); -#8996=VERTEX_POINT('',#8995); -#8997=CARTESIAN_POINT('',(-2.940911055660E0,4.4762326E1,0.E0)); -#8998=VERTEX_POINT('',#8997); -#8999=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,0.E0)); -#9000=VERTEX_POINT('',#8999); -#9001=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,0.E0)); -#9002=VERTEX_POINT('',#9001); -#9003=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,0.E0)); -#9004=VERTEX_POINT('',#9003); -#9005=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,0.E0)); -#9006=VERTEX_POINT('',#9005); -#9007=CARTESIAN_POINT('',(-2.166287055660E0,3.8384513E1,0.E0)); -#9008=VERTEX_POINT('',#9007); -#9009=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,0.E0)); -#9010=VERTEX_POINT('',#9009); -#9011=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,0.E0)); -#9012=VERTEX_POINT('',#9011); -#9013=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,0.E0)); -#9014=VERTEX_POINT('',#9013); -#9015=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,0.E0)); -#9016=VERTEX_POINT('',#9015); -#9017=CARTESIAN_POINT('',(4.211525944340E0,3.9159137E1,0.E0)); -#9018=VERTEX_POINT('',#9017); -#9019=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,0.E0)); -#9020=VERTEX_POINT('',#9019); -#9021=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,0.E0)); -#9022=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,0.E0)); -#9023=VERTEX_POINT('',#9021); -#9024=VERTEX_POINT('',#9022); -#9025=CARTESIAN_POINT('',(2.183614944340E0,3.3308806E1,0.E0)); -#9026=VERTEX_POINT('',#9025); -#9027=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,0.E0)); -#9028=VERTEX_POINT('',#9027); -#9029=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,0.E0)); -#9030=VERTEX_POINT('',#9029); -#9031=CARTESIAN_POINT('',(2.183614944340E0,3.115641E1,0.E0)); -#9032=VERTEX_POINT('',#9031); -#9033=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,0.E0)); -#9034=VERTEX_POINT('',#9033); -#9035=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,0.E0)); -#9036=VERTEX_POINT('',#9035); -#9037=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,0.E0)); -#9038=VERTEX_POINT('',#9037); -#9039=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,0.E0)); -#9040=VERTEX_POINT('',#9039); -#9041=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,0.E0)); -#9042=VERTEX_POINT('',#9041); -#9043=CARTESIAN_POINT('',(4.166846944340E0,3.3308806E1,0.E0)); -#9044=VERTEX_POINT('',#9043); -#9045=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,0.E0)); -#9046=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,0.E0)); -#9047=VERTEX_POINT('',#9045); -#9048=VERTEX_POINT('',#9046); -#9049=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,0.E0)); -#9050=VERTEX_POINT('',#9049); -#9051=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,0.E0)); -#9052=VERTEX_POINT('',#9051); -#9053=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,0.E0)); -#9054=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,0.E0)); -#9055=VERTEX_POINT('',#9053); -#9056=VERTEX_POINT('',#9054); -#9057=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,0.E0)); -#9058=VERTEX_POINT('',#9057); -#9059=CARTESIAN_POINT('',(7.735902944340E0,5.3409647E1,0.E0)); -#9060=VERTEX_POINT('',#9059); -#9061=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,0.E0)); -#9062=VERTEX_POINT('',#9061); -#9063=CARTESIAN_POINT('',(7.177422944340E0,5.2171245E1,0.E0)); -#9064=VERTEX_POINT('',#9063); -#9065=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,0.E0)); -#9066=VERTEX_POINT('',#9065); -#9067=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,0.E0)); -#9068=VERTEX_POINT('',#9067); -#9069=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,0.E0)); -#9070=VERTEX_POINT('',#9069); -#9071=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,0.E0)); -#9072=VERTEX_POINT('',#9071); -#9073=CARTESIAN_POINT('',(7.735902944340E0,4.9889649E1,0.E0)); -#9074=VERTEX_POINT('',#9073); -#9075=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,0.E0)); -#9076=VERTEX_POINT('',#9075); -#9077=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,0.E0)); -#9078=VERTEX_POINT('',#9077); -#9079=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,0.E0)); -#9080=VERTEX_POINT('',#9079); -#9081=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,0.E0)); -#9082=VERTEX_POINT('',#9081); -#9083=CARTESIAN_POINT('',(9.742820047540E0,5.3409647E1,0.E0)); -#9084=VERTEX_POINT('',#9083); -#9085=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,0.E0)); -#9086=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,0.E0)); -#9087=VERTEX_POINT('',#9085); -#9088=VERTEX_POINT('',#9086); -#9089=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,0.E0)); -#9090=VERTEX_POINT('',#9089); -#9091=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,0.E0)); -#9092=VERTEX_POINT('',#9091); -#9093=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,0.E0)); -#9094=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,0.E0)); -#9095=VERTEX_POINT('',#9093); -#9096=VERTEX_POINT('',#9094); -#9097=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,0.E0)); -#9098=VERTEX_POINT('',#9097); -#9099=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,0.E0)); -#9100=VERTEX_POINT('',#9099); -#9101=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,0.E0)); -#9102=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,0.E0)); -#9103=VERTEX_POINT('',#9101); -#9104=VERTEX_POINT('',#9102); -#9105=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,0.E0)); -#9106=VERTEX_POINT('',#9105); -#9107=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,0.E0)); -#9108=VERTEX_POINT('',#9107); -#9109=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,0.E0)); -#9110=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,0.E0)); -#9111=VERTEX_POINT('',#9109); -#9112=VERTEX_POINT('',#9110); -#9113=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,0.E0)); -#9114=VERTEX_POINT('',#9113); -#9115=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,0.E0)); -#9116=VERTEX_POINT('',#9115); -#9117=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,0.E0)); -#9118=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,0.E0)); -#9119=VERTEX_POINT('',#9117); -#9120=VERTEX_POINT('',#9118); -#9121=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,0.E0)); -#9122=VERTEX_POINT('',#9121); -#9123=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,0.E0)); -#9124=VERTEX_POINT('',#9123); -#9125=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,0.E0)); -#9126=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,0.E0)); -#9127=VERTEX_POINT('',#9125); -#9128=VERTEX_POINT('',#9126); -#9129=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,0.E0)); -#9130=VERTEX_POINT('',#9129); -#9131=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,0.E0)); -#9132=VERTEX_POINT('',#9131); -#9133=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,0.E0)); -#9134=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,0.E0)); -#9135=VERTEX_POINT('',#9133); -#9136=VERTEX_POINT('',#9134); -#9137=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,0.E0)); -#9138=VERTEX_POINT('',#9137); -#9139=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,0.E0)); -#9140=VERTEX_POINT('',#9139); -#9141=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,0.E0)); -#9142=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,0.E0)); -#9143=VERTEX_POINT('',#9141); -#9144=VERTEX_POINT('',#9142); -#9145=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,0.E0)); -#9146=VERTEX_POINT('',#9145); -#9147=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,0.E0)); -#9148=VERTEX_POINT('',#9147); -#9149=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,0.E0)); -#9150=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,0.E0)); -#9151=VERTEX_POINT('',#9149); -#9152=VERTEX_POINT('',#9150); -#9153=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,0.E0)); -#9154=VERTEX_POINT('',#9153); -#9155=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,0.E0)); -#9156=VERTEX_POINT('',#9155); -#9157=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,0.E0)); -#9158=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,0.E0)); -#9159=VERTEX_POINT('',#9157); -#9160=VERTEX_POINT('',#9158); -#9161=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,0.E0)); -#9162=VERTEX_POINT('',#9161); -#9163=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,0.E0)); -#9164=VERTEX_POINT('',#9163); -#9165=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,0.E0)); -#9166=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,0.E0)); -#9167=VERTEX_POINT('',#9165); -#9168=VERTEX_POINT('',#9166); -#9169=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,0.E0)); -#9170=VERTEX_POINT('',#9169); -#9171=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,0.E0)); -#9172=VERTEX_POINT('',#9171); -#9173=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,0.E0)); -#9174=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,0.E0)); -#9175=VERTEX_POINT('',#9173); -#9176=VERTEX_POINT('',#9174); -#9177=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,0.E0)); -#9178=VERTEX_POINT('',#9177); -#9179=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,0.E0)); -#9180=VERTEX_POINT('',#9179); -#9181=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,0.E0)); -#9182=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,0.E0)); -#9183=VERTEX_POINT('',#9181); -#9184=VERTEX_POINT('',#9182); -#9185=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,0.E0)); -#9186=VERTEX_POINT('',#9185); -#9187=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,0.E0)); -#9188=VERTEX_POINT('',#9187); -#9189=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,0.E0)); -#9190=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,0.E0)); -#9191=VERTEX_POINT('',#9189); -#9192=VERTEX_POINT('',#9190); -#9193=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,0.E0)); -#9194=VERTEX_POINT('',#9193); -#9195=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,0.E0)); -#9196=VERTEX_POINT('',#9195); -#9197=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,0.E0)); -#9198=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,0.E0)); -#9199=VERTEX_POINT('',#9197); -#9200=VERTEX_POINT('',#9198); -#9201=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,0.E0)); -#9202=VERTEX_POINT('',#9201); -#9203=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,0.E0)); -#9204=VERTEX_POINT('',#9203); -#9205=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,0.E0)); -#9206=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,0.E0)); -#9207=VERTEX_POINT('',#9205); -#9208=VERTEX_POINT('',#9206); -#9209=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,0.E0)); -#9210=VERTEX_POINT('',#9209); -#9211=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,0.E0)); -#9212=VERTEX_POINT('',#9211); -#9213=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,0.E0)); -#9214=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,0.E0)); -#9215=VERTEX_POINT('',#9213); -#9216=VERTEX_POINT('',#9214); -#9217=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,0.E0)); -#9218=VERTEX_POINT('',#9217); -#9219=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,0.E0)); -#9220=VERTEX_POINT('',#9219); -#9221=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,0.E0)); -#9222=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,0.E0)); -#9223=VERTEX_POINT('',#9221); -#9224=VERTEX_POINT('',#9222); -#9225=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,0.E0)); -#9226=VERTEX_POINT('',#9225); -#9227=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,0.E0)); -#9228=VERTEX_POINT('',#9227); -#9229=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,0.E0)); -#9230=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,0.E0)); -#9231=VERTEX_POINT('',#9229); -#9232=VERTEX_POINT('',#9230); -#9233=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,0.E0)); -#9234=VERTEX_POINT('',#9233); -#9235=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,0.E0)); -#9236=VERTEX_POINT('',#9235); -#9237=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,0.E0)); -#9238=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,0.E0)); -#9239=VERTEX_POINT('',#9237); -#9240=VERTEX_POINT('',#9238); -#9241=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,0.E0)); -#9242=VERTEX_POINT('',#9241); -#9243=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,0.E0)); -#9244=VERTEX_POINT('',#9243); -#9245=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,0.E0)); -#9246=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,0.E0)); -#9247=VERTEX_POINT('',#9245); -#9248=VERTEX_POINT('',#9246); -#9249=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,0.E0)); -#9250=VERTEX_POINT('',#9249); -#9251=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,0.E0)); -#9252=VERTEX_POINT('',#9251); -#9253=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,0.E0)); -#9254=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,0.E0)); -#9255=VERTEX_POINT('',#9253); -#9256=VERTEX_POINT('',#9254); -#9257=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,0.E0)); -#9258=VERTEX_POINT('',#9257); -#9259=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,0.E0)); -#9260=VERTEX_POINT('',#9259); -#9261=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,0.E0)); -#9262=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,0.E0)); -#9263=VERTEX_POINT('',#9261); -#9264=VERTEX_POINT('',#9262); -#9265=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,0.E0)); -#9266=VERTEX_POINT('',#9265); -#9267=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,0.E0)); -#9268=VERTEX_POINT('',#9267); -#9269=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,0.E0)); -#9270=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,0.E0)); -#9271=VERTEX_POINT('',#9269); -#9272=VERTEX_POINT('',#9270); -#9273=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,0.E0)); -#9274=VERTEX_POINT('',#9273); -#9275=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,0.E0)); -#9276=VERTEX_POINT('',#9275); -#9277=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,0.E0)); -#9278=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,0.E0)); -#9279=VERTEX_POINT('',#9277); -#9280=VERTEX_POINT('',#9278); -#9281=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,0.E0)); -#9282=VERTEX_POINT('',#9281); -#9283=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,0.E0)); -#9284=VERTEX_POINT('',#9283); -#9285=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,0.E0)); -#9286=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,0.E0)); -#9287=VERTEX_POINT('',#9285); -#9288=VERTEX_POINT('',#9286); -#9289=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,0.E0)); -#9290=VERTEX_POINT('',#9289); -#9291=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,0.E0)); -#9292=VERTEX_POINT('',#9291); -#9293=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,0.E0)); -#9294=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,0.E0)); -#9295=VERTEX_POINT('',#9293); -#9296=VERTEX_POINT('',#9294); -#9297=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,0.E0)); -#9298=VERTEX_POINT('',#9297); -#9299=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,0.E0)); -#9300=VERTEX_POINT('',#9299); -#9301=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,0.E0)); -#9302=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,0.E0)); -#9303=VERTEX_POINT('',#9301); -#9304=VERTEX_POINT('',#9302); -#9305=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,0.E0)); -#9306=VERTEX_POINT('',#9305); -#9307=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,0.E0)); -#9308=VERTEX_POINT('',#9307); -#9309=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,0.E0)); -#9310=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,0.E0)); -#9311=VERTEX_POINT('',#9309); -#9312=VERTEX_POINT('',#9310); -#9313=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,0.E0)); -#9314=VERTEX_POINT('',#9313); -#9315=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,0.E0)); -#9316=VERTEX_POINT('',#9315); -#9317=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,0.E0)); -#9318=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,0.E0)); -#9319=VERTEX_POINT('',#9317); -#9320=VERTEX_POINT('',#9318); -#9321=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,0.E0)); -#9322=VERTEX_POINT('',#9321); -#9323=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,0.E0)); -#9324=VERTEX_POINT('',#9323); -#9325=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,0.E0)); -#9326=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,0.E0)); -#9327=VERTEX_POINT('',#9325); -#9328=VERTEX_POINT('',#9326); -#9329=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,0.E0)); -#9330=VERTEX_POINT('',#9329); -#9331=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,0.E0)); -#9332=VERTEX_POINT('',#9331); -#9333=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,0.E0)); -#9334=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,0.E0)); -#9335=VERTEX_POINT('',#9333); -#9336=VERTEX_POINT('',#9334); -#9337=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,0.E0)); -#9338=VERTEX_POINT('',#9337); -#9339=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,0.E0)); -#9340=VERTEX_POINT('',#9339); -#9341=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,0.E0)); -#9342=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,0.E0)); -#9343=VERTEX_POINT('',#9341); -#9344=VERTEX_POINT('',#9342); -#9345=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,0.E0)); -#9346=VERTEX_POINT('',#9345); -#9347=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,0.E0)); -#9348=VERTEX_POINT('',#9347); -#9349=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,0.E0)); -#9350=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,0.E0)); -#9351=VERTEX_POINT('',#9349); -#9352=VERTEX_POINT('',#9350); -#9353=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,0.E0)); -#9354=VERTEX_POINT('',#9353); -#9355=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,0.E0)); -#9356=VERTEX_POINT('',#9355); -#9357=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,0.E0)); -#9358=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,0.E0)); -#9359=VERTEX_POINT('',#9357); -#9360=VERTEX_POINT('',#9358); -#9361=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,0.E0)); -#9362=VERTEX_POINT('',#9361); -#9363=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,0.E0)); -#9364=VERTEX_POINT('',#9363); -#9365=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,0.E0)); -#9366=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,0.E0)); -#9367=VERTEX_POINT('',#9365); -#9368=VERTEX_POINT('',#9366); -#9369=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,0.E0)); -#9370=VERTEX_POINT('',#9369); -#9371=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,0.E0)); -#9372=VERTEX_POINT('',#9371); -#9373=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,0.E0)); -#9374=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,0.E0)); -#9375=VERTEX_POINT('',#9373); -#9376=VERTEX_POINT('',#9374); -#9377=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,0.E0)); -#9378=VERTEX_POINT('',#9377); -#9379=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,0.E0)); -#9380=VERTEX_POINT('',#9379); -#9381=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,0.E0)); -#9382=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,0.E0)); -#9383=VERTEX_POINT('',#9381); -#9384=VERTEX_POINT('',#9382); -#9385=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,0.E0)); -#9386=VERTEX_POINT('',#9385); -#9387=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,0.E0)); -#9388=VERTEX_POINT('',#9387); -#9389=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,0.E0)); -#9390=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,0.E0)); -#9391=VERTEX_POINT('',#9389); -#9392=VERTEX_POINT('',#9390); -#9393=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,0.E0)); -#9394=VERTEX_POINT('',#9393); -#9395=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,0.E0)); -#9396=VERTEX_POINT('',#9395); -#9397=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,0.E0)); -#9398=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,0.E0)); -#9399=VERTEX_POINT('',#9397); -#9400=VERTEX_POINT('',#9398); -#9401=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,0.E0)); -#9402=VERTEX_POINT('',#9401); -#9403=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,0.E0)); -#9404=VERTEX_POINT('',#9403); -#9405=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,0.E0)); -#9406=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,0.E0)); -#9407=VERTEX_POINT('',#9405); -#9408=VERTEX_POINT('',#9406); -#9409=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,0.E0)); -#9410=VERTEX_POINT('',#9409); -#9411=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,0.E0)); -#9412=VERTEX_POINT('',#9411); -#9413=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,0.E0)); -#9414=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,0.E0)); -#9415=VERTEX_POINT('',#9413); -#9416=VERTEX_POINT('',#9414); -#9417=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,0.E0)); -#9418=VERTEX_POINT('',#9417); -#9419=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,0.E0)); -#9420=VERTEX_POINT('',#9419); -#9421=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,0.E0)); -#9422=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,0.E0)); -#9423=VERTEX_POINT('',#9421); -#9424=VERTEX_POINT('',#9422); -#9425=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,0.E0)); -#9426=VERTEX_POINT('',#9425); -#9427=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,0.E0)); -#9428=VERTEX_POINT('',#9427); -#9429=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,0.E0)); -#9430=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,0.E0)); -#9431=VERTEX_POINT('',#9429); -#9432=VERTEX_POINT('',#9430); -#9433=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,0.E0)); -#9434=VERTEX_POINT('',#9433); -#9435=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,0.E0)); -#9436=VERTEX_POINT('',#9435); -#9437=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,0.E0)); -#9438=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,0.E0)); -#9439=VERTEX_POINT('',#9437); -#9440=VERTEX_POINT('',#9438); -#9441=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,0.E0)); -#9442=VERTEX_POINT('',#9441); -#9443=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,0.E0)); -#9444=VERTEX_POINT('',#9443); -#9445=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,0.E0)); -#9446=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,0.E0)); -#9447=VERTEX_POINT('',#9445); -#9448=VERTEX_POINT('',#9446); -#9449=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,0.E0)); -#9450=VERTEX_POINT('',#9449); -#9451=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,0.E0)); -#9452=VERTEX_POINT('',#9451); -#9453=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,0.E0)); -#9454=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,0.E0)); -#9455=VERTEX_POINT('',#9453); -#9456=VERTEX_POINT('',#9454); -#9457=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,0.E0)); -#9458=VERTEX_POINT('',#9457); -#9459=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,0.E0)); -#9460=VERTEX_POINT('',#9459); -#9461=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,0.E0)); -#9462=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,0.E0)); -#9463=VERTEX_POINT('',#9461); -#9464=VERTEX_POINT('',#9462); -#9465=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,0.E0)); -#9466=VERTEX_POINT('',#9465); -#9467=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,0.E0)); -#9468=VERTEX_POINT('',#9467); -#9469=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,0.E0)); -#9470=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,0.E0)); -#9471=VERTEX_POINT('',#9469); -#9472=VERTEX_POINT('',#9470); -#9473=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,0.E0)); -#9474=VERTEX_POINT('',#9473); -#9475=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,0.E0)); -#9476=VERTEX_POINT('',#9475); -#9477=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,0.E0)); -#9478=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,0.E0)); -#9479=VERTEX_POINT('',#9477); -#9480=VERTEX_POINT('',#9478); -#9481=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,0.E0)); -#9482=VERTEX_POINT('',#9481); -#9483=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,0.E0)); -#9484=VERTEX_POINT('',#9483); -#9485=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,0.E0)); -#9486=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,0.E0)); -#9487=VERTEX_POINT('',#9485); -#9488=VERTEX_POINT('',#9486); -#9489=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,0.E0)); -#9490=VERTEX_POINT('',#9489); -#9491=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,0.E0)); -#9492=VERTEX_POINT('',#9491); -#9493=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,0.E0)); -#9494=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,0.E0)); -#9495=VERTEX_POINT('',#9493); -#9496=VERTEX_POINT('',#9494); -#9497=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,0.E0)); -#9498=VERTEX_POINT('',#9497); -#9499=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,0.E0)); -#9500=VERTEX_POINT('',#9499); -#9501=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,0.E0)); -#9502=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,0.E0)); -#9503=VERTEX_POINT('',#9501); -#9504=VERTEX_POINT('',#9502); -#9505=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,0.E0)); -#9506=VERTEX_POINT('',#9505); -#9507=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,0.E0)); -#9508=VERTEX_POINT('',#9507); -#9509=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,0.E0)); -#9510=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,0.E0)); -#9511=VERTEX_POINT('',#9509); -#9512=VERTEX_POINT('',#9510); -#9513=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,0.E0)); -#9514=VERTEX_POINT('',#9513); -#9515=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,0.E0)); -#9516=VERTEX_POINT('',#9515); -#9517=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,0.E0)); -#9518=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,0.E0)); -#9519=VERTEX_POINT('',#9517); -#9520=VERTEX_POINT('',#9518); -#9521=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,0.E0)); -#9522=VERTEX_POINT('',#9521); -#9523=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,0.E0)); -#9524=VERTEX_POINT('',#9523); -#9525=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,0.E0)); -#9526=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,0.E0)); -#9527=VERTEX_POINT('',#9525); -#9528=VERTEX_POINT('',#9526); -#9529=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,0.E0)); -#9530=VERTEX_POINT('',#9529); -#9531=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,0.E0)); -#9532=VERTEX_POINT('',#9531); -#9533=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,0.E0)); -#9534=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,0.E0)); -#9535=VERTEX_POINT('',#9533); -#9536=VERTEX_POINT('',#9534); -#9537=CARTESIAN_POINT('',(9.175424944340E0,1.9950809E1,0.E0)); -#9538=VERTEX_POINT('',#9537); -#9539=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,0.E0)); -#9540=VERTEX_POINT('',#9539); -#9541=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,0.E0)); -#9542=VERTEX_POINT('',#9541); -#9543=CARTESIAN_POINT('',(9.175424944340E0,1.8250807E1,0.E0)); -#9544=VERTEX_POINT('',#9543); -#9545=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,0.E0)); -#9546=VERTEX_POINT('',#9545); -#9547=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,0.E0)); -#9548=VERTEX_POINT('',#9547); -#9549=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,0.E0)); -#9550=VERTEX_POINT('',#9549); -#9551=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,0.E0)); -#9552=VERTEX_POINT('',#9551); -#9553=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,0.E0)); -#9554=VERTEX_POINT('',#9553); -#9555=CARTESIAN_POINT('',(1.020862094434E1,1.9950809E1,0.E0)); -#9556=VERTEX_POINT('',#9555); -#9557=CARTESIAN_POINT('',(8.633825944340E0,1.8250807E1,0.E0)); -#9558=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,0.E0)); -#9559=VERTEX_POINT('',#9557); -#9560=VERTEX_POINT('',#9558); -#9561=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,0.E0)); -#9562=VERTEX_POINT('',#9561); -#9563=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,0.E0)); -#9564=VERTEX_POINT('',#9563); -#9565=CARTESIAN_POINT('',(8.633825944340E0,1.9950809E1,0.E0)); -#9566=VERTEX_POINT('',#9565); -#9567=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,0.E0)); -#9568=VERTEX_POINT('',#9567); -#9569=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,0.E0)); -#9570=VERTEX_POINT('',#9569); -#9571=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,0.E0)); -#9572=VERTEX_POINT('',#9571); -#9573=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,0.E0)); -#9574=VERTEX_POINT('',#9573); -#9575=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,0.E0)); -#9576=VERTEX_POINT('',#9575); -#9577=CARTESIAN_POINT('',(7.600619944340E0,1.8250807E1,0.E0)); -#9578=VERTEX_POINT('',#9577); -#9579=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,0.E0)); -#9580=VERTEX_POINT('',#9579); -#9581=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,0.E0)); -#9582=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,0.E0)); -#9583=VERTEX_POINT('',#9581); -#9584=VERTEX_POINT('',#9582); -#9585=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,0.E0)); -#9586=VERTEX_POINT('',#9585); -#9587=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,0.E0)); -#9588=VERTEX_POINT('',#9587); -#9589=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,0.E0)); -#9590=VERTEX_POINT('',#9589); -#9591=CARTESIAN_POINT('',(2.580621944340E0,1.9322608E1,0.E0)); -#9592=VERTEX_POINT('',#9591); -#9593=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,0.E0)); -#9594=VERTEX_POINT('',#9593); -#9595=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,0.E0)); -#9596=VERTEX_POINT('',#9595); -#9597=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,0.E0)); -#9598=VERTEX_POINT('',#9597); -#9599=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,0.E0)); -#9600=VERTEX_POINT('',#9599); -#9601=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,0.E0)); -#9602=VERTEX_POINT('',#9601); -#9603=CARTESIAN_POINT('',(3.780621944340E0,1.7522597E1,0.E0)); -#9604=VERTEX_POINT('',#9603); -#9605=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,0.E0)); -#9606=VERTEX_POINT('',#9605); -#9607=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,0.E0)); -#9608=VERTEX_POINT('',#9607); -#9609=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,0.E0)); -#9610=VERTEX_POINT('',#9609); -#9611=CARTESIAN_POINT('',(3.780621944340E0,1.9390419E1,0.E0)); -#9612=VERTEX_POINT('',#9611); -#9613=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,0.E0)); -#9614=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,0.E0)); -#9615=VERTEX_POINT('',#9613); -#9616=VERTEX_POINT('',#9614); -#9617=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,0.E0)); -#9618=VERTEX_POINT('',#9617); -#9619=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,0.E0)); -#9620=VERTEX_POINT('',#9619); -#9621=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,0.E0)); -#9622=VERTEX_POINT('',#9621); -#9623=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,0.E0)); -#9624=VERTEX_POINT('',#9623); -#9625=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,0.E0)); -#9626=VERTEX_POINT('',#9625); -#9627=CARTESIAN_POINT('',(-3.293577055660E0,2.0777208E1,0.E0)); -#9628=VERTEX_POINT('',#9627); -#9629=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,0.E0)); -#9630=VERTEX_POINT('',#9629); -#9631=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,0.E0)); -#9632=VERTEX_POINT('',#9631); -#9633=CARTESIAN_POINT('',(-2.093577055660E0,1.9827197E1,0.E0)); -#9634=VERTEX_POINT('',#9633); -#9635=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,0.E0)); -#9636=VERTEX_POINT('',#9635); -#9637=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,0.E0)); -#9638=VERTEX_POINT('',#9637); -#9639=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,0.E0)); -#9640=VERTEX_POINT('',#9639); -#9641=CARTESIAN_POINT('',(-2.093577055660E0,2.1727219E1,0.E0)); -#9642=VERTEX_POINT('',#9641); -#9643=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,0.E0)); -#9644=VERTEX_POINT('',#9643); -#9645=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,0.E0)); -#9646=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,0.E0)); -#9647=VERTEX_POINT('',#9645); -#9648=VERTEX_POINT('',#9646); -#9649=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,0.E0)); -#9650=VERTEX_POINT('',#9649); -#9651=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,0.E0)); -#9652=VERTEX_POINT('',#9651); -#9653=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,0.E0)); -#9654=VERTEX_POINT('',#9653); -#9655=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,0.E0)); -#9656=VERTEX_POINT('',#9655); -#9657=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,0.E0)); -#9658=VERTEX_POINT('',#9657); -#9659=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,0.E0)); -#9660=VERTEX_POINT('',#9659); -#9661=CARTESIAN_POINT('',(-4.770788055660E0,1.7043408E1,0.E0)); -#9662=VERTEX_POINT('',#9661); -#9663=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,0.E0)); -#9664=VERTEX_POINT('',#9663); -#9665=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,0.E0)); -#9666=VERTEX_POINT('',#9665); -#9667=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,0.E0)); -#9668=VERTEX_POINT('',#9667); -#9669=CARTESIAN_POINT('',(-2.870767055660E0,1.7043408E1,0.E0)); -#9670=VERTEX_POINT('',#9669); -#9671=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,0.E0)); -#9672=VERTEX_POINT('',#9671); -#9673=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,0.E0)); -#9674=VERTEX_POINT('',#9673); -#9675=CARTESIAN_POINT('',(-3.820777055660E0,1.8243408E1,0.E0)); -#9676=VERTEX_POINT('',#9675); -#9677=CARTESIAN_POINT('',(-1.884177055660E0,1.4300208E1,0.E0)); -#9678=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,0.E0)); -#9679=VERTEX_POINT('',#9677); -#9680=VERTEX_POINT('',#9678); -#9681=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,0.E0)); -#9682=VERTEX_POINT('',#9681); -#9683=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,0.E0)); -#9684=VERTEX_POINT('',#9683); -#9685=CARTESIAN_POINT('',(-3.084177055660E0,1.5250219E1,0.E0)); -#9686=VERTEX_POINT('',#9685); -#9687=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,0.E0)); -#9688=VERTEX_POINT('',#9687); -#9689=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,0.E0)); -#9690=VERTEX_POINT('',#9689); -#9691=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,0.E0)); -#9692=VERTEX_POINT('',#9691); -#9693=CARTESIAN_POINT('',(-3.084177055660E0,1.3350197E1,0.E0)); -#9694=VERTEX_POINT('',#9693); -#9695=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,0.E0)); -#9696=VERTEX_POINT('',#9695); -#9697=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,0.E0)); -#9698=VERTEX_POINT('',#9697); -#9699=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,0.E0)); -#9700=VERTEX_POINT('',#9699); -#9701=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,0.E0)); -#9702=VERTEX_POINT('',#9701); -#9703=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,0.E0)); -#9704=VERTEX_POINT('',#9703); -#9705=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,0.E0)); -#9706=VERTEX_POINT('',#9705); -#9707=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,0.E0)); -#9708=VERTEX_POINT('',#9707); -#9709=CARTESIAN_POINT('',(-4.395780556596E-1,1.1698207E1,0.E0)); -#9710=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,0.E0)); -#9711=VERTEX_POINT('',#9709); -#9712=VERTEX_POINT('',#9710); -#9713=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,0.E0)); -#9714=VERTEX_POINT('',#9713); -#9715=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,0.E0)); -#9716=VERTEX_POINT('',#9715); -#9717=CARTESIAN_POINT('',(-3.239577055660E0,1.1698207E1,0.E0)); -#9718=VERTEX_POINT('',#9717); -#9719=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,0.E0)); -#9720=VERTEX_POINT('',#9719); -#9721=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,0.E0)); -#9722=VERTEX_POINT('',#9721); -#9723=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,0.E0)); -#9724=VERTEX_POINT('',#9723); -#9725=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,0.E0)); -#9726=VERTEX_POINT('',#9725); -#9727=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,0.E0)); -#9728=VERTEX_POINT('',#9727); -#9729=CARTESIAN_POINT('',(-4.395780556596E-1,1.0298209E1,0.E0)); -#9730=VERTEX_POINT('',#9729); -#9731=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,0.E0)); -#9732=VERTEX_POINT('',#9731); -#9733=CARTESIAN_POINT('',(5.664420944340E0,1.5855005E1,0.E0)); -#9734=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,0.E0)); -#9735=VERTEX_POINT('',#9733); -#9736=VERTEX_POINT('',#9734); -#9737=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,0.E0)); -#9738=VERTEX_POINT('',#9737); -#9739=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,0.E0)); -#9740=VERTEX_POINT('',#9739); -#9741=CARTESIAN_POINT('',(3.864418944340E0,1.5855005E1,0.E0)); -#9742=VERTEX_POINT('',#9741); -#9743=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,0.E0)); -#9744=VERTEX_POINT('',#9743); -#9745=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,0.E0)); -#9746=VERTEX_POINT('',#9745); -#9747=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,0.E0)); -#9748=VERTEX_POINT('',#9747); -#9749=CARTESIAN_POINT('',(3.864418944340E0,1.4905005E1,0.E0)); -#9750=VERTEX_POINT('',#9749); -#9751=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,0.E0)); -#9752=VERTEX_POINT('',#9751); -#9753=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,0.E0)); -#9754=VERTEX_POINT('',#9753); -#9755=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,0.E0)); -#9756=VERTEX_POINT('',#9755); -#9757=CARTESIAN_POINT('',(3.864418944340E0,1.3955007E1,0.E0)); -#9758=VERTEX_POINT('',#9757); -#9759=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,0.E0)); -#9760=VERTEX_POINT('',#9759); -#9761=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,0.E0)); -#9762=VERTEX_POINT('',#9761); -#9763=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,0.E0)); -#9764=VERTEX_POINT('',#9763); -#9765=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,0.E0)); -#9766=VERTEX_POINT('',#9765); -#9767=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,0.E0)); -#9768=VERTEX_POINT('',#9767); -#9769=CARTESIAN_POINT('',(5.664420944340E0,1.3355008E1,0.E0)); -#9770=VERTEX_POINT('',#9769); -#9771=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,0.E0)); -#9772=VERTEX_POINT('',#9771); -#9773=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,0.E0)); -#9774=VERTEX_POINT('',#9773); -#9775=CARTESIAN_POINT('',(5.664420944340E0,1.3955007E1,0.E0)); -#9776=VERTEX_POINT('',#9775); -#9777=CARTESIAN_POINT('',(5.664420944340E0,1.5255007E1,0.E0)); -#9778=VERTEX_POINT('',#9777); -#9779=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,0.E0)); -#9780=VERTEX_POINT('',#9779); -#9781=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,0.E0)); -#9782=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,0.E0)); -#9783=VERTEX_POINT('',#9781); -#9784=VERTEX_POINT('',#9782); -#9785=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,0.E0)); -#9786=VERTEX_POINT('',#9785); -#9787=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,0.E0)); -#9788=VERTEX_POINT('',#9787); -#9789=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,0.E0)); -#9790=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,0.E0)); -#9791=VERTEX_POINT('',#9789); -#9792=VERTEX_POINT('',#9790); -#9793=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,0.E0)); -#9794=VERTEX_POINT('',#9793); -#9795=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,0.E0)); -#9796=VERTEX_POINT('',#9795); -#9797=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,0.E0)); -#9798=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,0.E0)); -#9799=VERTEX_POINT('',#9797); -#9800=VERTEX_POINT('',#9798); -#9801=CARTESIAN_POINT('',(-7.996776055660E0,1.5454206E1,0.E0)); -#9802=VERTEX_POINT('',#9801); -#9803=CARTESIAN_POINT('',(-9.296776055660E0,1.5454206E1,0.E0)); -#9804=VERTEX_POINT('',#9803); -#9805=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,0.E0)); -#9806=VERTEX_POINT('',#9805); -#9807=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,0.E0)); -#9808=VERTEX_POINT('',#9807); -#9809=CARTESIAN_POINT('',(-9.896775055660E0,1.5454206E1,0.E0)); -#9810=VERTEX_POINT('',#9809); -#9811=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,0.E0)); -#9812=VERTEX_POINT('',#9811); -#9813=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,0.E0)); -#9814=VERTEX_POINT('',#9813); -#9815=CARTESIAN_POINT('',(-9.896775055660E0,1.3654205E1,0.E0)); -#9816=VERTEX_POINT('',#9815); -#9817=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,0.E0)); -#9818=VERTEX_POINT('',#9817); -#9819=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,0.E0)); -#9820=VERTEX_POINT('',#9819); -#9821=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,0.E0)); -#9822=VERTEX_POINT('',#9821); -#9823=CARTESIAN_POINT('',(-8.946774055660E0,1.3654205E1,0.E0)); -#9824=VERTEX_POINT('',#9823); -#9825=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,0.E0)); -#9826=VERTEX_POINT('',#9825); -#9827=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,0.E0)); -#9828=VERTEX_POINT('',#9827); -#9829=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,0.E0)); -#9830=VERTEX_POINT('',#9829); -#9831=CARTESIAN_POINT('',(-7.996776055660E0,1.3654205E1,0.E0)); -#9832=VERTEX_POINT('',#9831); -#9833=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,0.E0)); -#9834=VERTEX_POINT('',#9833); -#9835=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,0.E0)); -#9836=VERTEX_POINT('',#9835); -#9837=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,0.E0)); -#9838=VERTEX_POINT('',#9837); -#9839=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,0.E0)); -#9840=VERTEX_POINT('',#9839); -#9841=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,0.E0)); -#9842=VERTEX_POINT('',#9841); -#9843=CARTESIAN_POINT('',(-7.396777055660E0,1.5454206E1,0.E0)); -#9844=VERTEX_POINT('',#9843); -#9845=CARTESIAN_POINT('',(1.212122044434E1,5.1943008E1,0.E0)); -#9846=CARTESIAN_POINT('',(1.071802244434E1,5.1943008E1,0.E0)); -#9847=VERTEX_POINT('',#9845); -#9848=VERTEX_POINT('',#9846); -#9849=CARTESIAN_POINT('',(1.212122044434E1,4.9403008E1,0.E0)); -#9850=CARTESIAN_POINT('',(1.071802244434E1,4.9403008E1,0.E0)); -#9851=VERTEX_POINT('',#9849); -#9852=VERTEX_POINT('',#9850); -#9853=CARTESIAN_POINT('',(1.212122044434E1,4.6863008E1,0.E0)); -#9854=CARTESIAN_POINT('',(1.071802244434E1,4.6863008E1,0.E0)); -#9855=VERTEX_POINT('',#9853); -#9856=VERTEX_POINT('',#9854); -#9857=CARTESIAN_POINT('',(1.212122044434E1,4.4323008E1,0.E0)); -#9858=CARTESIAN_POINT('',(1.071802244434E1,4.4323008E1,0.E0)); -#9859=VERTEX_POINT('',#9857); -#9860=VERTEX_POINT('',#9858); -#9861=CARTESIAN_POINT('',(1.212122044434E1,4.1783008E1,0.E0)); -#9862=CARTESIAN_POINT('',(1.071802244434E1,4.1783008E1,0.E0)); -#9863=VERTEX_POINT('',#9861); -#9864=VERTEX_POINT('',#9862); -#9865=CARTESIAN_POINT('',(1.212122044434E1,3.9243008E1,0.E0)); -#9866=CARTESIAN_POINT('',(1.071802244434E1,3.9243008E1,0.E0)); -#9867=VERTEX_POINT('',#9865); -#9868=VERTEX_POINT('',#9866); -#9869=CARTESIAN_POINT('',(1.222122044434E1,3.6703008E1,0.E0)); -#9870=CARTESIAN_POINT('',(1.061802244434E1,3.6703008E1,0.E0)); -#9871=VERTEX_POINT('',#9869); -#9872=VERTEX_POINT('',#9870); -#9873=CARTESIAN_POINT('',(1.222122044434E1,3.4163008E1,0.E0)); -#9874=CARTESIAN_POINT('',(1.061802244434E1,3.4163008E1,0.E0)); -#9875=VERTEX_POINT('',#9873); -#9876=VERTEX_POINT('',#9874); -#9877=CARTESIAN_POINT('',(1.222122044434E1,3.1623008E1,0.E0)); -#9878=CARTESIAN_POINT('',(1.061802244434E1,3.1623008E1,0.E0)); -#9879=VERTEX_POINT('',#9877); -#9880=VERTEX_POINT('',#9878); -#9881=CARTESIAN_POINT('',(1.222122044434E1,2.9083008E1,0.E0)); -#9882=CARTESIAN_POINT('',(1.061802244434E1,2.9083008E1,0.E0)); -#9883=VERTEX_POINT('',#9881); -#9884=VERTEX_POINT('',#9882); -#9885=CARTESIAN_POINT('',(1.222122044434E1,2.6543008E1,0.E0)); -#9886=CARTESIAN_POINT('',(1.061802244434E1,2.6543008E1,0.E0)); -#9887=VERTEX_POINT('',#9885); -#9888=VERTEX_POINT('',#9886); -#9889=CARTESIAN_POINT('',(1.222122044434E1,2.4003008E1,0.E0)); -#9890=CARTESIAN_POINT('',(1.061802244434E1,2.4003008E1,0.E0)); -#9891=VERTEX_POINT('',#9889); -#9892=VERTEX_POINT('',#9890); -#9893=CARTESIAN_POINT('',(-1.063877955566E1,5.1943407E1,0.E0)); -#9894=CARTESIAN_POINT('',(-1.224197755566E1,5.1943407E1,0.E0)); -#9895=VERTEX_POINT('',#9893); -#9896=VERTEX_POINT('',#9894); -#9897=CARTESIAN_POINT('',(-1.073877955566E1,4.9403407E1,0.E0)); -#9898=CARTESIAN_POINT('',(-1.214197755566E1,4.9403407E1,0.E0)); -#9899=VERTEX_POINT('',#9897); -#9900=VERTEX_POINT('',#9898); -#9901=CARTESIAN_POINT('',(-1.073877955566E1,4.6863407E1,0.E0)); -#9902=CARTESIAN_POINT('',(-1.214197755566E1,4.6863407E1,0.E0)); -#9903=VERTEX_POINT('',#9901); -#9904=VERTEX_POINT('',#9902); -#9905=CARTESIAN_POINT('',(-1.073877955566E1,4.4323407E1,0.E0)); -#9906=CARTESIAN_POINT('',(-1.214197755566E1,4.4323407E1,0.E0)); -#9907=VERTEX_POINT('',#9905); -#9908=VERTEX_POINT('',#9906); -#9909=CARTESIAN_POINT('',(-1.073877955566E1,4.1783407E1,0.E0)); -#9910=CARTESIAN_POINT('',(-1.214197755566E1,4.1783407E1,0.E0)); -#9911=VERTEX_POINT('',#9909); -#9912=VERTEX_POINT('',#9910); -#9913=CARTESIAN_POINT('',(-1.073877955566E1,3.9243407E1,0.E0)); -#9914=CARTESIAN_POINT('',(-1.214197755566E1,3.9243407E1,0.E0)); -#9915=VERTEX_POINT('',#9913); -#9916=VERTEX_POINT('',#9914); -#9917=CARTESIAN_POINT('',(-1.073877955566E1,3.6703407E1,0.E0)); -#9918=CARTESIAN_POINT('',(-1.214197755566E1,3.6703407E1,0.E0)); -#9919=VERTEX_POINT('',#9917); -#9920=VERTEX_POINT('',#9918); -#9921=CARTESIAN_POINT('',(-1.073877955566E1,3.4163407E1,0.E0)); -#9922=CARTESIAN_POINT('',(-1.214197755566E1,3.4163407E1,0.E0)); -#9923=VERTEX_POINT('',#9921); -#9924=VERTEX_POINT('',#9922); -#9925=CARTESIAN_POINT('',(-1.073877955566E1,3.1623407E1,0.E0)); -#9926=CARTESIAN_POINT('',(-1.214197755566E1,3.1623407E1,0.E0)); -#9927=VERTEX_POINT('',#9925); -#9928=VERTEX_POINT('',#9926); -#9929=CARTESIAN_POINT('',(-1.073877955566E1,2.9083407E1,0.E0)); -#9930=CARTESIAN_POINT('',(-1.214197755566E1,2.9083407E1,0.E0)); -#9931=VERTEX_POINT('',#9929); -#9932=VERTEX_POINT('',#9930); -#9933=CARTESIAN_POINT('',(-1.073877955566E1,2.6543407E1,0.E0)); -#9934=CARTESIAN_POINT('',(-1.214197755566E1,2.6543407E1,0.E0)); -#9935=VERTEX_POINT('',#9933); -#9936=VERTEX_POINT('',#9934); -#9937=CARTESIAN_POINT('',(-1.073877955566E1,2.4003407E1,0.E0)); -#9938=CARTESIAN_POINT('',(-1.214197755566E1,2.4003407E1,0.E0)); -#9939=VERTEX_POINT('',#9937); -#9940=VERTEX_POINT('',#9938); -#9941=CARTESIAN_POINT('',(-1.175250871665E1,6.0782208E1,-1.2E0)); -#9942=CARTESIAN_POINT('',(-1.275250863619E1,5.978180685745E1,-1.2E0)); -#9943=VERTEX_POINT('',#9941); -#9944=VERTEX_POINT('',#9942); -#9945=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.2E0)); -#9946=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.2E0)); -#9947=VERTEX_POINT('',#9945); -#9948=VERTEX_POINT('',#9946); -#9949=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.2E0)); -#9950=VERTEX_POINT('',#9949); -#9951=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-1.2E0)); -#9952=VERTEX_POINT('',#9951); -#9953=CARTESIAN_POINT('',(3.037222944340E0,0.E0,-1.2E0)); -#9954=VERTEX_POINT('',#9953); -#9955=CARTESIAN_POINT('',(1.173996997514E1,0.E0,-1.2E0)); -#9956=VERTEX_POINT('',#9955); -#9957=CARTESIAN_POINT('',(1.273996995171E1,9.997835492410E-1,-1.2E0)); -#9958=VERTEX_POINT('',#9957); -#9959=CARTESIAN_POINT('',(1.275269344151E1,5.978199154876E1,-1.2E0)); -#9960=VERTEX_POINT('',#9959); -#9961=CARTESIAN_POINT('',(1.175269346494E1,6.0782208E1,-1.2E0)); -#9962=VERTEX_POINT('',#9961); -#9963=CARTESIAN_POINT('',(-1.272892858623E1,9.995988574065E-1,-1.2E0)); -#9964=VERTEX_POINT('',#9963); -#9965=CARTESIAN_POINT('',(-1.172892866669E1,0.E0,-1.2E0)); -#9966=VERTEX_POINT('',#9965); -#9967=CARTESIAN_POINT('',(-3.312777055660E0,0.E0,-1.2E0)); -#9968=VERTEX_POINT('',#9967); -#9969=CARTESIAN_POINT('',(-3.312777055660E0,6.3E0,-1.2E0)); -#9970=VERTEX_POINT('',#9969); -#9971=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.2E0)); -#9972=VERTEX_POINT('',#9971); -#9973=CARTESIAN_POINT('',(8.979445944340E0,5.7658011E1,-1.2E0)); -#9974=CARTESIAN_POINT('',(1.086179394434E1,5.7658011E1,-1.2E0)); -#9975=VERTEX_POINT('',#9973); -#9976=VERTEX_POINT('',#9974); -#9977=CARTESIAN_POINT('',(1.071802244434E1,5.1943008E1,-1.2E0)); -#9978=CARTESIAN_POINT('',(1.212122044434E1,5.1943008E1,-1.2E0)); -#9979=VERTEX_POINT('',#9977); -#9980=VERTEX_POINT('',#9978); -#9981=CARTESIAN_POINT('',(1.071802244434E1,4.9403008E1,-1.2E0)); -#9982=CARTESIAN_POINT('',(1.212122044434E1,4.9403008E1,-1.2E0)); -#9983=VERTEX_POINT('',#9981); -#9984=VERTEX_POINT('',#9982); -#9985=CARTESIAN_POINT('',(1.071802244434E1,4.6863008E1,-1.2E0)); -#9986=CARTESIAN_POINT('',(1.212122044434E1,4.6863008E1,-1.2E0)); -#9987=VERTEX_POINT('',#9985); -#9988=VERTEX_POINT('',#9986); -#9989=CARTESIAN_POINT('',(1.071802244434E1,4.4323008E1,-1.2E0)); -#9990=CARTESIAN_POINT('',(1.212122044434E1,4.4323008E1,-1.2E0)); -#9991=VERTEX_POINT('',#9989); -#9992=VERTEX_POINT('',#9990); -#9993=CARTESIAN_POINT('',(1.071802244434E1,4.1783008E1,-1.2E0)); -#9994=CARTESIAN_POINT('',(1.212122044434E1,4.1783008E1,-1.2E0)); -#9995=VERTEX_POINT('',#9993); -#9996=VERTEX_POINT('',#9994); -#9997=CARTESIAN_POINT('',(1.071802244434E1,3.9243008E1,-1.2E0)); -#9998=CARTESIAN_POINT('',(1.212122044434E1,3.9243008E1,-1.2E0)); -#9999=VERTEX_POINT('',#9997); -#10000=VERTEX_POINT('',#9998); -#10001=CARTESIAN_POINT('',(1.061802244434E1,3.6703008E1,-1.2E0)); -#10002=CARTESIAN_POINT('',(1.222122044434E1,3.6703008E1,-1.2E0)); -#10003=VERTEX_POINT('',#10001); -#10004=VERTEX_POINT('',#10002); -#10005=CARTESIAN_POINT('',(1.061802244434E1,3.4163008E1,-1.2E0)); -#10006=CARTESIAN_POINT('',(1.222122044434E1,3.4163008E1,-1.2E0)); -#10007=VERTEX_POINT('',#10005); -#10008=VERTEX_POINT('',#10006); -#10009=CARTESIAN_POINT('',(1.061802244434E1,3.1623008E1,-1.2E0)); -#10010=CARTESIAN_POINT('',(1.222122044434E1,3.1623008E1,-1.2E0)); -#10011=VERTEX_POINT('',#10009); -#10012=VERTEX_POINT('',#10010); -#10013=CARTESIAN_POINT('',(1.061802244434E1,2.9083008E1,-1.2E0)); -#10014=CARTESIAN_POINT('',(1.222122044434E1,2.9083008E1,-1.2E0)); -#10015=VERTEX_POINT('',#10013); -#10016=VERTEX_POINT('',#10014); -#10017=CARTESIAN_POINT('',(1.061802244434E1,2.6543008E1,-1.2E0)); -#10018=CARTESIAN_POINT('',(1.222122044434E1,2.6543008E1,-1.2E0)); -#10019=VERTEX_POINT('',#10017); -#10020=VERTEX_POINT('',#10018); -#10021=CARTESIAN_POINT('',(1.061802244434E1,2.4003008E1,-1.2E0)); -#10022=CARTESIAN_POINT('',(1.222122044434E1,2.4003008E1,-1.2E0)); -#10023=VERTEX_POINT('',#10021); -#10024=VERTEX_POINT('',#10022); -#10025=CARTESIAN_POINT('',(-1.224197755566E1,5.1943407E1,-1.2E0)); -#10026=CARTESIAN_POINT('',(-1.063877955566E1,5.1943407E1,-1.2E0)); -#10027=VERTEX_POINT('',#10025); -#10028=VERTEX_POINT('',#10026); -#10029=CARTESIAN_POINT('',(-1.214197755566E1,4.9403407E1,-1.2E0)); -#10030=CARTESIAN_POINT('',(-1.073877955566E1,4.9403407E1,-1.2E0)); -#10031=VERTEX_POINT('',#10029); -#10032=VERTEX_POINT('',#10030); -#10033=CARTESIAN_POINT('',(-1.214197755566E1,4.6863407E1,-1.2E0)); -#10034=CARTESIAN_POINT('',(-1.073877955566E1,4.6863407E1,-1.2E0)); -#10035=VERTEX_POINT('',#10033); -#10036=VERTEX_POINT('',#10034); -#10037=CARTESIAN_POINT('',(-1.214197755566E1,4.4323407E1,-1.2E0)); -#10038=CARTESIAN_POINT('',(-1.073877955566E1,4.4323407E1,-1.2E0)); -#10039=VERTEX_POINT('',#10037); -#10040=VERTEX_POINT('',#10038); -#10041=CARTESIAN_POINT('',(-1.214197755566E1,4.1783407E1,-1.2E0)); -#10042=CARTESIAN_POINT('',(-1.073877955566E1,4.1783407E1,-1.2E0)); -#10043=VERTEX_POINT('',#10041); -#10044=VERTEX_POINT('',#10042); -#10045=CARTESIAN_POINT('',(-1.214197755566E1,3.9243407E1,-1.2E0)); -#10046=CARTESIAN_POINT('',(-1.073877955566E1,3.9243407E1,-1.2E0)); -#10047=VERTEX_POINT('',#10045); -#10048=VERTEX_POINT('',#10046); -#10049=CARTESIAN_POINT('',(-1.214197755566E1,3.6703407E1,-1.2E0)); -#10050=CARTESIAN_POINT('',(-1.073877955566E1,3.6703407E1,-1.2E0)); -#10051=VERTEX_POINT('',#10049); -#10052=VERTEX_POINT('',#10050); -#10053=CARTESIAN_POINT('',(-1.214197755566E1,3.4163407E1,-1.2E0)); -#10054=CARTESIAN_POINT('',(-1.073877955566E1,3.4163407E1,-1.2E0)); -#10055=VERTEX_POINT('',#10053); -#10056=VERTEX_POINT('',#10054); -#10057=CARTESIAN_POINT('',(-1.214197755566E1,3.1623407E1,-1.2E0)); -#10058=CARTESIAN_POINT('',(-1.073877955566E1,3.1623407E1,-1.2E0)); -#10059=VERTEX_POINT('',#10057); -#10060=VERTEX_POINT('',#10058); -#10061=CARTESIAN_POINT('',(-1.214197755566E1,2.9083407E1,-1.2E0)); -#10062=CARTESIAN_POINT('',(-1.073877955566E1,2.9083407E1,-1.2E0)); -#10063=VERTEX_POINT('',#10061); -#10064=VERTEX_POINT('',#10062); -#10065=CARTESIAN_POINT('',(-1.214197755566E1,2.6543407E1,-1.2E0)); -#10066=CARTESIAN_POINT('',(-1.073877955566E1,2.6543407E1,-1.2E0)); -#10067=VERTEX_POINT('',#10065); -#10068=VERTEX_POINT('',#10066); -#10069=CARTESIAN_POINT('',(-1.214197755566E1,2.4003407E1,-1.2E0)); -#10070=CARTESIAN_POINT('',(-1.073877955566E1,2.4003407E1,-1.2E0)); -#10071=VERTEX_POINT('',#10069); -#10072=VERTEX_POINT('',#10070); -#10073=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.2E0)); -#10074=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.2E0)); -#10075=VERTEX_POINT('',#10073); -#10076=VERTEX_POINT('',#10074); -#10077=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-1.2E0)); -#10078=VERTEX_POINT('',#10077); -#10079=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-1.2E0)); -#10080=VERTEX_POINT('',#10079); -#10081=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.2E0)); -#10082=VERTEX_POINT('',#10081); -#10083=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.2E0)); -#10084=VERTEX_POINT('',#10083); -#10085=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.2E0)); -#10086=VERTEX_POINT('',#10085); -#10087=CARTESIAN_POINT('',(9.249818078140E0,3.5234443E1,-1.2E0)); -#10088=VERTEX_POINT('',#10087); -#10089=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-1.2E0)); -#10090=VERTEX_POINT('',#10089); -#10091=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-1.2E0)); -#10092=VERTEX_POINT('',#10091); -#10093=CARTESIAN_POINT('',(-9.250181921890E0,3.5134545E1,-1.2E0)); -#10094=VERTEX_POINT('',#10093); -#10095=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.2E0)); -#10096=VERTEX_POINT('',#10095); -#10097=CARTESIAN_POINT('',(-1.106558605566E1,5.766161E1,-1.2E0)); -#10098=CARTESIAN_POINT('',(-9.115887055660E0,5.766161E1,-1.2E0)); -#10099=VERTEX_POINT('',#10097); -#10100=VERTEX_POINT('',#10098); -#10101=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-1.2E0)); -#10102=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-1.2E0)); -#10103=VERTEX_POINT('',#10101); -#10104=VERTEX_POINT('',#10102); -#10105=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-1.2E0)); -#10106=VERTEX_POINT('',#10105); -#10107=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-1.2E0)); -#10108=VERTEX_POINT('',#10107); -#10109=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-1.2E0)); -#10110=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-1.2E0)); -#10111=VERTEX_POINT('',#10109); -#10112=VERTEX_POINT('',#10110); -#10113=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-1.2E0)); -#10114=VERTEX_POINT('',#10113); -#10115=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-1.2E0)); -#10116=VERTEX_POINT('',#10115); -#10117=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.5E0)); -#10118=VERTEX_POINT('',#10117); -#10119=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.5E0)); -#10120=VERTEX_POINT('',#10119); -#10121=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.271988767641E0)); -#10122=VERTEX_POINT('',#10121); -#10123=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.5E0)); -#10124=VERTEX_POINT('',#10123); -#10125=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-1.2E0)); -#10126=VERTEX_POINT('',#10125); -#10127=CARTESIAN_POINT('',(-4.612777055660E0,-1.2E0,-2.5E0)); -#10128=VERTEX_POINT('',#10127); -#10129=CARTESIAN_POINT('',(-4.612777055660E0,6.3E0,-2.5E0)); -#10130=VERTEX_POINT('',#10129); -#10131=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-1.2E0)); -#10132=VERTEX_POINT('',#10131); -#10133=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.271988420250E0)); -#10134=VERTEX_POINT('',#10133); -#10135=CARTESIAN_POINT('',(4.337222944340E0,6.3E0,-2.5E0)); -#10136=VERTEX_POINT('',#10135); -#10137=CARTESIAN_POINT('',(4.337222944340E0,-1.2E0,-2.5E0)); -#10138=VERTEX_POINT('',#10137); -#10139=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.5E0)); -#10140=VERTEX_POINT('',#10139); -#10141=CARTESIAN_POINT('',(-4.612777055660E0,6.3E0,-3.06E0)); -#10142=VERTEX_POINT('',#10141); -#10143=CARTESIAN_POINT('',(-3.312777055659E0,6.3E0,-4.36E0)); -#10144=VERTEX_POINT('',#10143); -#10145=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-4.36E0)); -#10146=VERTEX_POINT('',#10145); -#10147=CARTESIAN_POINT('',(4.337222944340E0,6.3E0,-3.06E0)); -#10148=VERTEX_POINT('',#10147); -#10149=CARTESIAN_POINT('',(-4.612777055660E0,-1.2E0,-3.06E0)); -#10150=VERTEX_POINT('',#10149); -#10151=CARTESIAN_POINT('',(-3.312777055659E0,-1.2E0,-4.36E0)); -#10152=VERTEX_POINT('',#10151); -#10153=CARTESIAN_POINT('',(4.337222944340E0,-1.2E0,-3.06E0)); -#10154=VERTEX_POINT('',#10153); -#10155=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-4.36E0)); -#10156=VERTEX_POINT('',#10155); -#10157=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-1.5E0)); -#10158=CARTESIAN_POINT('',(-4.312777055660E0,-1.2E0,-2.5E0)); -#10159=VERTEX_POINT('',#10157); -#10160=VERTEX_POINT('',#10158); -#10161=CARTESIAN_POINT('',(-4.312777055660E0,-1.2E0,-3.06E0)); -#10162=VERTEX_POINT('',#10161); -#10163=CARTESIAN_POINT('',(-3.312777055659E0,-1.2E0,-4.06E0)); -#10164=VERTEX_POINT('',#10163); -#10165=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-4.06E0)); -#10166=VERTEX_POINT('',#10165); -#10167=CARTESIAN_POINT('',(4.037222944340E0,-1.2E0,-3.06E0)); -#10168=VERTEX_POINT('',#10167); -#10169=CARTESIAN_POINT('',(4.037222944340E0,-1.2E0,-2.5E0)); -#10170=VERTEX_POINT('',#10169); -#10171=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-1.5E0)); -#10172=VERTEX_POINT('',#10171); -#10173=CARTESIAN_POINT('',(-3.312777055660E0,6.E0,-1.5E0)); -#10174=VERTEX_POINT('',#10173); -#10175=CARTESIAN_POINT('',(-4.312777055660E0,6.E0,-2.5E0)); -#10176=VERTEX_POINT('',#10175); -#10177=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-1.5E0)); -#10178=VERTEX_POINT('',#10177); -#10179=CARTESIAN_POINT('',(4.037222944340E0,6.E0,-2.5E0)); -#10180=VERTEX_POINT('',#10179); -#10181=CARTESIAN_POINT('',(4.037222944340E0,6.E0,-3.06E0)); -#10182=VERTEX_POINT('',#10181); -#10183=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-4.06E0)); -#10184=VERTEX_POINT('',#10183); -#10185=CARTESIAN_POINT('',(-3.312777055659E0,6.E0,-4.06E0)); -#10186=VERTEX_POINT('',#10185); -#10187=CARTESIAN_POINT('',(-4.312777055660E0,6.E0,-3.06E0)); -#10188=VERTEX_POINT('',#10187); -#10189=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.7E0)); -#10190=VERTEX_POINT('',#10189); -#10191=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.7E0)); -#10192=VERTEX_POINT('',#10191); -#10193=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.7E0)); -#10194=VERTEX_POINT('',#10193); -#10195=CARTESIAN_POINT('',(-9.250181921890E0,3.5134545E1,-1.7E0)); -#10196=VERTEX_POINT('',#10195); -#10197=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.2E0)); -#10198=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-2.2E0)); -#10199=VERTEX_POINT('',#10197); -#10200=VERTEX_POINT('',#10198); -#10201=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.8E0)); -#10202=VERTEX_POINT('',#10201); -#10203=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-2.8E0)); -#10204=VERTEX_POINT('',#10203); -#10205=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-3.2E0)); -#10206=VERTEX_POINT('',#10205); -#10207=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-3.2E0)); -#10208=VERTEX_POINT('',#10207); -#10209=CARTESIAN_POINT('',(9.249818078140E0,3.5004866E1,-2.2E0)); -#10210=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-2.2E0)); -#10211=VERTEX_POINT('',#10209); -#10212=VERTEX_POINT('',#10210); -#10213=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.2E0)); -#10214=VERTEX_POINT('',#10213); -#10215=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.2E0)); -#10216=VERTEX_POINT('',#10215); -#10217=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.2E0)); -#10218=VERTEX_POINT('',#10217); -#10219=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.2E0)); -#10220=VERTEX_POINT('',#10219); -#10221=CARTESIAN_POINT('',(9.249818078140E0,3.5234443E1,-1.7E0)); -#10222=VERTEX_POINT('',#10221); -#10223=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.7E0)); -#10224=VERTEX_POINT('',#10223); -#10225=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-3.2E0)); -#10226=VERTEX_POINT('',#10225); -#10227=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-3.2E0)); -#10228=VERTEX_POINT('',#10227); -#10229=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-2.8E0)); -#10230=VERTEX_POINT('',#10229); -#10231=CARTESIAN_POINT('',(9.249818078140E0,3.5004866E1,-2.8E0)); -#10232=VERTEX_POINT('',#10231); -#10233=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.7E0)); -#10234=VERTEX_POINT('',#10233); -#10235=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.7E0)); -#10236=VERTEX_POINT('',#10235); -#10237=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.8E0)); -#10238=VERTEX_POINT('',#10237); -#10239=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.8E0)); -#10240=VERTEX_POINT('',#10239); -#10241=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.8E0)); -#10242=VERTEX_POINT('',#10241); -#10243=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.8E0)); -#10244=VERTEX_POINT('',#10243); -#10245=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-2.7E0)); -#10246=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-2.7E0)); -#10247=VERTEX_POINT('',#10245); -#10248=VERTEX_POINT('',#10246); -#10249=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-2.7E0)); -#10250=VERTEX_POINT('',#10249); -#10251=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-2.7E0)); -#10252=VERTEX_POINT('',#10251); -#10253=CARTESIAN_POINT('',(-9.638377555660E0,1.2692085E0,-2.7E0)); -#10254=CARTESIAN_POINT('',(-9.638377555660E0,3.3692085E0,-2.7E0)); -#10255=VERTEX_POINT('',#10253); -#10256=VERTEX_POINT('',#10254); -#10257=CARTESIAN_POINT('',(-8.938377555860E0,3.3692085E0,-2.7E0)); -#10258=VERTEX_POINT('',#10257); -#10259=CARTESIAN_POINT('',(-8.938377555660E0,1.2692085E0,-2.7E0)); -#10260=VERTEX_POINT('',#10259); -#10261=CARTESIAN_POINT('',(-9.742409743675E0,3.364042124965E0,-3.4E0)); -#10262=CARTESIAN_POINT('',(-9.638377555660E0,1.2692085E0,-3.4E0)); -#10263=VERTEX_POINT('',#10261); -#10264=VERTEX_POINT('',#10262); -#10265=CARTESIAN_POINT('',(-9.638377555622E0,3.3692085E0,-3.4E0)); -#10266=VERTEX_POINT('',#10265); -#10267=CARTESIAN_POINT('',(-9.638377555660E0,3.0692085E0,-3.7E0)); -#10268=CARTESIAN_POINT('',(-9.638377555660E0,1.5692085E0,-3.7E0)); -#10269=VERTEX_POINT('',#10267); -#10270=VERTEX_POINT('',#10268); -#10271=CARTESIAN_POINT('',(-8.938377555660E0,1.5692085E0,-3.7E0)); -#10272=VERTEX_POINT('',#10271); -#10273=CARTESIAN_POINT('',(-8.938377555660E0,3.0692085E0,-3.7E0)); -#10274=VERTEX_POINT('',#10273); -#10275=CARTESIAN_POINT('',(-8.938377555664E0,1.2692085E0,-3.4E0)); -#10276=VERTEX_POINT('',#10275); -#10277=CARTESIAN_POINT('',(-8.834345367645E0,1.274374875035E0,-3.4E0)); -#10278=CARTESIAN_POINT('',(-8.938377555968E0,3.3692085E0,-3.4E0)); -#10279=VERTEX_POINT('',#10277); -#10280=VERTEX_POINT('',#10278); -#10281=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-2.7E0)); -#10282=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-2.7E0)); -#10283=VERTEX_POINT('',#10281); -#10284=VERTEX_POINT('',#10282); -#10285=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-2.7E0)); -#10286=VERTEX_POINT('',#10285); -#10287=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-2.7E0)); -#10288=VERTEX_POINT('',#10287); -#10289=CARTESIAN_POINT('',(8.459622944340E0,1.1520075E0,-2.7E0)); -#10290=CARTESIAN_POINT('',(8.459622944340E0,3.2520075E0,-2.7E0)); -#10291=VERTEX_POINT('',#10289); -#10292=VERTEX_POINT('',#10290); -#10293=CARTESIAN_POINT('',(9.159622944142E0,3.2520075E0,-2.7E0)); -#10294=VERTEX_POINT('',#10293); -#10295=CARTESIAN_POINT('',(9.159622944340E0,1.1520075E0,-2.7E0)); -#10296=VERTEX_POINT('',#10295); -#10297=CARTESIAN_POINT('',(8.355590756325E0,3.246841124965E0,-3.4E0)); -#10298=CARTESIAN_POINT('',(8.459622944340E0,1.1520075E0,-3.4E0)); -#10299=VERTEX_POINT('',#10297); -#10300=VERTEX_POINT('',#10298); -#10301=CARTESIAN_POINT('',(8.459622944395E0,3.2520075E0,-3.4E0)); -#10302=VERTEX_POINT('',#10301); -#10303=CARTESIAN_POINT('',(8.459622944340E0,2.9520075E0,-3.7E0)); -#10304=CARTESIAN_POINT('',(8.459622944340E0,1.4520075E0,-3.7E0)); -#10305=VERTEX_POINT('',#10303); -#10306=VERTEX_POINT('',#10304); -#10307=CARTESIAN_POINT('',(9.159622944340E0,1.4520075E0,-3.7E0)); -#10308=VERTEX_POINT('',#10307); -#10309=CARTESIAN_POINT('',(9.159622944340E0,2.9520075E0,-3.7E0)); -#10310=VERTEX_POINT('',#10309); -#10311=CARTESIAN_POINT('',(9.159622944335E0,1.1520075E0,-3.4E0)); -#10312=VERTEX_POINT('',#10311); -#10313=CARTESIAN_POINT('',(9.263655132355E0,1.157173875035E0,-3.4E0)); -#10314=CARTESIAN_POINT('',(9.159622944035E0,3.2520075E0,-3.4E0)); -#10315=VERTEX_POINT('',#10313); -#10316=VERTEX_POINT('',#10314); -#10317=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,5.E-1)); -#10318=VERTEX_POINT('',#10317); -#10319=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,5.E-1)); -#10320=VERTEX_POINT('',#10319); -#10321=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,5.E-1)); -#10322=VERTEX_POINT('',#10321); -#10323=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,5.E-1)); -#10324=CARTESIAN_POINT('',(6.697298944340E0,4.921817E0,5.E-1)); -#10325=VERTEX_POINT('',#10323); -#10326=VERTEX_POINT('',#10324); -#10327=CARTESIAN_POINT('',(7.697296944340E0,4.921817E0,5.E-1)); -#10328=VERTEX_POINT('',#10327); -#10329=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,5.E-1)); -#10330=VERTEX_POINT('',#10329); -#10331=CARTESIAN_POINT('',(8.697294944340E0,4.921817E0,5.E-1)); -#10332=VERTEX_POINT('',#10331); -#10333=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,5.E-1)); -#10334=VERTEX_POINT('',#10333); -#10335=CARTESIAN_POINT('',(9.697292944340E0,4.921817E0,5.E-1)); -#10336=VERTEX_POINT('',#10335); -#10337=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,2.8E0)); -#10338=VERTEX_POINT('',#10337); -#10339=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,2.8E0)); -#10340=VERTEX_POINT('',#10339); -#10341=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,5.E-1)); -#10342=VERTEX_POINT('',#10341); -#10343=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,5.E-1)); -#10344=VERTEX_POINT('',#10343); -#10345=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,5.E-1)); -#10346=VERTEX_POINT('',#10345); -#10347=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,5.E-1)); -#10348=VERTEX_POINT('',#10347); -#10349=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,5.E-1)); -#10350=VERTEX_POINT('',#10349); -#10351=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,5.E-1)); -#10352=VERTEX_POINT('',#10351); -#10353=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,2.8E0)); -#10354=VERTEX_POINT('',#10353); -#10355=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,2.8E0)); -#10356=VERTEX_POINT('',#10355); -#10357=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,1.5E0)); -#10358=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,2.E0)); -#10359=VERTEX_POINT('',#10357); -#10360=VERTEX_POINT('',#10358); -#10361=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,1.5E0)); -#10362=VERTEX_POINT('',#10361); -#10363=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,5.5E-1)); -#10364=VERTEX_POINT('',#10363); -#10365=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,5.5E-1)); -#10366=VERTEX_POINT('',#10365); -#10367=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,1.5E0)); -#10368=VERTEX_POINT('',#10367); -#10369=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,1.5E0)); -#10370=VERTEX_POINT('',#10369); -#10371=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,2.E0)); -#10372=VERTEX_POINT('',#10371); -#10373=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.E0)); -#10374=VERTEX_POINT('',#10373); -#10375=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.4E0)); -#10376=VERTEX_POINT('',#10375); -#10377=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.4E0)); -#10378=VERTEX_POINT('',#10377); -#10379=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.E0)); -#10380=VERTEX_POINT('',#10379); -#10381=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,2.E0)); -#10382=VERTEX_POINT('',#10381); -#10383=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,1.5E0)); -#10384=VERTEX_POINT('',#10383); -#10385=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,2.E0)); -#10386=VERTEX_POINT('',#10385); -#10387=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,2.4E0)); -#10388=VERTEX_POINT('',#10387); -#10389=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,2.4E0)); -#10390=VERTEX_POINT('',#10389); -#10391=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,2.E0)); -#10392=VERTEX_POINT('',#10391); -#10393=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,2.E0)); -#10394=VERTEX_POINT('',#10393); -#10395=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,1.5E0)); -#10396=VERTEX_POINT('',#10395); -#10397=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,1.5E0)); -#10398=VERTEX_POINT('',#10397); -#10399=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,5.5E-1)); -#10400=VERTEX_POINT('',#10399); -#10401=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,5.5E-1)); -#10402=VERTEX_POINT('',#10401); -#10403=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,1.5E0)); -#10404=VERTEX_POINT('',#10403); -#10405=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,5.E-1)); -#10406=VERTEX_POINT('',#10405); -#10407=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098998E0,5.E-1)); -#10408=VERTEX_POINT('',#10407); -#10409=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,5.E-1)); -#10410=VERTEX_POINT('',#10409); -#10411=CARTESIAN_POINT('',(1.980229443404E-1,1.144541030394E0,5.E-1)); -#10412=VERTEX_POINT('',#10411); -#10413=CARTESIAN_POINT('',(-2.551976055660E0,1.123055380860E0,2.8E0)); -#10414=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,2.8E0)); -#10415=VERTEX_POINT('',#10413); -#10416=VERTEX_POINT('',#10414); -#10417=CARTESIAN_POINT('',(-2.551976055660E0,1.123055380860E0,3.5E0)); -#10418=VERTEX_POINT('',#10417); -#10419=CARTESIAN_POINT('',(2.348021944340E0,1.161338900146E0,3.5E0)); -#10420=VERTEX_POINT('',#10419); -#10421=CARTESIAN_POINT('',(2.348021944340E0,1.161338900146E0,2.8E0)); -#10422=VERTEX_POINT('',#10421); -#10423=CARTESIAN_POINT('',(3.048024944342E0,1.166808000001E0,2.8E0)); -#10424=VERTEX_POINT('',#10423); -#10425=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,5.E-1)); -#10426=VERTEX_POINT('',#10425); -#10427=CARTESIAN_POINT('',(-1.071974055660E0,1.134618586622E0,5.E-1)); -#10428=VERTEX_POINT('',#10427); -#10429=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,2.8E0)); -#10430=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,2.8E0)); -#10431=VERTEX_POINT('',#10429); -#10432=VERTEX_POINT('',#10430); -#10433=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,2.8E0)); -#10434=VERTEX_POINT('',#10433); -#10435=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,3.1E0)); -#10436=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,3.1E0)); -#10437=VERTEX_POINT('',#10435); -#10438=VERTEX_POINT('',#10436); -#10439=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,3.5E0)); -#10440=VERTEX_POINT('',#10439); -#10441=CARTESIAN_POINT('',(-2.151976055660E0,4.273809E0,3.1E0)); -#10442=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,3.1E0)); -#10443=VERTEX_POINT('',#10441); -#10444=VERTEX_POINT('',#10442); -#10445=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,4.E-1)); -#10446=VERTEX_POINT('',#10445); -#10447=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,4.E-1)); -#10448=VERTEX_POINT('',#10447); -#10449=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,3.1E0)); -#10450=VERTEX_POINT('',#10449); -#10451=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,4.E-1)); -#10452=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,3.1E0)); -#10453=VERTEX_POINT('',#10451); -#10454=VERTEX_POINT('',#10452); -#10455=CARTESIAN_POINT('',(2.348021944340E0,5.466807E0,3.1E0)); -#10456=VERTEX_POINT('',#10455); -#10457=CARTESIAN_POINT('',(1.948021944340E0,4.273809E0,3.1E0)); -#10458=VERTEX_POINT('',#10457); -#10459=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,3.1E0)); -#10460=VERTEX_POINT('',#10459); -#10461=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,4.E-1)); -#10462=VERTEX_POINT('',#10461); -#10463=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.1E0)); -#10464=VERTEX_POINT('',#10463); -#10465=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,2.8E0)); -#10466=VERTEX_POINT('',#10465); -#10467=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.5E0)); -#10468=VERTEX_POINT('',#10467); -#10469=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,2.8E0)); -#10470=VERTEX_POINT('',#10469); -#10471=CARTESIAN_POINT('',(3.398021944340E0,1.51681E0,2.8E0)); -#10472=VERTEX_POINT('',#10471); -#10473=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,5.E-1)); -#10474=VERTEX_POINT('',#10473); -#10475=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,5.E-1)); -#10476=VERTEX_POINT('',#10475); -#10477=CARTESIAN_POINT('',(1.221762301888E1,1.244094896398E1,5.E-1)); -#10478=VERTEX_POINT('',#10477); -#10479=CARTESIAN_POINT('',(1.251922244434E1,1.296241E1,5.E-1)); -#10480=VERTEX_POINT('',#10479); -#10481=CARTESIAN_POINT('',(1.131602444434E1,1.296241E1,5.E-1)); -#10482=VERTEX_POINT('',#10481); -#10483=CARTESIAN_POINT('',(1.142831881881E1,1.261240665682E1,5.E-1)); -#10484=VERTEX_POINT('',#10483); -#10485=CARTESIAN_POINT('',(1.221762486980E1,8.183865971155E0,5.E-1)); -#10486=CARTESIAN_POINT('',(1.221762494434E1,8.012411E0,5.E-1)); -#10487=VERTEX_POINT('',#10485); -#10488=VERTEX_POINT('',#10486); -#10489=CARTESIAN_POINT('',(1.221762494434E1,8.012411000001E0,1.8E0)); -#10490=VERTEX_POINT('',#10489); -#10491=CARTESIAN_POINT('',(1.221762294434E1,1.2612407E1,1.8E0)); -#10492=VERTEX_POINT('',#10491); -#10493=CARTESIAN_POINT('',(1.221762294433E1,1.2612407E1,5.E-1)); -#10494=VERTEX_POINT('',#10493); -#10495=CARTESIAN_POINT('',(1.221762434652E1,9.387409E0,6.E-1)); -#10496=CARTESIAN_POINT('',(1.221762434651E1,9.387409E0,1.4E0)); -#10497=VERTEX_POINT('',#10495); -#10498=VERTEX_POINT('',#10496); -#10499=CARTESIAN_POINT('',(1.221762354217E1,1.1237409E1,6.E-1)); -#10500=VERTEX_POINT('',#10499); -#10501=CARTESIAN_POINT('',(1.221762354216E1,1.1237409E1,1.4E0)); -#10502=VERTEX_POINT('',#10501); -#10503=CARTESIAN_POINT('',(1.142831975847E1,8.012410656820E0,5.E-1)); -#10504=VERTEX_POINT('',#10503); -#10505=CARTESIAN_POINT('',(1.251922244434E1,7.662406E0,5.E-1)); -#10506=VERTEX_POINT('',#10505); -#10507=CARTESIAN_POINT('',(1.131602444434E1,7.662406E0,5.E-1)); -#10508=VERTEX_POINT('',#10507); -#10509=CARTESIAN_POINT('',(9.917624944340E0,8.01241E0,1.8E0)); -#10510=VERTEX_POINT('',#10509); -#10511=CARTESIAN_POINT('',(9.917623418980E0,1.152071396626E1,5.E-1)); -#10512=CARTESIAN_POINT('',(9.917622991432E0,1.250409625113E1,5.E-1)); -#10513=VERTEX_POINT('',#10511); -#10514=VERTEX_POINT('',#10512); -#10515=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,1.8E0)); -#10516=VERTEX_POINT('',#10515); -#10517=CARTESIAN_POINT('',(9.917624897253E0,8.120716885842E0,5.E-1)); -#10518=VERTEX_POINT('',#10517); -#10519=CARTESIAN_POINT('',(9.917624469699E0,9.104101331550E0,5.E-1)); -#10520=VERTEX_POINT('',#10519); -#10521=CARTESIAN_POINT('',(9.116018444340E0,1.2012405E1,5.E-1)); -#10522=VERTEX_POINT('',#10521); -#10523=CARTESIAN_POINT('',(9.116020444340E0,8.612409E0,5.E-1)); -#10524=VERTEX_POINT('',#10523); -#10525=CARTESIAN_POINT('',(1.333762434649E1,9.387409486958E0,1.4E0)); -#10526=VERTEX_POINT('',#10525); -#10527=CARTESIAN_POINT('',(1.333762434650E1,9.387409486958E0,6.E-1)); -#10528=VERTEX_POINT('',#10527); -#10529=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,1.4E0)); -#10530=VERTEX_POINT('',#10529); -#10531=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,6.E-1)); -#10532=VERTEX_POINT('',#10531); -#10533=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,2.E0)); -#10534=VERTEX_POINT('',#10533); -#10535=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,2.E0)); -#10536=VERTEX_POINT('',#10535); -#10537=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,2.E0)); -#10538=VERTEX_POINT('',#10537); -#10539=CARTESIAN_POINT('',(2.489430944340E0,2.7254208E1,1.E0)); -#10540=CARTESIAN_POINT('',(2.489430944340E0,2.8067008E1,1.E0)); -#10541=VERTEX_POINT('',#10539); -#10542=VERTEX_POINT('',#10540); -#10543=CARTESIAN_POINT('',(2.489430944340E0,2.8067008E1,1.5E0)); -#10544=VERTEX_POINT('',#10543); -#10545=CARTESIAN_POINT('',(2.489430944340E0,2.7254208E1,1.5E0)); -#10546=VERTEX_POINT('',#10545); -#10547=CARTESIAN_POINT('',(2.489430944340E0,2.5984208E1,1.E0)); -#10548=CARTESIAN_POINT('',(2.489430944340E0,2.6797008E1,1.E0)); -#10549=VERTEX_POINT('',#10547); -#10550=VERTEX_POINT('',#10548); -#10551=CARTESIAN_POINT('',(2.489430944340E0,2.6797008E1,1.5E0)); -#10552=VERTEX_POINT('',#10551); -#10553=CARTESIAN_POINT('',(2.489430944340E0,2.5984208E1,1.5E0)); -#10554=VERTEX_POINT('',#10553); -#10555=CARTESIAN_POINT('',(2.489430944340E0,2.4714208E1,1.E0)); -#10556=CARTESIAN_POINT('',(2.489430944340E0,2.5527008E1,1.E0)); -#10557=VERTEX_POINT('',#10555); -#10558=VERTEX_POINT('',#10556); -#10559=CARTESIAN_POINT('',(2.489430944340E0,2.5527008E1,1.5E0)); -#10560=VERTEX_POINT('',#10559); -#10561=CARTESIAN_POINT('',(2.489430944340E0,2.4714208E1,1.5E0)); -#10562=VERTEX_POINT('',#10561); -#10563=CARTESIAN_POINT('',(2.489430944340E0,2.3444208E1,1.E0)); -#10564=CARTESIAN_POINT('',(2.489430944340E0,2.4257008E1,1.E0)); -#10565=VERTEX_POINT('',#10563); -#10566=VERTEX_POINT('',#10564); -#10567=CARTESIAN_POINT('',(2.489430944340E0,2.4257008E1,1.5E0)); -#10568=VERTEX_POINT('',#10567); -#10569=CARTESIAN_POINT('',(2.489430944340E0,2.3444208E1,1.5E0)); -#10570=VERTEX_POINT('',#10569); -#10571=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,2.E0)); -#10572=VERTEX_POINT('',#10571); -#10573=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.E0)); -#10574=CARTESIAN_POINT('',(-2.590569055660E0,2.8067008E1,1.E0)); -#10575=VERTEX_POINT('',#10573); -#10576=VERTEX_POINT('',#10574); -#10577=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.5E0)); -#10578=VERTEX_POINT('',#10577); -#10579=CARTESIAN_POINT('',(-2.590569055660E0,2.8067008E1,1.5E0)); -#10580=VERTEX_POINT('',#10579); -#10581=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.E0)); -#10582=CARTESIAN_POINT('',(-2.590569055660E0,2.6797008E1,1.E0)); -#10583=VERTEX_POINT('',#10581); -#10584=VERTEX_POINT('',#10582); -#10585=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.5E0)); -#10586=VERTEX_POINT('',#10585); -#10587=CARTESIAN_POINT('',(-2.590569055660E0,2.6797008E1,1.5E0)); -#10588=VERTEX_POINT('',#10587); -#10589=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.E0)); -#10590=CARTESIAN_POINT('',(-2.590569055660E0,2.5527008E1,1.E0)); -#10591=VERTEX_POINT('',#10589); -#10592=VERTEX_POINT('',#10590); -#10593=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.5E0)); -#10594=VERTEX_POINT('',#10593); -#10595=CARTESIAN_POINT('',(-2.590569055660E0,2.5527008E1,1.5E0)); -#10596=VERTEX_POINT('',#10595); -#10597=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.E0)); -#10598=CARTESIAN_POINT('',(-2.590569055660E0,2.4257008E1,1.E0)); -#10599=VERTEX_POINT('',#10597); -#10600=VERTEX_POINT('',#10598); -#10601=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.5E0)); -#10602=VERTEX_POINT('',#10601); -#10603=CARTESIAN_POINT('',(-2.590569055660E0,2.4257008E1,1.5E0)); -#10604=VERTEX_POINT('',#10603); -#10605=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,1.E0)); -#10606=VERTEX_POINT('',#10605); -#10607=CARTESIAN_POINT('',(-3.073169055660E0,2.7254208E1,1.E0)); -#10608=VERTEX_POINT('',#10607); -#10609=CARTESIAN_POINT('',(-3.479569055660E0,2.8067008E1,1.5E0)); -#10610=CARTESIAN_POINT('',(-3.479569055660E0,2.8067008E1,5.E-1)); -#10611=VERTEX_POINT('',#10609); -#10612=VERTEX_POINT('',#10610); -#10613=CARTESIAN_POINT('',(-4.749569055660E0,2.8067008E1,5.E-1)); -#10614=VERTEX_POINT('',#10613); -#10615=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,1.5E0)); -#10616=VERTEX_POINT('',#10615); -#10617=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,5.E-1)); -#10618=VERTEX_POINT('',#10617); -#10619=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,5.E-1)); -#10620=VERTEX_POINT('',#10619); -#10621=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,1.E0)); -#10622=VERTEX_POINT('',#10621); -#10623=CARTESIAN_POINT('',(-3.073169055660E0,2.5984208E1,1.E0)); -#10624=VERTEX_POINT('',#10623); -#10625=CARTESIAN_POINT('',(-3.479569055660E0,2.6797008E1,1.5E0)); -#10626=CARTESIAN_POINT('',(-3.479569055660E0,2.6797008E1,5.E-1)); -#10627=VERTEX_POINT('',#10625); -#10628=VERTEX_POINT('',#10626); -#10629=CARTESIAN_POINT('',(-4.749569055660E0,2.6797008E1,5.E-1)); -#10630=VERTEX_POINT('',#10629); -#10631=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,1.5E0)); -#10632=VERTEX_POINT('',#10631); -#10633=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,5.E-1)); -#10634=VERTEX_POINT('',#10633); -#10635=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,5.E-1)); -#10636=VERTEX_POINT('',#10635); -#10637=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,1.E0)); -#10638=VERTEX_POINT('',#10637); -#10639=CARTESIAN_POINT('',(-3.073169055660E0,2.4714208E1,1.E0)); -#10640=VERTEX_POINT('',#10639); -#10641=CARTESIAN_POINT('',(-3.479569055660E0,2.5527008E1,1.5E0)); -#10642=CARTESIAN_POINT('',(-3.479569055660E0,2.5527008E1,5.E-1)); -#10643=VERTEX_POINT('',#10641); -#10644=VERTEX_POINT('',#10642); -#10645=CARTESIAN_POINT('',(-4.749569055660E0,2.5527008E1,5.E-1)); -#10646=VERTEX_POINT('',#10645); -#10647=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,1.5E0)); -#10648=VERTEX_POINT('',#10647); -#10649=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,5.E-1)); -#10650=VERTEX_POINT('',#10649); -#10651=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,5.E-1)); -#10652=VERTEX_POINT('',#10651); -#10653=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,1.E0)); -#10654=VERTEX_POINT('',#10653); -#10655=CARTESIAN_POINT('',(-3.073169055660E0,2.3444208E1,1.E0)); -#10656=VERTEX_POINT('',#10655); -#10657=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,1.5E0)); -#10658=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,5.E-1)); -#10659=VERTEX_POINT('',#10657); -#10660=VERTEX_POINT('',#10658); -#10661=CARTESIAN_POINT('',(-4.749569055660E0,2.4257008E1,5.E-1)); -#10662=VERTEX_POINT('',#10661); -#10663=CARTESIAN_POINT('',(-3.479569055660E0,2.3444208E1,1.5E0)); -#10664=VERTEX_POINT('',#10663); -#10665=CARTESIAN_POINT('',(-3.479569055660E0,2.3444208E1,5.E-1)); -#10666=VERTEX_POINT('',#10665); -#10667=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,5.E-1)); -#10668=VERTEX_POINT('',#10667); -#10669=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,1.E0)); -#10670=VERTEX_POINT('',#10669); -#10671=CARTESIAN_POINT('',(2.972030944340E0,2.8067008E1,1.E0)); -#10672=VERTEX_POINT('',#10671); -#10673=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,1.5E0)); -#10674=VERTEX_POINT('',#10673); -#10675=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,5.E-1)); -#10676=VERTEX_POINT('',#10675); -#10677=CARTESIAN_POINT('',(4.648430944340E0,2.7254208E1,5.E-1)); -#10678=VERTEX_POINT('',#10677); -#10679=CARTESIAN_POINT('',(3.378430944340E0,2.8067008E1,1.5E0)); -#10680=CARTESIAN_POINT('',(3.378430944340E0,2.8067008E1,5.E-1)); -#10681=VERTEX_POINT('',#10679); -#10682=VERTEX_POINT('',#10680); -#10683=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,5.E-1)); -#10684=VERTEX_POINT('',#10683); -#10685=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,1.E0)); -#10686=VERTEX_POINT('',#10685); -#10687=CARTESIAN_POINT('',(2.972030944340E0,2.6797008E1,1.E0)); -#10688=VERTEX_POINT('',#10687); -#10689=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,1.5E0)); -#10690=VERTEX_POINT('',#10689); -#10691=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,5.E-1)); -#10692=VERTEX_POINT('',#10691); -#10693=CARTESIAN_POINT('',(4.648430944340E0,2.5984208E1,5.E-1)); -#10694=VERTEX_POINT('',#10693); -#10695=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,1.5E0)); -#10696=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,5.E-1)); -#10697=VERTEX_POINT('',#10695); -#10698=VERTEX_POINT('',#10696); -#10699=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,5.E-1)); -#10700=VERTEX_POINT('',#10699); -#10701=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,1.E0)); -#10702=VERTEX_POINT('',#10701); -#10703=CARTESIAN_POINT('',(2.972030944340E0,2.5527008E1,1.E0)); -#10704=VERTEX_POINT('',#10703); -#10705=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,1.5E0)); -#10706=VERTEX_POINT('',#10705); -#10707=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,5.E-1)); -#10708=VERTEX_POINT('',#10707); -#10709=CARTESIAN_POINT('',(4.648430944340E0,2.4714208E1,5.E-1)); -#10710=VERTEX_POINT('',#10709); -#10711=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,1.5E0)); -#10712=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,5.E-1)); -#10713=VERTEX_POINT('',#10711); -#10714=VERTEX_POINT('',#10712); -#10715=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,5.E-1)); -#10716=VERTEX_POINT('',#10715); -#10717=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,1.E0)); -#10718=VERTEX_POINT('',#10717); -#10719=CARTESIAN_POINT('',(2.972030944340E0,2.4257008E1,1.E0)); -#10720=VERTEX_POINT('',#10719); -#10721=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,1.5E0)); -#10722=VERTEX_POINT('',#10721); -#10723=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,5.E-1)); -#10724=VERTEX_POINT('',#10723); -#10725=CARTESIAN_POINT('',(4.648430944340E0,2.3444208E1,5.E-1)); -#10726=VERTEX_POINT('',#10725); -#10727=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,1.5E0)); -#10728=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,5.E-1)); -#10729=VERTEX_POINT('',#10727); -#10730=VERTEX_POINT('',#10728); -#10731=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,5.E-1)); -#10732=VERTEX_POINT('',#10731); -#10733=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,2.E-1)); -#10734=VERTEX_POINT('',#10733); -#10735=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,2.E-1)); -#10736=VERTEX_POINT('',#10735); -#10737=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,2.E-1)); -#10738=VERTEX_POINT('',#10737); -#10739=CARTESIAN_POINT('',(4.211525944340E0,3.9159137E1,2.E-1)); -#10740=VERTEX_POINT('',#10739); -#10741=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,8.E-1)); -#10742=VERTEX_POINT('',#10741); -#10743=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,8.E-1)); -#10744=VERTEX_POINT('',#10743); -#10745=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,2.E-1)); -#10746=CARTESIAN_POINT('',(-2.166287055660E0,3.8384513E1,2.E-1)); -#10747=VERTEX_POINT('',#10745); -#10748=VERTEX_POINT('',#10746); -#10749=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,8.E-1)); -#10750=VERTEX_POINT('',#10749); -#10751=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,2.E-1)); -#10752=VERTEX_POINT('',#10751); -#10753=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,2.E-1)); -#10754=VERTEX_POINT('',#10753); -#10755=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,2.E-1)); -#10756=CARTESIAN_POINT('',(-2.940911055660E0,4.4762326E1,2.E-1)); -#10757=VERTEX_POINT('',#10755); -#10758=VERTEX_POINT('',#10756); -#10759=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,8.E-1)); -#10760=VERTEX_POINT('',#10759); -#10761=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,2.E-1)); -#10762=VERTEX_POINT('',#10761); -#10763=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,2.E-1)); -#10764=VERTEX_POINT('',#10763); -#10765=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,2.E-1)); -#10766=CARTESIAN_POINT('',(3.436901944340E0,4.553695E1,2.E-1)); -#10767=VERTEX_POINT('',#10765); -#10768=VERTEX_POINT('',#10766); -#10769=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,2.E-1)); -#10770=VERTEX_POINT('',#10769); -#10771=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,2.E-1)); -#10772=VERTEX_POINT('',#10771); -#10773=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,2.E-1)); -#10774=VERTEX_POINT('',#10773); -#10775=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,2.E-1)); -#10776=VERTEX_POINT('',#10775); -#10777=CARTESIAN_POINT('',(4.166846944340E0,3.3308806E1,2.E-1)); -#10778=VERTEX_POINT('',#10777); -#10779=CARTESIAN_POINT('',(2.183614944340E0,3.3308806E1,2.E-1)); -#10780=VERTEX_POINT('',#10779); -#10781=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,8.E-1)); -#10782=VERTEX_POINT('',#10781); -#10783=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,8.E-1)); -#10784=VERTEX_POINT('',#10783); -#10785=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,8.E-1)); -#10786=VERTEX_POINT('',#10785); -#10787=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,2.E-1)); -#10788=CARTESIAN_POINT('',(2.183614944340E0,3.115641E1,2.E-1)); -#10789=VERTEX_POINT('',#10787); -#10790=VERTEX_POINT('',#10788); -#10791=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,8.E-1)); -#10792=VERTEX_POINT('',#10791); -#10793=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,2.E-1)); -#10794=VERTEX_POINT('',#10793); -#10795=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,2.E-1)); -#10796=VERTEX_POINT('',#10795); -#10797=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,5.E-1)); -#10798=VERTEX_POINT('',#10797); -#10799=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,5.E-1)); -#10800=VERTEX_POINT('',#10799); -#10801=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,5.E-1)); -#10802=VERTEX_POINT('',#10801); -#10803=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,5.E-1)); -#10804=VERTEX_POINT('',#10803); -#10805=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,2.E-1)); -#10806=VERTEX_POINT('',#10805); -#10807=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,2.E-1)); -#10808=VERTEX_POINT('',#10807); -#10809=CARTESIAN_POINT('',(7.735902944340E0,5.3409647E1,2.E-1)); -#10810=VERTEX_POINT('',#10809); -#10811=CARTESIAN_POINT('',(9.742820047539E0,5.3409647E1,3.E-1)); -#10812=VERTEX_POINT('',#10811); -#10813=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,3.E-1)); -#10814=VERTEX_POINT('',#10813); -#10815=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,2.E-1)); -#10816=VERTEX_POINT('',#10815); -#10817=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,3.E-1)); -#10818=VERTEX_POINT('',#10817); -#10819=VERTEX_POINT('',#4106); -#10820=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,2.E-1)); -#10821=CARTESIAN_POINT('',(7.735902944340E0,4.9889649E1,2.E-1)); -#10822=VERTEX_POINT('',#10820); -#10823=VERTEX_POINT('',#10821); -#10824=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,3.E-1)); -#10825=VERTEX_POINT('',#10824); -#10826=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,2.E-1)); -#10827=VERTEX_POINT('',#10826); -#10828=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,2.E-1)); -#10829=VERTEX_POINT('',#10828); -#10830=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,2.E-1)); -#10831=CARTESIAN_POINT('',(7.177422944340E0,5.2171245E1,2.E-1)); -#10832=VERTEX_POINT('',#10830); -#10833=VERTEX_POINT('',#10831); -#10834=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,2.E-1)); -#10835=VERTEX_POINT('',#10834); -#10836=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,2.E-1)); -#10837=VERTEX_POINT('',#10836); -#10838=CARTESIAN_POINT('',(8.737500944340E0,5.26696455E1,3.E-1)); -#10839=VERTEX_POINT('',#10838); -#10840=CARTESIAN_POINT('',(8.737500944340E0,5.06696455E1,3.E-1)); -#10841=VERTEX_POINT('',#10840); -#10842=CARTESIAN_POINT('',(8.737500944340E0,5.06696455E1,1.2E0)); -#10843=VERTEX_POINT('',#10842); -#10844=CARTESIAN_POINT('',(8.737500944340E0,5.26696455E1,1.2E0)); -#10845=VERTEX_POINT('',#10844); -#10846=CARTESIAN_POINT('',(8.737500944340E0,5.08696455E1,1.2E0)); -#10847=CARTESIAN_POINT('',(8.737500944340E0,5.24696455E1,1.2E0)); -#10848=VERTEX_POINT('',#10846); -#10849=VERTEX_POINT('',#10847); -#10850=CARTESIAN_POINT('',(8.737500944340E0,5.08696455E1,0.E0)); -#10851=VERTEX_POINT('',#10850); -#10852=CARTESIAN_POINT('',(8.737500944340E0,5.24696455E1,0.E0)); -#10853=VERTEX_POINT('',#10852); -#10854=CARTESIAN_POINT('',(8.737500944340E0,5.19196455E1,0.E0)); -#10855=CARTESIAN_POINT('',(8.737500944340E0,5.14196455E1,0.E0)); -#10856=VERTEX_POINT('',#10854); -#10857=VERTEX_POINT('',#10855); -#10858=CARTESIAN_POINT('',(8.737500944340E0,5.14196455E1,1.2E0)); -#10859=CARTESIAN_POINT('',(8.737500944340E0,5.19196455E1,1.2E0)); -#10860=VERTEX_POINT('',#10858); -#10861=VERTEX_POINT('',#10859); -#10862=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,5.E-1)); -#10863=VERTEX_POINT('',#10862); -#10864=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,5.E-1)); -#10865=VERTEX_POINT('',#10864); -#10866=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,5.E-1)); -#10867=VERTEX_POINT('',#10866); -#10868=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,5.E-1)); -#10869=VERTEX_POINT('',#10868); -#10870=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,5.E-1)); -#10871=VERTEX_POINT('',#10870); -#10872=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,5.E-1)); -#10873=VERTEX_POINT('',#10872); -#10874=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,5.E-1)); -#10875=VERTEX_POINT('',#10874); -#10876=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,5.E-1)); -#10877=VERTEX_POINT('',#10876); -#10878=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,4.E-1)); -#10879=VERTEX_POINT('',#10878); -#10880=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,4.E-1)); -#10881=VERTEX_POINT('',#10880); -#10882=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,4.E-1)); -#10883=VERTEX_POINT('',#10882); -#10884=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,4.E-1)); -#10885=VERTEX_POINT('',#10884); -#10886=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,4.E-1)); -#10887=VERTEX_POINT('',#10886); -#10888=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,4.E-1)); -#10889=VERTEX_POINT('',#10888); -#10890=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,4.E-1)); -#10891=VERTEX_POINT('',#10890); -#10892=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,4.E-1)); -#10893=VERTEX_POINT('',#10892); -#10894=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,4.E-1)); -#10895=VERTEX_POINT('',#10894); -#10896=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,4.E-1)); -#10897=VERTEX_POINT('',#10896); -#10898=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,4.E-1)); -#10899=VERTEX_POINT('',#10898); -#10900=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,4.E-1)); -#10901=VERTEX_POINT('',#10900); -#10902=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,4.E-1)); -#10903=VERTEX_POINT('',#10902); -#10904=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,4.E-1)); -#10905=VERTEX_POINT('',#10904); -#10906=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,4.E-1)); -#10907=VERTEX_POINT('',#10906); -#10908=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,4.E-1)); -#10909=VERTEX_POINT('',#10908); -#10910=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,4.E-1)); -#10911=VERTEX_POINT('',#10910); -#10912=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,4.E-1)); -#10913=VERTEX_POINT('',#10912); -#10914=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,4.E-1)); -#10915=VERTEX_POINT('',#10914); -#10916=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,4.E-1)); -#10917=VERTEX_POINT('',#10916); -#10918=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,4.E-1)); -#10919=VERTEX_POINT('',#10918); -#10920=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,4.E-1)); -#10921=VERTEX_POINT('',#10920); -#10922=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,4.E-1)); -#10923=VERTEX_POINT('',#10922); -#10924=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,4.E-1)); -#10925=VERTEX_POINT('',#10924); -#10926=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,4.E-1)); -#10927=VERTEX_POINT('',#10926); -#10928=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,4.E-1)); -#10929=VERTEX_POINT('',#10928); -#10930=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,4.E-1)); -#10931=VERTEX_POINT('',#10930); -#10932=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,4.E-1)); -#10933=VERTEX_POINT('',#10932); -#10934=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,4.E-1)); -#10935=VERTEX_POINT('',#10934); -#10936=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,4.E-1)); -#10937=VERTEX_POINT('',#10936); -#10938=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,4.E-1)); -#10939=VERTEX_POINT('',#10938); -#10940=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,4.E-1)); -#10941=VERTEX_POINT('',#10940); -#10942=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,4.E-1)); -#10943=VERTEX_POINT('',#10942); -#10944=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,4.E-1)); -#10945=VERTEX_POINT('',#10944); -#10946=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,4.E-1)); -#10947=VERTEX_POINT('',#10946); -#10948=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,4.E-1)); -#10949=VERTEX_POINT('',#10948); -#10950=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,4.E-1)); -#10951=VERTEX_POINT('',#10950); -#10952=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,4.E-1)); -#10953=VERTEX_POINT('',#10952); -#10954=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,4.E-1)); -#10955=VERTEX_POINT('',#10954); -#10956=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,4.E-1)); -#10957=VERTEX_POINT('',#10956); -#10958=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,4.E-1)); -#10959=VERTEX_POINT('',#10958); -#10960=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,4.E-1)); -#10961=VERTEX_POINT('',#10960); -#10962=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,4.E-1)); -#10963=VERTEX_POINT('',#10962); -#10964=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,4.E-1)); -#10965=VERTEX_POINT('',#10964); -#10966=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,4.E-1)); -#10967=VERTEX_POINT('',#10966); -#10968=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,4.E-1)); -#10969=VERTEX_POINT('',#10968); -#10970=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,4.E-1)); -#10971=VERTEX_POINT('',#10970); -#10972=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,4.E-1)); -#10973=VERTEX_POINT('',#10972); -#10974=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,4.E-1)); -#10975=VERTEX_POINT('',#10974); -#10976=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,4.E-1)); -#10977=VERTEX_POINT('',#10976); -#10978=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,4.E-1)); -#10979=VERTEX_POINT('',#10978); -#10980=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,4.E-1)); -#10981=VERTEX_POINT('',#10980); -#10982=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,4.E-1)); -#10983=VERTEX_POINT('',#10982); -#10984=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,4.E-1)); -#10985=VERTEX_POINT('',#10984); -#10986=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,4.E-1)); -#10987=VERTEX_POINT('',#10986); -#10988=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,4.E-1)); -#10989=VERTEX_POINT('',#10988); -#10990=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,4.E-1)); -#10991=VERTEX_POINT('',#10990); -#10992=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,4.E-1)); -#10993=VERTEX_POINT('',#10992); -#10994=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,4.E-1)); -#10995=VERTEX_POINT('',#10994); -#10996=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,4.E-1)); -#10997=VERTEX_POINT('',#10996); -#10998=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,4.E-1)); -#10999=VERTEX_POINT('',#10998); -#11000=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,4.E-1)); -#11001=VERTEX_POINT('',#11000); -#11002=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,4.E-1)); -#11003=VERTEX_POINT('',#11002); -#11004=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,4.E-1)); -#11005=VERTEX_POINT('',#11004); -#11006=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,4.E-1)); -#11007=VERTEX_POINT('',#11006); -#11008=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,4.E-1)); -#11009=VERTEX_POINT('',#11008); -#11010=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,4.E-1)); -#11011=VERTEX_POINT('',#11010); -#11012=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,4.E-1)); -#11013=VERTEX_POINT('',#11012); -#11014=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,4.E-1)); -#11015=VERTEX_POINT('',#11014); -#11016=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,4.E-1)); -#11017=VERTEX_POINT('',#11016); -#11018=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,4.E-1)); -#11019=VERTEX_POINT('',#11018); -#11020=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,4.E-1)); -#11021=VERTEX_POINT('',#11020); -#11022=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,4.E-1)); -#11023=VERTEX_POINT('',#11022); -#11024=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,4.E-1)); -#11025=VERTEX_POINT('',#11024); -#11026=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,4.E-1)); -#11027=VERTEX_POINT('',#11026); -#11028=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,4.E-1)); -#11029=VERTEX_POINT('',#11028); -#11030=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,4.E-1)); -#11031=VERTEX_POINT('',#11030); -#11032=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,4.E-1)); -#11033=VERTEX_POINT('',#11032); -#11034=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,4.E-1)); -#11035=VERTEX_POINT('',#11034); -#11036=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,4.E-1)); -#11037=VERTEX_POINT('',#11036); -#11038=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,5.E-1)); -#11039=VERTEX_POINT('',#11038); -#11040=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,5.E-1)); -#11041=VERTEX_POINT('',#11040); -#11042=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,5.E-1)); -#11043=VERTEX_POINT('',#11042); -#11044=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,5.E-1)); -#11045=VERTEX_POINT('',#11044); -#11046=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,5.E-1)); -#11047=VERTEX_POINT('',#11046); -#11048=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,5.E-1)); -#11049=VERTEX_POINT('',#11048); -#11050=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,5.E-1)); -#11051=VERTEX_POINT('',#11050); -#11052=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,5.E-1)); -#11053=VERTEX_POINT('',#11052); -#11054=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,5.E-1)); -#11055=VERTEX_POINT('',#11054); -#11056=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,5.E-1)); -#11057=VERTEX_POINT('',#11056); -#11058=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,5.E-1)); -#11059=VERTEX_POINT('',#11058); -#11060=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,5.E-1)); -#11061=VERTEX_POINT('',#11060); -#11062=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,5.E-1)); -#11063=VERTEX_POINT('',#11062); -#11064=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,5.E-1)); -#11065=VERTEX_POINT('',#11064); -#11066=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,5.E-1)); -#11067=VERTEX_POINT('',#11066); -#11068=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,5.E-1)); -#11069=VERTEX_POINT('',#11068); -#11070=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,5.E-1)); -#11071=VERTEX_POINT('',#11070); -#11072=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,5.E-1)); -#11073=VERTEX_POINT('',#11072); -#11074=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,5.E-1)); -#11075=VERTEX_POINT('',#11074); -#11076=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,5.E-1)); -#11077=VERTEX_POINT('',#11076); -#11078=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,5.E-1)); -#11079=VERTEX_POINT('',#11078); -#11080=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,5.E-1)); -#11081=VERTEX_POINT('',#11080); -#11082=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,5.E-1)); -#11083=VERTEX_POINT('',#11082); -#11084=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,5.E-1)); -#11085=VERTEX_POINT('',#11084); -#11086=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,5.E-1)); -#11087=VERTEX_POINT('',#11086); -#11088=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,5.E-1)); -#11089=VERTEX_POINT('',#11088); -#11090=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,5.E-1)); -#11091=VERTEX_POINT('',#11090); -#11092=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,5.E-1)); -#11093=VERTEX_POINT('',#11092); -#11094=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,5.E-1)); -#11095=VERTEX_POINT('',#11094); -#11096=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,5.E-1)); -#11097=VERTEX_POINT('',#11096); -#11098=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,5.E-1)); -#11099=VERTEX_POINT('',#11098); -#11100=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,5.E-1)); -#11101=VERTEX_POINT('',#11100); -#11102=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,5.E-1)); -#11103=VERTEX_POINT('',#11102); -#11104=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,5.E-1)); -#11105=VERTEX_POINT('',#11104); -#11106=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,5.E-1)); -#11107=VERTEX_POINT('',#11106); -#11108=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,5.E-1)); -#11109=VERTEX_POINT('',#11108); -#11110=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,5.E-1)); -#11111=VERTEX_POINT('',#11110); -#11112=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,5.E-1)); -#11113=VERTEX_POINT('',#11112); -#11114=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,5.E-1)); -#11115=VERTEX_POINT('',#11114); -#11116=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,5.E-1)); -#11117=VERTEX_POINT('',#11116); -#11118=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,5.E-1)); -#11119=VERTEX_POINT('',#11118); -#11120=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,5.E-1)); -#11121=VERTEX_POINT('',#11120); -#11122=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,5.E-1)); -#11123=VERTEX_POINT('',#11122); -#11124=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,5.E-1)); -#11125=VERTEX_POINT('',#11124); -#11126=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,5.E-1)); -#11127=VERTEX_POINT('',#11126); -#11128=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,5.E-1)); -#11129=VERTEX_POINT('',#11128); -#11130=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,5.E-1)); -#11131=VERTEX_POINT('',#11130); -#11132=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,5.E-1)); -#11133=VERTEX_POINT('',#11132); -#11134=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,5.E-1)); -#11135=VERTEX_POINT('',#11134); -#11136=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,5.E-1)); -#11137=VERTEX_POINT('',#11136); -#11138=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,5.E-1)); -#11139=VERTEX_POINT('',#11138); -#11140=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,5.E-1)); -#11141=VERTEX_POINT('',#11140); -#11142=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,5.E-1)); -#11143=VERTEX_POINT('',#11142); -#11144=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,5.E-1)); -#11145=VERTEX_POINT('',#11144); -#11146=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,5.E-1)); -#11147=VERTEX_POINT('',#11146); -#11148=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,5.E-1)); -#11149=VERTEX_POINT('',#11148); -#11150=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,5.E-1)); -#11151=VERTEX_POINT('',#11150); -#11152=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,5.E-1)); -#11153=VERTEX_POINT('',#11152); -#11154=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,5.E-1)); -#11155=VERTEX_POINT('',#11154); -#11156=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,5.E-1)); -#11157=VERTEX_POINT('',#11156); -#11158=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,5.E-1)); -#11159=VERTEX_POINT('',#11158); -#11160=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,5.E-1)); -#11161=VERTEX_POINT('',#11160); -#11162=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,5.E-1)); -#11163=VERTEX_POINT('',#11162); -#11164=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,5.E-1)); -#11165=VERTEX_POINT('',#11164); -#11166=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,5.E-1)); -#11167=VERTEX_POINT('',#11166); -#11168=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,5.E-1)); -#11169=VERTEX_POINT('',#11168); -#11170=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,5.E-1)); -#11171=VERTEX_POINT('',#11170); -#11172=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,5.E-1)); -#11173=VERTEX_POINT('',#11172); -#11174=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,5.E-1)); -#11175=VERTEX_POINT('',#11174); -#11176=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,5.E-1)); -#11177=VERTEX_POINT('',#11176); -#11178=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,5.E-1)); -#11179=VERTEX_POINT('',#11178); -#11180=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,5.E-1)); -#11181=VERTEX_POINT('',#11180); -#11182=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,5.E-1)); -#11183=VERTEX_POINT('',#11182); -#11184=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,5.E-1)); -#11185=VERTEX_POINT('',#11184); -#11186=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,5.E-1)); -#11187=VERTEX_POINT('',#11186); -#11188=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,5.E-1)); -#11189=VERTEX_POINT('',#11188); -#11190=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,8.E-1)); -#11191=VERTEX_POINT('',#11190); -#11192=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,8.E-1)); -#11193=VERTEX_POINT('',#11192); -#11194=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,8.E-1)); -#11195=VERTEX_POINT('',#11194); -#11196=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,8.E-1)); -#11197=VERTEX_POINT('',#11196); -#11198=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,8.E-1)); -#11199=VERTEX_POINT('',#11198); -#11200=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,8.E-1)); -#11201=VERTEX_POINT('',#11200); -#11202=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,8.E-1)); -#11203=VERTEX_POINT('',#11202); -#11204=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,8.E-1)); -#11205=VERTEX_POINT('',#11204); -#11206=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,8.E-1)); -#11207=VERTEX_POINT('',#11206); -#11208=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,8.E-1)); -#11209=VERTEX_POINT('',#11208); -#11210=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,8.E-1)); -#11211=VERTEX_POINT('',#11210); -#11212=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,8.E-1)); -#11213=VERTEX_POINT('',#11212); -#11214=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,8.E-1)); -#11215=VERTEX_POINT('',#11214); -#11216=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,8.E-1)); -#11217=VERTEX_POINT('',#11216); -#11218=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,8.E-1)); -#11219=VERTEX_POINT('',#11218); -#11220=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,8.E-1)); -#11221=VERTEX_POINT('',#11220); -#11222=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,8.E-1)); -#11223=VERTEX_POINT('',#11222); -#11224=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,8.E-1)); -#11225=VERTEX_POINT('',#11224); -#11226=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,8.E-1)); -#11227=VERTEX_POINT('',#11226); -#11228=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,8.E-1)); -#11229=VERTEX_POINT('',#11228); -#11230=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,8.E-1)); -#11231=VERTEX_POINT('',#11230); -#11232=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,8.E-1)); -#11233=VERTEX_POINT('',#11232); -#11234=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,8.E-1)); -#11235=VERTEX_POINT('',#11234); -#11236=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,8.E-1)); -#11237=VERTEX_POINT('',#11236); -#11238=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,8.E-1)); -#11239=VERTEX_POINT('',#11238); -#11240=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,8.E-1)); -#11241=VERTEX_POINT('',#11240); -#11242=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,8.E-1)); -#11243=VERTEX_POINT('',#11242); -#11244=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,8.E-1)); -#11245=VERTEX_POINT('',#11244); -#11246=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,8.E-1)); -#11247=VERTEX_POINT('',#11246); -#11248=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,8.E-1)); -#11249=VERTEX_POINT('',#11248); -#11250=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,8.E-1)); -#11251=VERTEX_POINT('',#11250); -#11252=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,8.E-1)); -#11253=VERTEX_POINT('',#11252); -#11254=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,1.2E0)); -#11255=VERTEX_POINT('',#11254); -#11256=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,1.2E0)); -#11257=VERTEX_POINT('',#11256); -#11258=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,1.2E0)); -#11259=VERTEX_POINT('',#11258); -#11260=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,1.2E0)); -#11261=VERTEX_POINT('',#11260); -#11262=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,1.2E0)); -#11263=VERTEX_POINT('',#11262); -#11264=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,1.2E0)); -#11265=VERTEX_POINT('',#11264); -#11266=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,1.2E0)); -#11267=VERTEX_POINT('',#11266); -#11268=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,1.2E0)); -#11269=VERTEX_POINT('',#11268); -#11270=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,1.2E0)); -#11271=VERTEX_POINT('',#11270); -#11272=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,1.2E0)); -#11273=VERTEX_POINT('',#11272); -#11274=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,1.2E0)); -#11275=VERTEX_POINT('',#11274); -#11276=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,1.2E0)); -#11277=VERTEX_POINT('',#11276); -#11278=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,1.2E0)); -#11279=VERTEX_POINT('',#11278); -#11280=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,1.2E0)); -#11281=VERTEX_POINT('',#11280); -#11282=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,1.2E0)); -#11283=VERTEX_POINT('',#11282); -#11284=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,1.2E0)); -#11285=VERTEX_POINT('',#11284); -#11286=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,1.2E0)); -#11287=VERTEX_POINT('',#11286); -#11288=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,1.2E0)); -#11289=VERTEX_POINT('',#11288); -#11290=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,1.2E0)); -#11291=VERTEX_POINT('',#11290); -#11292=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,1.2E0)); -#11293=VERTEX_POINT('',#11292); -#11294=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,1.5E0)); -#11295=VERTEX_POINT('',#11294); -#11296=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,1.5E0)); -#11297=VERTEX_POINT('',#11296); -#11298=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,1.5E0)); -#11299=VERTEX_POINT('',#11298); -#11300=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,1.5E0)); -#11301=VERTEX_POINT('',#11300); -#11302=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,1.5E0)); -#11303=VERTEX_POINT('',#11302); -#11304=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,1.5E0)); -#11305=VERTEX_POINT('',#11304); -#11306=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,1.5E0)); -#11307=VERTEX_POINT('',#11306); -#11308=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,1.5E0)); -#11309=VERTEX_POINT('',#11308); -#11310=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,5.E-1)); -#11311=VERTEX_POINT('',#11310); -#11312=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,5.E-1)); -#11313=VERTEX_POINT('',#11312); -#11314=CARTESIAN_POINT('',(1.020862094434E1,1.9950809E1,5.E-1)); -#11315=VERTEX_POINT('',#11314); -#11316=CARTESIAN_POINT('',(9.175424944340E0,1.9950809E1,5.E-1)); -#11317=VERTEX_POINT('',#11316); -#11318=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,8.E-1)); -#11319=VERTEX_POINT('',#11318); -#11320=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,8.E-1)); -#11321=VERTEX_POINT('',#11320); -#11322=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,8.E-1)); -#11323=VERTEX_POINT('',#11322); -#11324=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,5.E-1)); -#11325=CARTESIAN_POINT('',(9.175424944340E0,1.8250807E1,5.E-1)); -#11326=VERTEX_POINT('',#11324); -#11327=VERTEX_POINT('',#11325); -#11328=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,8.E-1)); -#11329=VERTEX_POINT('',#11328); -#11330=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,5.E-1)); -#11331=VERTEX_POINT('',#11330); -#11332=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,5.E-1)); -#11333=VERTEX_POINT('',#11332); -#11334=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,5.E-1)); -#11335=VERTEX_POINT('',#11334); -#11336=CARTESIAN_POINT('',(8.633825944340E0,1.8250807E1,5.E-1)); -#11337=VERTEX_POINT('',#11336); -#11338=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,5.E-1)); -#11339=VERTEX_POINT('',#11338); -#11340=CARTESIAN_POINT('',(7.600619944340E0,1.8250807E1,5.E-1)); -#11341=VERTEX_POINT('',#11340); -#11342=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,8.E-1)); -#11343=VERTEX_POINT('',#11342); -#11344=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,8.E-1)); -#11345=VERTEX_POINT('',#11344); -#11346=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,8.E-1)); -#11347=VERTEX_POINT('',#11346); -#11348=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,5.E-1)); -#11349=CARTESIAN_POINT('',(8.633825944340E0,1.9950809E1,5.E-1)); -#11350=VERTEX_POINT('',#11348); -#11351=VERTEX_POINT('',#11349); -#11352=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,8.E-1)); -#11353=VERTEX_POINT('',#11352); -#11354=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,5.E-1)); -#11355=VERTEX_POINT('',#11354); -#11356=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,5.E-1)); -#11357=VERTEX_POINT('',#11356); -#11358=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,5.E-1)); -#11359=VERTEX_POINT('',#11358); -#11360=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,5.E-1)); -#11361=VERTEX_POINT('',#11360); -#11362=CARTESIAN_POINT('',(3.780621944340E0,1.9390419E1,5.E-1)); -#11363=VERTEX_POINT('',#11362); -#11364=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,5.E-1)); -#11365=CARTESIAN_POINT('',(3.780621944340E0,1.7522597E1,5.E-1)); -#11366=VERTEX_POINT('',#11364); -#11367=VERTEX_POINT('',#11365); -#11368=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,1.2E0)); -#11369=VERTEX_POINT('',#11368); -#11370=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,1.2E0)); -#11371=VERTEX_POINT('',#11370); -#11372=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,5.E-1)); -#11373=VERTEX_POINT('',#11372); -#11374=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,5.E-1)); -#11375=VERTEX_POINT('',#11374); -#11376=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,5.E-1)); -#11377=VERTEX_POINT('',#11376); -#11378=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,1.2E0)); -#11379=VERTEX_POINT('',#11378); -#11380=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,5.E-1)); -#11381=CARTESIAN_POINT('',(2.580621944340E0,1.9322608E1,5.E-1)); -#11382=VERTEX_POINT('',#11380); -#11383=VERTEX_POINT('',#11381); -#11384=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,1.2E0)); -#11385=VERTEX_POINT('',#11384); -#11386=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,5.E-1)); -#11387=VERTEX_POINT('',#11386); -#11388=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,5.E-1)); -#11389=VERTEX_POINT('',#11388); -#11390=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,5.E-1)); -#11391=VERTEX_POINT('',#11390); -#11392=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,5.E-1)); -#11393=VERTEX_POINT('',#11392); -#11394=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,5.E-1)); -#11395=VERTEX_POINT('',#11394); -#11396=CARTESIAN_POINT('',(-2.093577055660E0,2.1727219E1,5.E-1)); -#11397=VERTEX_POINT('',#11396); -#11398=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,5.E-1)); -#11399=CARTESIAN_POINT('',(-2.093577055660E0,1.9827197E1,5.E-1)); -#11400=VERTEX_POINT('',#11398); -#11401=VERTEX_POINT('',#11399); -#11402=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,1.2E0)); -#11403=VERTEX_POINT('',#11402); -#11404=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,1.2E0)); -#11405=VERTEX_POINT('',#11404); -#11406=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,5.E-1)); -#11407=VERTEX_POINT('',#11406); -#11408=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,5.E-1)); -#11409=VERTEX_POINT('',#11408); -#11410=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,1.2E0)); -#11411=VERTEX_POINT('',#11410); -#11412=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,5.E-1)); -#11413=CARTESIAN_POINT('',(-3.293577055660E0,2.0777208E1,5.E-1)); -#11414=VERTEX_POINT('',#11412); -#11415=VERTEX_POINT('',#11413); -#11416=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,1.2E0)); -#11417=VERTEX_POINT('',#11416); -#11418=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,5.E-1)); -#11419=VERTEX_POINT('',#11418); -#11420=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,5.E-1)); -#11421=VERTEX_POINT('',#11420); -#11422=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,5.E-1)); -#11423=VERTEX_POINT('',#11422); -#11424=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,5.E-1)); -#11425=VERTEX_POINT('',#11424); -#11426=CARTESIAN_POINT('',(-3.820777055660E0,1.8243408E1,5.E-1)); -#11427=VERTEX_POINT('',#11426); -#11428=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,5.E-1)); -#11429=VERTEX_POINT('',#11428); -#11430=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,1.2E0)); -#11431=VERTEX_POINT('',#11430); -#11432=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,1.2E0)); -#11433=VERTEX_POINT('',#11432); -#11434=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,1.2E0)); -#11435=VERTEX_POINT('',#11434); -#11436=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,5.E-1)); -#11437=CARTESIAN_POINT('',(-2.870767055660E0,1.7043408E1,5.E-1)); -#11438=VERTEX_POINT('',#11436); -#11439=VERTEX_POINT('',#11437); -#11440=CARTESIAN_POINT('',(-4.770788055660E0,1.7043408E1,5.E-1)); -#11441=VERTEX_POINT('',#11440); -#11442=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,5.E-1)); -#11443=VERTEX_POINT('',#11442); -#11444=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,1.2E0)); -#11445=VERTEX_POINT('',#11444); -#11446=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,5.E-1)); -#11447=VERTEX_POINT('',#11446); -#11448=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,5.E-1)); -#11449=VERTEX_POINT('',#11448); -#11450=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,5.E-1)); -#11451=VERTEX_POINT('',#11450); -#11452=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,5.E-1)); -#11453=VERTEX_POINT('',#11452); -#11454=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,5.E-1)); -#11455=VERTEX_POINT('',#11454); -#11456=CARTESIAN_POINT('',(-1.884177055660E0,1.4300208E1,5.E-1)); -#11457=VERTEX_POINT('',#11456); -#11458=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,5.E-1)); -#11459=VERTEX_POINT('',#11458); -#11460=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,5.E-1)); -#11461=VERTEX_POINT('',#11460); -#11462=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,1.2E0)); -#11463=VERTEX_POINT('',#11462); -#11464=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,1.2E0)); -#11465=VERTEX_POINT('',#11464); -#11466=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,1.2E0)); -#11467=VERTEX_POINT('',#11466); -#11468=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,5.E-1)); -#11469=CARTESIAN_POINT('',(-3.084177055660E0,1.5250219E1,5.E-1)); -#11470=VERTEX_POINT('',#11468); -#11471=VERTEX_POINT('',#11469); -#11472=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,1.2E0)); -#11473=VERTEX_POINT('',#11472); -#11474=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,5.E-1)); -#11475=VERTEX_POINT('',#11474); -#11476=CARTESIAN_POINT('',(-3.084177055660E0,1.3350197E1,5.E-1)); -#11477=VERTEX_POINT('',#11476); -#11478=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,5.E-1)); -#11479=VERTEX_POINT('',#11478); -#11480=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,5.E-1)); -#11481=VERTEX_POINT('',#11480); -#11482=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,5.E-1)); -#11483=VERTEX_POINT('',#11482); -#11484=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,5.E-1)); -#11485=VERTEX_POINT('',#11484); -#11486=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,5.E-1)); -#11487=VERTEX_POINT('',#11486); -#11488=CARTESIAN_POINT('',(-4.395780556596E-1,1.1698207E1,5.E-1)); -#11489=VERTEX_POINT('',#11488); -#11490=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,5.E-1)); -#11491=VERTEX_POINT('',#11490); -#11492=CARTESIAN_POINT('',(-4.395780556596E-1,1.0298209E1,5.E-1)); -#11493=VERTEX_POINT('',#11492); -#11494=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,1.2E0)); -#11495=VERTEX_POINT('',#11494); -#11496=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,1.2E0)); -#11497=VERTEX_POINT('',#11496); -#11498=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,1.2E0)); -#11499=VERTEX_POINT('',#11498); -#11500=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,5.E-1)); -#11501=CARTESIAN_POINT('',(-3.239577055660E0,1.1698207E1,5.E-1)); -#11502=VERTEX_POINT('',#11500); -#11503=VERTEX_POINT('',#11501); -#11504=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,1.2E0)); -#11505=VERTEX_POINT('',#11504); -#11506=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,5.E-1)); -#11507=VERTEX_POINT('',#11506); -#11508=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,5.E-1)); -#11509=VERTEX_POINT('',#11508); -#11510=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,5.E-1)); -#11511=VERTEX_POINT('',#11510); -#11512=CARTESIAN_POINT('',(5.664420944340E0,1.5855005E1,5.E-1)); -#11513=VERTEX_POINT('',#11512); -#11514=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,5.E-1)); -#11515=VERTEX_POINT('',#11514); -#11516=CARTESIAN_POINT('',(5.664420944340E0,1.5255007E1,5.E-1)); -#11517=VERTEX_POINT('',#11516); -#11518=CARTESIAN_POINT('',(5.664420944340E0,1.3955007E1,5.E-1)); -#11519=VERTEX_POINT('',#11518); -#11520=CARTESIAN_POINT('',(5.664420944340E0,1.3355008E1,5.E-1)); -#11521=VERTEX_POINT('',#11520); -#11522=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,1.5E0)); -#11523=VERTEX_POINT('',#11522); -#11524=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,1.5E0)); -#11525=VERTEX_POINT('',#11524); -#11526=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,5.E-1)); -#11527=VERTEX_POINT('',#11526); -#11528=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,5.E-1)); -#11529=VERTEX_POINT('',#11528); -#11530=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,1.5E0)); -#11531=VERTEX_POINT('',#11530); -#11532=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,5.E-1)); -#11533=CARTESIAN_POINT('',(3.864418944340E0,1.5855005E1,5.E-1)); -#11534=VERTEX_POINT('',#11532); -#11535=VERTEX_POINT('',#11533); -#11536=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,1.5E0)); -#11537=VERTEX_POINT('',#11536); -#11538=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,5.E-1)); -#11539=VERTEX_POINT('',#11538); -#11540=CARTESIAN_POINT('',(3.864418944340E0,1.3955007E1,5.E-1)); -#11541=VERTEX_POINT('',#11540); -#11542=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,5.E-1)); -#11543=VERTEX_POINT('',#11542); -#11544=CARTESIAN_POINT('',(3.864418944340E0,1.4905005E1,5.E-1)); -#11545=VERTEX_POINT('',#11544); -#11546=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,5.E-1)); -#11547=VERTEX_POINT('',#11546); -#11548=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,5.E-1)); -#11549=VERTEX_POINT('',#11548); -#11550=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,5.E-1)); -#11551=VERTEX_POINT('',#11550); -#11552=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,5.E-1)); -#11553=VERTEX_POINT('',#11552); -#11554=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,5.E-1)); -#11555=VERTEX_POINT('',#11554); -#11556=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,5.E-1)); -#11557=VERTEX_POINT('',#11556); -#11558=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,5.E-1)); -#11559=VERTEX_POINT('',#11558); -#11560=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,5.E-1)); -#11561=VERTEX_POINT('',#11560); -#11562=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,5.E-1)); -#11563=VERTEX_POINT('',#11562); -#11564=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,5.E-1)); -#11565=VERTEX_POINT('',#11564); -#11566=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,8.E-1)); -#11567=VERTEX_POINT('',#11566); -#11568=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,8.E-1)); -#11569=VERTEX_POINT('',#11568); -#11570=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,8.E-1)); -#11571=VERTEX_POINT('',#11570); -#11572=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,8.E-1)); -#11573=VERTEX_POINT('',#11572); -#11574=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,5.E-1)); -#11575=VERTEX_POINT('',#11574); -#11576=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,5.E-1)); -#11577=VERTEX_POINT('',#11576); -#11578=CARTESIAN_POINT('',(-7.396777055660E0,1.5454206E1,5.E-1)); -#11579=VERTEX_POINT('',#11578); -#11580=CARTESIAN_POINT('',(-9.296776055660E0,1.5454206E1,5.E-1)); -#11581=CARTESIAN_POINT('',(-9.896775055660E0,1.5454206E1,5.E-1)); -#11582=VERTEX_POINT('',#11580); -#11583=VERTEX_POINT('',#11581); -#11584=CARTESIAN_POINT('',(-7.996776055660E0,1.5454206E1,5.E-1)); -#11585=VERTEX_POINT('',#11584); -#11586=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,8.E-1)); -#11587=VERTEX_POINT('',#11586); -#11588=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,8.E-1)); -#11589=VERTEX_POINT('',#11588); -#11590=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,5.E-1)); -#11591=VERTEX_POINT('',#11590); -#11592=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,5.E-1)); -#11593=VERTEX_POINT('',#11592); -#11594=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,8.E-1)); -#11595=VERTEX_POINT('',#11594); -#11596=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,5.E-1)); -#11597=CARTESIAN_POINT('',(-9.896775055660E0,1.3654205E1,5.E-1)); -#11598=VERTEX_POINT('',#11596); -#11599=VERTEX_POINT('',#11597); -#11600=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,8.E-1)); -#11601=VERTEX_POINT('',#11600); -#11602=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,5.E-1)); -#11603=VERTEX_POINT('',#11602); -#11604=CARTESIAN_POINT('',(-7.996776055660E0,1.3654205E1,5.E-1)); -#11605=VERTEX_POINT('',#11604); -#11606=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,5.E-1)); -#11607=VERTEX_POINT('',#11606); -#11608=CARTESIAN_POINT('',(-8.946774055660E0,1.3654205E1,5.E-1)); -#11609=VERTEX_POINT('',#11608); -#11610=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,5.E-1)); -#11611=VERTEX_POINT('',#11610); -#11612=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,5.E-1)); -#11613=VERTEX_POINT('',#11612); -#11614=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,5.E-1)); -#11615=VERTEX_POINT('',#11614); -#11616=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,5.E-1)); -#11617=VERTEX_POINT('',#11616); -#11618=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,5.E-1)); -#11619=VERTEX_POINT('',#11618); -#11620=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,5.E-1)); -#11621=VERTEX_POINT('',#11620); -#11622=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,0.E0)); -#11623=DIRECTION('',(0.E0,0.E0,1.E0)); -#11624=DIRECTION('',(1.E0,0.E0,0.E0)); -#11625=AXIS2_PLACEMENT_3D('',#11622,#11623,#11624); -#11626=PLANE('',#11625); -#11628=ORIENTED_EDGE('',*,*,#11627,.T.); -#11630=ORIENTED_EDGE('',*,*,#11629,.T.); -#11632=ORIENTED_EDGE('',*,*,#11631,.T.); -#11634=ORIENTED_EDGE('',*,*,#11633,.T.); -#11636=ORIENTED_EDGE('',*,*,#11635,.T.); -#11638=ORIENTED_EDGE('',*,*,#11637,.T.); -#11640=ORIENTED_EDGE('',*,*,#11639,.T.); -#11642=ORIENTED_EDGE('',*,*,#11641,.T.); -#11643=EDGE_LOOP('',(#11628,#11630,#11632,#11634,#11636,#11638,#11640,#11642)); -#11644=FACE_OUTER_BOUND('',#11643,.F.); -#11646=ORIENTED_EDGE('',*,*,#11645,.T.); -#11648=ORIENTED_EDGE('',*,*,#11647,.T.); -#11649=EDGE_LOOP('',(#11646,#11648)); -#11650=FACE_BOUND('',#11649,.F.); -#11652=ORIENTED_EDGE('',*,*,#11651,.T.); -#11654=ORIENTED_EDGE('',*,*,#11653,.T.); -#11655=EDGE_LOOP('',(#11652,#11654)); -#11656=FACE_BOUND('',#11655,.F.); -#11658=ORIENTED_EDGE('',*,*,#11657,.F.); -#11660=ORIENTED_EDGE('',*,*,#11659,.F.); -#11662=ORIENTED_EDGE('',*,*,#11661,.F.); -#11664=ORIENTED_EDGE('',*,*,#11663,.F.); -#11666=ORIENTED_EDGE('',*,*,#11665,.F.); -#11668=ORIENTED_EDGE('',*,*,#11667,.F.); -#11670=ORIENTED_EDGE('',*,*,#11669,.F.); -#11672=ORIENTED_EDGE('',*,*,#11671,.F.); -#11674=ORIENTED_EDGE('',*,*,#11673,.F.); -#11676=ORIENTED_EDGE('',*,*,#11675,.F.); -#11678=ORIENTED_EDGE('',*,*,#11677,.F.); -#11680=ORIENTED_EDGE('',*,*,#11679,.F.); -#11682=ORIENTED_EDGE('',*,*,#11681,.F.); -#11684=ORIENTED_EDGE('',*,*,#11683,.F.); -#11686=ORIENTED_EDGE('',*,*,#11685,.F.); -#11688=ORIENTED_EDGE('',*,*,#11687,.F.); -#11690=ORIENTED_EDGE('',*,*,#11689,.F.); -#11692=ORIENTED_EDGE('',*,*,#11691,.F.); -#11694=ORIENTED_EDGE('',*,*,#11693,.F.); -#11696=ORIENTED_EDGE('',*,*,#11695,.F.); -#11697=EDGE_LOOP('',(#11658,#11660,#11662,#11664,#11666,#11668,#11670,#11672, -#11674,#11676,#11678,#11680,#11682,#11684,#11686,#11688,#11690,#11692,#11694, -#11696)); -#11698=FACE_BOUND('',#11697,.F.); -#11700=ORIENTED_EDGE('',*,*,#11699,.F.); -#11702=ORIENTED_EDGE('',*,*,#11701,.T.); -#11704=ORIENTED_EDGE('',*,*,#11703,.T.); -#11706=ORIENTED_EDGE('',*,*,#11705,.F.); -#11708=ORIENTED_EDGE('',*,*,#11707,.F.); -#11710=ORIENTED_EDGE('',*,*,#11709,.F.); -#11712=ORIENTED_EDGE('',*,*,#11711,.F.); -#11714=ORIENTED_EDGE('',*,*,#11713,.F.); -#11716=ORIENTED_EDGE('',*,*,#11715,.F.); -#11718=ORIENTED_EDGE('',*,*,#11717,.F.); -#11720=ORIENTED_EDGE('',*,*,#11719,.T.); -#11722=ORIENTED_EDGE('',*,*,#11721,.T.); -#11724=ORIENTED_EDGE('',*,*,#11723,.F.); -#11726=ORIENTED_EDGE('',*,*,#11725,.F.); -#11728=ORIENTED_EDGE('',*,*,#11727,.F.); -#11730=ORIENTED_EDGE('',*,*,#11729,.F.); -#11732=ORIENTED_EDGE('',*,*,#11731,.F.); -#11734=ORIENTED_EDGE('',*,*,#11733,.F.); -#11735=EDGE_LOOP('',(#11700,#11702,#11704,#11706,#11708,#11710,#11712,#11714, -#11716,#11718,#11720,#11722,#11724,#11726,#11728,#11730,#11732,#11734)); -#11736=FACE_BOUND('',#11735,.F.); -#11738=ORIENTED_EDGE('',*,*,#11737,.T.); -#11740=ORIENTED_EDGE('',*,*,#11739,.T.); -#11742=ORIENTED_EDGE('',*,*,#11741,.T.); -#11744=ORIENTED_EDGE('',*,*,#11743,.F.); -#11746=ORIENTED_EDGE('',*,*,#11745,.F.); -#11748=ORIENTED_EDGE('',*,*,#11747,.T.); -#11750=ORIENTED_EDGE('',*,*,#11749,.T.); -#11752=ORIENTED_EDGE('',*,*,#11751,.F.); -#11754=ORIENTED_EDGE('',*,*,#11753,.T.); -#11756=ORIENTED_EDGE('',*,*,#11755,.T.); -#11758=ORIENTED_EDGE('',*,*,#11757,.F.); -#11760=ORIENTED_EDGE('',*,*,#11759,.F.); -#11762=ORIENTED_EDGE('',*,*,#11761,.T.); -#11764=ORIENTED_EDGE('',*,*,#11763,.T.); -#11766=ORIENTED_EDGE('',*,*,#11765,.T.); -#11768=ORIENTED_EDGE('',*,*,#11767,.F.); -#11769=EDGE_LOOP('',(#11738,#11740,#11742,#11744,#11746,#11748,#11750,#11752, -#11754,#11756,#11758,#11760,#11762,#11764,#11766,#11768)); -#11770=FACE_BOUND('',#11769,.F.); -#11772=ORIENTED_EDGE('',*,*,#11771,.F.); -#11774=ORIENTED_EDGE('',*,*,#11773,.F.); -#11776=ORIENTED_EDGE('',*,*,#11775,.F.); -#11778=ORIENTED_EDGE('',*,*,#11777,.F.); -#11779=EDGE_LOOP('',(#11772,#11774,#11776,#11778)); -#11780=FACE_BOUND('',#11779,.F.); -#11782=ORIENTED_EDGE('',*,*,#11781,.T.); -#11784=ORIENTED_EDGE('',*,*,#11783,.F.); -#11786=ORIENTED_EDGE('',*,*,#11785,.T.); -#11788=ORIENTED_EDGE('',*,*,#11787,.F.); -#11789=EDGE_LOOP('',(#11782,#11784,#11786,#11788)); -#11790=FACE_BOUND('',#11789,.F.); -#11792=ORIENTED_EDGE('',*,*,#11791,.T.); -#11794=ORIENTED_EDGE('',*,*,#11793,.F.); -#11796=ORIENTED_EDGE('',*,*,#11795,.T.); -#11798=ORIENTED_EDGE('',*,*,#11797,.F.); -#11799=EDGE_LOOP('',(#11792,#11794,#11796,#11798)); -#11800=FACE_BOUND('',#11799,.F.); -#11802=ORIENTED_EDGE('',*,*,#11801,.T.); -#11804=ORIENTED_EDGE('',*,*,#11803,.F.); -#11806=ORIENTED_EDGE('',*,*,#11805,.T.); -#11808=ORIENTED_EDGE('',*,*,#11807,.F.); -#11809=EDGE_LOOP('',(#11802,#11804,#11806,#11808)); -#11810=FACE_BOUND('',#11809,.F.); -#11812=ORIENTED_EDGE('',*,*,#11811,.T.); -#11814=ORIENTED_EDGE('',*,*,#11813,.F.); -#11816=ORIENTED_EDGE('',*,*,#11815,.T.); -#11818=ORIENTED_EDGE('',*,*,#11817,.F.); -#11819=EDGE_LOOP('',(#11812,#11814,#11816,#11818)); -#11820=FACE_BOUND('',#11819,.F.); -#11822=ORIENTED_EDGE('',*,*,#11821,.T.); -#11824=ORIENTED_EDGE('',*,*,#11823,.F.); -#11826=ORIENTED_EDGE('',*,*,#11825,.T.); -#11828=ORIENTED_EDGE('',*,*,#11827,.F.); -#11829=EDGE_LOOP('',(#11822,#11824,#11826,#11828)); -#11830=FACE_BOUND('',#11829,.F.); -#11832=ORIENTED_EDGE('',*,*,#11831,.T.); -#11834=ORIENTED_EDGE('',*,*,#11833,.F.); -#11836=ORIENTED_EDGE('',*,*,#11835,.T.); -#11838=ORIENTED_EDGE('',*,*,#11837,.F.); -#11839=EDGE_LOOP('',(#11832,#11834,#11836,#11838)); -#11840=FACE_BOUND('',#11839,.F.); -#11842=ORIENTED_EDGE('',*,*,#11841,.T.); -#11844=ORIENTED_EDGE('',*,*,#11843,.F.); -#11846=ORIENTED_EDGE('',*,*,#11845,.T.); -#11848=ORIENTED_EDGE('',*,*,#11847,.F.); -#11849=EDGE_LOOP('',(#11842,#11844,#11846,#11848)); -#11850=FACE_BOUND('',#11849,.F.); -#11852=ORIENTED_EDGE('',*,*,#11851,.T.); -#11854=ORIENTED_EDGE('',*,*,#11853,.F.); -#11856=ORIENTED_EDGE('',*,*,#11855,.T.); -#11858=ORIENTED_EDGE('',*,*,#11857,.F.); -#11859=EDGE_LOOP('',(#11852,#11854,#11856,#11858)); -#11860=FACE_BOUND('',#11859,.F.); -#11862=ORIENTED_EDGE('',*,*,#11861,.F.); -#11864=ORIENTED_EDGE('',*,*,#11863,.F.); -#11866=ORIENTED_EDGE('',*,*,#11865,.F.); -#11868=ORIENTED_EDGE('',*,*,#11867,.F.); -#11870=ORIENTED_EDGE('',*,*,#11869,.F.); -#11872=ORIENTED_EDGE('',*,*,#11871,.F.); -#11874=ORIENTED_EDGE('',*,*,#11873,.F.); -#11876=ORIENTED_EDGE('',*,*,#11875,.F.); -#11878=ORIENTED_EDGE('',*,*,#11877,.F.); -#11880=ORIENTED_EDGE('',*,*,#11879,.F.); -#11882=ORIENTED_EDGE('',*,*,#11881,.F.); -#11884=ORIENTED_EDGE('',*,*,#11883,.F.); -#11886=ORIENTED_EDGE('',*,*,#11885,.F.); -#11888=ORIENTED_EDGE('',*,*,#11887,.F.); -#11890=ORIENTED_EDGE('',*,*,#11889,.F.); -#11892=ORIENTED_EDGE('',*,*,#11891,.F.); -#11894=ORIENTED_EDGE('',*,*,#11893,.F.); -#11896=ORIENTED_EDGE('',*,*,#11895,.F.); -#11898=ORIENTED_EDGE('',*,*,#11897,.F.); -#11900=ORIENTED_EDGE('',*,*,#11899,.F.); -#11901=EDGE_LOOP('',(#11862,#11864,#11866,#11868,#11870,#11872,#11874,#11876, -#11878,#11880,#11882,#11884,#11886,#11888,#11890,#11892,#11894,#11896,#11898, -#11900)); -#11902=FACE_BOUND('',#11901,.F.); -#11904=ORIENTED_EDGE('',*,*,#11903,.F.); -#11906=ORIENTED_EDGE('',*,*,#11905,.F.); -#11908=ORIENTED_EDGE('',*,*,#11907,.F.); -#11910=ORIENTED_EDGE('',*,*,#11909,.F.); -#11912=ORIENTED_EDGE('',*,*,#11911,.F.); -#11914=ORIENTED_EDGE('',*,*,#11913,.F.); -#11916=ORIENTED_EDGE('',*,*,#11915,.F.); -#11918=ORIENTED_EDGE('',*,*,#11917,.F.); -#11920=ORIENTED_EDGE('',*,*,#11919,.F.); -#11922=ORIENTED_EDGE('',*,*,#11921,.F.); -#11924=ORIENTED_EDGE('',*,*,#11923,.F.); -#11926=ORIENTED_EDGE('',*,*,#11925,.F.); -#11927=EDGE_LOOP('',(#11904,#11906,#11908,#11910,#11912,#11914,#11916,#11918, -#11920,#11922,#11924,#11926)); -#11928=FACE_BOUND('',#11927,.F.); -#11930=ORIENTED_EDGE('',*,*,#11929,.F.); -#11932=ORIENTED_EDGE('',*,*,#11931,.F.); -#11934=ORIENTED_EDGE('',*,*,#11933,.F.); -#11936=ORIENTED_EDGE('',*,*,#11935,.F.); -#11937=EDGE_LOOP('',(#11930,#11932,#11934,#11936)); -#11938=FACE_BOUND('',#11937,.F.); -#11940=ORIENTED_EDGE('',*,*,#11939,.F.); -#11942=ORIENTED_EDGE('',*,*,#11941,.F.); -#11944=ORIENTED_EDGE('',*,*,#11943,.F.); -#11946=ORIENTED_EDGE('',*,*,#11945,.F.); -#11948=ORIENTED_EDGE('',*,*,#11947,.F.); -#11950=ORIENTED_EDGE('',*,*,#11949,.F.); -#11952=ORIENTED_EDGE('',*,*,#11951,.F.); -#11954=ORIENTED_EDGE('',*,*,#11953,.F.); -#11956=ORIENTED_EDGE('',*,*,#11955,.F.); -#11958=ORIENTED_EDGE('',*,*,#11957,.F.); -#11960=ORIENTED_EDGE('',*,*,#11959,.F.); -#11962=ORIENTED_EDGE('',*,*,#11961,.F.); -#11964=ORIENTED_EDGE('',*,*,#11963,.F.); -#11966=ORIENTED_EDGE('',*,*,#11965,.F.); -#11968=ORIENTED_EDGE('',*,*,#11967,.F.); -#11970=ORIENTED_EDGE('',*,*,#11969,.F.); -#11971=EDGE_LOOP('',(#11940,#11942,#11944,#11946,#11948,#11950,#11952,#11954, -#11956,#11958,#11960,#11962,#11964,#11966,#11968,#11970)); -#11972=FACE_BOUND('',#11971,.F.); -#11974=ORIENTED_EDGE('',*,*,#11973,.F.); -#11976=ORIENTED_EDGE('',*,*,#11975,.F.); -#11978=ORIENTED_EDGE('',*,*,#11977,.F.); -#11980=ORIENTED_EDGE('',*,*,#11979,.F.); -#11981=EDGE_LOOP('',(#11974,#11976,#11978,#11980)); -#11982=FACE_BOUND('',#11981,.F.); -#11984=ORIENTED_EDGE('',*,*,#11983,.F.); -#11986=ORIENTED_EDGE('',*,*,#11985,.F.); -#11988=ORIENTED_EDGE('',*,*,#11987,.F.); -#11990=ORIENTED_EDGE('',*,*,#11989,.F.); -#11991=EDGE_LOOP('',(#11984,#11986,#11988,#11990)); -#11992=FACE_BOUND('',#11991,.F.); -#11994=ORIENTED_EDGE('',*,*,#11993,.F.); -#11996=ORIENTED_EDGE('',*,*,#11995,.F.); -#11998=ORIENTED_EDGE('',*,*,#11997,.F.); -#12000=ORIENTED_EDGE('',*,*,#11999,.F.); -#12001=EDGE_LOOP('',(#11994,#11996,#11998,#12000)); -#12002=FACE_BOUND('',#12001,.F.); -#12004=ORIENTED_EDGE('',*,*,#12003,.F.); -#12006=ORIENTED_EDGE('',*,*,#12005,.F.); -#12008=ORIENTED_EDGE('',*,*,#12007,.F.); -#12010=ORIENTED_EDGE('',*,*,#12009,.F.); -#12011=EDGE_LOOP('',(#12004,#12006,#12008,#12010)); -#12012=FACE_BOUND('',#12011,.F.); -#12014=ORIENTED_EDGE('',*,*,#12013,.F.); -#12016=ORIENTED_EDGE('',*,*,#12015,.F.); -#12018=ORIENTED_EDGE('',*,*,#12017,.F.); -#12020=ORIENTED_EDGE('',*,*,#12019,.F.); -#12021=EDGE_LOOP('',(#12014,#12016,#12018,#12020)); -#12022=FACE_BOUND('',#12021,.F.); -#12024=ORIENTED_EDGE('',*,*,#12023,.F.); -#12026=ORIENTED_EDGE('',*,*,#12025,.F.); -#12028=ORIENTED_EDGE('',*,*,#12027,.F.); -#12030=ORIENTED_EDGE('',*,*,#12029,.F.); -#12031=EDGE_LOOP('',(#12024,#12026,#12028,#12030)); -#12032=FACE_BOUND('',#12031,.F.); -#12034=ORIENTED_EDGE('',*,*,#12033,.F.); -#12036=ORIENTED_EDGE('',*,*,#12035,.F.); -#12038=ORIENTED_EDGE('',*,*,#12037,.F.); -#12040=ORIENTED_EDGE('',*,*,#12039,.F.); -#12041=EDGE_LOOP('',(#12034,#12036,#12038,#12040)); -#12042=FACE_BOUND('',#12041,.F.); -#12044=ORIENTED_EDGE('',*,*,#12043,.F.); -#12046=ORIENTED_EDGE('',*,*,#12045,.F.); -#12048=ORIENTED_EDGE('',*,*,#12047,.F.); -#12050=ORIENTED_EDGE('',*,*,#12049,.F.); -#12051=EDGE_LOOP('',(#12044,#12046,#12048,#12050)); -#12052=FACE_BOUND('',#12051,.F.); -#12054=ORIENTED_EDGE('',*,*,#12053,.F.); -#12056=ORIENTED_EDGE('',*,*,#12055,.F.); -#12058=ORIENTED_EDGE('',*,*,#12057,.F.); -#12060=ORIENTED_EDGE('',*,*,#12059,.F.); -#12061=EDGE_LOOP('',(#12054,#12056,#12058,#12060)); -#12062=FACE_BOUND('',#12061,.F.); -#12064=ORIENTED_EDGE('',*,*,#12063,.F.); -#12066=ORIENTED_EDGE('',*,*,#12065,.F.); -#12068=ORIENTED_EDGE('',*,*,#12067,.F.); -#12070=ORIENTED_EDGE('',*,*,#12069,.F.); -#12071=EDGE_LOOP('',(#12064,#12066,#12068,#12070)); -#12072=FACE_BOUND('',#12071,.F.); -#12074=ORIENTED_EDGE('',*,*,#12073,.F.); -#12076=ORIENTED_EDGE('',*,*,#12075,.F.); -#12078=ORIENTED_EDGE('',*,*,#12077,.F.); -#12080=ORIENTED_EDGE('',*,*,#12079,.F.); -#12081=EDGE_LOOP('',(#12074,#12076,#12078,#12080)); -#12082=FACE_BOUND('',#12081,.F.); -#12084=ORIENTED_EDGE('',*,*,#12083,.F.); -#12086=ORIENTED_EDGE('',*,*,#12085,.F.); -#12088=ORIENTED_EDGE('',*,*,#12087,.F.); -#12090=ORIENTED_EDGE('',*,*,#12089,.F.); -#12091=EDGE_LOOP('',(#12084,#12086,#12088,#12090)); -#12092=FACE_BOUND('',#12091,.F.); -#12094=ORIENTED_EDGE('',*,*,#12093,.F.); -#12096=ORIENTED_EDGE('',*,*,#12095,.F.); -#12098=ORIENTED_EDGE('',*,*,#12097,.F.); -#12100=ORIENTED_EDGE('',*,*,#12099,.F.); -#12101=EDGE_LOOP('',(#12094,#12096,#12098,#12100)); -#12102=FACE_BOUND('',#12101,.F.); -#12104=ORIENTED_EDGE('',*,*,#12103,.F.); -#12106=ORIENTED_EDGE('',*,*,#12105,.F.); -#12108=ORIENTED_EDGE('',*,*,#12107,.F.); -#12110=ORIENTED_EDGE('',*,*,#12109,.F.); -#12111=EDGE_LOOP('',(#12104,#12106,#12108,#12110)); -#12112=FACE_BOUND('',#12111,.F.); -#12114=ORIENTED_EDGE('',*,*,#12113,.F.); -#12116=ORIENTED_EDGE('',*,*,#12115,.F.); -#12118=ORIENTED_EDGE('',*,*,#12117,.F.); -#12120=ORIENTED_EDGE('',*,*,#12119,.F.); -#12121=EDGE_LOOP('',(#12114,#12116,#12118,#12120)); -#12122=FACE_BOUND('',#12121,.F.); -#12124=ORIENTED_EDGE('',*,*,#12123,.F.); -#12126=ORIENTED_EDGE('',*,*,#12125,.F.); -#12128=ORIENTED_EDGE('',*,*,#12127,.F.); -#12130=ORIENTED_EDGE('',*,*,#12129,.F.); -#12131=EDGE_LOOP('',(#12124,#12126,#12128,#12130)); -#12132=FACE_BOUND('',#12131,.F.); -#12134=ORIENTED_EDGE('',*,*,#12133,.F.); -#12136=ORIENTED_EDGE('',*,*,#12135,.F.); -#12138=ORIENTED_EDGE('',*,*,#12137,.F.); -#12140=ORIENTED_EDGE('',*,*,#12139,.F.); -#12141=EDGE_LOOP('',(#12134,#12136,#12138,#12140)); -#12142=FACE_BOUND('',#12141,.F.); -#12144=ORIENTED_EDGE('',*,*,#12143,.F.); -#12146=ORIENTED_EDGE('',*,*,#12145,.F.); -#12148=ORIENTED_EDGE('',*,*,#12147,.F.); -#12150=ORIENTED_EDGE('',*,*,#12149,.F.); -#12151=EDGE_LOOP('',(#12144,#12146,#12148,#12150)); -#12152=FACE_BOUND('',#12151,.F.); -#12154=ORIENTED_EDGE('',*,*,#12153,.F.); -#12156=ORIENTED_EDGE('',*,*,#12155,.F.); -#12158=ORIENTED_EDGE('',*,*,#12157,.F.); -#12160=ORIENTED_EDGE('',*,*,#12159,.F.); -#12161=EDGE_LOOP('',(#12154,#12156,#12158,#12160)); -#12162=FACE_BOUND('',#12161,.F.); -#12164=ORIENTED_EDGE('',*,*,#12163,.F.); -#12166=ORIENTED_EDGE('',*,*,#12165,.F.); -#12168=ORIENTED_EDGE('',*,*,#12167,.F.); -#12170=ORIENTED_EDGE('',*,*,#12169,.F.); -#12171=EDGE_LOOP('',(#12164,#12166,#12168,#12170)); -#12172=FACE_BOUND('',#12171,.F.); -#12174=ORIENTED_EDGE('',*,*,#12173,.F.); -#12176=ORIENTED_EDGE('',*,*,#12175,.F.); -#12178=ORIENTED_EDGE('',*,*,#12177,.F.); -#12180=ORIENTED_EDGE('',*,*,#12179,.F.); -#12181=EDGE_LOOP('',(#12174,#12176,#12178,#12180)); -#12182=FACE_BOUND('',#12181,.F.); -#12184=ORIENTED_EDGE('',*,*,#12183,.F.); -#12186=ORIENTED_EDGE('',*,*,#12185,.F.); -#12188=ORIENTED_EDGE('',*,*,#12187,.F.); -#12190=ORIENTED_EDGE('',*,*,#12189,.F.); -#12191=EDGE_LOOP('',(#12184,#12186,#12188,#12190)); -#12192=FACE_BOUND('',#12191,.F.); -#12194=ORIENTED_EDGE('',*,*,#12193,.F.); -#12196=ORIENTED_EDGE('',*,*,#12195,.F.); -#12198=ORIENTED_EDGE('',*,*,#12197,.F.); -#12200=ORIENTED_EDGE('',*,*,#12199,.F.); -#12201=EDGE_LOOP('',(#12194,#12196,#12198,#12200)); -#12202=FACE_BOUND('',#12201,.F.); -#12204=ORIENTED_EDGE('',*,*,#12203,.F.); -#12206=ORIENTED_EDGE('',*,*,#12205,.F.); -#12208=ORIENTED_EDGE('',*,*,#12207,.F.); -#12210=ORIENTED_EDGE('',*,*,#12209,.F.); -#12211=EDGE_LOOP('',(#12204,#12206,#12208,#12210)); -#12212=FACE_BOUND('',#12211,.F.); -#12214=ORIENTED_EDGE('',*,*,#12213,.F.); -#12216=ORIENTED_EDGE('',*,*,#12215,.F.); -#12218=ORIENTED_EDGE('',*,*,#12217,.F.); -#12220=ORIENTED_EDGE('',*,*,#12219,.F.); -#12221=EDGE_LOOP('',(#12214,#12216,#12218,#12220)); -#12222=FACE_BOUND('',#12221,.F.); -#12224=ORIENTED_EDGE('',*,*,#12223,.F.); -#12226=ORIENTED_EDGE('',*,*,#12225,.F.); -#12228=ORIENTED_EDGE('',*,*,#12227,.F.); -#12230=ORIENTED_EDGE('',*,*,#12229,.F.); -#12231=EDGE_LOOP('',(#12224,#12226,#12228,#12230)); -#12232=FACE_BOUND('',#12231,.F.); -#12234=ORIENTED_EDGE('',*,*,#12233,.F.); -#12236=ORIENTED_EDGE('',*,*,#12235,.F.); -#12238=ORIENTED_EDGE('',*,*,#12237,.F.); -#12240=ORIENTED_EDGE('',*,*,#12239,.F.); -#12241=EDGE_LOOP('',(#12234,#12236,#12238,#12240)); -#12242=FACE_BOUND('',#12241,.F.); -#12244=ORIENTED_EDGE('',*,*,#12243,.F.); -#12246=ORIENTED_EDGE('',*,*,#12245,.F.); -#12248=ORIENTED_EDGE('',*,*,#12247,.F.); -#12250=ORIENTED_EDGE('',*,*,#12249,.F.); -#12251=EDGE_LOOP('',(#12244,#12246,#12248,#12250)); -#12252=FACE_BOUND('',#12251,.F.); -#12254=ORIENTED_EDGE('',*,*,#12253,.F.); -#12256=ORIENTED_EDGE('',*,*,#12255,.F.); -#12258=ORIENTED_EDGE('',*,*,#12257,.F.); -#12260=ORIENTED_EDGE('',*,*,#12259,.F.); -#12261=EDGE_LOOP('',(#12254,#12256,#12258,#12260)); -#12262=FACE_BOUND('',#12261,.F.); -#12264=ORIENTED_EDGE('',*,*,#12263,.F.); -#12266=ORIENTED_EDGE('',*,*,#12265,.F.); -#12268=ORIENTED_EDGE('',*,*,#12267,.F.); -#12270=ORIENTED_EDGE('',*,*,#12269,.F.); -#12271=EDGE_LOOP('',(#12264,#12266,#12268,#12270)); -#12272=FACE_BOUND('',#12271,.F.); -#12274=ORIENTED_EDGE('',*,*,#12273,.F.); -#12276=ORIENTED_EDGE('',*,*,#12275,.F.); -#12278=ORIENTED_EDGE('',*,*,#12277,.F.); -#12280=ORIENTED_EDGE('',*,*,#12279,.F.); -#12281=EDGE_LOOP('',(#12274,#12276,#12278,#12280)); -#12282=FACE_BOUND('',#12281,.F.); -#12284=ORIENTED_EDGE('',*,*,#12283,.F.); -#12286=ORIENTED_EDGE('',*,*,#12285,.F.); -#12288=ORIENTED_EDGE('',*,*,#12287,.F.); -#12290=ORIENTED_EDGE('',*,*,#12289,.F.); -#12291=EDGE_LOOP('',(#12284,#12286,#12288,#12290)); -#12292=FACE_BOUND('',#12291,.F.); -#12294=ORIENTED_EDGE('',*,*,#12293,.F.); -#12296=ORIENTED_EDGE('',*,*,#12295,.F.); -#12298=ORIENTED_EDGE('',*,*,#12297,.F.); -#12300=ORIENTED_EDGE('',*,*,#12299,.F.); -#12301=EDGE_LOOP('',(#12294,#12296,#12298,#12300)); -#12302=FACE_BOUND('',#12301,.F.); -#12304=ORIENTED_EDGE('',*,*,#12303,.F.); -#12306=ORIENTED_EDGE('',*,*,#12305,.F.); -#12308=ORIENTED_EDGE('',*,*,#12307,.F.); -#12310=ORIENTED_EDGE('',*,*,#12309,.F.); -#12311=EDGE_LOOP('',(#12304,#12306,#12308,#12310)); -#12312=FACE_BOUND('',#12311,.F.); -#12314=ORIENTED_EDGE('',*,*,#12313,.F.); -#12316=ORIENTED_EDGE('',*,*,#12315,.F.); -#12318=ORIENTED_EDGE('',*,*,#12317,.F.); -#12320=ORIENTED_EDGE('',*,*,#12319,.F.); -#12321=EDGE_LOOP('',(#12314,#12316,#12318,#12320)); -#12322=FACE_BOUND('',#12321,.F.); -#12324=ORIENTED_EDGE('',*,*,#12323,.F.); -#12326=ORIENTED_EDGE('',*,*,#12325,.F.); -#12328=ORIENTED_EDGE('',*,*,#12327,.F.); -#12330=ORIENTED_EDGE('',*,*,#12329,.F.); -#12331=EDGE_LOOP('',(#12324,#12326,#12328,#12330)); -#12332=FACE_BOUND('',#12331,.F.); -#12334=ORIENTED_EDGE('',*,*,#12333,.F.); -#12336=ORIENTED_EDGE('',*,*,#12335,.F.); -#12338=ORIENTED_EDGE('',*,*,#12337,.F.); -#12340=ORIENTED_EDGE('',*,*,#12339,.F.); -#12341=EDGE_LOOP('',(#12334,#12336,#12338,#12340)); -#12342=FACE_BOUND('',#12341,.F.); -#12344=ORIENTED_EDGE('',*,*,#12343,.F.); -#12346=ORIENTED_EDGE('',*,*,#12345,.F.); -#12348=ORIENTED_EDGE('',*,*,#12347,.F.); -#12350=ORIENTED_EDGE('',*,*,#12349,.F.); -#12351=EDGE_LOOP('',(#12344,#12346,#12348,#12350)); -#12352=FACE_BOUND('',#12351,.F.); -#12354=ORIENTED_EDGE('',*,*,#12353,.F.); -#12356=ORIENTED_EDGE('',*,*,#12355,.F.); -#12358=ORIENTED_EDGE('',*,*,#12357,.F.); -#12360=ORIENTED_EDGE('',*,*,#12359,.F.); -#12361=EDGE_LOOP('',(#12354,#12356,#12358,#12360)); -#12362=FACE_BOUND('',#12361,.F.); -#12364=ORIENTED_EDGE('',*,*,#12363,.F.); -#12366=ORIENTED_EDGE('',*,*,#12365,.F.); -#12368=ORIENTED_EDGE('',*,*,#12367,.F.); -#12370=ORIENTED_EDGE('',*,*,#12369,.F.); -#12371=EDGE_LOOP('',(#12364,#12366,#12368,#12370)); -#12372=FACE_BOUND('',#12371,.F.); -#12374=ORIENTED_EDGE('',*,*,#12373,.F.); -#12376=ORIENTED_EDGE('',*,*,#12375,.F.); -#12378=ORIENTED_EDGE('',*,*,#12377,.F.); -#12380=ORIENTED_EDGE('',*,*,#12379,.F.); -#12381=EDGE_LOOP('',(#12374,#12376,#12378,#12380)); -#12382=FACE_BOUND('',#12381,.F.); -#12384=ORIENTED_EDGE('',*,*,#12383,.F.); -#12386=ORIENTED_EDGE('',*,*,#12385,.F.); -#12388=ORIENTED_EDGE('',*,*,#12387,.F.); -#12390=ORIENTED_EDGE('',*,*,#12389,.F.); -#12391=EDGE_LOOP('',(#12384,#12386,#12388,#12390)); -#12392=FACE_BOUND('',#12391,.F.); -#12394=ORIENTED_EDGE('',*,*,#12393,.F.); -#12396=ORIENTED_EDGE('',*,*,#12395,.F.); -#12398=ORIENTED_EDGE('',*,*,#12397,.F.); -#12400=ORIENTED_EDGE('',*,*,#12399,.F.); -#12401=EDGE_LOOP('',(#12394,#12396,#12398,#12400)); -#12402=FACE_BOUND('',#12401,.F.); -#12404=ORIENTED_EDGE('',*,*,#12403,.F.); -#12406=ORIENTED_EDGE('',*,*,#12405,.F.); -#12408=ORIENTED_EDGE('',*,*,#12407,.F.); -#12410=ORIENTED_EDGE('',*,*,#12409,.F.); -#12411=EDGE_LOOP('',(#12404,#12406,#12408,#12410)); -#12412=FACE_BOUND('',#12411,.F.); -#12414=ORIENTED_EDGE('',*,*,#12413,.F.); -#12416=ORIENTED_EDGE('',*,*,#12415,.F.); -#12418=ORIENTED_EDGE('',*,*,#12417,.F.); -#12420=ORIENTED_EDGE('',*,*,#12419,.F.); -#12421=EDGE_LOOP('',(#12414,#12416,#12418,#12420)); -#12422=FACE_BOUND('',#12421,.F.); -#12424=ORIENTED_EDGE('',*,*,#12423,.F.); -#12426=ORIENTED_EDGE('',*,*,#12425,.F.); -#12428=ORIENTED_EDGE('',*,*,#12427,.F.); -#12430=ORIENTED_EDGE('',*,*,#12429,.F.); -#12431=EDGE_LOOP('',(#12424,#12426,#12428,#12430)); -#12432=FACE_BOUND('',#12431,.F.); -#12434=ORIENTED_EDGE('',*,*,#12433,.F.); -#12436=ORIENTED_EDGE('',*,*,#12435,.F.); -#12438=ORIENTED_EDGE('',*,*,#12437,.F.); -#12440=ORIENTED_EDGE('',*,*,#12439,.F.); -#12441=EDGE_LOOP('',(#12434,#12436,#12438,#12440)); -#12442=FACE_BOUND('',#12441,.F.); -#12444=ORIENTED_EDGE('',*,*,#12443,.F.); -#12446=ORIENTED_EDGE('',*,*,#12445,.F.); -#12448=ORIENTED_EDGE('',*,*,#12447,.F.); -#12450=ORIENTED_EDGE('',*,*,#12449,.F.); -#12451=EDGE_LOOP('',(#12444,#12446,#12448,#12450)); -#12452=FACE_BOUND('',#12451,.F.); -#12454=ORIENTED_EDGE('',*,*,#12453,.F.); -#12456=ORIENTED_EDGE('',*,*,#12455,.F.); -#12458=ORIENTED_EDGE('',*,*,#12457,.F.); -#12460=ORIENTED_EDGE('',*,*,#12459,.F.); -#12461=EDGE_LOOP('',(#12454,#12456,#12458,#12460)); -#12462=FACE_BOUND('',#12461,.F.); -#12464=ORIENTED_EDGE('',*,*,#12463,.F.); -#12466=ORIENTED_EDGE('',*,*,#12465,.F.); -#12468=ORIENTED_EDGE('',*,*,#12467,.F.); -#12470=ORIENTED_EDGE('',*,*,#12469,.F.); -#12471=EDGE_LOOP('',(#12464,#12466,#12468,#12470)); -#12472=FACE_BOUND('',#12471,.F.); -#12474=ORIENTED_EDGE('',*,*,#12473,.F.); -#12476=ORIENTED_EDGE('',*,*,#12475,.F.); -#12478=ORIENTED_EDGE('',*,*,#12477,.F.); -#12480=ORIENTED_EDGE('',*,*,#12479,.F.); -#12481=EDGE_LOOP('',(#12474,#12476,#12478,#12480)); -#12482=FACE_BOUND('',#12481,.F.); -#12484=ORIENTED_EDGE('',*,*,#12483,.F.); -#12486=ORIENTED_EDGE('',*,*,#12485,.F.); -#12488=ORIENTED_EDGE('',*,*,#12487,.F.); -#12490=ORIENTED_EDGE('',*,*,#12489,.F.); -#12491=EDGE_LOOP('',(#12484,#12486,#12488,#12490)); -#12492=FACE_BOUND('',#12491,.F.); -#12494=ORIENTED_EDGE('',*,*,#12493,.F.); -#12496=ORIENTED_EDGE('',*,*,#12495,.F.); -#12498=ORIENTED_EDGE('',*,*,#12497,.F.); -#12500=ORIENTED_EDGE('',*,*,#12499,.F.); -#12501=EDGE_LOOP('',(#12494,#12496,#12498,#12500)); -#12502=FACE_BOUND('',#12501,.F.); -#12504=ORIENTED_EDGE('',*,*,#12503,.F.); -#12506=ORIENTED_EDGE('',*,*,#12505,.F.); -#12508=ORIENTED_EDGE('',*,*,#12507,.F.); -#12510=ORIENTED_EDGE('',*,*,#12509,.F.); -#12511=EDGE_LOOP('',(#12504,#12506,#12508,#12510)); -#12512=FACE_BOUND('',#12511,.F.); -#12514=ORIENTED_EDGE('',*,*,#12513,.F.); -#12516=ORIENTED_EDGE('',*,*,#12515,.F.); -#12518=ORIENTED_EDGE('',*,*,#12517,.F.); -#12520=ORIENTED_EDGE('',*,*,#12519,.F.); -#12521=EDGE_LOOP('',(#12514,#12516,#12518,#12520)); -#12522=FACE_BOUND('',#12521,.F.); -#12524=ORIENTED_EDGE('',*,*,#12523,.F.); -#12526=ORIENTED_EDGE('',*,*,#12525,.F.); -#12528=ORIENTED_EDGE('',*,*,#12527,.F.); -#12530=ORIENTED_EDGE('',*,*,#12529,.F.); -#12531=EDGE_LOOP('',(#12524,#12526,#12528,#12530)); -#12532=FACE_BOUND('',#12531,.F.); -#12534=ORIENTED_EDGE('',*,*,#12533,.F.); -#12536=ORIENTED_EDGE('',*,*,#12535,.F.); -#12538=ORIENTED_EDGE('',*,*,#12537,.F.); -#12540=ORIENTED_EDGE('',*,*,#12539,.F.); -#12542=ORIENTED_EDGE('',*,*,#12541,.F.); -#12544=ORIENTED_EDGE('',*,*,#12543,.F.); -#12546=ORIENTED_EDGE('',*,*,#12545,.F.); -#12548=ORIENTED_EDGE('',*,*,#12547,.F.); -#12550=ORIENTED_EDGE('',*,*,#12549,.F.); -#12552=ORIENTED_EDGE('',*,*,#12551,.F.); -#12554=ORIENTED_EDGE('',*,*,#12553,.F.); -#12556=ORIENTED_EDGE('',*,*,#12555,.F.); -#12557=EDGE_LOOP('',(#12534,#12536,#12538,#12540,#12542,#12544,#12546,#12548, -#12550,#12552,#12554,#12556)); -#12558=FACE_BOUND('',#12557,.F.); -#12560=ORIENTED_EDGE('',*,*,#12559,.F.); -#12562=ORIENTED_EDGE('',*,*,#12561,.F.); -#12564=ORIENTED_EDGE('',*,*,#12563,.F.); -#12566=ORIENTED_EDGE('',*,*,#12565,.F.); -#12568=ORIENTED_EDGE('',*,*,#12567,.F.); -#12570=ORIENTED_EDGE('',*,*,#12569,.F.); -#12572=ORIENTED_EDGE('',*,*,#12571,.F.); -#12574=ORIENTED_EDGE('',*,*,#12573,.F.); -#12576=ORIENTED_EDGE('',*,*,#12575,.F.); -#12578=ORIENTED_EDGE('',*,*,#12577,.F.); -#12580=ORIENTED_EDGE('',*,*,#12579,.F.); -#12582=ORIENTED_EDGE('',*,*,#12581,.F.); -#12583=EDGE_LOOP('',(#12560,#12562,#12564,#12566,#12568,#12570,#12572,#12574, -#12576,#12578,#12580,#12582)); -#12584=FACE_BOUND('',#12583,.F.); -#12586=ORIENTED_EDGE('',*,*,#12585,.F.); -#12588=ORIENTED_EDGE('',*,*,#12587,.F.); -#12590=ORIENTED_EDGE('',*,*,#12589,.F.); -#12592=ORIENTED_EDGE('',*,*,#12591,.F.); -#12594=ORIENTED_EDGE('',*,*,#12593,.F.); -#12596=ORIENTED_EDGE('',*,*,#12595,.F.); -#12598=ORIENTED_EDGE('',*,*,#12597,.F.); -#12600=ORIENTED_EDGE('',*,*,#12599,.F.); -#12602=ORIENTED_EDGE('',*,*,#12601,.F.); -#12604=ORIENTED_EDGE('',*,*,#12603,.F.); -#12606=ORIENTED_EDGE('',*,*,#12605,.F.); -#12608=ORIENTED_EDGE('',*,*,#12607,.F.); -#12610=ORIENTED_EDGE('',*,*,#12609,.F.); -#12612=ORIENTED_EDGE('',*,*,#12611,.F.); -#12614=ORIENTED_EDGE('',*,*,#12613,.F.); -#12616=ORIENTED_EDGE('',*,*,#12615,.F.); -#12617=EDGE_LOOP('',(#12586,#12588,#12590,#12592,#12594,#12596,#12598,#12600, -#12602,#12604,#12606,#12608,#12610,#12612,#12614,#12616)); -#12618=FACE_BOUND('',#12617,.F.); -#12620=ORIENTED_EDGE('',*,*,#12619,.F.); -#12622=ORIENTED_EDGE('',*,*,#12621,.F.); -#12624=ORIENTED_EDGE('',*,*,#12623,.F.); -#12626=ORIENTED_EDGE('',*,*,#12625,.F.); -#12628=ORIENTED_EDGE('',*,*,#12627,.F.); -#12630=ORIENTED_EDGE('',*,*,#12629,.F.); -#12632=ORIENTED_EDGE('',*,*,#12631,.F.); -#12634=ORIENTED_EDGE('',*,*,#12633,.F.); -#12636=ORIENTED_EDGE('',*,*,#12635,.F.); -#12638=ORIENTED_EDGE('',*,*,#12637,.F.); -#12640=ORIENTED_EDGE('',*,*,#12639,.F.); -#12642=ORIENTED_EDGE('',*,*,#12641,.F.); -#12644=ORIENTED_EDGE('',*,*,#12643,.F.); -#12646=ORIENTED_EDGE('',*,*,#12645,.F.); -#12648=ORIENTED_EDGE('',*,*,#12647,.F.); -#12650=ORIENTED_EDGE('',*,*,#12649,.F.); -#12651=EDGE_LOOP('',(#12620,#12622,#12624,#12626,#12628,#12630,#12632,#12634, -#12636,#12638,#12640,#12642,#12644,#12646,#12648,#12650)); -#12652=FACE_BOUND('',#12651,.F.); -#12654=ORIENTED_EDGE('',*,*,#12653,.F.); -#12656=ORIENTED_EDGE('',*,*,#12655,.F.); -#12658=ORIENTED_EDGE('',*,*,#12657,.F.); -#12660=ORIENTED_EDGE('',*,*,#12659,.F.); -#12662=ORIENTED_EDGE('',*,*,#12661,.F.); -#12664=ORIENTED_EDGE('',*,*,#12663,.F.); -#12666=ORIENTED_EDGE('',*,*,#12665,.F.); -#12668=ORIENTED_EDGE('',*,*,#12667,.F.); -#12670=ORIENTED_EDGE('',*,*,#12669,.F.); -#12672=ORIENTED_EDGE('',*,*,#12671,.F.); -#12674=ORIENTED_EDGE('',*,*,#12673,.F.); -#12676=ORIENTED_EDGE('',*,*,#12675,.F.); -#12678=ORIENTED_EDGE('',*,*,#12677,.F.); -#12680=ORIENTED_EDGE('',*,*,#12679,.F.); -#12682=ORIENTED_EDGE('',*,*,#12681,.F.); -#12684=ORIENTED_EDGE('',*,*,#12683,.F.); -#12685=EDGE_LOOP('',(#12654,#12656,#12658,#12660,#12662,#12664,#12666,#12668, -#12670,#12672,#12674,#12676,#12678,#12680,#12682,#12684)); -#12686=FACE_BOUND('',#12685,.F.); -#12688=ORIENTED_EDGE('',*,*,#12687,.F.); -#12690=ORIENTED_EDGE('',*,*,#12689,.F.); -#12692=ORIENTED_EDGE('',*,*,#12691,.F.); -#12694=ORIENTED_EDGE('',*,*,#12693,.F.); -#12696=ORIENTED_EDGE('',*,*,#12695,.F.); -#12698=ORIENTED_EDGE('',*,*,#12697,.F.); -#12700=ORIENTED_EDGE('',*,*,#12699,.F.); -#12702=ORIENTED_EDGE('',*,*,#12701,.F.); -#12704=ORIENTED_EDGE('',*,*,#12703,.F.); -#12706=ORIENTED_EDGE('',*,*,#12705,.F.); -#12708=ORIENTED_EDGE('',*,*,#12707,.F.); -#12710=ORIENTED_EDGE('',*,*,#12709,.F.); -#12712=ORIENTED_EDGE('',*,*,#12711,.F.); -#12714=ORIENTED_EDGE('',*,*,#12713,.F.); -#12716=ORIENTED_EDGE('',*,*,#12715,.F.); -#12718=ORIENTED_EDGE('',*,*,#12717,.F.); -#12719=EDGE_LOOP('',(#12688,#12690,#12692,#12694,#12696,#12698,#12700,#12702, -#12704,#12706,#12708,#12710,#12712,#12714,#12716,#12718)); -#12720=FACE_BOUND('',#12719,.F.); -#12722=ORIENTED_EDGE('',*,*,#12721,.F.); -#12724=ORIENTED_EDGE('',*,*,#12723,.F.); -#12726=ORIENTED_EDGE('',*,*,#12725,.F.); -#12728=ORIENTED_EDGE('',*,*,#12727,.F.); -#12730=ORIENTED_EDGE('',*,*,#12729,.F.); -#12732=ORIENTED_EDGE('',*,*,#12731,.F.); -#12734=ORIENTED_EDGE('',*,*,#12733,.F.); -#12736=ORIENTED_EDGE('',*,*,#12735,.F.); -#12738=ORIENTED_EDGE('',*,*,#12737,.F.); -#12740=ORIENTED_EDGE('',*,*,#12739,.F.); -#12742=ORIENTED_EDGE('',*,*,#12741,.F.); -#12744=ORIENTED_EDGE('',*,*,#12743,.F.); -#12745=EDGE_LOOP('',(#12722,#12724,#12726,#12728,#12730,#12732,#12734,#12736, -#12738,#12740,#12742,#12744)); -#12746=FACE_BOUND('',#12745,.F.); -#12748=ORIENTED_EDGE('',*,*,#12747,.F.); -#12750=ORIENTED_EDGE('',*,*,#12749,.F.); -#12752=ORIENTED_EDGE('',*,*,#12751,.F.); -#12754=ORIENTED_EDGE('',*,*,#12753,.F.); -#12756=ORIENTED_EDGE('',*,*,#12755,.F.); -#12758=ORIENTED_EDGE('',*,*,#12757,.F.); -#12760=ORIENTED_EDGE('',*,*,#12759,.F.); -#12762=ORIENTED_EDGE('',*,*,#12761,.F.); -#12764=ORIENTED_EDGE('',*,*,#12763,.F.); -#12766=ORIENTED_EDGE('',*,*,#12765,.F.); -#12768=ORIENTED_EDGE('',*,*,#12767,.F.); -#12770=ORIENTED_EDGE('',*,*,#12769,.F.); -#12772=ORIENTED_EDGE('',*,*,#12771,.F.); -#12774=ORIENTED_EDGE('',*,*,#12773,.F.); -#12776=ORIENTED_EDGE('',*,*,#12775,.F.); -#12778=ORIENTED_EDGE('',*,*,#12777,.F.); -#12780=ORIENTED_EDGE('',*,*,#12779,.F.); -#12782=ORIENTED_EDGE('',*,*,#12781,.F.); -#12784=ORIENTED_EDGE('',*,*,#12783,.F.); -#12786=ORIENTED_EDGE('',*,*,#12785,.F.); -#12788=ORIENTED_EDGE('',*,*,#12787,.F.); -#12790=ORIENTED_EDGE('',*,*,#12789,.F.); -#12792=ORIENTED_EDGE('',*,*,#12791,.F.); -#12794=ORIENTED_EDGE('',*,*,#12793,.F.); -#12795=EDGE_LOOP('',(#12748,#12750,#12752,#12754,#12756,#12758,#12760,#12762, -#12764,#12766,#12768,#12770,#12772,#12774,#12776,#12778,#12780,#12782,#12784, -#12786,#12788,#12790,#12792,#12794)); -#12796=FACE_BOUND('',#12795,.F.); -#12798=ORIENTED_EDGE('',*,*,#12797,.F.); -#12800=ORIENTED_EDGE('',*,*,#12799,.F.); -#12802=ORIENTED_EDGE('',*,*,#12801,.F.); -#12804=ORIENTED_EDGE('',*,*,#12803,.F.); -#12805=EDGE_LOOP('',(#12798,#12800,#12802,#12804)); -#12806=FACE_BOUND('',#12805,.F.); -#12808=ORIENTED_EDGE('',*,*,#12807,.F.); -#12810=ORIENTED_EDGE('',*,*,#12809,.F.); -#12812=ORIENTED_EDGE('',*,*,#12811,.F.); -#12814=ORIENTED_EDGE('',*,*,#12813,.F.); -#12815=EDGE_LOOP('',(#12808,#12810,#12812,#12814)); -#12816=FACE_BOUND('',#12815,.F.); -#12818=ORIENTED_EDGE('',*,*,#12817,.F.); -#12820=ORIENTED_EDGE('',*,*,#12819,.F.); -#12822=ORIENTED_EDGE('',*,*,#12821,.F.); -#12824=ORIENTED_EDGE('',*,*,#12823,.F.); -#12826=ORIENTED_EDGE('',*,*,#12825,.F.); -#12828=ORIENTED_EDGE('',*,*,#12827,.F.); -#12830=ORIENTED_EDGE('',*,*,#12829,.F.); -#12832=ORIENTED_EDGE('',*,*,#12831,.F.); -#12834=ORIENTED_EDGE('',*,*,#12833,.F.); -#12836=ORIENTED_EDGE('',*,*,#12835,.F.); -#12838=ORIENTED_EDGE('',*,*,#12837,.F.); -#12840=ORIENTED_EDGE('',*,*,#12839,.F.); -#12842=ORIENTED_EDGE('',*,*,#12841,.F.); -#12844=ORIENTED_EDGE('',*,*,#12843,.F.); -#12846=ORIENTED_EDGE('',*,*,#12845,.F.); -#12848=ORIENTED_EDGE('',*,*,#12847,.F.); -#12850=ORIENTED_EDGE('',*,*,#12849,.F.); -#12852=ORIENTED_EDGE('',*,*,#12851,.F.); -#12854=ORIENTED_EDGE('',*,*,#12853,.F.); -#12856=ORIENTED_EDGE('',*,*,#12855,.F.); -#12858=ORIENTED_EDGE('',*,*,#12857,.F.); -#12860=ORIENTED_EDGE('',*,*,#12859,.F.); -#12862=ORIENTED_EDGE('',*,*,#12861,.F.); -#12864=ORIENTED_EDGE('',*,*,#12863,.F.); -#12865=EDGE_LOOP('',(#12818,#12820,#12822,#12824,#12826,#12828,#12830,#12832, -#12834,#12836,#12838,#12840,#12842,#12844,#12846,#12848,#12850,#12852,#12854, -#12856,#12858,#12860,#12862,#12864)); -#12866=FACE_BOUND('',#12865,.F.); -#12868=ORIENTED_EDGE('',*,*,#12867,.T.); -#12870=ORIENTED_EDGE('',*,*,#12869,.T.); -#12871=EDGE_LOOP('',(#12868,#12870)); -#12872=FACE_BOUND('',#12871,.F.); -#12874=ORIENTED_EDGE('',*,*,#12873,.T.); -#12876=ORIENTED_EDGE('',*,*,#12875,.T.); -#12877=EDGE_LOOP('',(#12874,#12876)); -#12878=FACE_BOUND('',#12877,.F.); -#12880=ORIENTED_EDGE('',*,*,#12879,.T.); -#12882=ORIENTED_EDGE('',*,*,#12881,.T.); -#12883=EDGE_LOOP('',(#12880,#12882)); -#12884=FACE_BOUND('',#12883,.F.); -#12886=ORIENTED_EDGE('',*,*,#12885,.T.); -#12888=ORIENTED_EDGE('',*,*,#12887,.T.); -#12889=EDGE_LOOP('',(#12886,#12888)); -#12890=FACE_BOUND('',#12889,.F.); -#12892=ORIENTED_EDGE('',*,*,#12891,.T.); -#12894=ORIENTED_EDGE('',*,*,#12893,.T.); -#12895=EDGE_LOOP('',(#12892,#12894)); -#12896=FACE_BOUND('',#12895,.F.); -#12898=ORIENTED_EDGE('',*,*,#12897,.T.); -#12900=ORIENTED_EDGE('',*,*,#12899,.T.); -#12901=EDGE_LOOP('',(#12898,#12900)); -#12902=FACE_BOUND('',#12901,.F.); -#12904=ORIENTED_EDGE('',*,*,#12903,.T.); -#12906=ORIENTED_EDGE('',*,*,#12905,.T.); -#12907=EDGE_LOOP('',(#12904,#12906)); -#12908=FACE_BOUND('',#12907,.F.); -#12910=ORIENTED_EDGE('',*,*,#12909,.T.); -#12912=ORIENTED_EDGE('',*,*,#12911,.T.); -#12913=EDGE_LOOP('',(#12910,#12912)); -#12914=FACE_BOUND('',#12913,.F.); -#12916=ORIENTED_EDGE('',*,*,#12915,.T.); -#12918=ORIENTED_EDGE('',*,*,#12917,.T.); -#12919=EDGE_LOOP('',(#12916,#12918)); -#12920=FACE_BOUND('',#12919,.F.); -#12922=ORIENTED_EDGE('',*,*,#12921,.T.); -#12924=ORIENTED_EDGE('',*,*,#12923,.T.); -#12925=EDGE_LOOP('',(#12922,#12924)); -#12926=FACE_BOUND('',#12925,.F.); -#12928=ORIENTED_EDGE('',*,*,#12927,.T.); -#12930=ORIENTED_EDGE('',*,*,#12929,.T.); -#12931=EDGE_LOOP('',(#12928,#12930)); -#12932=FACE_BOUND('',#12931,.F.); -#12934=ORIENTED_EDGE('',*,*,#12933,.T.); -#12936=ORIENTED_EDGE('',*,*,#12935,.T.); -#12937=EDGE_LOOP('',(#12934,#12936)); -#12938=FACE_BOUND('',#12937,.F.); -#12940=ORIENTED_EDGE('',*,*,#12939,.T.); -#12942=ORIENTED_EDGE('',*,*,#12941,.T.); -#12943=EDGE_LOOP('',(#12940,#12942)); -#12944=FACE_BOUND('',#12943,.F.); -#12946=ORIENTED_EDGE('',*,*,#12945,.T.); -#12948=ORIENTED_EDGE('',*,*,#12947,.T.); -#12949=EDGE_LOOP('',(#12946,#12948)); -#12950=FACE_BOUND('',#12949,.F.); -#12952=ORIENTED_EDGE('',*,*,#12951,.T.); -#12954=ORIENTED_EDGE('',*,*,#12953,.T.); -#12955=EDGE_LOOP('',(#12952,#12954)); -#12956=FACE_BOUND('',#12955,.F.); -#12958=ORIENTED_EDGE('',*,*,#12957,.T.); -#12960=ORIENTED_EDGE('',*,*,#12959,.T.); -#12961=EDGE_LOOP('',(#12958,#12960)); -#12962=FACE_BOUND('',#12961,.F.); -#12964=ORIENTED_EDGE('',*,*,#12963,.T.); -#12966=ORIENTED_EDGE('',*,*,#12965,.T.); -#12967=EDGE_LOOP('',(#12964,#12966)); -#12968=FACE_BOUND('',#12967,.F.); -#12970=ORIENTED_EDGE('',*,*,#12969,.T.); -#12972=ORIENTED_EDGE('',*,*,#12971,.T.); -#12973=EDGE_LOOP('',(#12970,#12972)); -#12974=FACE_BOUND('',#12973,.F.); -#12976=ORIENTED_EDGE('',*,*,#12975,.T.); -#12978=ORIENTED_EDGE('',*,*,#12977,.T.); -#12979=EDGE_LOOP('',(#12976,#12978)); -#12980=FACE_BOUND('',#12979,.F.); -#12982=ORIENTED_EDGE('',*,*,#12981,.T.); -#12984=ORIENTED_EDGE('',*,*,#12983,.T.); -#12985=EDGE_LOOP('',(#12982,#12984)); -#12986=FACE_BOUND('',#12985,.F.); -#12988=ORIENTED_EDGE('',*,*,#12987,.T.); -#12990=ORIENTED_EDGE('',*,*,#12989,.T.); -#12991=EDGE_LOOP('',(#12988,#12990)); -#12992=FACE_BOUND('',#12991,.F.); -#12994=ORIENTED_EDGE('',*,*,#12993,.T.); -#12996=ORIENTED_EDGE('',*,*,#12995,.T.); -#12997=EDGE_LOOP('',(#12994,#12996)); -#12998=FACE_BOUND('',#12997,.F.); -#13000=ORIENTED_EDGE('',*,*,#12999,.T.); -#13002=ORIENTED_EDGE('',*,*,#13001,.T.); -#13003=EDGE_LOOP('',(#13000,#13002)); -#13004=FACE_BOUND('',#13003,.F.); -#13006=ORIENTED_EDGE('',*,*,#13005,.T.); -#13008=ORIENTED_EDGE('',*,*,#13007,.T.); -#13009=EDGE_LOOP('',(#13006,#13008)); -#13010=FACE_BOUND('',#13009,.F.); -#13012=FILL_AREA_STYLE_COLOUR('',#4); -#13013=FILL_AREA_STYLE('',(#13012)); -#13014=SURFACE_STYLE_FILL_AREA(#13013); -#13015=SURFACE_SIDE_STYLE('',(#13014)); -#13016=SURFACE_STYLE_USAGE(.POSITIVE.,#13015); -#13017=PRESENTATION_STYLE_ASSIGNMENT((#13016)); -#13018=STYLED_ITEM('',(#13017),#13011); -#13019=CARTESIAN_POINT('',(-1.175250871665E1,5.9782208E1,1.56432E0)); -#13020=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13021=DIRECTION('',(-9.999999195423E-1,-4.011426052273E-4,0.E0)); -#13022=AXIS2_PLACEMENT_3D('',#13019,#13020,#13021); -#13023=CYLINDRICAL_SURFACE('',#13022,1.E0); -#13025=ORIENTED_EDGE('',*,*,#13024,.F.); -#13027=ORIENTED_EDGE('',*,*,#13026,.T.); -#13028=ORIENTED_EDGE('',*,*,#11627,.F.); -#13030=ORIENTED_EDGE('',*,*,#13029,.T.); -#13031=EDGE_LOOP('',(#13025,#13027,#13028,#13030)); -#13032=FACE_OUTER_BOUND('',#13031,.F.); -#13034=FILL_AREA_STYLE_COLOUR('',#4); -#13035=FILL_AREA_STYLE('',(#13034)); -#13036=SURFACE_STYLE_FILL_AREA(#13035); -#13037=SURFACE_SIDE_STYLE('',(#13036)); -#13038=SURFACE_STYLE_USAGE(.POSITIVE.,#13037); -#13039=PRESENTATION_STYLE_ASSIGNMENT((#13038)); -#13040=STYLED_ITEM('',(#13039),#13033); -#13041=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.2E0)); -#13042=DIRECTION('',(0.E0,0.E0,1.E0)); -#13043=DIRECTION('',(1.E0,0.E0,0.E0)); -#13044=AXIS2_PLACEMENT_3D('',#13041,#13042,#13043); -#13045=PLANE('',#13044); -#13047=ORIENTED_EDGE('',*,*,#13046,.F.); -#13049=ORIENTED_EDGE('',*,*,#13048,.F.); -#13051=ORIENTED_EDGE('',*,*,#13050,.F.); -#13053=ORIENTED_EDGE('',*,*,#13052,.F.); -#13055=ORIENTED_EDGE('',*,*,#13054,.F.); -#13057=ORIENTED_EDGE('',*,*,#13056,.T.); -#13059=ORIENTED_EDGE('',*,*,#13058,.F.); -#13061=ORIENTED_EDGE('',*,*,#13060,.T.); -#13063=ORIENTED_EDGE('',*,*,#13062,.F.); -#13064=ORIENTED_EDGE('',*,*,#13024,.T.); -#13066=ORIENTED_EDGE('',*,*,#13065,.T.); -#13068=ORIENTED_EDGE('',*,*,#13067,.T.); -#13070=ORIENTED_EDGE('',*,*,#13069,.F.); -#13072=ORIENTED_EDGE('',*,*,#13071,.F.); -#13074=ORIENTED_EDGE('',*,*,#13073,.F.); -#13076=ORIENTED_EDGE('',*,*,#13075,.F.); -#13077=EDGE_LOOP('',(#13047,#13049,#13051,#13053,#13055,#13057,#13059,#13061, -#13063,#13064,#13066,#13068,#13070,#13072,#13074,#13076)); -#13078=FACE_OUTER_BOUND('',#13077,.F.); -#13080=ORIENTED_EDGE('',*,*,#13079,.T.); -#13082=ORIENTED_EDGE('',*,*,#13081,.T.); -#13083=EDGE_LOOP('',(#13080,#13082)); -#13084=FACE_BOUND('',#13083,.F.); -#13086=ORIENTED_EDGE('',*,*,#13085,.T.); -#13088=ORIENTED_EDGE('',*,*,#13087,.T.); -#13089=EDGE_LOOP('',(#13086,#13088)); -#13090=FACE_BOUND('',#13089,.F.); -#13092=ORIENTED_EDGE('',*,*,#13091,.T.); -#13094=ORIENTED_EDGE('',*,*,#13093,.T.); -#13095=EDGE_LOOP('',(#13092,#13094)); -#13096=FACE_BOUND('',#13095,.F.); -#13098=ORIENTED_EDGE('',*,*,#13097,.T.); -#13100=ORIENTED_EDGE('',*,*,#13099,.T.); -#13101=EDGE_LOOP('',(#13098,#13100)); -#13102=FACE_BOUND('',#13101,.F.); -#13104=ORIENTED_EDGE('',*,*,#13103,.T.); -#13106=ORIENTED_EDGE('',*,*,#13105,.T.); -#13107=EDGE_LOOP('',(#13104,#13106)); -#13108=FACE_BOUND('',#13107,.F.); -#13110=ORIENTED_EDGE('',*,*,#13109,.T.); -#13112=ORIENTED_EDGE('',*,*,#13111,.T.); -#13113=EDGE_LOOP('',(#13110,#13112)); -#13114=FACE_BOUND('',#13113,.F.); -#13116=ORIENTED_EDGE('',*,*,#13115,.T.); -#13118=ORIENTED_EDGE('',*,*,#13117,.T.); -#13119=EDGE_LOOP('',(#13116,#13118)); -#13120=FACE_BOUND('',#13119,.F.); -#13122=ORIENTED_EDGE('',*,*,#13121,.T.); -#13124=ORIENTED_EDGE('',*,*,#13123,.T.); -#13125=EDGE_LOOP('',(#13122,#13124)); -#13126=FACE_BOUND('',#13125,.F.); -#13128=ORIENTED_EDGE('',*,*,#13127,.T.); -#13130=ORIENTED_EDGE('',*,*,#13129,.T.); -#13131=EDGE_LOOP('',(#13128,#13130)); -#13132=FACE_BOUND('',#13131,.F.); -#13134=ORIENTED_EDGE('',*,*,#13133,.T.); -#13136=ORIENTED_EDGE('',*,*,#13135,.T.); -#13137=EDGE_LOOP('',(#13134,#13136)); -#13138=FACE_BOUND('',#13137,.F.); -#13140=ORIENTED_EDGE('',*,*,#13139,.T.); -#13142=ORIENTED_EDGE('',*,*,#13141,.T.); -#13143=EDGE_LOOP('',(#13140,#13142)); -#13144=FACE_BOUND('',#13143,.F.); -#13146=ORIENTED_EDGE('',*,*,#13145,.T.); -#13148=ORIENTED_EDGE('',*,*,#13147,.T.); -#13149=EDGE_LOOP('',(#13146,#13148)); -#13150=FACE_BOUND('',#13149,.F.); -#13152=ORIENTED_EDGE('',*,*,#13151,.T.); -#13154=ORIENTED_EDGE('',*,*,#13153,.T.); -#13155=EDGE_LOOP('',(#13152,#13154)); -#13156=FACE_BOUND('',#13155,.F.); -#13158=ORIENTED_EDGE('',*,*,#13157,.T.); -#13160=ORIENTED_EDGE('',*,*,#13159,.T.); -#13161=EDGE_LOOP('',(#13158,#13160)); -#13162=FACE_BOUND('',#13161,.F.); -#13164=ORIENTED_EDGE('',*,*,#13163,.T.); -#13166=ORIENTED_EDGE('',*,*,#13165,.T.); -#13167=EDGE_LOOP('',(#13164,#13166)); -#13168=FACE_BOUND('',#13167,.F.); -#13170=ORIENTED_EDGE('',*,*,#13169,.T.); -#13172=ORIENTED_EDGE('',*,*,#13171,.T.); -#13173=EDGE_LOOP('',(#13170,#13172)); -#13174=FACE_BOUND('',#13173,.F.); -#13176=ORIENTED_EDGE('',*,*,#13175,.T.); -#13178=ORIENTED_EDGE('',*,*,#13177,.T.); -#13179=EDGE_LOOP('',(#13176,#13178)); -#13180=FACE_BOUND('',#13179,.F.); -#13182=ORIENTED_EDGE('',*,*,#13181,.T.); -#13184=ORIENTED_EDGE('',*,*,#13183,.T.); -#13185=EDGE_LOOP('',(#13182,#13184)); -#13186=FACE_BOUND('',#13185,.F.); -#13188=ORIENTED_EDGE('',*,*,#13187,.T.); -#13190=ORIENTED_EDGE('',*,*,#13189,.T.); -#13191=EDGE_LOOP('',(#13188,#13190)); -#13192=FACE_BOUND('',#13191,.F.); -#13194=ORIENTED_EDGE('',*,*,#13193,.T.); -#13196=ORIENTED_EDGE('',*,*,#13195,.T.); -#13197=EDGE_LOOP('',(#13194,#13196)); -#13198=FACE_BOUND('',#13197,.F.); -#13200=ORIENTED_EDGE('',*,*,#13199,.T.); -#13202=ORIENTED_EDGE('',*,*,#13201,.T.); -#13203=EDGE_LOOP('',(#13200,#13202)); -#13204=FACE_BOUND('',#13203,.F.); -#13206=ORIENTED_EDGE('',*,*,#13205,.T.); -#13208=ORIENTED_EDGE('',*,*,#13207,.T.); -#13209=EDGE_LOOP('',(#13206,#13208)); -#13210=FACE_BOUND('',#13209,.F.); -#13212=ORIENTED_EDGE('',*,*,#13211,.T.); -#13214=ORIENTED_EDGE('',*,*,#13213,.T.); -#13215=EDGE_LOOP('',(#13212,#13214)); -#13216=FACE_BOUND('',#13215,.F.); -#13218=ORIENTED_EDGE('',*,*,#13217,.T.); -#13220=ORIENTED_EDGE('',*,*,#13219,.T.); -#13221=EDGE_LOOP('',(#13218,#13220)); -#13222=FACE_BOUND('',#13221,.F.); -#13224=ORIENTED_EDGE('',*,*,#13223,.T.); -#13226=ORIENTED_EDGE('',*,*,#13225,.T.); -#13227=EDGE_LOOP('',(#13224,#13226)); -#13228=FACE_BOUND('',#13227,.F.); -#13230=ORIENTED_EDGE('',*,*,#13229,.F.); -#13232=ORIENTED_EDGE('',*,*,#13231,.F.); -#13234=ORIENTED_EDGE('',*,*,#13233,.F.); -#13236=ORIENTED_EDGE('',*,*,#13235,.F.); -#13238=ORIENTED_EDGE('',*,*,#13237,.F.); -#13240=ORIENTED_EDGE('',*,*,#13239,.F.); -#13242=ORIENTED_EDGE('',*,*,#13241,.F.); -#13244=ORIENTED_EDGE('',*,*,#13243,.F.); -#13246=ORIENTED_EDGE('',*,*,#13245,.F.); -#13248=ORIENTED_EDGE('',*,*,#13247,.F.); -#13250=ORIENTED_EDGE('',*,*,#13249,.F.); -#13252=ORIENTED_EDGE('',*,*,#13251,.F.); -#13253=EDGE_LOOP('',(#13230,#13232,#13234,#13236,#13238,#13240,#13242,#13244, -#13246,#13248,#13250,#13252)); -#13254=FACE_BOUND('',#13253,.F.); -#13256=ORIENTED_EDGE('',*,*,#13255,.T.); -#13258=ORIENTED_EDGE('',*,*,#13257,.T.); -#13259=EDGE_LOOP('',(#13256,#13258)); -#13260=FACE_BOUND('',#13259,.F.); -#13262=ORIENTED_EDGE('',*,*,#13261,.F.); -#13264=ORIENTED_EDGE('',*,*,#13263,.F.); -#13266=ORIENTED_EDGE('',*,*,#13265,.F.); -#13268=ORIENTED_EDGE('',*,*,#13267,.F.); -#13269=EDGE_LOOP('',(#13262,#13264,#13266,#13268)); -#13270=FACE_BOUND('',#13269,.F.); -#13272=ORIENTED_EDGE('',*,*,#13271,.F.); -#13274=ORIENTED_EDGE('',*,*,#13273,.F.); -#13276=ORIENTED_EDGE('',*,*,#13275,.F.); -#13278=ORIENTED_EDGE('',*,*,#13277,.F.); -#13279=EDGE_LOOP('',(#13272,#13274,#13276,#13278)); -#13280=FACE_BOUND('',#13279,.F.); -#13282=FILL_AREA_STYLE_COLOUR('',#4); -#13283=FILL_AREA_STYLE('',(#13282)); -#13284=SURFACE_STYLE_FILL_AREA(#13283); -#13285=SURFACE_SIDE_STYLE('',(#13284)); -#13286=SURFACE_STYLE_USAGE(.POSITIVE.,#13285); -#13287=PRESENTATION_STYLE_ASSIGNMENT((#13286)); -#13288=STYLED_ITEM('',(#13287),#13281); -#13289=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.2E0)); -#13290=DIRECTION('',(0.E0,1.E0,0.E0)); -#13291=DIRECTION('',(1.E0,0.E0,0.E0)); -#13292=AXIS2_PLACEMENT_3D('',#13289,#13290,#13291); -#13293=PLANE('',#13292); -#13294=ORIENTED_EDGE('',*,*,#13046,.T.); -#13296=ORIENTED_EDGE('',*,*,#13295,.F.); -#13298=ORIENTED_EDGE('',*,*,#13297,.F.); -#13300=ORIENTED_EDGE('',*,*,#13299,.T.); -#13301=EDGE_LOOP('',(#13294,#13296,#13298,#13300)); -#13302=FACE_OUTER_BOUND('',#13301,.F.); -#13304=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.2E0)); -#13305=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13306=DIRECTION('',(0.E0,1.E0,0.E0)); -#13307=AXIS2_PLACEMENT_3D('',#13304,#13305,#13306); -#13308=PLANE('',#13307); -#13309=ORIENTED_EDGE('',*,*,#13075,.T.); -#13311=ORIENTED_EDGE('',*,*,#13310,.F.); -#13313=ORIENTED_EDGE('',*,*,#13312,.F.); -#13315=ORIENTED_EDGE('',*,*,#13314,.F.); -#13316=ORIENTED_EDGE('',*,*,#13295,.T.); -#13317=EDGE_LOOP('',(#13309,#13311,#13313,#13315,#13316)); -#13318=FACE_OUTER_BOUND('',#13317,.F.); -#13320=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.2E0)); -#13321=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13322=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13323=AXIS2_PLACEMENT_3D('',#13320,#13321,#13322); -#13324=PLANE('',#13323); -#13325=ORIENTED_EDGE('',*,*,#13073,.T.); -#13327=ORIENTED_EDGE('',*,*,#13326,.T.); -#13328=ORIENTED_EDGE('',*,*,#13310,.T.); -#13329=EDGE_LOOP('',(#13325,#13327,#13328)); -#13330=FACE_OUTER_BOUND('',#13329,.F.); -#13332=CARTESIAN_POINT('',(-3.312777055660E0,3.065812594679E1,-2.5E0)); -#13333=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13334=DIRECTION('',(0.E0,0.E0,1.E0)); -#13335=AXIS2_PLACEMENT_3D('',#13332,#13333,#13334); -#13336=CYLINDRICAL_SURFACE('',#13335,1.3E0); -#13337=ORIENTED_EDGE('',*,*,#13326,.F.); -#13338=ORIENTED_EDGE('',*,*,#13071,.T.); -#13340=ORIENTED_EDGE('',*,*,#13339,.T.); -#13342=ORIENTED_EDGE('',*,*,#13341,.F.); -#13344=ORIENTED_EDGE('',*,*,#13343,.T.); -#13346=ORIENTED_EDGE('',*,*,#13345,.F.); -#13347=EDGE_LOOP('',(#13337,#13338,#13340,#13342,#13344,#13346)); -#13348=FACE_OUTER_BOUND('',#13347,.F.); -#13350=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.2E0)); -#13351=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13352=DIRECTION('',(1.E0,0.E0,0.E0)); -#13353=AXIS2_PLACEMENT_3D('',#13350,#13351,#13352); -#13354=PLANE('',#13353); -#13356=ORIENTED_EDGE('',*,*,#13355,.F.); -#13358=ORIENTED_EDGE('',*,*,#13357,.F.); -#13360=ORIENTED_EDGE('',*,*,#13359,.T.); -#13361=ORIENTED_EDGE('',*,*,#13339,.F.); -#13362=EDGE_LOOP('',(#13356,#13358,#13360,#13361)); -#13363=FACE_OUTER_BOUND('',#13362,.F.); -#13365=CARTESIAN_POINT('',(-1.272852760451E1,0.E0,0.E0)); -#13366=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13367=DIRECTION('',(1.E0,0.E0,0.E0)); -#13368=AXIS2_PLACEMENT_3D('',#13365,#13366,#13367); -#13369=PLANE('',#13368); -#13370=ORIENTED_EDGE('',*,*,#13355,.T.); -#13371=ORIENTED_EDGE('',*,*,#13069,.T.); -#13373=ORIENTED_EDGE('',*,*,#13372,.F.); -#13374=ORIENTED_EDGE('',*,*,#11637,.F.); -#13376=ORIENTED_EDGE('',*,*,#13375,.F.); -#13377=ORIENTED_EDGE('',*,*,#13054,.T.); -#13378=EDGE_LOOP('',(#13370,#13371,#13373,#13374,#13376,#13377)); -#13379=FACE_OUTER_BOUND('',#13378,.F.); -#13381=FILL_AREA_STYLE_COLOUR('',#4); -#13382=FILL_AREA_STYLE('',(#13381)); -#13383=SURFACE_STYLE_FILL_AREA(#13382); -#13384=SURFACE_SIDE_STYLE('',(#13383)); -#13385=SURFACE_STYLE_USAGE(.POSITIVE.,#13384); -#13386=PRESENTATION_STYLE_ASSIGNMENT((#13385)); -#13387=STYLED_ITEM('',(#13386),#13380); -#13388=CARTESIAN_POINT('',(-1.172892866669E1,1.E0,1.56432E0)); -#13389=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13390=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13391=AXIS2_PLACEMENT_3D('',#13388,#13389,#13390); -#13392=CYLINDRICAL_SURFACE('',#13391,1.E0); -#13393=ORIENTED_EDGE('',*,*,#13067,.F.); -#13395=ORIENTED_EDGE('',*,*,#13394,.T.); -#13396=ORIENTED_EDGE('',*,*,#11639,.F.); -#13397=ORIENTED_EDGE('',*,*,#13372,.T.); -#13398=EDGE_LOOP('',(#13393,#13395,#13396,#13397)); -#13399=FACE_OUTER_BOUND('',#13398,.F.); -#13401=FILL_AREA_STYLE_COLOUR('',#4); -#13402=FILL_AREA_STYLE('',(#13401)); -#13403=SURFACE_STYLE_FILL_AREA(#13402); -#13404=SURFACE_SIDE_STYLE('',(#13403)); -#13405=SURFACE_STYLE_USAGE(.POSITIVE.,#13404); -#13406=PRESENTATION_STYLE_ASSIGNMENT((#13405)); -#13407=STYLED_ITEM('',(#13406),#13400); -#13408=CARTESIAN_POINT('',(-1.275290993974E1,6.0782208E1,0.E0)); -#13409=DIRECTION('',(-9.999999195423E-1,-4.011426052263E-4,0.E0)); -#13410=DIRECTION('',(4.011426052263E-4,-9.999999195423E-1,0.E0)); -#13411=AXIS2_PLACEMENT_3D('',#13408,#13409,#13410); -#13412=PLANE('',#13411); -#13413=ORIENTED_EDGE('',*,*,#13029,.F.); -#13414=ORIENTED_EDGE('',*,*,#11641,.F.); -#13415=ORIENTED_EDGE('',*,*,#13394,.F.); -#13416=ORIENTED_EDGE('',*,*,#13065,.F.); -#13417=EDGE_LOOP('',(#13413,#13414,#13415,#13416)); -#13418=FACE_OUTER_BOUND('',#13417,.F.); -#13420=FILL_AREA_STYLE_COLOUR('',#4); -#13421=FILL_AREA_STYLE('',(#13420)); -#13422=SURFACE_STYLE_FILL_AREA(#13421); -#13423=SURFACE_SIDE_STYLE('',(#13422)); -#13424=SURFACE_STYLE_USAGE(.POSITIVE.,#13423); -#13425=PRESENTATION_STYLE_ASSIGNMENT((#13424)); -#13426=STYLED_ITEM('',(#13425),#13419); -#13427=CARTESIAN_POINT('',(1.173996997514E1,1.E0,1.56432E0)); -#13428=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13429=DIRECTION('',(9.999999765744E-1,-2.164513699654E-4,0.E0)); -#13430=AXIS2_PLACEMENT_3D('',#13427,#13428,#13429); -#13431=CYLINDRICAL_SURFACE('',#13430,1.E0); -#13432=ORIENTED_EDGE('',*,*,#13056,.F.); -#13433=ORIENTED_EDGE('',*,*,#13375,.T.); -#13434=ORIENTED_EDGE('',*,*,#11635,.F.); -#13436=ORIENTED_EDGE('',*,*,#13435,.T.); -#13437=EDGE_LOOP('',(#13432,#13433,#13434,#13436)); -#13438=FACE_OUTER_BOUND('',#13437,.F.); -#13440=FILL_AREA_STYLE_COLOUR('',#4); -#13441=FILL_AREA_STYLE('',(#13440)); -#13442=SURFACE_STYLE_FILL_AREA(#13441); -#13443=SURFACE_SIDE_STYLE('',(#13442)); -#13444=SURFACE_STYLE_USAGE(.POSITIVE.,#13443); -#13445=PRESENTATION_STYLE_ASSIGNMENT((#13444)); -#13446=STYLED_ITEM('',(#13445),#13439); -#13447=CARTESIAN_POINT('',(1.273975354724E1,0.E0,0.E0)); -#13448=DIRECTION('',(9.999999765744E-1,-2.164513699646E-4,0.E0)); -#13449=DIRECTION('',(2.164513699646E-4,9.999999765744E-1,0.E0)); -#13450=AXIS2_PLACEMENT_3D('',#13447,#13448,#13449); -#13451=PLANE('',#13450); -#13453=ORIENTED_EDGE('',*,*,#13452,.F.); -#13454=ORIENTED_EDGE('',*,*,#13058,.T.); -#13455=ORIENTED_EDGE('',*,*,#13435,.F.); -#13456=ORIENTED_EDGE('',*,*,#11633,.F.); -#13457=EDGE_LOOP('',(#13453,#13454,#13455,#13456)); -#13458=FACE_OUTER_BOUND('',#13457,.F.); -#13460=FILL_AREA_STYLE_COLOUR('',#4); -#13461=FILL_AREA_STYLE('',(#13460)); -#13462=SURFACE_STYLE_FILL_AREA(#13461); -#13463=SURFACE_SIDE_STYLE('',(#13462)); -#13464=SURFACE_STYLE_USAGE(.POSITIVE.,#13463); -#13465=PRESENTATION_STYLE_ASSIGNMENT((#13464)); -#13466=STYLED_ITEM('',(#13465),#13459); -#13467=CARTESIAN_POINT('',(1.175269346494E1,5.9782208E1,1.56432E0)); -#13468=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13469=DIRECTION('',(0.E0,1.E0,0.E0)); -#13470=AXIS2_PLACEMENT_3D('',#13467,#13468,#13469); -#13471=CYLINDRICAL_SURFACE('',#13470,1.E0); -#13472=ORIENTED_EDGE('',*,*,#13060,.F.); -#13473=ORIENTED_EDGE('',*,*,#13452,.T.); -#13474=ORIENTED_EDGE('',*,*,#11631,.F.); -#13476=ORIENTED_EDGE('',*,*,#13475,.T.); -#13477=EDGE_LOOP('',(#13472,#13473,#13474,#13476)); -#13478=FACE_OUTER_BOUND('',#13477,.F.); -#13480=FILL_AREA_STYLE_COLOUR('',#4); -#13481=FILL_AREA_STYLE('',(#13480)); -#13482=SURFACE_STYLE_FILL_AREA(#13481); -#13483=SURFACE_SIDE_STYLE('',(#13482)); -#13484=SURFACE_STYLE_USAGE(.POSITIVE.,#13483); -#13485=PRESENTATION_STYLE_ASSIGNMENT((#13484)); -#13486=STYLED_ITEM('',(#13485),#13479); -#13487=CARTESIAN_POINT('',(1.275290993974E1,6.0782208E1,0.E0)); -#13488=DIRECTION('',(0.E0,1.E0,0.E0)); -#13489=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13490=AXIS2_PLACEMENT_3D('',#13487,#13488,#13489); -#13491=PLANE('',#13490); -#13492=ORIENTED_EDGE('',*,*,#13026,.F.); -#13493=ORIENTED_EDGE('',*,*,#13062,.T.); -#13494=ORIENTED_EDGE('',*,*,#13475,.F.); -#13495=ORIENTED_EDGE('',*,*,#11629,.F.); -#13496=EDGE_LOOP('',(#13492,#13493,#13494,#13495)); -#13497=FACE_OUTER_BOUND('',#13496,.F.); -#13499=FILL_AREA_STYLE_COLOUR('',#4); -#13500=FILL_AREA_STYLE('',(#13499)); -#13501=SURFACE_STYLE_FILL_AREA(#13500); -#13502=SURFACE_SIDE_STYLE('',(#13501)); -#13503=SURFACE_STYLE_USAGE(.POSITIVE.,#13502); -#13504=PRESENTATION_STYLE_ASSIGNMENT((#13503)); -#13505=STYLED_ITEM('',(#13504),#13498); -#13506=CARTESIAN_POINT('',(3.037222944340E0,-2.558384994819E1,-2.5E0)); -#13507=DIRECTION('',(0.E0,1.E0,0.E0)); -#13508=DIRECTION('',(0.E0,0.E0,1.E0)); -#13509=AXIS2_PLACEMENT_3D('',#13506,#13507,#13508); -#13510=CYLINDRICAL_SURFACE('',#13509,1.3E0); -#13512=ORIENTED_EDGE('',*,*,#13511,.F.); -#13514=ORIENTED_EDGE('',*,*,#13513,.F.); -#13516=ORIENTED_EDGE('',*,*,#13515,.T.); -#13518=ORIENTED_EDGE('',*,*,#13517,.F.); -#13519=ORIENTED_EDGE('',*,*,#13357,.T.); -#13520=ORIENTED_EDGE('',*,*,#13052,.T.); -#13521=EDGE_LOOP('',(#13512,#13514,#13516,#13518,#13519,#13520)); -#13522=FACE_OUTER_BOUND('',#13521,.F.); -#13524=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.2E0)); -#13525=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13526=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13527=AXIS2_PLACEMENT_3D('',#13524,#13525,#13526); -#13528=PLANE('',#13527); -#13529=ORIENTED_EDGE('',*,*,#13050,.T.); -#13531=ORIENTED_EDGE('',*,*,#13530,.F.); -#13532=ORIENTED_EDGE('',*,*,#13511,.T.); -#13533=EDGE_LOOP('',(#13529,#13531,#13532)); -#13534=FACE_OUTER_BOUND('',#13533,.F.); -#13536=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.2E0)); -#13537=DIRECTION('',(1.E0,0.E0,0.E0)); -#13538=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13539=AXIS2_PLACEMENT_3D('',#13536,#13537,#13538); -#13540=PLANE('',#13539); -#13541=ORIENTED_EDGE('',*,*,#13048,.T.); -#13542=ORIENTED_EDGE('',*,*,#13299,.F.); -#13544=ORIENTED_EDGE('',*,*,#13543,.F.); -#13546=ORIENTED_EDGE('',*,*,#13545,.T.); -#13547=ORIENTED_EDGE('',*,*,#13530,.T.); -#13548=EDGE_LOOP('',(#13541,#13542,#13544,#13546,#13547)); -#13549=FACE_OUTER_BOUND('',#13548,.F.); -#13551=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.5E0)); -#13552=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13553=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13554=AXIS2_PLACEMENT_3D('',#13551,#13552,#13553); -#13555=PLANE('',#13554); -#13557=ORIENTED_EDGE('',*,*,#13556,.T.); -#13558=ORIENTED_EDGE('',*,*,#13543,.T.); -#13559=ORIENTED_EDGE('',*,*,#13297,.T.); -#13560=ORIENTED_EDGE('',*,*,#13314,.T.); -#13561=EDGE_LOOP('',(#13557,#13558,#13559,#13560)); -#13562=FACE_OUTER_BOUND('',#13561,.F.); -#13564=CARTESIAN_POINT('',(-4.612777055660E0,6.3E0,-1.2E0)); -#13565=DIRECTION('',(0.E0,1.E0,0.E0)); -#13566=DIRECTION('',(1.E0,0.E0,0.E0)); -#13567=AXIS2_PLACEMENT_3D('',#13564,#13565,#13566); -#13568=PLANE('',#13567); -#13569=ORIENTED_EDGE('',*,*,#13556,.F.); -#13570=ORIENTED_EDGE('',*,*,#13312,.T.); -#13571=ORIENTED_EDGE('',*,*,#13345,.T.); -#13573=ORIENTED_EDGE('',*,*,#13572,.F.); -#13575=ORIENTED_EDGE('',*,*,#13574,.T.); -#13577=ORIENTED_EDGE('',*,*,#13576,.F.); -#13579=ORIENTED_EDGE('',*,*,#13578,.T.); -#13581=ORIENTED_EDGE('',*,*,#13580,.T.); -#13582=ORIENTED_EDGE('',*,*,#13513,.T.); -#13583=ORIENTED_EDGE('',*,*,#13545,.F.); -#13584=EDGE_LOOP('',(#13569,#13570,#13571,#13573,#13575,#13577,#13579,#13581, -#13582,#13583)); -#13585=FACE_OUTER_BOUND('',#13584,.F.); -#13587=CARTESIAN_POINT('',(-4.612777055660E0,-1.2E0,-1.2E0)); -#13588=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13589=DIRECTION('',(0.E0,1.E0,0.E0)); -#13590=AXIS2_PLACEMENT_3D('',#13587,#13588,#13589); -#13591=PLANE('',#13590); -#13593=ORIENTED_EDGE('',*,*,#13592,.T.); -#13595=ORIENTED_EDGE('',*,*,#13594,.F.); -#13596=ORIENTED_EDGE('',*,*,#13572,.T.); -#13597=ORIENTED_EDGE('',*,*,#13343,.F.); -#13598=EDGE_LOOP('',(#13593,#13595,#13596,#13597)); -#13599=FACE_OUTER_BOUND('',#13598,.F.); -#13601=CARTESIAN_POINT('',(-1.377770556596E-1,-1.2E0,-1.35E0)); -#13602=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13603=DIRECTION('',(1.E0,0.E0,0.E0)); -#13604=AXIS2_PLACEMENT_3D('',#13601,#13602,#13603); -#13605=PLANE('',#13604); -#13607=ORIENTED_EDGE('',*,*,#13606,.T.); -#13608=ORIENTED_EDGE('',*,*,#13592,.F.); -#13609=ORIENTED_EDGE('',*,*,#13341,.T.); -#13610=ORIENTED_EDGE('',*,*,#13359,.F.); -#13611=ORIENTED_EDGE('',*,*,#13517,.T.); -#13613=ORIENTED_EDGE('',*,*,#13612,.F.); -#13615=ORIENTED_EDGE('',*,*,#13614,.T.); -#13617=ORIENTED_EDGE('',*,*,#13616,.F.); -#13618=EDGE_LOOP('',(#13607,#13608,#13609,#13610,#13611,#13613,#13615,#13617)); -#13619=FACE_OUTER_BOUND('',#13618,.F.); -#13621=ORIENTED_EDGE('',*,*,#13620,.T.); -#13623=ORIENTED_EDGE('',*,*,#13622,.T.); -#13625=ORIENTED_EDGE('',*,*,#13624,.T.); -#13627=ORIENTED_EDGE('',*,*,#13626,.T.); -#13629=ORIENTED_EDGE('',*,*,#13628,.T.); -#13631=ORIENTED_EDGE('',*,*,#13630,.T.); -#13633=ORIENTED_EDGE('',*,*,#13632,.T.); -#13635=ORIENTED_EDGE('',*,*,#13634,.T.); -#13636=EDGE_LOOP('',(#13621,#13623,#13625,#13627,#13629,#13631,#13633,#13635)); -#13637=FACE_BOUND('',#13636,.F.); -#13639=CARTESIAN_POINT('',(-3.312777055660E0,-2.554850587892E1,-3.06E0)); -#13640=DIRECTION('',(0.E0,1.E0,0.E0)); -#13641=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13642=AXIS2_PLACEMENT_3D('',#13639,#13640,#13641); -#13643=CYLINDRICAL_SURFACE('',#13642,1.3E0); -#13644=ORIENTED_EDGE('',*,*,#13606,.F.); -#13646=ORIENTED_EDGE('',*,*,#13645,.T.); -#13647=ORIENTED_EDGE('',*,*,#13574,.F.); -#13648=ORIENTED_EDGE('',*,*,#13594,.T.); -#13649=EDGE_LOOP('',(#13644,#13646,#13647,#13648)); -#13650=FACE_OUTER_BOUND('',#13649,.F.); -#13652=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-4.36E0)); -#13653=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13654=DIRECTION('',(1.E0,0.E0,0.E0)); -#13655=AXIS2_PLACEMENT_3D('',#13652,#13653,#13654); -#13656=PLANE('',#13655); -#13657=ORIENTED_EDGE('',*,*,#13616,.T.); -#13659=ORIENTED_EDGE('',*,*,#13658,.F.); -#13660=ORIENTED_EDGE('',*,*,#13576,.T.); -#13661=ORIENTED_EDGE('',*,*,#13645,.F.); -#13662=EDGE_LOOP('',(#13657,#13659,#13660,#13661)); -#13663=FACE_OUTER_BOUND('',#13662,.F.); -#13665=CARTESIAN_POINT('',(3.037222944340E0,3.064850587892E1,-3.06E0)); -#13666=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13667=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13668=AXIS2_PLACEMENT_3D('',#13665,#13666,#13667); -#13669=CYLINDRICAL_SURFACE('',#13668,1.3E0); -#13670=ORIENTED_EDGE('',*,*,#13614,.F.); -#13672=ORIENTED_EDGE('',*,*,#13671,.T.); -#13673=ORIENTED_EDGE('',*,*,#13578,.F.); -#13674=ORIENTED_EDGE('',*,*,#13658,.T.); -#13675=EDGE_LOOP('',(#13670,#13672,#13673,#13674)); -#13676=FACE_OUTER_BOUND('',#13675,.F.); -#13678=CARTESIAN_POINT('',(4.337222944340E0,6.3E0,-1.2E0)); -#13679=DIRECTION('',(1.E0,0.E0,0.E0)); -#13680=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13681=AXIS2_PLACEMENT_3D('',#13678,#13679,#13680); -#13682=PLANE('',#13681); -#13683=ORIENTED_EDGE('',*,*,#13612,.T.); -#13684=ORIENTED_EDGE('',*,*,#13515,.F.); -#13685=ORIENTED_EDGE('',*,*,#13580,.F.); -#13686=ORIENTED_EDGE('',*,*,#13671,.F.); -#13687=EDGE_LOOP('',(#13683,#13684,#13685,#13686)); -#13688=FACE_OUTER_BOUND('',#13687,.F.); -#13690=CARTESIAN_POINT('',(-3.312777055660E0,3.065812594679E1,-2.5E0)); -#13691=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13692=DIRECTION('',(0.E0,0.E0,1.E0)); -#13693=AXIS2_PLACEMENT_3D('',#13690,#13691,#13692); -#13694=CYLINDRICAL_SURFACE('',#13693,1.E0); -#13695=ORIENTED_EDGE('',*,*,#13620,.F.); -#13697=ORIENTED_EDGE('',*,*,#13696,.T.); -#13699=ORIENTED_EDGE('',*,*,#13698,.F.); -#13701=ORIENTED_EDGE('',*,*,#13700,.T.); -#13702=EDGE_LOOP('',(#13695,#13697,#13699,#13701)); -#13703=FACE_OUTER_BOUND('',#13702,.F.); -#13705=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.5E0)); -#13706=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13707=DIRECTION('',(1.E0,0.E0,0.E0)); -#13708=AXIS2_PLACEMENT_3D('',#13705,#13706,#13707); -#13709=PLANE('',#13708); -#13710=ORIENTED_EDGE('',*,*,#13634,.F.); -#13712=ORIENTED_EDGE('',*,*,#13711,.F.); -#13714=ORIENTED_EDGE('',*,*,#13713,.T.); -#13715=ORIENTED_EDGE('',*,*,#13696,.F.); -#13716=EDGE_LOOP('',(#13710,#13712,#13714,#13715)); -#13717=FACE_OUTER_BOUND('',#13716,.F.); -#13719=CARTESIAN_POINT('',(3.037222944340E0,-2.558384994819E1,-2.5E0)); -#13720=DIRECTION('',(0.E0,1.E0,0.E0)); -#13721=DIRECTION('',(0.E0,0.E0,1.E0)); -#13722=AXIS2_PLACEMENT_3D('',#13719,#13720,#13721); -#13723=CYLINDRICAL_SURFACE('',#13722,1.E0); -#13724=ORIENTED_EDGE('',*,*,#13632,.F.); -#13726=ORIENTED_EDGE('',*,*,#13725,.T.); -#13728=ORIENTED_EDGE('',*,*,#13727,.F.); -#13729=ORIENTED_EDGE('',*,*,#13711,.T.); -#13730=EDGE_LOOP('',(#13724,#13726,#13728,#13729)); -#13731=FACE_OUTER_BOUND('',#13730,.F.); -#13733=CARTESIAN_POINT('',(4.037222944340E0,6.3E0,-1.2E0)); -#13734=DIRECTION('',(1.E0,0.E0,0.E0)); -#13735=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13736=AXIS2_PLACEMENT_3D('',#13733,#13734,#13735); -#13737=PLANE('',#13736); -#13738=ORIENTED_EDGE('',*,*,#13630,.F.); -#13740=ORIENTED_EDGE('',*,*,#13739,.F.); -#13742=ORIENTED_EDGE('',*,*,#13741,.T.); -#13743=ORIENTED_EDGE('',*,*,#13725,.F.); -#13744=EDGE_LOOP('',(#13738,#13740,#13742,#13743)); -#13745=FACE_OUTER_BOUND('',#13744,.F.); -#13747=CARTESIAN_POINT('',(3.037222944340E0,3.064850587892E1,-3.06E0)); -#13748=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13749=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13750=AXIS2_PLACEMENT_3D('',#13747,#13748,#13749); -#13751=CYLINDRICAL_SURFACE('',#13750,1.E0); -#13752=ORIENTED_EDGE('',*,*,#13628,.F.); -#13754=ORIENTED_EDGE('',*,*,#13753,.T.); -#13756=ORIENTED_EDGE('',*,*,#13755,.F.); -#13757=ORIENTED_EDGE('',*,*,#13739,.T.); -#13758=EDGE_LOOP('',(#13752,#13754,#13756,#13757)); -#13759=FACE_OUTER_BOUND('',#13758,.F.); -#13761=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-4.06E0)); -#13762=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13763=DIRECTION('',(1.E0,0.E0,0.E0)); -#13764=AXIS2_PLACEMENT_3D('',#13761,#13762,#13763); -#13765=PLANE('',#13764); -#13766=ORIENTED_EDGE('',*,*,#13626,.F.); -#13768=ORIENTED_EDGE('',*,*,#13767,.F.); -#13770=ORIENTED_EDGE('',*,*,#13769,.F.); -#13771=ORIENTED_EDGE('',*,*,#13753,.F.); -#13772=EDGE_LOOP('',(#13766,#13768,#13770,#13771)); -#13773=FACE_OUTER_BOUND('',#13772,.F.); -#13775=CARTESIAN_POINT('',(-3.312777055660E0,-2.554850587892E1,-3.06E0)); -#13776=DIRECTION('',(0.E0,1.E0,0.E0)); -#13777=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13778=AXIS2_PLACEMENT_3D('',#13775,#13776,#13777); -#13779=CYLINDRICAL_SURFACE('',#13778,1.E0); -#13780=ORIENTED_EDGE('',*,*,#13624,.F.); -#13782=ORIENTED_EDGE('',*,*,#13781,.T.); -#13784=ORIENTED_EDGE('',*,*,#13783,.F.); -#13785=ORIENTED_EDGE('',*,*,#13767,.T.); -#13786=EDGE_LOOP('',(#13780,#13782,#13784,#13785)); -#13787=FACE_OUTER_BOUND('',#13786,.F.); -#13789=CARTESIAN_POINT('',(-4.312777055660E0,-1.2E0,-1.2E0)); -#13790=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13791=DIRECTION('',(0.E0,1.E0,0.E0)); -#13792=AXIS2_PLACEMENT_3D('',#13789,#13790,#13791); -#13793=PLANE('',#13792); -#13794=ORIENTED_EDGE('',*,*,#13622,.F.); -#13795=ORIENTED_EDGE('',*,*,#13700,.F.); -#13797=ORIENTED_EDGE('',*,*,#13796,.F.); -#13798=ORIENTED_EDGE('',*,*,#13781,.F.); -#13799=EDGE_LOOP('',(#13794,#13795,#13797,#13798)); -#13800=FACE_OUTER_BOUND('',#13799,.F.); -#13802=CARTESIAN_POINT('',(-4.612777055660E0,6.E0,-1.2E0)); -#13803=DIRECTION('',(0.E0,1.E0,0.E0)); -#13804=DIRECTION('',(1.E0,0.E0,0.E0)); -#13805=AXIS2_PLACEMENT_3D('',#13802,#13803,#13804); -#13806=PLANE('',#13805); -#13807=ORIENTED_EDGE('',*,*,#13755,.T.); -#13808=ORIENTED_EDGE('',*,*,#13769,.T.); -#13809=ORIENTED_EDGE('',*,*,#13783,.T.); -#13810=ORIENTED_EDGE('',*,*,#13796,.T.); -#13811=ORIENTED_EDGE('',*,*,#13698,.T.); -#13812=ORIENTED_EDGE('',*,*,#13713,.F.); -#13813=ORIENTED_EDGE('',*,*,#13727,.T.); -#13814=ORIENTED_EDGE('',*,*,#13741,.F.); -#13815=EDGE_LOOP('',(#13807,#13808,#13809,#13810,#13811,#13812,#13813,#13814)); -#13816=FACE_OUTER_BOUND('',#13815,.F.); -#13818=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,0.E0)); -#13819=DIRECTION('',(0.E0,0.E0,1.E0)); -#13820=DIRECTION('',(1.E0,0.E0,0.E0)); -#13821=AXIS2_PLACEMENT_3D('',#13818,#13819,#13820); -#13822=CYLINDRICAL_SURFACE('',#13821,9.41174E-1); -#13823=ORIENTED_EDGE('',*,*,#11651,.F.); -#13825=ORIENTED_EDGE('',*,*,#13824,.F.); -#13826=ORIENTED_EDGE('',*,*,#13079,.F.); -#13828=ORIENTED_EDGE('',*,*,#13827,.T.); -#13829=EDGE_LOOP('',(#13823,#13825,#13826,#13828)); -#13830=FACE_OUTER_BOUND('',#13829,.F.); -#13832=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,0.E0)); -#13833=DIRECTION('',(0.E0,0.E0,1.E0)); -#13834=DIRECTION('',(1.E0,0.E0,0.E0)); -#13835=AXIS2_PLACEMENT_3D('',#13832,#13833,#13834); -#13836=CYLINDRICAL_SURFACE('',#13835,9.41174E-1); -#13837=ORIENTED_EDGE('',*,*,#11653,.F.); -#13838=ORIENTED_EDGE('',*,*,#13827,.F.); -#13839=ORIENTED_EDGE('',*,*,#13081,.F.); -#13840=ORIENTED_EDGE('',*,*,#13824,.T.); -#13841=EDGE_LOOP('',(#13837,#13838,#13839,#13840)); -#13842=FACE_OUTER_BOUND('',#13841,.F.); -#13844=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,-1.2E0)); -#13845=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13846=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13847=AXIS2_PLACEMENT_3D('',#13844,#13845,#13846); -#13848=CYLINDRICAL_SURFACE('',#13847,7.01599E-1); -#13849=ORIENTED_EDGE('',*,*,#12867,.F.); -#13851=ORIENTED_EDGE('',*,*,#13850,.T.); -#13852=ORIENTED_EDGE('',*,*,#13085,.F.); -#13854=ORIENTED_EDGE('',*,*,#13853,.F.); -#13855=EDGE_LOOP('',(#13849,#13851,#13852,#13854)); -#13856=FACE_OUTER_BOUND('',#13855,.F.); -#13858=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,-1.2E0)); -#13859=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13860=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13861=AXIS2_PLACEMENT_3D('',#13858,#13859,#13860); -#13862=CYLINDRICAL_SURFACE('',#13861,7.01599E-1); -#13863=ORIENTED_EDGE('',*,*,#12869,.F.); -#13864=ORIENTED_EDGE('',*,*,#13853,.T.); -#13865=ORIENTED_EDGE('',*,*,#13087,.F.); -#13866=ORIENTED_EDGE('',*,*,#13850,.F.); -#13867=EDGE_LOOP('',(#13863,#13864,#13865,#13866)); -#13868=FACE_OUTER_BOUND('',#13867,.F.); -#13870=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,-1.2E0)); -#13871=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13872=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13873=AXIS2_PLACEMENT_3D('',#13870,#13871,#13872); -#13874=CYLINDRICAL_SURFACE('',#13873,7.01599E-1); -#13875=ORIENTED_EDGE('',*,*,#12873,.F.); -#13877=ORIENTED_EDGE('',*,*,#13876,.T.); -#13878=ORIENTED_EDGE('',*,*,#13091,.F.); -#13880=ORIENTED_EDGE('',*,*,#13879,.F.); -#13881=EDGE_LOOP('',(#13875,#13877,#13878,#13880)); -#13882=FACE_OUTER_BOUND('',#13881,.F.); -#13884=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,-1.2E0)); -#13885=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13886=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13887=AXIS2_PLACEMENT_3D('',#13884,#13885,#13886); -#13888=CYLINDRICAL_SURFACE('',#13887,7.01599E-1); -#13889=ORIENTED_EDGE('',*,*,#12875,.F.); -#13890=ORIENTED_EDGE('',*,*,#13879,.T.); -#13891=ORIENTED_EDGE('',*,*,#13093,.F.); -#13892=ORIENTED_EDGE('',*,*,#13876,.F.); -#13893=EDGE_LOOP('',(#13889,#13890,#13891,#13892)); -#13894=FACE_OUTER_BOUND('',#13893,.F.); -#13896=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,-1.2E0)); -#13897=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13898=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13899=AXIS2_PLACEMENT_3D('',#13896,#13897,#13898); -#13900=CYLINDRICAL_SURFACE('',#13899,7.01599E-1); -#13901=ORIENTED_EDGE('',*,*,#12879,.F.); -#13903=ORIENTED_EDGE('',*,*,#13902,.T.); -#13904=ORIENTED_EDGE('',*,*,#13097,.F.); -#13906=ORIENTED_EDGE('',*,*,#13905,.F.); -#13907=EDGE_LOOP('',(#13901,#13903,#13904,#13906)); -#13908=FACE_OUTER_BOUND('',#13907,.F.); -#13910=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,-1.2E0)); -#13911=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13912=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13913=AXIS2_PLACEMENT_3D('',#13910,#13911,#13912); -#13914=CYLINDRICAL_SURFACE('',#13913,7.01599E-1); -#13915=ORIENTED_EDGE('',*,*,#12881,.F.); -#13916=ORIENTED_EDGE('',*,*,#13905,.T.); -#13917=ORIENTED_EDGE('',*,*,#13099,.F.); -#13918=ORIENTED_EDGE('',*,*,#13902,.F.); -#13919=EDGE_LOOP('',(#13915,#13916,#13917,#13918)); -#13920=FACE_OUTER_BOUND('',#13919,.F.); -#13922=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,-1.2E0)); -#13923=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13924=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13925=AXIS2_PLACEMENT_3D('',#13922,#13923,#13924); -#13926=CYLINDRICAL_SURFACE('',#13925,7.01599E-1); -#13927=ORIENTED_EDGE('',*,*,#12885,.F.); -#13929=ORIENTED_EDGE('',*,*,#13928,.T.); -#13930=ORIENTED_EDGE('',*,*,#13103,.F.); -#13932=ORIENTED_EDGE('',*,*,#13931,.F.); -#13933=EDGE_LOOP('',(#13927,#13929,#13930,#13932)); -#13934=FACE_OUTER_BOUND('',#13933,.F.); -#13936=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,-1.2E0)); -#13937=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13938=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13939=AXIS2_PLACEMENT_3D('',#13936,#13937,#13938); -#13940=CYLINDRICAL_SURFACE('',#13939,7.01599E-1); -#13941=ORIENTED_EDGE('',*,*,#12887,.F.); -#13942=ORIENTED_EDGE('',*,*,#13931,.T.); -#13943=ORIENTED_EDGE('',*,*,#13105,.F.); -#13944=ORIENTED_EDGE('',*,*,#13928,.F.); -#13945=EDGE_LOOP('',(#13941,#13942,#13943,#13944)); -#13946=FACE_OUTER_BOUND('',#13945,.F.); -#13948=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,-1.2E0)); -#13949=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13950=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13951=AXIS2_PLACEMENT_3D('',#13948,#13949,#13950); -#13952=CYLINDRICAL_SURFACE('',#13951,7.01599E-1); -#13953=ORIENTED_EDGE('',*,*,#12891,.F.); -#13955=ORIENTED_EDGE('',*,*,#13954,.T.); -#13956=ORIENTED_EDGE('',*,*,#13109,.F.); -#13958=ORIENTED_EDGE('',*,*,#13957,.F.); -#13959=EDGE_LOOP('',(#13953,#13955,#13956,#13958)); -#13960=FACE_OUTER_BOUND('',#13959,.F.); -#13962=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,-1.2E0)); -#13963=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13964=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13965=AXIS2_PLACEMENT_3D('',#13962,#13963,#13964); -#13966=CYLINDRICAL_SURFACE('',#13965,7.01599E-1); -#13967=ORIENTED_EDGE('',*,*,#12893,.F.); -#13968=ORIENTED_EDGE('',*,*,#13957,.T.); -#13969=ORIENTED_EDGE('',*,*,#13111,.F.); -#13970=ORIENTED_EDGE('',*,*,#13954,.F.); -#13971=EDGE_LOOP('',(#13967,#13968,#13969,#13970)); -#13972=FACE_OUTER_BOUND('',#13971,.F.); -#13974=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,-1.2E0)); -#13975=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13976=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13977=AXIS2_PLACEMENT_3D('',#13974,#13975,#13976); -#13978=CYLINDRICAL_SURFACE('',#13977,7.01599E-1); -#13979=ORIENTED_EDGE('',*,*,#12897,.F.); -#13981=ORIENTED_EDGE('',*,*,#13980,.T.); -#13982=ORIENTED_EDGE('',*,*,#13115,.F.); -#13984=ORIENTED_EDGE('',*,*,#13983,.F.); -#13985=EDGE_LOOP('',(#13979,#13981,#13982,#13984)); -#13986=FACE_OUTER_BOUND('',#13985,.F.); -#13988=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,-1.2E0)); -#13989=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13990=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13991=AXIS2_PLACEMENT_3D('',#13988,#13989,#13990); -#13992=CYLINDRICAL_SURFACE('',#13991,7.01599E-1); -#13993=ORIENTED_EDGE('',*,*,#12899,.F.); -#13994=ORIENTED_EDGE('',*,*,#13983,.T.); -#13995=ORIENTED_EDGE('',*,*,#13117,.F.); -#13996=ORIENTED_EDGE('',*,*,#13980,.F.); -#13997=EDGE_LOOP('',(#13993,#13994,#13995,#13996)); -#13998=FACE_OUTER_BOUND('',#13997,.F.); -#14000=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,-1.2E0)); -#14001=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14002=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14003=AXIS2_PLACEMENT_3D('',#14000,#14001,#14002); -#14004=CYLINDRICAL_SURFACE('',#14003,8.01599E-1); -#14005=ORIENTED_EDGE('',*,*,#12903,.F.); -#14007=ORIENTED_EDGE('',*,*,#14006,.T.); -#14008=ORIENTED_EDGE('',*,*,#13121,.F.); -#14010=ORIENTED_EDGE('',*,*,#14009,.F.); -#14011=EDGE_LOOP('',(#14005,#14007,#14008,#14010)); -#14012=FACE_OUTER_BOUND('',#14011,.F.); -#14014=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,-1.2E0)); -#14015=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14016=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14017=AXIS2_PLACEMENT_3D('',#14014,#14015,#14016); -#14018=CYLINDRICAL_SURFACE('',#14017,8.01599E-1); -#14019=ORIENTED_EDGE('',*,*,#12905,.F.); -#14020=ORIENTED_EDGE('',*,*,#14009,.T.); -#14021=ORIENTED_EDGE('',*,*,#13123,.F.); -#14022=ORIENTED_EDGE('',*,*,#14006,.F.); -#14023=EDGE_LOOP('',(#14019,#14020,#14021,#14022)); -#14024=FACE_OUTER_BOUND('',#14023,.F.); -#14026=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,-1.2E0)); -#14027=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14028=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14029=AXIS2_PLACEMENT_3D('',#14026,#14027,#14028); -#14030=CYLINDRICAL_SURFACE('',#14029,8.01599E-1); -#14031=ORIENTED_EDGE('',*,*,#12909,.F.); -#14033=ORIENTED_EDGE('',*,*,#14032,.T.); -#14034=ORIENTED_EDGE('',*,*,#13127,.F.); -#14036=ORIENTED_EDGE('',*,*,#14035,.F.); -#14037=EDGE_LOOP('',(#14031,#14033,#14034,#14036)); -#14038=FACE_OUTER_BOUND('',#14037,.F.); -#14040=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,-1.2E0)); -#14041=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14042=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14043=AXIS2_PLACEMENT_3D('',#14040,#14041,#14042); -#14044=CYLINDRICAL_SURFACE('',#14043,8.01599E-1); -#14045=ORIENTED_EDGE('',*,*,#12911,.F.); -#14046=ORIENTED_EDGE('',*,*,#14035,.T.); -#14047=ORIENTED_EDGE('',*,*,#13129,.F.); -#14048=ORIENTED_EDGE('',*,*,#14032,.F.); -#14049=EDGE_LOOP('',(#14045,#14046,#14047,#14048)); -#14050=FACE_OUTER_BOUND('',#14049,.F.); -#14052=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,-1.2E0)); -#14053=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14054=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14055=AXIS2_PLACEMENT_3D('',#14052,#14053,#14054); -#14056=CYLINDRICAL_SURFACE('',#14055,8.01599E-1); -#14057=ORIENTED_EDGE('',*,*,#12915,.F.); -#14059=ORIENTED_EDGE('',*,*,#14058,.T.); -#14060=ORIENTED_EDGE('',*,*,#13133,.F.); -#14062=ORIENTED_EDGE('',*,*,#14061,.F.); -#14063=EDGE_LOOP('',(#14057,#14059,#14060,#14062)); -#14064=FACE_OUTER_BOUND('',#14063,.F.); -#14066=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,-1.2E0)); -#14067=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14068=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14069=AXIS2_PLACEMENT_3D('',#14066,#14067,#14068); -#14070=CYLINDRICAL_SURFACE('',#14069,8.01599E-1); -#14071=ORIENTED_EDGE('',*,*,#12917,.F.); -#14072=ORIENTED_EDGE('',*,*,#14061,.T.); -#14073=ORIENTED_EDGE('',*,*,#13135,.F.); -#14074=ORIENTED_EDGE('',*,*,#14058,.F.); -#14075=EDGE_LOOP('',(#14071,#14072,#14073,#14074)); -#14076=FACE_OUTER_BOUND('',#14075,.F.); -#14078=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,-1.2E0)); -#14079=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14080=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14081=AXIS2_PLACEMENT_3D('',#14078,#14079,#14080); -#14082=CYLINDRICAL_SURFACE('',#14081,8.01599E-1); -#14083=ORIENTED_EDGE('',*,*,#12921,.F.); -#14085=ORIENTED_EDGE('',*,*,#14084,.T.); -#14086=ORIENTED_EDGE('',*,*,#13139,.F.); -#14088=ORIENTED_EDGE('',*,*,#14087,.F.); -#14089=EDGE_LOOP('',(#14083,#14085,#14086,#14088)); -#14090=FACE_OUTER_BOUND('',#14089,.F.); -#14092=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,-1.2E0)); -#14093=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14094=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14095=AXIS2_PLACEMENT_3D('',#14092,#14093,#14094); -#14096=CYLINDRICAL_SURFACE('',#14095,8.01599E-1); -#14097=ORIENTED_EDGE('',*,*,#12923,.F.); -#14098=ORIENTED_EDGE('',*,*,#14087,.T.); -#14099=ORIENTED_EDGE('',*,*,#13141,.F.); -#14100=ORIENTED_EDGE('',*,*,#14084,.F.); -#14101=EDGE_LOOP('',(#14097,#14098,#14099,#14100)); -#14102=FACE_OUTER_BOUND('',#14101,.F.); -#14104=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,-1.2E0)); -#14105=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14106=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14107=AXIS2_PLACEMENT_3D('',#14104,#14105,#14106); -#14108=CYLINDRICAL_SURFACE('',#14107,8.01599E-1); -#14109=ORIENTED_EDGE('',*,*,#12927,.F.); -#14111=ORIENTED_EDGE('',*,*,#14110,.T.); -#14112=ORIENTED_EDGE('',*,*,#13145,.F.); -#14114=ORIENTED_EDGE('',*,*,#14113,.F.); -#14115=EDGE_LOOP('',(#14109,#14111,#14112,#14114)); -#14116=FACE_OUTER_BOUND('',#14115,.F.); -#14118=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,-1.2E0)); -#14119=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14120=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14121=AXIS2_PLACEMENT_3D('',#14118,#14119,#14120); -#14122=CYLINDRICAL_SURFACE('',#14121,8.01599E-1); -#14123=ORIENTED_EDGE('',*,*,#12929,.F.); -#14124=ORIENTED_EDGE('',*,*,#14113,.T.); -#14125=ORIENTED_EDGE('',*,*,#13147,.F.); -#14126=ORIENTED_EDGE('',*,*,#14110,.F.); -#14127=EDGE_LOOP('',(#14123,#14124,#14125,#14126)); -#14128=FACE_OUTER_BOUND('',#14127,.F.); -#14130=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,-1.2E0)); -#14131=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14132=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14133=AXIS2_PLACEMENT_3D('',#14130,#14131,#14132); -#14134=CYLINDRICAL_SURFACE('',#14133,8.01599E-1); -#14135=ORIENTED_EDGE('',*,*,#12933,.F.); -#14137=ORIENTED_EDGE('',*,*,#14136,.T.); -#14138=ORIENTED_EDGE('',*,*,#13151,.F.); -#14140=ORIENTED_EDGE('',*,*,#14139,.F.); -#14141=EDGE_LOOP('',(#14135,#14137,#14138,#14140)); -#14142=FACE_OUTER_BOUND('',#14141,.F.); -#14144=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,-1.2E0)); -#14145=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14146=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14147=AXIS2_PLACEMENT_3D('',#14144,#14145,#14146); -#14148=CYLINDRICAL_SURFACE('',#14147,8.01599E-1); -#14149=ORIENTED_EDGE('',*,*,#12935,.F.); -#14150=ORIENTED_EDGE('',*,*,#14139,.T.); -#14151=ORIENTED_EDGE('',*,*,#13153,.F.); -#14152=ORIENTED_EDGE('',*,*,#14136,.F.); -#14153=EDGE_LOOP('',(#14149,#14150,#14151,#14152)); -#14154=FACE_OUTER_BOUND('',#14153,.F.); -#14156=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,-1.2E0)); -#14157=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14158=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14159=AXIS2_PLACEMENT_3D('',#14156,#14157,#14158); -#14160=CYLINDRICAL_SURFACE('',#14159,8.01599E-1); -#14161=ORIENTED_EDGE('',*,*,#12939,.F.); -#14163=ORIENTED_EDGE('',*,*,#14162,.T.); -#14164=ORIENTED_EDGE('',*,*,#13157,.F.); -#14166=ORIENTED_EDGE('',*,*,#14165,.F.); -#14167=EDGE_LOOP('',(#14161,#14163,#14164,#14166)); -#14168=FACE_OUTER_BOUND('',#14167,.F.); -#14170=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,-1.2E0)); -#14171=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14172=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14173=AXIS2_PLACEMENT_3D('',#14170,#14171,#14172); -#14174=CYLINDRICAL_SURFACE('',#14173,8.01599E-1); -#14175=ORIENTED_EDGE('',*,*,#12941,.F.); -#14176=ORIENTED_EDGE('',*,*,#14165,.T.); -#14177=ORIENTED_EDGE('',*,*,#13159,.F.); -#14178=ORIENTED_EDGE('',*,*,#14162,.F.); -#14179=EDGE_LOOP('',(#14175,#14176,#14177,#14178)); -#14180=FACE_OUTER_BOUND('',#14179,.F.); -#14182=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,-1.2E0)); -#14183=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14184=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14185=AXIS2_PLACEMENT_3D('',#14182,#14183,#14184); -#14186=CYLINDRICAL_SURFACE('',#14185,7.01599E-1); -#14187=ORIENTED_EDGE('',*,*,#12945,.F.); -#14189=ORIENTED_EDGE('',*,*,#14188,.T.); -#14190=ORIENTED_EDGE('',*,*,#13163,.F.); -#14192=ORIENTED_EDGE('',*,*,#14191,.F.); -#14193=EDGE_LOOP('',(#14187,#14189,#14190,#14192)); -#14194=FACE_OUTER_BOUND('',#14193,.F.); -#14196=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,-1.2E0)); -#14197=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14198=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14199=AXIS2_PLACEMENT_3D('',#14196,#14197,#14198); -#14200=CYLINDRICAL_SURFACE('',#14199,7.01599E-1); -#14201=ORIENTED_EDGE('',*,*,#12947,.F.); -#14202=ORIENTED_EDGE('',*,*,#14191,.T.); -#14203=ORIENTED_EDGE('',*,*,#13165,.F.); -#14204=ORIENTED_EDGE('',*,*,#14188,.F.); -#14205=EDGE_LOOP('',(#14201,#14202,#14203,#14204)); -#14206=FACE_OUTER_BOUND('',#14205,.F.); -#14208=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,-1.2E0)); -#14209=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14210=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14211=AXIS2_PLACEMENT_3D('',#14208,#14209,#14210); -#14212=CYLINDRICAL_SURFACE('',#14211,7.01599E-1); -#14213=ORIENTED_EDGE('',*,*,#12951,.F.); -#14215=ORIENTED_EDGE('',*,*,#14214,.T.); -#14216=ORIENTED_EDGE('',*,*,#13169,.F.); -#14218=ORIENTED_EDGE('',*,*,#14217,.F.); -#14219=EDGE_LOOP('',(#14213,#14215,#14216,#14218)); -#14220=FACE_OUTER_BOUND('',#14219,.F.); -#14222=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,-1.2E0)); -#14223=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14224=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14225=AXIS2_PLACEMENT_3D('',#14222,#14223,#14224); -#14226=CYLINDRICAL_SURFACE('',#14225,7.01599E-1); -#14227=ORIENTED_EDGE('',*,*,#12953,.F.); -#14228=ORIENTED_EDGE('',*,*,#14217,.T.); -#14229=ORIENTED_EDGE('',*,*,#13171,.F.); -#14230=ORIENTED_EDGE('',*,*,#14214,.F.); -#14231=EDGE_LOOP('',(#14227,#14228,#14229,#14230)); -#14232=FACE_OUTER_BOUND('',#14231,.F.); -#14234=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,-1.2E0)); -#14235=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14236=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14237=AXIS2_PLACEMENT_3D('',#14234,#14235,#14236); -#14238=CYLINDRICAL_SURFACE('',#14237,7.01599E-1); -#14239=ORIENTED_EDGE('',*,*,#12957,.F.); -#14241=ORIENTED_EDGE('',*,*,#14240,.T.); -#14242=ORIENTED_EDGE('',*,*,#13175,.F.); -#14244=ORIENTED_EDGE('',*,*,#14243,.F.); -#14245=EDGE_LOOP('',(#14239,#14241,#14242,#14244)); -#14246=FACE_OUTER_BOUND('',#14245,.F.); -#14248=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,-1.2E0)); -#14249=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14250=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14251=AXIS2_PLACEMENT_3D('',#14248,#14249,#14250); -#14252=CYLINDRICAL_SURFACE('',#14251,7.01599E-1); -#14253=ORIENTED_EDGE('',*,*,#12959,.F.); -#14254=ORIENTED_EDGE('',*,*,#14243,.T.); -#14255=ORIENTED_EDGE('',*,*,#13177,.F.); -#14256=ORIENTED_EDGE('',*,*,#14240,.F.); -#14257=EDGE_LOOP('',(#14253,#14254,#14255,#14256)); -#14258=FACE_OUTER_BOUND('',#14257,.F.); -#14260=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,-1.2E0)); -#14261=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14262=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14263=AXIS2_PLACEMENT_3D('',#14260,#14261,#14262); -#14264=CYLINDRICAL_SURFACE('',#14263,7.01599E-1); -#14265=ORIENTED_EDGE('',*,*,#12963,.F.); -#14267=ORIENTED_EDGE('',*,*,#14266,.T.); -#14268=ORIENTED_EDGE('',*,*,#13181,.F.); -#14270=ORIENTED_EDGE('',*,*,#14269,.F.); -#14271=EDGE_LOOP('',(#14265,#14267,#14268,#14270)); -#14272=FACE_OUTER_BOUND('',#14271,.F.); -#14274=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,-1.2E0)); -#14275=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14276=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14277=AXIS2_PLACEMENT_3D('',#14274,#14275,#14276); -#14278=CYLINDRICAL_SURFACE('',#14277,7.01599E-1); -#14279=ORIENTED_EDGE('',*,*,#12965,.F.); -#14280=ORIENTED_EDGE('',*,*,#14269,.T.); -#14281=ORIENTED_EDGE('',*,*,#13183,.F.); -#14282=ORIENTED_EDGE('',*,*,#14266,.F.); -#14283=EDGE_LOOP('',(#14279,#14280,#14281,#14282)); -#14284=FACE_OUTER_BOUND('',#14283,.F.); -#14286=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,-1.2E0)); -#14287=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14288=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14289=AXIS2_PLACEMENT_3D('',#14286,#14287,#14288); -#14290=CYLINDRICAL_SURFACE('',#14289,7.01599E-1); -#14291=ORIENTED_EDGE('',*,*,#12969,.F.); -#14293=ORIENTED_EDGE('',*,*,#14292,.T.); -#14294=ORIENTED_EDGE('',*,*,#13187,.F.); -#14296=ORIENTED_EDGE('',*,*,#14295,.F.); -#14297=EDGE_LOOP('',(#14291,#14293,#14294,#14296)); -#14298=FACE_OUTER_BOUND('',#14297,.F.); -#14300=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,-1.2E0)); -#14301=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14302=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14303=AXIS2_PLACEMENT_3D('',#14300,#14301,#14302); -#14304=CYLINDRICAL_SURFACE('',#14303,7.01599E-1); -#14305=ORIENTED_EDGE('',*,*,#12971,.F.); -#14306=ORIENTED_EDGE('',*,*,#14295,.T.); -#14307=ORIENTED_EDGE('',*,*,#13189,.F.); -#14308=ORIENTED_EDGE('',*,*,#14292,.F.); -#14309=EDGE_LOOP('',(#14305,#14306,#14307,#14308)); -#14310=FACE_OUTER_BOUND('',#14309,.F.); -#14312=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,-1.2E0)); -#14313=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14314=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14315=AXIS2_PLACEMENT_3D('',#14312,#14313,#14314); -#14316=CYLINDRICAL_SURFACE('',#14315,7.01599E-1); -#14317=ORIENTED_EDGE('',*,*,#12975,.F.); -#14319=ORIENTED_EDGE('',*,*,#14318,.T.); -#14320=ORIENTED_EDGE('',*,*,#13193,.F.); -#14322=ORIENTED_EDGE('',*,*,#14321,.F.); -#14323=EDGE_LOOP('',(#14317,#14319,#14320,#14322)); -#14324=FACE_OUTER_BOUND('',#14323,.F.); -#14326=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,-1.2E0)); -#14327=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14328=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14329=AXIS2_PLACEMENT_3D('',#14326,#14327,#14328); -#14330=CYLINDRICAL_SURFACE('',#14329,7.01599E-1); -#14331=ORIENTED_EDGE('',*,*,#12977,.F.); -#14332=ORIENTED_EDGE('',*,*,#14321,.T.); -#14333=ORIENTED_EDGE('',*,*,#13195,.F.); -#14334=ORIENTED_EDGE('',*,*,#14318,.F.); -#14335=EDGE_LOOP('',(#14331,#14332,#14333,#14334)); -#14336=FACE_OUTER_BOUND('',#14335,.F.); -#14338=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,-1.2E0)); -#14339=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14340=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14341=AXIS2_PLACEMENT_3D('',#14338,#14339,#14340); -#14342=CYLINDRICAL_SURFACE('',#14341,7.01599E-1); -#14343=ORIENTED_EDGE('',*,*,#12981,.F.); -#14345=ORIENTED_EDGE('',*,*,#14344,.T.); -#14346=ORIENTED_EDGE('',*,*,#13199,.F.); -#14348=ORIENTED_EDGE('',*,*,#14347,.F.); -#14349=EDGE_LOOP('',(#14343,#14345,#14346,#14348)); -#14350=FACE_OUTER_BOUND('',#14349,.F.); -#14352=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,-1.2E0)); -#14353=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14354=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14355=AXIS2_PLACEMENT_3D('',#14352,#14353,#14354); -#14356=CYLINDRICAL_SURFACE('',#14355,7.01599E-1); -#14357=ORIENTED_EDGE('',*,*,#12983,.F.); -#14358=ORIENTED_EDGE('',*,*,#14347,.T.); -#14359=ORIENTED_EDGE('',*,*,#13201,.F.); -#14360=ORIENTED_EDGE('',*,*,#14344,.F.); -#14361=EDGE_LOOP('',(#14357,#14358,#14359,#14360)); -#14362=FACE_OUTER_BOUND('',#14361,.F.); -#14364=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,-1.2E0)); -#14365=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14366=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14367=AXIS2_PLACEMENT_3D('',#14364,#14365,#14366); -#14368=CYLINDRICAL_SURFACE('',#14367,7.01599E-1); -#14369=ORIENTED_EDGE('',*,*,#12987,.F.); -#14371=ORIENTED_EDGE('',*,*,#14370,.T.); -#14372=ORIENTED_EDGE('',*,*,#13205,.F.); -#14374=ORIENTED_EDGE('',*,*,#14373,.F.); -#14375=EDGE_LOOP('',(#14369,#14371,#14372,#14374)); -#14376=FACE_OUTER_BOUND('',#14375,.F.); -#14378=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,-1.2E0)); -#14379=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14380=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14381=AXIS2_PLACEMENT_3D('',#14378,#14379,#14380); -#14382=CYLINDRICAL_SURFACE('',#14381,7.01599E-1); -#14383=ORIENTED_EDGE('',*,*,#12989,.F.); -#14384=ORIENTED_EDGE('',*,*,#14373,.T.); -#14385=ORIENTED_EDGE('',*,*,#13207,.F.); -#14386=ORIENTED_EDGE('',*,*,#14370,.F.); -#14387=EDGE_LOOP('',(#14383,#14384,#14385,#14386)); -#14388=FACE_OUTER_BOUND('',#14387,.F.); -#14390=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,-1.2E0)); -#14391=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14392=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14393=AXIS2_PLACEMENT_3D('',#14390,#14391,#14392); -#14394=CYLINDRICAL_SURFACE('',#14393,7.01599E-1); -#14395=ORIENTED_EDGE('',*,*,#12993,.F.); -#14397=ORIENTED_EDGE('',*,*,#14396,.T.); -#14398=ORIENTED_EDGE('',*,*,#13211,.F.); -#14400=ORIENTED_EDGE('',*,*,#14399,.F.); -#14401=EDGE_LOOP('',(#14395,#14397,#14398,#14400)); -#14402=FACE_OUTER_BOUND('',#14401,.F.); -#14404=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,-1.2E0)); -#14405=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14406=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14407=AXIS2_PLACEMENT_3D('',#14404,#14405,#14406); -#14408=CYLINDRICAL_SURFACE('',#14407,7.01599E-1); -#14409=ORIENTED_EDGE('',*,*,#12995,.F.); -#14410=ORIENTED_EDGE('',*,*,#14399,.T.); -#14411=ORIENTED_EDGE('',*,*,#13213,.F.); -#14412=ORIENTED_EDGE('',*,*,#14396,.F.); -#14413=EDGE_LOOP('',(#14409,#14410,#14411,#14412)); -#14414=FACE_OUTER_BOUND('',#14413,.F.); -#14416=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,-1.2E0)); -#14417=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14418=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14419=AXIS2_PLACEMENT_3D('',#14416,#14417,#14418); -#14420=CYLINDRICAL_SURFACE('',#14419,7.01599E-1); -#14421=ORIENTED_EDGE('',*,*,#12999,.F.); -#14423=ORIENTED_EDGE('',*,*,#14422,.T.); -#14424=ORIENTED_EDGE('',*,*,#13217,.F.); -#14426=ORIENTED_EDGE('',*,*,#14425,.F.); -#14427=EDGE_LOOP('',(#14421,#14423,#14424,#14426)); -#14428=FACE_OUTER_BOUND('',#14427,.F.); -#14430=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,-1.2E0)); -#14431=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14432=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14433=AXIS2_PLACEMENT_3D('',#14430,#14431,#14432); -#14434=CYLINDRICAL_SURFACE('',#14433,7.01599E-1); -#14435=ORIENTED_EDGE('',*,*,#13001,.F.); -#14436=ORIENTED_EDGE('',*,*,#14425,.T.); -#14437=ORIENTED_EDGE('',*,*,#13219,.F.); -#14438=ORIENTED_EDGE('',*,*,#14422,.F.); -#14439=EDGE_LOOP('',(#14435,#14436,#14437,#14438)); -#14440=FACE_OUTER_BOUND('',#14439,.F.); -#14442=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,-1.2E0)); -#14443=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14444=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14445=AXIS2_PLACEMENT_3D('',#14442,#14443,#14444); -#14446=CYLINDRICAL_SURFACE('',#14445,7.01599E-1); -#14447=ORIENTED_EDGE('',*,*,#13005,.F.); -#14449=ORIENTED_EDGE('',*,*,#14448,.T.); -#14450=ORIENTED_EDGE('',*,*,#13223,.F.); -#14452=ORIENTED_EDGE('',*,*,#14451,.F.); -#14453=EDGE_LOOP('',(#14447,#14449,#14450,#14452)); -#14454=FACE_OUTER_BOUND('',#14453,.F.); -#14456=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,-1.2E0)); -#14457=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14458=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14459=AXIS2_PLACEMENT_3D('',#14456,#14457,#14458); -#14460=CYLINDRICAL_SURFACE('',#14459,7.01599E-1); -#14461=ORIENTED_EDGE('',*,*,#13007,.F.); -#14462=ORIENTED_EDGE('',*,*,#14451,.T.); -#14463=ORIENTED_EDGE('',*,*,#13225,.F.); -#14464=ORIENTED_EDGE('',*,*,#14448,.F.); -#14465=EDGE_LOOP('',(#14461,#14462,#14463,#14464)); -#14466=FACE_OUTER_BOUND('',#14465,.F.); -#14468=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.2E0)); -#14469=DIRECTION('',(0.E0,1.E0,0.E0)); -#14470=DIRECTION('',(1.E0,0.E0,0.E0)); -#14471=AXIS2_PLACEMENT_3D('',#14468,#14469,#14470); -#14472=PLANE('',#14471); -#14473=ORIENTED_EDGE('',*,*,#13229,.T.); -#14475=ORIENTED_EDGE('',*,*,#14474,.F.); -#14477=ORIENTED_EDGE('',*,*,#14476,.F.); -#14479=ORIENTED_EDGE('',*,*,#14478,.T.); -#14480=EDGE_LOOP('',(#14473,#14475,#14477,#14479)); -#14481=FACE_OUTER_BOUND('',#14480,.F.); -#14483=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.2E0)); -#14484=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14485=DIRECTION('',(0.E0,1.E0,0.E0)); -#14486=AXIS2_PLACEMENT_3D('',#14483,#14484,#14485); -#14487=PLANE('',#14486); -#14488=ORIENTED_EDGE('',*,*,#13251,.T.); -#14490=ORIENTED_EDGE('',*,*,#14489,.F.); -#14492=ORIENTED_EDGE('',*,*,#14491,.F.); -#14493=ORIENTED_EDGE('',*,*,#14474,.T.); -#14494=EDGE_LOOP('',(#14488,#14490,#14492,#14493)); -#14495=FACE_OUTER_BOUND('',#14494,.F.); -#14497=CARTESIAN_POINT('',(-9.250181921890E0,3.5134545E1,-1.2E0)); -#14498=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14499=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14500=AXIS2_PLACEMENT_3D('',#14497,#14498,#14499); -#14501=PLANE('',#14500); -#14502=ORIENTED_EDGE('',*,*,#13249,.T.); -#14504=ORIENTED_EDGE('',*,*,#14503,.F.); -#14506=ORIENTED_EDGE('',*,*,#14505,.F.); -#14507=ORIENTED_EDGE('',*,*,#14489,.T.); -#14508=EDGE_LOOP('',(#14502,#14504,#14506,#14507)); -#14509=FACE_OUTER_BOUND('',#14508,.F.); -#14511=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-1.2E0)); -#14512=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14513=DIRECTION('',(0.E0,1.E0,0.E0)); -#14514=AXIS2_PLACEMENT_3D('',#14511,#14512,#14513); -#14515=PLANE('',#14514); -#14517=ORIENTED_EDGE('',*,*,#14516,.F.); -#14519=ORIENTED_EDGE('',*,*,#14518,.F.); -#14521=ORIENTED_EDGE('',*,*,#14520,.T.); -#14523=ORIENTED_EDGE('',*,*,#14522,.F.); -#14525=ORIENTED_EDGE('',*,*,#14524,.F.); -#14527=ORIENTED_EDGE('',*,*,#14526,.T.); -#14528=ORIENTED_EDGE('',*,*,#13231,.T.); -#14529=ORIENTED_EDGE('',*,*,#14478,.F.); -#14531=ORIENTED_EDGE('',*,*,#14530,.T.); -#14532=ORIENTED_EDGE('',*,*,#14503,.T.); -#14533=ORIENTED_EDGE('',*,*,#13247,.T.); -#14535=ORIENTED_EDGE('',*,*,#14534,.F.); -#14536=EDGE_LOOP('',(#14517,#14519,#14521,#14523,#14525,#14527,#14528,#14529, -#14531,#14532,#14533,#14535)); -#14537=FACE_OUTER_BOUND('',#14536,.F.); -#14539=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-2.2E0)); -#14540=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14541=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14542=AXIS2_PLACEMENT_3D('',#14539,#14540,#14541); -#14543=PLANE('',#14542); -#14545=ORIENTED_EDGE('',*,*,#14544,.F.); -#14547=ORIENTED_EDGE('',*,*,#14546,.F.); -#14549=ORIENTED_EDGE('',*,*,#14548,.F.); -#14551=ORIENTED_EDGE('',*,*,#14550,.F.); -#14553=ORIENTED_EDGE('',*,*,#14552,.F.); -#14555=ORIENTED_EDGE('',*,*,#14554,.F.); -#14556=ORIENTED_EDGE('',*,*,#14516,.T.); -#14558=ORIENTED_EDGE('',*,*,#14557,.T.); -#14559=EDGE_LOOP('',(#14545,#14547,#14549,#14551,#14553,#14555,#14556,#14558)); -#14560=FACE_OUTER_BOUND('',#14559,.F.); -#14562=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-1.2E0)); -#14563=DIRECTION('',(1.E0,0.E0,0.E0)); -#14564=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14565=AXIS2_PLACEMENT_3D('',#14562,#14563,#14564); -#14566=PLANE('',#14565); -#14567=ORIENTED_EDGE('',*,*,#14544,.T.); -#14569=ORIENTED_EDGE('',*,*,#14568,.T.); -#14570=ORIENTED_EDGE('',*,*,#13243,.T.); -#14572=ORIENTED_EDGE('',*,*,#14571,.F.); -#14574=ORIENTED_EDGE('',*,*,#14573,.F.); -#14576=ORIENTED_EDGE('',*,*,#14575,.T.); -#14577=ORIENTED_EDGE('',*,*,#13235,.T.); -#14579=ORIENTED_EDGE('',*,*,#14578,.F.); -#14581=ORIENTED_EDGE('',*,*,#14580,.F.); -#14583=ORIENTED_EDGE('',*,*,#14582,.T.); -#14585=ORIENTED_EDGE('',*,*,#14584,.F.); -#14587=ORIENTED_EDGE('',*,*,#14586,.T.); -#14588=EDGE_LOOP('',(#14567,#14569,#14570,#14572,#14574,#14576,#14577,#14579, -#14581,#14583,#14585,#14587)); -#14589=FACE_OUTER_BOUND('',#14588,.F.); -#14591=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-1.2E0)); -#14592=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14593=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14594=AXIS2_PLACEMENT_3D('',#14591,#14592,#14593); -#14595=PLANE('',#14594); -#14596=ORIENTED_EDGE('',*,*,#14557,.F.); -#14597=ORIENTED_EDGE('',*,*,#14534,.T.); -#14598=ORIENTED_EDGE('',*,*,#13245,.T.); -#14599=ORIENTED_EDGE('',*,*,#14568,.F.); -#14600=EDGE_LOOP('',(#14596,#14597,#14598,#14599)); -#14601=FACE_OUTER_BOUND('',#14600,.F.); -#14603=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.2E0)); -#14604=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14605=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14606=AXIS2_PLACEMENT_3D('',#14603,#14604,#14605); -#14607=PLANE('',#14606); -#14608=ORIENTED_EDGE('',*,*,#13241,.T.); -#14610=ORIENTED_EDGE('',*,*,#14609,.F.); -#14612=ORIENTED_EDGE('',*,*,#14611,.F.); -#14613=ORIENTED_EDGE('',*,*,#14571,.T.); -#14614=EDGE_LOOP('',(#14608,#14610,#14612,#14613)); -#14615=FACE_OUTER_BOUND('',#14614,.F.); -#14617=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.2E0)); -#14618=DIRECTION('',(1.E0,0.E0,0.E0)); -#14619=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14620=AXIS2_PLACEMENT_3D('',#14617,#14618,#14619); -#14621=PLANE('',#14620); -#14622=ORIENTED_EDGE('',*,*,#13239,.T.); -#14624=ORIENTED_EDGE('',*,*,#14623,.F.); -#14626=ORIENTED_EDGE('',*,*,#14625,.F.); -#14627=ORIENTED_EDGE('',*,*,#14609,.T.); -#14628=EDGE_LOOP('',(#14622,#14624,#14626,#14627)); -#14629=FACE_OUTER_BOUND('',#14628,.F.); -#14631=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.2E0)); -#14632=DIRECTION('',(0.E0,1.E0,0.E0)); -#14633=DIRECTION('',(1.E0,0.E0,0.E0)); -#14634=AXIS2_PLACEMENT_3D('',#14631,#14632,#14633); -#14635=PLANE('',#14634); -#14636=ORIENTED_EDGE('',*,*,#13237,.T.); -#14637=ORIENTED_EDGE('',*,*,#14575,.F.); -#14639=ORIENTED_EDGE('',*,*,#14638,.F.); -#14640=ORIENTED_EDGE('',*,*,#14623,.T.); -#14641=EDGE_LOOP('',(#14636,#14637,#14639,#14640)); -#14642=FACE_OUTER_BOUND('',#14641,.F.); -#14644=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.7E0)); -#14645=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14646=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14647=AXIS2_PLACEMENT_3D('',#14644,#14645,#14646); -#14648=PLANE('',#14647); -#14649=ORIENTED_EDGE('',*,*,#14573,.T.); -#14650=ORIENTED_EDGE('',*,*,#14611,.T.); -#14651=ORIENTED_EDGE('',*,*,#14625,.T.); -#14652=ORIENTED_EDGE('',*,*,#14638,.T.); -#14653=EDGE_LOOP('',(#14649,#14650,#14651,#14652)); -#14654=FACE_OUTER_BOUND('',#14653,.F.); -#14656=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-1.2E0)); -#14657=DIRECTION('',(0.E0,1.E0,0.E0)); -#14658=DIRECTION('',(1.E0,0.E0,0.E0)); -#14659=AXIS2_PLACEMENT_3D('',#14656,#14657,#14658); -#14660=PLANE('',#14659); -#14661=ORIENTED_EDGE('',*,*,#13233,.T.); -#14662=ORIENTED_EDGE('',*,*,#14526,.F.); -#14664=ORIENTED_EDGE('',*,*,#14663,.F.); -#14665=ORIENTED_EDGE('',*,*,#14578,.T.); -#14666=EDGE_LOOP('',(#14661,#14662,#14664,#14665)); -#14667=FACE_OUTER_BOUND('',#14666,.F.); -#14669=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-3.2E0)); -#14670=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14671=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14672=AXIS2_PLACEMENT_3D('',#14669,#14670,#14671); -#14673=PLANE('',#14672); -#14674=ORIENTED_EDGE('',*,*,#14580,.T.); -#14675=ORIENTED_EDGE('',*,*,#14663,.T.); -#14676=ORIENTED_EDGE('',*,*,#14524,.T.); -#14678=ORIENTED_EDGE('',*,*,#14677,.T.); -#14679=EDGE_LOOP('',(#14674,#14675,#14676,#14678)); -#14680=FACE_OUTER_BOUND('',#14679,.F.); -#14682=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-1.2E0)); -#14683=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14684=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14685=AXIS2_PLACEMENT_3D('',#14682,#14683,#14684); -#14686=PLANE('',#14685); -#14688=ORIENTED_EDGE('',*,*,#14687,.T.); -#14689=ORIENTED_EDGE('',*,*,#14582,.F.); -#14690=ORIENTED_EDGE('',*,*,#14677,.F.); -#14691=ORIENTED_EDGE('',*,*,#14522,.T.); -#14692=EDGE_LOOP('',(#14688,#14689,#14690,#14691)); -#14693=FACE_OUTER_BOUND('',#14692,.F.); -#14695=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-2.8E0)); -#14696=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14697=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14698=AXIS2_PLACEMENT_3D('',#14695,#14696,#14697); -#14699=PLANE('',#14698); -#14700=ORIENTED_EDGE('',*,*,#14584,.T.); -#14701=ORIENTED_EDGE('',*,*,#14687,.F.); -#14702=ORIENTED_EDGE('',*,*,#14520,.F.); -#14704=ORIENTED_EDGE('',*,*,#14703,.T.); -#14706=ORIENTED_EDGE('',*,*,#14705,.T.); -#14708=ORIENTED_EDGE('',*,*,#14707,.T.); -#14710=ORIENTED_EDGE('',*,*,#14709,.T.); -#14712=ORIENTED_EDGE('',*,*,#14711,.T.); -#14713=EDGE_LOOP('',(#14700,#14701,#14702,#14704,#14706,#14708,#14710,#14712)); -#14714=FACE_OUTER_BOUND('',#14713,.F.); -#14716=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.2E0)); -#14717=DIRECTION('',(0.E0,1.E0,0.E0)); -#14718=DIRECTION('',(1.E0,0.E0,0.E0)); -#14719=AXIS2_PLACEMENT_3D('',#14716,#14717,#14718); -#14720=PLANE('',#14719); -#14721=ORIENTED_EDGE('',*,*,#14518,.T.); -#14722=ORIENTED_EDGE('',*,*,#14554,.T.); -#14724=ORIENTED_EDGE('',*,*,#14723,.F.); -#14725=ORIENTED_EDGE('',*,*,#14703,.F.); -#14726=EDGE_LOOP('',(#14721,#14722,#14724,#14725)); -#14727=FACE_OUTER_BOUND('',#14726,.F.); -#14729=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.2E0)); -#14730=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14731=DIRECTION('',(0.E0,1.E0,0.E0)); -#14732=AXIS2_PLACEMENT_3D('',#14729,#14730,#14731); -#14733=PLANE('',#14732); -#14734=ORIENTED_EDGE('',*,*,#14552,.T.); -#14736=ORIENTED_EDGE('',*,*,#14735,.F.); -#14737=ORIENTED_EDGE('',*,*,#14705,.F.); -#14738=ORIENTED_EDGE('',*,*,#14723,.T.); -#14739=EDGE_LOOP('',(#14734,#14736,#14737,#14738)); -#14740=FACE_OUTER_BOUND('',#14739,.F.); -#14742=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.2E0)); -#14743=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14744=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14745=AXIS2_PLACEMENT_3D('',#14742,#14743,#14744); -#14746=PLANE('',#14745); -#14747=ORIENTED_EDGE('',*,*,#14550,.T.); -#14749=ORIENTED_EDGE('',*,*,#14748,.F.); -#14750=ORIENTED_EDGE('',*,*,#14707,.F.); -#14751=ORIENTED_EDGE('',*,*,#14735,.T.); -#14752=EDGE_LOOP('',(#14747,#14749,#14750,#14751)); -#14753=FACE_OUTER_BOUND('',#14752,.F.); -#14755=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.2E0)); -#14756=DIRECTION('',(1.E0,0.E0,0.E0)); -#14757=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14758=AXIS2_PLACEMENT_3D('',#14755,#14756,#14757); -#14759=PLANE('',#14758); -#14760=ORIENTED_EDGE('',*,*,#14548,.T.); -#14762=ORIENTED_EDGE('',*,*,#14761,.F.); -#14763=ORIENTED_EDGE('',*,*,#14709,.F.); -#14764=ORIENTED_EDGE('',*,*,#14748,.T.); -#14765=EDGE_LOOP('',(#14760,#14762,#14763,#14764)); -#14766=FACE_OUTER_BOUND('',#14765,.F.); -#14768=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.2E0)); -#14769=DIRECTION('',(0.E0,1.E0,0.E0)); -#14770=DIRECTION('',(1.E0,0.E0,0.E0)); -#14771=AXIS2_PLACEMENT_3D('',#14768,#14769,#14770); -#14772=PLANE('',#14771); -#14773=ORIENTED_EDGE('',*,*,#14586,.F.); -#14774=ORIENTED_EDGE('',*,*,#14711,.F.); -#14775=ORIENTED_EDGE('',*,*,#14761,.T.); -#14776=ORIENTED_EDGE('',*,*,#14546,.T.); -#14777=EDGE_LOOP('',(#14773,#14774,#14775,#14776)); -#14778=FACE_OUTER_BOUND('',#14777,.F.); -#14780=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.7E0)); -#14781=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14782=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14783=AXIS2_PLACEMENT_3D('',#14780,#14781,#14782); -#14784=PLANE('',#14783); -#14785=ORIENTED_EDGE('',*,*,#14530,.F.); -#14786=ORIENTED_EDGE('',*,*,#14476,.T.); -#14787=ORIENTED_EDGE('',*,*,#14491,.T.); -#14788=ORIENTED_EDGE('',*,*,#14505,.T.); -#14789=EDGE_LOOP('',(#14785,#14786,#14787,#14788)); -#14790=FACE_OUTER_BOUND('',#14789,.F.); -#14792=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,0.E0)); -#14793=DIRECTION('',(0.E0,0.E0,1.E0)); -#14794=DIRECTION('',(1.E0,0.E0,0.E0)); -#14795=AXIS2_PLACEMENT_3D('',#14792,#14793,#14794); -#14796=CYLINDRICAL_SURFACE('',#14795,9.748495E-1); -#14797=ORIENTED_EDGE('',*,*,#11645,.F.); -#14799=ORIENTED_EDGE('',*,*,#14798,.F.); -#14800=ORIENTED_EDGE('',*,*,#13255,.F.); -#14802=ORIENTED_EDGE('',*,*,#14801,.T.); -#14803=EDGE_LOOP('',(#14797,#14799,#14800,#14802)); -#14804=FACE_OUTER_BOUND('',#14803,.F.); -#14806=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,0.E0)); -#14807=DIRECTION('',(0.E0,0.E0,1.E0)); -#14808=DIRECTION('',(1.E0,0.E0,0.E0)); -#14809=AXIS2_PLACEMENT_3D('',#14806,#14807,#14808); -#14810=CYLINDRICAL_SURFACE('',#14809,9.748495E-1); -#14811=ORIENTED_EDGE('',*,*,#11647,.F.); -#14812=ORIENTED_EDGE('',*,*,#14801,.F.); -#14813=ORIENTED_EDGE('',*,*,#13257,.F.); -#14814=ORIENTED_EDGE('',*,*,#14798,.T.); -#14815=EDGE_LOOP('',(#14811,#14812,#14813,#14814)); -#14816=FACE_OUTER_BOUND('',#14815,.F.); -#14818=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-1.2E0)); -#14819=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14820=DIRECTION('',(0.E0,1.E0,0.E0)); -#14821=AXIS2_PLACEMENT_3D('',#14818,#14819,#14820); -#14822=PLANE('',#14821); -#14824=ORIENTED_EDGE('',*,*,#14823,.F.); -#14826=ORIENTED_EDGE('',*,*,#14825,.T.); -#14827=ORIENTED_EDGE('',*,*,#13261,.T.); -#14829=ORIENTED_EDGE('',*,*,#14828,.F.); -#14830=EDGE_LOOP('',(#14824,#14826,#14827,#14829)); -#14831=FACE_OUTER_BOUND('',#14830,.F.); -#14833=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-2.7E0)); -#14834=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14835=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14836=AXIS2_PLACEMENT_3D('',#14833,#14834,#14835); -#14837=PLANE('',#14836); -#14838=ORIENTED_EDGE('',*,*,#14823,.T.); -#14840=ORIENTED_EDGE('',*,*,#14839,.T.); -#14842=ORIENTED_EDGE('',*,*,#14841,.T.); -#14844=ORIENTED_EDGE('',*,*,#14843,.T.); -#14845=EDGE_LOOP('',(#14838,#14840,#14842,#14844)); -#14846=FACE_OUTER_BOUND('',#14845,.F.); -#14848=ORIENTED_EDGE('',*,*,#14847,.T.); -#14850=ORIENTED_EDGE('',*,*,#14849,.T.); -#14852=ORIENTED_EDGE('',*,*,#14851,.T.); -#14854=ORIENTED_EDGE('',*,*,#14853,.T.); -#14855=EDGE_LOOP('',(#14848,#14850,#14852,#14854)); -#14856=FACE_BOUND('',#14855,.F.); -#14858=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-1.2E0)); -#14859=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14860=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14861=AXIS2_PLACEMENT_3D('',#14858,#14859,#14860); -#14862=PLANE('',#14861); -#14863=ORIENTED_EDGE('',*,*,#14839,.F.); -#14864=ORIENTED_EDGE('',*,*,#14828,.T.); -#14865=ORIENTED_EDGE('',*,*,#13267,.T.); -#14867=ORIENTED_EDGE('',*,*,#14866,.F.); -#14868=EDGE_LOOP('',(#14863,#14864,#14865,#14867)); -#14869=FACE_OUTER_BOUND('',#14868,.F.); -#14871=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-1.2E0)); -#14872=DIRECTION('',(1.E0,0.E0,0.E0)); -#14873=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14874=AXIS2_PLACEMENT_3D('',#14871,#14872,#14873); -#14875=PLANE('',#14874); -#14876=ORIENTED_EDGE('',*,*,#14841,.F.); -#14877=ORIENTED_EDGE('',*,*,#14866,.T.); -#14878=ORIENTED_EDGE('',*,*,#13265,.T.); -#14880=ORIENTED_EDGE('',*,*,#14879,.F.); -#14881=EDGE_LOOP('',(#14876,#14877,#14878,#14880)); -#14882=FACE_OUTER_BOUND('',#14881,.F.); -#14884=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-1.2E0)); -#14885=DIRECTION('',(0.E0,1.E0,0.E0)); -#14886=DIRECTION('',(1.E0,0.E0,0.E0)); -#14887=AXIS2_PLACEMENT_3D('',#14884,#14885,#14886); -#14888=PLANE('',#14887); -#14889=ORIENTED_EDGE('',*,*,#14843,.F.); -#14890=ORIENTED_EDGE('',*,*,#14879,.T.); -#14891=ORIENTED_EDGE('',*,*,#13263,.T.); -#14892=ORIENTED_EDGE('',*,*,#14825,.F.); -#14893=EDGE_LOOP('',(#14889,#14890,#14891,#14892)); -#14894=FACE_OUTER_BOUND('',#14893,.F.); -#14896=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-3.7E0)); -#14897=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14898=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14899=AXIS2_PLACEMENT_3D('',#14896,#14897,#14898); -#14900=CYLINDRICAL_SURFACE('',#14899,1.05E0); -#14902=ORIENTED_EDGE('',*,*,#14901,.F.); -#14904=ORIENTED_EDGE('',*,*,#14903,.F.); -#14906=ORIENTED_EDGE('',*,*,#14905,.T.); -#14907=ORIENTED_EDGE('',*,*,#14847,.F.); -#14909=ORIENTED_EDGE('',*,*,#14908,.T.); -#14910=EDGE_LOOP('',(#14902,#14904,#14906,#14907,#14909)); -#14911=FACE_OUTER_BOUND('',#14910,.F.); -#14913=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-3.4E0)); -#14914=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14915=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); -#14916=AXIS2_PLACEMENT_3D('',#14913,#14914,#14915); -#14917=TOROIDAL_SURFACE('',#14916,7.5E-1,3.E-1); -#14919=ORIENTED_EDGE('',*,*,#14918,.F.); -#14921=ORIENTED_EDGE('',*,*,#14920,.T.); -#14922=ORIENTED_EDGE('',*,*,#14903,.T.); -#14923=ORIENTED_EDGE('',*,*,#14901,.T.); -#14925=ORIENTED_EDGE('',*,*,#14924,.T.); -#14926=EDGE_LOOP('',(#14919,#14921,#14922,#14923,#14925)); -#14927=FACE_OUTER_BOUND('',#14926,.F.); -#14929=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-3.7E0)); -#14930=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14931=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14932=AXIS2_PLACEMENT_3D('',#14929,#14930,#14931); -#14933=PLANE('',#14932); -#14935=ORIENTED_EDGE('',*,*,#14934,.F.); -#14937=ORIENTED_EDGE('',*,*,#14936,.T.); -#14939=ORIENTED_EDGE('',*,*,#14938,.F.); -#14940=ORIENTED_EDGE('',*,*,#14918,.T.); -#14941=EDGE_LOOP('',(#14935,#14937,#14939,#14940)); -#14942=FACE_OUTER_BOUND('',#14941,.F.); -#14944=CARTESIAN_POINT('',(-8.790351183390E0,1.5692085E0,-3.4E0)); -#14945=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14946=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14947=AXIS2_PLACEMENT_3D('',#14944,#14945,#14946); -#14948=CYLINDRICAL_SURFACE('',#14947,3.E-1); -#14949=ORIENTED_EDGE('',*,*,#14934,.T.); -#14950=ORIENTED_EDGE('',*,*,#14924,.F.); -#14952=ORIENTED_EDGE('',*,*,#14951,.T.); -#14954=ORIENTED_EDGE('',*,*,#14953,.F.); -#14955=EDGE_LOOP('',(#14949,#14950,#14952,#14954)); -#14956=FACE_OUTER_BOUND('',#14955,.F.); -#14958=CARTESIAN_POINT('',(-9.638377555660E0,1.2692085E0,-3.7E0)); -#14959=DIRECTION('',(0.E0,1.E0,0.E0)); -#14960=DIRECTION('',(1.E0,0.E0,0.E0)); -#14961=AXIS2_PLACEMENT_3D('',#14958,#14959,#14960); -#14962=PLANE('',#14961); -#14963=ORIENTED_EDGE('',*,*,#14951,.F.); -#14964=ORIENTED_EDGE('',*,*,#14908,.F.); -#14965=ORIENTED_EDGE('',*,*,#14853,.F.); -#14967=ORIENTED_EDGE('',*,*,#14966,.F.); -#14968=EDGE_LOOP('',(#14963,#14964,#14965,#14967)); -#14969=FACE_OUTER_BOUND('',#14968,.F.); -#14971=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-3.7E0)); -#14972=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14973=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14974=AXIS2_PLACEMENT_3D('',#14971,#14972,#14973); -#14975=CYLINDRICAL_SURFACE('',#14974,1.05E0); -#14977=ORIENTED_EDGE('',*,*,#14976,.F.); -#14979=ORIENTED_EDGE('',*,*,#14978,.F.); -#14980=ORIENTED_EDGE('',*,*,#14966,.T.); -#14981=ORIENTED_EDGE('',*,*,#14851,.F.); -#14983=ORIENTED_EDGE('',*,*,#14982,.T.); -#14984=EDGE_LOOP('',(#14977,#14979,#14980,#14981,#14983)); -#14985=FACE_OUTER_BOUND('',#14984,.F.); -#14987=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-3.4E0)); -#14988=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14989=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); -#14990=AXIS2_PLACEMENT_3D('',#14987,#14988,#14989); -#14991=TOROIDAL_SURFACE('',#14990,7.5E-1,3.E-1); -#14992=ORIENTED_EDGE('',*,*,#14936,.F.); -#14993=ORIENTED_EDGE('',*,*,#14953,.T.); -#14994=ORIENTED_EDGE('',*,*,#14978,.T.); -#14995=ORIENTED_EDGE('',*,*,#14976,.T.); -#14997=ORIENTED_EDGE('',*,*,#14996,.T.); -#14998=EDGE_LOOP('',(#14992,#14993,#14994,#14995,#14997)); -#14999=FACE_OUTER_BOUND('',#14998,.F.); -#15001=CARTESIAN_POINT('',(-9.786403927930E0,3.0692085E0,-3.4E0)); -#15002=DIRECTION('',(1.E0,0.E0,0.E0)); -#15003=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15004=AXIS2_PLACEMENT_3D('',#15001,#15002,#15003); -#15005=CYLINDRICAL_SURFACE('',#15004,3.E-1); -#15006=ORIENTED_EDGE('',*,*,#14938,.T.); -#15007=ORIENTED_EDGE('',*,*,#14996,.F.); -#15009=ORIENTED_EDGE('',*,*,#15008,.T.); -#15010=ORIENTED_EDGE('',*,*,#14920,.F.); -#15011=EDGE_LOOP('',(#15006,#15007,#15009,#15010)); -#15012=FACE_OUTER_BOUND('',#15011,.F.); -#15014=CARTESIAN_POINT('',(-8.938377555660E0,3.3692085E0,-3.7E0)); -#15015=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15016=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15017=AXIS2_PLACEMENT_3D('',#15014,#15015,#15016); -#15018=PLANE('',#15017); -#15019=ORIENTED_EDGE('',*,*,#15008,.F.); -#15020=ORIENTED_EDGE('',*,*,#14982,.F.); -#15021=ORIENTED_EDGE('',*,*,#14849,.F.); -#15022=ORIENTED_EDGE('',*,*,#14905,.F.); -#15023=EDGE_LOOP('',(#15019,#15020,#15021,#15022)); -#15024=FACE_OUTER_BOUND('',#15023,.F.); -#15026=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-1.2E0)); -#15027=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15028=DIRECTION('',(0.E0,1.E0,0.E0)); -#15029=AXIS2_PLACEMENT_3D('',#15026,#15027,#15028); -#15030=PLANE('',#15029); -#15032=ORIENTED_EDGE('',*,*,#15031,.F.); -#15034=ORIENTED_EDGE('',*,*,#15033,.T.); -#15035=ORIENTED_EDGE('',*,*,#13271,.T.); -#15037=ORIENTED_EDGE('',*,*,#15036,.F.); -#15038=EDGE_LOOP('',(#15032,#15034,#15035,#15037)); -#15039=FACE_OUTER_BOUND('',#15038,.F.); -#15041=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-2.7E0)); -#15042=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15043=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15044=AXIS2_PLACEMENT_3D('',#15041,#15042,#15043); -#15045=PLANE('',#15044); -#15046=ORIENTED_EDGE('',*,*,#15031,.T.); -#15048=ORIENTED_EDGE('',*,*,#15047,.T.); -#15050=ORIENTED_EDGE('',*,*,#15049,.T.); -#15052=ORIENTED_EDGE('',*,*,#15051,.T.); -#15053=EDGE_LOOP('',(#15046,#15048,#15050,#15052)); -#15054=FACE_OUTER_BOUND('',#15053,.F.); -#15056=ORIENTED_EDGE('',*,*,#15055,.T.); -#15058=ORIENTED_EDGE('',*,*,#15057,.T.); -#15060=ORIENTED_EDGE('',*,*,#15059,.T.); -#15062=ORIENTED_EDGE('',*,*,#15061,.T.); -#15063=EDGE_LOOP('',(#15056,#15058,#15060,#15062)); -#15064=FACE_BOUND('',#15063,.F.); -#15066=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-1.2E0)); -#15067=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15068=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15069=AXIS2_PLACEMENT_3D('',#15066,#15067,#15068); -#15070=PLANE('',#15069); -#15071=ORIENTED_EDGE('',*,*,#15047,.F.); -#15072=ORIENTED_EDGE('',*,*,#15036,.T.); -#15073=ORIENTED_EDGE('',*,*,#13277,.T.); -#15075=ORIENTED_EDGE('',*,*,#15074,.F.); -#15076=EDGE_LOOP('',(#15071,#15072,#15073,#15075)); -#15077=FACE_OUTER_BOUND('',#15076,.F.); -#15079=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-1.2E0)); -#15080=DIRECTION('',(1.E0,0.E0,0.E0)); -#15081=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15082=AXIS2_PLACEMENT_3D('',#15079,#15080,#15081); -#15083=PLANE('',#15082); -#15084=ORIENTED_EDGE('',*,*,#15049,.F.); -#15085=ORIENTED_EDGE('',*,*,#15074,.T.); -#15086=ORIENTED_EDGE('',*,*,#13275,.T.); -#15088=ORIENTED_EDGE('',*,*,#15087,.F.); -#15089=EDGE_LOOP('',(#15084,#15085,#15086,#15088)); -#15090=FACE_OUTER_BOUND('',#15089,.F.); -#15092=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-1.2E0)); -#15093=DIRECTION('',(0.E0,1.E0,0.E0)); -#15094=DIRECTION('',(1.E0,0.E0,0.E0)); -#15095=AXIS2_PLACEMENT_3D('',#15092,#15093,#15094); -#15096=PLANE('',#15095); -#15097=ORIENTED_EDGE('',*,*,#15051,.F.); -#15098=ORIENTED_EDGE('',*,*,#15087,.T.); -#15099=ORIENTED_EDGE('',*,*,#13273,.T.); -#15100=ORIENTED_EDGE('',*,*,#15033,.F.); -#15101=EDGE_LOOP('',(#15097,#15098,#15099,#15100)); -#15102=FACE_OUTER_BOUND('',#15101,.F.); -#15104=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-3.7E0)); -#15105=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15106=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15107=AXIS2_PLACEMENT_3D('',#15104,#15105,#15106); -#15108=CYLINDRICAL_SURFACE('',#15107,1.05E0); -#15110=ORIENTED_EDGE('',*,*,#15109,.F.); -#15112=ORIENTED_EDGE('',*,*,#15111,.F.); -#15114=ORIENTED_EDGE('',*,*,#15113,.T.); -#15115=ORIENTED_EDGE('',*,*,#15055,.F.); -#15117=ORIENTED_EDGE('',*,*,#15116,.T.); -#15118=EDGE_LOOP('',(#15110,#15112,#15114,#15115,#15117)); -#15119=FACE_OUTER_BOUND('',#15118,.F.); -#15121=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-3.4E0)); -#15122=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15123=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); -#15124=AXIS2_PLACEMENT_3D('',#15121,#15122,#15123); -#15125=TOROIDAL_SURFACE('',#15124,7.5E-1,3.E-1); -#15127=ORIENTED_EDGE('',*,*,#15126,.F.); -#15129=ORIENTED_EDGE('',*,*,#15128,.T.); -#15130=ORIENTED_EDGE('',*,*,#15111,.T.); -#15131=ORIENTED_EDGE('',*,*,#15109,.T.); -#15133=ORIENTED_EDGE('',*,*,#15132,.T.); -#15134=EDGE_LOOP('',(#15127,#15129,#15130,#15131,#15133)); -#15135=FACE_OUTER_BOUND('',#15134,.F.); -#15137=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-3.7E0)); -#15138=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15139=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15140=AXIS2_PLACEMENT_3D('',#15137,#15138,#15139); -#15141=PLANE('',#15140); -#15143=ORIENTED_EDGE('',*,*,#15142,.F.); -#15145=ORIENTED_EDGE('',*,*,#15144,.T.); -#15147=ORIENTED_EDGE('',*,*,#15146,.F.); -#15148=ORIENTED_EDGE('',*,*,#15126,.T.); -#15149=EDGE_LOOP('',(#15143,#15145,#15147,#15148)); -#15150=FACE_OUTER_BOUND('',#15149,.F.); -#15152=CARTESIAN_POINT('',(9.307649316640E0,1.4520075E0,-3.4E0)); -#15153=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15154=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15155=AXIS2_PLACEMENT_3D('',#15152,#15153,#15154); -#15156=CYLINDRICAL_SURFACE('',#15155,3.E-1); -#15157=ORIENTED_EDGE('',*,*,#15142,.T.); -#15158=ORIENTED_EDGE('',*,*,#15132,.F.); -#15160=ORIENTED_EDGE('',*,*,#15159,.T.); -#15162=ORIENTED_EDGE('',*,*,#15161,.F.); -#15163=EDGE_LOOP('',(#15157,#15158,#15160,#15162)); -#15164=FACE_OUTER_BOUND('',#15163,.F.); -#15166=CARTESIAN_POINT('',(8.459622944340E0,1.1520075E0,-3.7E0)); -#15167=DIRECTION('',(0.E0,1.E0,0.E0)); -#15168=DIRECTION('',(1.E0,0.E0,0.E0)); -#15169=AXIS2_PLACEMENT_3D('',#15166,#15167,#15168); -#15170=PLANE('',#15169); -#15171=ORIENTED_EDGE('',*,*,#15159,.F.); -#15172=ORIENTED_EDGE('',*,*,#15116,.F.); -#15173=ORIENTED_EDGE('',*,*,#15061,.F.); -#15175=ORIENTED_EDGE('',*,*,#15174,.F.); -#15176=EDGE_LOOP('',(#15171,#15172,#15173,#15175)); -#15177=FACE_OUTER_BOUND('',#15176,.F.); -#15179=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-3.7E0)); -#15180=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15181=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15182=AXIS2_PLACEMENT_3D('',#15179,#15180,#15181); -#15183=CYLINDRICAL_SURFACE('',#15182,1.05E0); -#15185=ORIENTED_EDGE('',*,*,#15184,.F.); -#15187=ORIENTED_EDGE('',*,*,#15186,.F.); -#15188=ORIENTED_EDGE('',*,*,#15174,.T.); -#15189=ORIENTED_EDGE('',*,*,#15059,.F.); -#15191=ORIENTED_EDGE('',*,*,#15190,.T.); -#15192=EDGE_LOOP('',(#15185,#15187,#15188,#15189,#15191)); -#15193=FACE_OUTER_BOUND('',#15192,.F.); -#15195=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-3.4E0)); -#15196=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15197=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); -#15198=AXIS2_PLACEMENT_3D('',#15195,#15196,#15197); -#15199=TOROIDAL_SURFACE('',#15198,7.5E-1,3.E-1); -#15200=ORIENTED_EDGE('',*,*,#15144,.F.); -#15201=ORIENTED_EDGE('',*,*,#15161,.T.); -#15202=ORIENTED_EDGE('',*,*,#15186,.T.); -#15203=ORIENTED_EDGE('',*,*,#15184,.T.); -#15205=ORIENTED_EDGE('',*,*,#15204,.T.); -#15206=EDGE_LOOP('',(#15200,#15201,#15202,#15203,#15205)); -#15207=FACE_OUTER_BOUND('',#15206,.F.); -#15209=CARTESIAN_POINT('',(8.311596572040E0,2.9520075E0,-3.4E0)); -#15210=DIRECTION('',(1.E0,0.E0,0.E0)); -#15211=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15212=AXIS2_PLACEMENT_3D('',#15209,#15210,#15211); -#15213=CYLINDRICAL_SURFACE('',#15212,3.E-1); -#15214=ORIENTED_EDGE('',*,*,#15146,.T.); -#15215=ORIENTED_EDGE('',*,*,#15204,.F.); -#15217=ORIENTED_EDGE('',*,*,#15216,.T.); -#15218=ORIENTED_EDGE('',*,*,#15128,.F.); -#15219=EDGE_LOOP('',(#15214,#15215,#15217,#15218)); -#15220=FACE_OUTER_BOUND('',#15219,.F.); -#15222=CARTESIAN_POINT('',(9.159622944340E0,3.2520075E0,-3.7E0)); -#15223=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15224=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15225=AXIS2_PLACEMENT_3D('',#15222,#15223,#15224); -#15226=PLANE('',#15225); -#15227=ORIENTED_EDGE('',*,*,#15216,.F.); -#15228=ORIENTED_EDGE('',*,*,#15190,.F.); -#15229=ORIENTED_EDGE('',*,*,#15057,.F.); -#15230=ORIENTED_EDGE('',*,*,#15113,.F.); -#15231=EDGE_LOOP('',(#15227,#15228,#15229,#15230)); -#15232=FACE_OUTER_BOUND('',#15231,.F.); -#15234=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,0.E0)); -#15235=DIRECTION('',(0.E0,1.E0,0.E0)); -#15236=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15237=AXIS2_PLACEMENT_3D('',#15234,#15235,#15236); -#15238=PLANE('',#15237); -#15239=ORIENTED_EDGE('',*,*,#11657,.T.); -#15241=ORIENTED_EDGE('',*,*,#15240,.F.); -#15243=ORIENTED_EDGE('',*,*,#15242,.F.); -#15245=ORIENTED_EDGE('',*,*,#15244,.T.); -#15246=EDGE_LOOP('',(#15239,#15241,#15243,#15245)); -#15247=FACE_OUTER_BOUND('',#15246,.F.); -#15249=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,0.E0)); -#15250=DIRECTION('',(1.E0,0.E0,0.E0)); -#15251=DIRECTION('',(0.E0,1.E0,0.E0)); -#15252=AXIS2_PLACEMENT_3D('',#15249,#15250,#15251); -#15253=PLANE('',#15252); -#15254=ORIENTED_EDGE('',*,*,#11695,.T.); -#15256=ORIENTED_EDGE('',*,*,#15255,.F.); -#15258=ORIENTED_EDGE('',*,*,#15257,.F.); -#15259=ORIENTED_EDGE('',*,*,#15240,.T.); -#15260=EDGE_LOOP('',(#15254,#15256,#15258,#15259)); -#15261=FACE_OUTER_BOUND('',#15260,.F.); -#15263=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,0.E0)); -#15264=DIRECTION('',(0.E0,1.E0,0.E0)); -#15265=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15266=AXIS2_PLACEMENT_3D('',#15263,#15264,#15265); -#15267=PLANE('',#15266); -#15269=ORIENTED_EDGE('',*,*,#15268,.F.); -#15271=ORIENTED_EDGE('',*,*,#15270,.T.); -#15272=ORIENTED_EDGE('',*,*,#11677,.T.); -#15274=ORIENTED_EDGE('',*,*,#15273,.F.); -#15276=ORIENTED_EDGE('',*,*,#15275,.F.); -#15278=ORIENTED_EDGE('',*,*,#15277,.T.); -#15279=ORIENTED_EDGE('',*,*,#11669,.T.); -#15281=ORIENTED_EDGE('',*,*,#15280,.F.); -#15283=ORIENTED_EDGE('',*,*,#15282,.F.); -#15285=ORIENTED_EDGE('',*,*,#15284,.T.); -#15286=ORIENTED_EDGE('',*,*,#11661,.T.); -#15288=ORIENTED_EDGE('',*,*,#15287,.F.); -#15290=ORIENTED_EDGE('',*,*,#15289,.F.); -#15291=ORIENTED_EDGE('',*,*,#15255,.T.); -#15292=ORIENTED_EDGE('',*,*,#11693,.T.); -#15294=ORIENTED_EDGE('',*,*,#15293,.F.); -#15296=ORIENTED_EDGE('',*,*,#15295,.F.); -#15298=ORIENTED_EDGE('',*,*,#15297,.T.); -#15299=ORIENTED_EDGE('',*,*,#11685,.T.); -#15301=ORIENTED_EDGE('',*,*,#15300,.F.); -#15302=EDGE_LOOP('',(#15269,#15271,#15272,#15274,#15276,#15278,#15279,#15281, -#15283,#15285,#15286,#15288,#15290,#15291,#15292,#15294,#15296,#15298,#15299, -#15301)); -#15303=FACE_OUTER_BOUND('',#15302,.F.); -#15305=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#15306=DIRECTION('',(0.E0,0.E0,1.E0)); -#15307=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15308=AXIS2_PLACEMENT_3D('',#15305,#15306,#15307); -#15309=PLANE('',#15308); -#15310=ORIENTED_EDGE('',*,*,#15268,.T.); -#15312=ORIENTED_EDGE('',*,*,#15311,.T.); -#15314=ORIENTED_EDGE('',*,*,#15313,.T.); -#15316=ORIENTED_EDGE('',*,*,#15315,.T.); -#15317=EDGE_LOOP('',(#15310,#15312,#15314,#15316)); -#15318=FACE_OUTER_BOUND('',#15317,.F.); -#15320=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,0.E0)); -#15321=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15322=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15323=AXIS2_PLACEMENT_3D('',#15320,#15321,#15322); -#15324=PLANE('',#15323); -#15325=ORIENTED_EDGE('',*,*,#11683,.T.); -#15327=ORIENTED_EDGE('',*,*,#15326,.F.); -#15328=ORIENTED_EDGE('',*,*,#15311,.F.); -#15329=ORIENTED_EDGE('',*,*,#15300,.T.); -#15330=EDGE_LOOP('',(#15325,#15327,#15328,#15329)); -#15331=FACE_OUTER_BOUND('',#15330,.F.); -#15333=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,0.E0)); -#15334=DIRECTION('',(0.E0,1.E0,0.E0)); -#15335=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15336=AXIS2_PLACEMENT_3D('',#15333,#15334,#15335); -#15337=PLANE('',#15336); -#15338=ORIENTED_EDGE('',*,*,#11681,.T.); -#15340=ORIENTED_EDGE('',*,*,#15339,.F.); -#15341=ORIENTED_EDGE('',*,*,#15313,.F.); -#15342=ORIENTED_EDGE('',*,*,#15326,.T.); -#15343=EDGE_LOOP('',(#15338,#15340,#15341,#15342)); -#15344=FACE_OUTER_BOUND('',#15343,.F.); -#15346=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,0.E0)); -#15347=DIRECTION('',(1.E0,0.E0,0.E0)); -#15348=DIRECTION('',(0.E0,1.E0,0.E0)); -#15349=AXIS2_PLACEMENT_3D('',#15346,#15347,#15348); -#15350=PLANE('',#15349); -#15351=ORIENTED_EDGE('',*,*,#11679,.T.); -#15352=ORIENTED_EDGE('',*,*,#15270,.F.); -#15353=ORIENTED_EDGE('',*,*,#15315,.F.); -#15354=ORIENTED_EDGE('',*,*,#15339,.T.); -#15355=EDGE_LOOP('',(#15351,#15352,#15353,#15354)); -#15356=FACE_OUTER_BOUND('',#15355,.F.); -#15358=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,0.E0)); -#15359=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15360=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15361=AXIS2_PLACEMENT_3D('',#15358,#15359,#15360); -#15362=PLANE('',#15361); -#15363=ORIENTED_EDGE('',*,*,#11675,.T.); -#15365=ORIENTED_EDGE('',*,*,#15364,.F.); -#15367=ORIENTED_EDGE('',*,*,#15366,.F.); -#15368=ORIENTED_EDGE('',*,*,#15273,.T.); -#15369=EDGE_LOOP('',(#15363,#15365,#15367,#15368)); -#15370=FACE_OUTER_BOUND('',#15369,.F.); -#15372=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,0.E0)); -#15373=DIRECTION('',(0.E0,1.E0,0.E0)); -#15374=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15375=AXIS2_PLACEMENT_3D('',#15372,#15373,#15374); -#15376=PLANE('',#15375); -#15377=ORIENTED_EDGE('',*,*,#11673,.T.); -#15379=ORIENTED_EDGE('',*,*,#15378,.F.); -#15381=ORIENTED_EDGE('',*,*,#15380,.F.); -#15382=ORIENTED_EDGE('',*,*,#15364,.T.); -#15383=EDGE_LOOP('',(#15377,#15379,#15381,#15382)); -#15384=FACE_OUTER_BOUND('',#15383,.F.); -#15386=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,0.E0)); -#15387=DIRECTION('',(1.E0,0.E0,0.E0)); -#15388=DIRECTION('',(0.E0,1.E0,0.E0)); -#15389=AXIS2_PLACEMENT_3D('',#15386,#15387,#15388); -#15390=PLANE('',#15389); -#15391=ORIENTED_EDGE('',*,*,#11671,.T.); -#15392=ORIENTED_EDGE('',*,*,#15277,.F.); -#15394=ORIENTED_EDGE('',*,*,#15393,.F.); -#15395=ORIENTED_EDGE('',*,*,#15378,.T.); -#15396=EDGE_LOOP('',(#15391,#15392,#15394,#15395)); -#15397=FACE_OUTER_BOUND('',#15396,.F.); -#15399=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#15400=DIRECTION('',(0.E0,0.E0,1.E0)); -#15401=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15402=AXIS2_PLACEMENT_3D('',#15399,#15400,#15401); -#15403=PLANE('',#15402); -#15404=ORIENTED_EDGE('',*,*,#15275,.T.); -#15405=ORIENTED_EDGE('',*,*,#15366,.T.); -#15406=ORIENTED_EDGE('',*,*,#15380,.T.); -#15407=ORIENTED_EDGE('',*,*,#15393,.T.); -#15408=EDGE_LOOP('',(#15404,#15405,#15406,#15407)); -#15409=FACE_OUTER_BOUND('',#15408,.F.); -#15411=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,0.E0)); -#15412=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15413=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15414=AXIS2_PLACEMENT_3D('',#15411,#15412,#15413); -#15415=PLANE('',#15414); -#15416=ORIENTED_EDGE('',*,*,#11667,.T.); -#15418=ORIENTED_EDGE('',*,*,#15417,.F.); -#15420=ORIENTED_EDGE('',*,*,#15419,.F.); -#15421=ORIENTED_EDGE('',*,*,#15280,.T.); -#15422=EDGE_LOOP('',(#15416,#15418,#15420,#15421)); -#15423=FACE_OUTER_BOUND('',#15422,.F.); -#15425=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,0.E0)); -#15426=DIRECTION('',(0.E0,1.E0,0.E0)); -#15427=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15428=AXIS2_PLACEMENT_3D('',#15425,#15426,#15427); -#15429=PLANE('',#15428); -#15430=ORIENTED_EDGE('',*,*,#11665,.T.); -#15432=ORIENTED_EDGE('',*,*,#15431,.F.); -#15434=ORIENTED_EDGE('',*,*,#15433,.F.); -#15435=ORIENTED_EDGE('',*,*,#15417,.T.); -#15436=EDGE_LOOP('',(#15430,#15432,#15434,#15435)); -#15437=FACE_OUTER_BOUND('',#15436,.F.); -#15439=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,0.E0)); -#15440=DIRECTION('',(1.E0,0.E0,0.E0)); -#15441=DIRECTION('',(0.E0,1.E0,0.E0)); -#15442=AXIS2_PLACEMENT_3D('',#15439,#15440,#15441); -#15443=PLANE('',#15442); -#15444=ORIENTED_EDGE('',*,*,#11663,.T.); -#15445=ORIENTED_EDGE('',*,*,#15284,.F.); -#15447=ORIENTED_EDGE('',*,*,#15446,.F.); -#15448=ORIENTED_EDGE('',*,*,#15431,.T.); -#15449=EDGE_LOOP('',(#15444,#15445,#15447,#15448)); -#15450=FACE_OUTER_BOUND('',#15449,.F.); -#15452=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#15453=DIRECTION('',(0.E0,0.E0,1.E0)); -#15454=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15455=AXIS2_PLACEMENT_3D('',#15452,#15453,#15454); -#15456=PLANE('',#15455); -#15457=ORIENTED_EDGE('',*,*,#15282,.T.); -#15458=ORIENTED_EDGE('',*,*,#15419,.T.); -#15459=ORIENTED_EDGE('',*,*,#15433,.T.); -#15460=ORIENTED_EDGE('',*,*,#15446,.T.); -#15461=EDGE_LOOP('',(#15457,#15458,#15459,#15460)); -#15462=FACE_OUTER_BOUND('',#15461,.F.); -#15464=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,0.E0)); -#15465=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15466=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15467=AXIS2_PLACEMENT_3D('',#15464,#15465,#15466); -#15468=PLANE('',#15467); -#15469=ORIENTED_EDGE('',*,*,#11659,.T.); -#15470=ORIENTED_EDGE('',*,*,#15244,.F.); -#15472=ORIENTED_EDGE('',*,*,#15471,.F.); -#15473=ORIENTED_EDGE('',*,*,#15287,.T.); -#15474=EDGE_LOOP('',(#15469,#15470,#15472,#15473)); -#15475=FACE_OUTER_BOUND('',#15474,.F.); -#15477=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#15478=DIRECTION('',(0.E0,0.E0,1.E0)); -#15479=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15480=AXIS2_PLACEMENT_3D('',#15477,#15478,#15479); -#15481=PLANE('',#15480); -#15482=ORIENTED_EDGE('',*,*,#15289,.T.); -#15483=ORIENTED_EDGE('',*,*,#15471,.T.); -#15484=ORIENTED_EDGE('',*,*,#15242,.T.); -#15485=ORIENTED_EDGE('',*,*,#15257,.T.); -#15486=EDGE_LOOP('',(#15482,#15483,#15484,#15485)); -#15487=FACE_OUTER_BOUND('',#15486,.F.); -#15489=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,0.E0)); -#15490=DIRECTION('',(1.E0,0.E0,0.E0)); -#15491=DIRECTION('',(0.E0,1.E0,0.E0)); -#15492=AXIS2_PLACEMENT_3D('',#15489,#15490,#15491); -#15493=PLANE('',#15492); -#15494=ORIENTED_EDGE('',*,*,#11691,.T.); -#15496=ORIENTED_EDGE('',*,*,#15495,.F.); -#15498=ORIENTED_EDGE('',*,*,#15497,.F.); -#15499=ORIENTED_EDGE('',*,*,#15293,.T.); -#15500=EDGE_LOOP('',(#15494,#15496,#15498,#15499)); -#15501=FACE_OUTER_BOUND('',#15500,.F.); -#15503=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,0.E0)); -#15504=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15505=DIRECTION('',(1.E0,0.E0,0.E0)); -#15506=AXIS2_PLACEMENT_3D('',#15503,#15504,#15505); -#15507=PLANE('',#15506); -#15508=ORIENTED_EDGE('',*,*,#11689,.T.); -#15510=ORIENTED_EDGE('',*,*,#15509,.F.); -#15512=ORIENTED_EDGE('',*,*,#15511,.F.); -#15513=ORIENTED_EDGE('',*,*,#15495,.T.); -#15514=EDGE_LOOP('',(#15508,#15510,#15512,#15513)); -#15515=FACE_OUTER_BOUND('',#15514,.F.); -#15517=ORIENTED_EDGE('',*,*,#15516,.F.); -#15519=ORIENTED_EDGE('',*,*,#15518,.F.); -#15521=ORIENTED_EDGE('',*,*,#15520,.F.); -#15523=ORIENTED_EDGE('',*,*,#15522,.F.); -#15525=ORIENTED_EDGE('',*,*,#15524,.F.); -#15527=ORIENTED_EDGE('',*,*,#15526,.F.); -#15529=ORIENTED_EDGE('',*,*,#15528,.F.); -#15531=ORIENTED_EDGE('',*,*,#15530,.F.); -#15533=ORIENTED_EDGE('',*,*,#15532,.F.); -#15535=ORIENTED_EDGE('',*,*,#15534,.F.); -#15537=ORIENTED_EDGE('',*,*,#15536,.F.); -#15539=ORIENTED_EDGE('',*,*,#15538,.F.); -#15540=EDGE_LOOP('',(#15517,#15519,#15521,#15523,#15525,#15527,#15529,#15531, -#15533,#15535,#15537,#15539)); -#15541=FACE_BOUND('',#15540,.F.); -#15543=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,0.E0)); -#15544=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15545=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15546=AXIS2_PLACEMENT_3D('',#15543,#15544,#15545); -#15547=PLANE('',#15546); -#15548=ORIENTED_EDGE('',*,*,#11687,.T.); -#15549=ORIENTED_EDGE('',*,*,#15297,.F.); -#15551=ORIENTED_EDGE('',*,*,#15550,.F.); -#15552=ORIENTED_EDGE('',*,*,#15509,.T.); -#15553=EDGE_LOOP('',(#15548,#15549,#15551,#15552)); -#15554=FACE_OUTER_BOUND('',#15553,.F.); -#15556=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.8E0)); -#15557=DIRECTION('',(0.E0,0.E0,1.E0)); -#15558=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15559=AXIS2_PLACEMENT_3D('',#15556,#15557,#15558); -#15560=PLANE('',#15559); -#15561=ORIENTED_EDGE('',*,*,#15295,.T.); -#15562=ORIENTED_EDGE('',*,*,#15497,.T.); -#15563=ORIENTED_EDGE('',*,*,#15511,.T.); -#15564=ORIENTED_EDGE('',*,*,#15550,.T.); -#15565=EDGE_LOOP('',(#15561,#15562,#15563,#15564)); -#15566=FACE_OUTER_BOUND('',#15565,.F.); -#15568=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,2.E0)); -#15569=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15570=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15571=AXIS2_PLACEMENT_3D('',#15568,#15569,#15570); -#15572=PLANE('',#15571); -#15573=ORIENTED_EDGE('',*,*,#15516,.T.); -#15575=ORIENTED_EDGE('',*,*,#15574,.T.); -#15577=ORIENTED_EDGE('',*,*,#15576,.F.); -#15579=ORIENTED_EDGE('',*,*,#15578,.F.); -#15580=EDGE_LOOP('',(#15573,#15575,#15577,#15579)); -#15581=FACE_OUTER_BOUND('',#15580,.F.); -#15583=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,2.E0)); -#15584=DIRECTION('',(0.E0,0.E0,1.E0)); -#15585=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15586=AXIS2_PLACEMENT_3D('',#15583,#15584,#15585); -#15587=PLANE('',#15586); -#15588=ORIENTED_EDGE('',*,*,#15538,.T.); -#15590=ORIENTED_EDGE('',*,*,#15589,.T.); -#15592=ORIENTED_EDGE('',*,*,#15591,.F.); -#15593=ORIENTED_EDGE('',*,*,#15574,.F.); -#15594=EDGE_LOOP('',(#15588,#15590,#15592,#15593)); -#15595=FACE_OUTER_BOUND('',#15594,.F.); -#15597=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.4E0)); -#15598=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15599=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15600=AXIS2_PLACEMENT_3D('',#15597,#15598,#15599); -#15601=PLANE('',#15600); -#15602=ORIENTED_EDGE('',*,*,#15589,.F.); -#15603=ORIENTED_EDGE('',*,*,#15536,.T.); -#15605=ORIENTED_EDGE('',*,*,#15604,.F.); -#15607=ORIENTED_EDGE('',*,*,#15606,.F.); -#15608=EDGE_LOOP('',(#15602,#15603,#15605,#15607)); -#15609=FACE_OUTER_BOUND('',#15608,.F.); -#15611=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.4E0)); -#15612=DIRECTION('',(0.E0,0.E0,1.E0)); -#15613=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15614=AXIS2_PLACEMENT_3D('',#15611,#15612,#15613); -#15615=PLANE('',#15614); -#15616=ORIENTED_EDGE('',*,*,#15534,.T.); -#15618=ORIENTED_EDGE('',*,*,#15617,.F.); -#15620=ORIENTED_EDGE('',*,*,#15619,.F.); -#15621=ORIENTED_EDGE('',*,*,#15604,.T.); -#15622=EDGE_LOOP('',(#15616,#15618,#15620,#15621)); -#15623=FACE_OUTER_BOUND('',#15622,.F.); -#15625=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,5.5E-1)); -#15626=DIRECTION('',(1.E0,0.E0,0.E0)); -#15627=DIRECTION('',(0.E0,0.E0,1.E0)); -#15628=AXIS2_PLACEMENT_3D('',#15625,#15626,#15627); -#15629=PLANE('',#15628); -#15631=ORIENTED_EDGE('',*,*,#15630,.T.); -#15633=ORIENTED_EDGE('',*,*,#15632,.F.); -#15634=ORIENTED_EDGE('',*,*,#15617,.T.); -#15635=ORIENTED_EDGE('',*,*,#15532,.T.); -#15636=EDGE_LOOP('',(#15631,#15633,#15634,#15635)); -#15637=FACE_OUTER_BOUND('',#15636,.F.); -#15639=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,2.E0)); -#15640=DIRECTION('',(0.E0,0.E0,1.E0)); -#15641=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15642=AXIS2_PLACEMENT_3D('',#15639,#15640,#15641); -#15643=PLANE('',#15642); -#15644=ORIENTED_EDGE('',*,*,#15530,.T.); -#15646=ORIENTED_EDGE('',*,*,#15645,.T.); -#15648=ORIENTED_EDGE('',*,*,#15647,.F.); -#15649=ORIENTED_EDGE('',*,*,#15630,.F.); -#15650=EDGE_LOOP('',(#15644,#15646,#15648,#15649)); -#15651=FACE_OUTER_BOUND('',#15650,.F.); -#15653=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,1.5E0)); -#15654=DIRECTION('',(1.E0,0.E0,0.E0)); -#15655=DIRECTION('',(0.E0,0.E0,1.E0)); -#15656=AXIS2_PLACEMENT_3D('',#15653,#15654,#15655); -#15657=PLANE('',#15656); -#15658=ORIENTED_EDGE('',*,*,#15528,.T.); -#15660=ORIENTED_EDGE('',*,*,#15659,.T.); -#15662=ORIENTED_EDGE('',*,*,#15661,.F.); -#15663=ORIENTED_EDGE('',*,*,#15645,.F.); -#15664=EDGE_LOOP('',(#15658,#15660,#15662,#15663)); -#15665=FACE_OUTER_BOUND('',#15664,.F.); -#15667=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,1.5E0)); -#15668=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15669=DIRECTION('',(1.E0,0.E0,0.E0)); -#15670=AXIS2_PLACEMENT_3D('',#15667,#15668,#15669); -#15671=PLANE('',#15670); -#15672=ORIENTED_EDGE('',*,*,#15526,.T.); -#15674=ORIENTED_EDGE('',*,*,#15673,.T.); -#15676=ORIENTED_EDGE('',*,*,#15675,.F.); -#15677=ORIENTED_EDGE('',*,*,#15659,.F.); -#15678=EDGE_LOOP('',(#15672,#15674,#15676,#15677)); -#15679=FACE_OUTER_BOUND('',#15678,.F.); -#15681=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,5.5E-1)); -#15682=DIRECTION('',(1.E0,0.E0,0.E0)); -#15683=DIRECTION('',(0.E0,0.E0,1.E0)); -#15684=AXIS2_PLACEMENT_3D('',#15681,#15682,#15683); -#15685=PLANE('',#15684); -#15686=ORIENTED_EDGE('',*,*,#15673,.F.); -#15687=ORIENTED_EDGE('',*,*,#15524,.T.); -#15689=ORIENTED_EDGE('',*,*,#15688,.F.); -#15691=ORIENTED_EDGE('',*,*,#15690,.F.); -#15692=EDGE_LOOP('',(#15686,#15687,#15689,#15691)); -#15693=FACE_OUTER_BOUND('',#15692,.F.); -#15695=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,5.5E-1)); -#15696=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15697=DIRECTION('',(1.E0,0.E0,0.E0)); -#15698=AXIS2_PLACEMENT_3D('',#15695,#15696,#15697); -#15699=PLANE('',#15698); -#15700=ORIENTED_EDGE('',*,*,#15522,.T.); -#15702=ORIENTED_EDGE('',*,*,#15701,.F.); -#15704=ORIENTED_EDGE('',*,*,#15703,.F.); -#15705=ORIENTED_EDGE('',*,*,#15688,.T.); -#15706=EDGE_LOOP('',(#15700,#15702,#15704,#15705)); -#15707=FACE_OUTER_BOUND('',#15706,.F.); -#15709=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.4E0)); -#15710=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15711=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15712=AXIS2_PLACEMENT_3D('',#15709,#15710,#15711); -#15713=PLANE('',#15712); -#15715=ORIENTED_EDGE('',*,*,#15714,.T.); -#15717=ORIENTED_EDGE('',*,*,#15716,.F.); -#15718=ORIENTED_EDGE('',*,*,#15701,.T.); -#15719=ORIENTED_EDGE('',*,*,#15520,.T.); -#15720=EDGE_LOOP('',(#15715,#15717,#15718,#15719)); -#15721=FACE_OUTER_BOUND('',#15720,.F.); -#15723=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,1.5E0)); -#15724=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15725=DIRECTION('',(1.E0,0.E0,0.E0)); -#15726=AXIS2_PLACEMENT_3D('',#15723,#15724,#15725); -#15727=PLANE('',#15726); -#15728=ORIENTED_EDGE('',*,*,#15518,.T.); -#15729=ORIENTED_EDGE('',*,*,#15578,.T.); -#15731=ORIENTED_EDGE('',*,*,#15730,.F.); -#15732=ORIENTED_EDGE('',*,*,#15714,.F.); -#15733=EDGE_LOOP('',(#15728,#15729,#15731,#15732)); -#15734=FACE_OUTER_BOUND('',#15733,.F.); -#15736=CARTESIAN_POINT('',(-9.273516905566E1,3.722817E0,2.8E0)); -#15737=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15738=DIRECTION('',(1.E0,0.E0,0.E0)); -#15739=AXIS2_PLACEMENT_3D('',#15736,#15737,#15738); -#15740=PLANE('',#15739); -#15741=ORIENTED_EDGE('',*,*,#15632,.T.); -#15742=ORIENTED_EDGE('',*,*,#15647,.T.); -#15743=ORIENTED_EDGE('',*,*,#15661,.T.); -#15744=ORIENTED_EDGE('',*,*,#15675,.T.); -#15745=ORIENTED_EDGE('',*,*,#15690,.T.); -#15746=ORIENTED_EDGE('',*,*,#15703,.T.); -#15747=ORIENTED_EDGE('',*,*,#15716,.T.); -#15748=ORIENTED_EDGE('',*,*,#15730,.T.); -#15749=ORIENTED_EDGE('',*,*,#15576,.T.); -#15750=ORIENTED_EDGE('',*,*,#15591,.T.); -#15751=ORIENTED_EDGE('',*,*,#15606,.T.); -#15752=ORIENTED_EDGE('',*,*,#15619,.T.); -#15753=EDGE_LOOP('',(#15741,#15742,#15743,#15744,#15745,#15746,#15747,#15748, -#15749,#15750,#15751,#15752)); -#15754=FACE_OUTER_BOUND('',#15753,.F.); -#15756=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,0.E0)); -#15757=DIRECTION('',(1.E0,0.E0,0.E0)); -#15758=DIRECTION('',(0.E0,1.E0,0.E0)); -#15759=AXIS2_PLACEMENT_3D('',#15756,#15757,#15758); -#15760=PLANE('',#15759); -#15761=ORIENTED_EDGE('',*,*,#11699,.T.); -#15763=ORIENTED_EDGE('',*,*,#15762,.F.); -#15765=ORIENTED_EDGE('',*,*,#15764,.F.); -#15767=ORIENTED_EDGE('',*,*,#15766,.T.); -#15768=EDGE_LOOP('',(#15761,#15763,#15765,#15767)); -#15769=FACE_OUTER_BOUND('',#15768,.F.); -#15771=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,0.E0)); -#15772=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15773=DIRECTION('',(1.E0,0.E0,0.E0)); -#15774=AXIS2_PLACEMENT_3D('',#15771,#15772,#15773); -#15775=PLANE('',#15774); -#15776=ORIENTED_EDGE('',*,*,#11733,.T.); -#15778=ORIENTED_EDGE('',*,*,#15777,.F.); -#15780=ORIENTED_EDGE('',*,*,#15779,.F.); -#15781=ORIENTED_EDGE('',*,*,#15762,.T.); -#15782=EDGE_LOOP('',(#15776,#15778,#15780,#15781)); -#15783=FACE_OUTER_BOUND('',#15782,.F.); -#15785=CARTESIAN_POINT('',(1.980229443404E-1,1.816806E0,0.E0)); -#15786=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15787=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15788=AXIS2_PLACEMENT_3D('',#15785,#15786,#15787); -#15789=PLANE('',#15788); -#15790=ORIENTED_EDGE('',*,*,#11731,.T.); -#15792=ORIENTED_EDGE('',*,*,#15791,.F.); -#15794=ORIENTED_EDGE('',*,*,#15793,.F.); -#15795=ORIENTED_EDGE('',*,*,#15777,.T.); -#15796=EDGE_LOOP('',(#15790,#15792,#15794,#15795)); -#15797=FACE_OUTER_BOUND('',#15796,.F.); -#15799=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,0.E0)); -#15800=DIRECTION('',(7.812727858092E-3,-9.999694801760E-1,0.E0)); -#15801=DIRECTION('',(9.999694801760E-1,7.812727858092E-3,0.E0)); -#15802=AXIS2_PLACEMENT_3D('',#15799,#15800,#15801); -#15803=PLANE('',#15802); -#15805=ORIENTED_EDGE('',*,*,#15804,.F.); -#15807=ORIENTED_EDGE('',*,*,#15806,.T.); -#15809=ORIENTED_EDGE('',*,*,#15808,.F.); -#15811=ORIENTED_EDGE('',*,*,#15810,.F.); -#15813=ORIENTED_EDGE('',*,*,#15812,.F.); -#15815=ORIENTED_EDGE('',*,*,#15814,.T.); -#15816=ORIENTED_EDGE('',*,*,#11701,.F.); -#15817=ORIENTED_EDGE('',*,*,#15766,.F.); -#15819=ORIENTED_EDGE('',*,*,#15818,.T.); -#15820=ORIENTED_EDGE('',*,*,#15791,.T.); -#15821=ORIENTED_EDGE('',*,*,#11729,.T.); -#15823=ORIENTED_EDGE('',*,*,#15822,.F.); -#15825=ORIENTED_EDGE('',*,*,#15824,.T.); -#15827=ORIENTED_EDGE('',*,*,#15826,.T.); -#15828=ORIENTED_EDGE('',*,*,#11721,.F.); -#15830=ORIENTED_EDGE('',*,*,#15829,.F.); -#15831=EDGE_LOOP('',(#15805,#15807,#15809,#15811,#15813,#15815,#15816,#15817, -#15819,#15820,#15821,#15823,#15825,#15827,#15828,#15830)); -#15832=FACE_OUTER_BOUND('',#15831,.F.); -#15834=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.8E0)); -#15835=DIRECTION('',(0.E0,0.E0,1.E0)); -#15836=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15837=AXIS2_PLACEMENT_3D('',#15834,#15835,#15836); -#15838=PLANE('',#15837); -#15840=ORIENTED_EDGE('',*,*,#15839,.T.); -#15842=ORIENTED_EDGE('',*,*,#15841,.T.); -#15843=ORIENTED_EDGE('',*,*,#15804,.T.); -#15845=ORIENTED_EDGE('',*,*,#15844,.T.); -#15847=ORIENTED_EDGE('',*,*,#15846,.T.); -#15848=EDGE_LOOP('',(#15840,#15842,#15843,#15845,#15847)); -#15849=FACE_OUTER_BOUND('',#15848,.F.); -#15851=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,0.E0)); -#15852=DIRECTION('',(-2.639156219341E-13,1.E0,0.E0)); -#15853=DIRECTION('',(-1.E0,-2.639156219341E-13,0.E0)); -#15854=AXIS2_PLACEMENT_3D('',#15851,#15852,#15853); -#15855=PLANE('',#15854); -#15856=ORIENTED_EDGE('',*,*,#15839,.F.); -#15858=ORIENTED_EDGE('',*,*,#15857,.T.); -#15859=ORIENTED_EDGE('',*,*,#11715,.T.); -#15861=ORIENTED_EDGE('',*,*,#15860,.F.); -#15862=EDGE_LOOP('',(#15856,#15858,#15859,#15861)); -#15863=FACE_OUTER_BOUND('',#15862,.F.); -#15865=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,0.E0)); -#15866=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15867=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15868=AXIS2_PLACEMENT_3D('',#15865,#15866,#15867); -#15869=PLANE('',#15868); -#15870=ORIENTED_EDGE('',*,*,#15846,.F.); -#15872=ORIENTED_EDGE('',*,*,#15871,.T.); -#15873=ORIENTED_EDGE('',*,*,#11717,.T.); -#15874=ORIENTED_EDGE('',*,*,#15857,.F.); -#15875=EDGE_LOOP('',(#15870,#15872,#15873,#15874)); -#15876=FACE_OUTER_BOUND('',#15875,.F.); -#15878=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,0.E0)); -#15879=DIRECTION('',(-7.071067811865E-1,-7.071067811865E-1,0.E0)); -#15880=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); -#15881=AXIS2_PLACEMENT_3D('',#15878,#15879,#15880); -#15882=PLANE('',#15881); -#15883=ORIENTED_EDGE('',*,*,#15844,.F.); -#15884=ORIENTED_EDGE('',*,*,#15829,.T.); -#15885=ORIENTED_EDGE('',*,*,#11719,.F.); -#15886=ORIENTED_EDGE('',*,*,#15871,.F.); -#15887=EDGE_LOOP('',(#15883,#15884,#15885,#15886)); -#15888=FACE_OUTER_BOUND('',#15887,.F.); -#15890=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,0.E0)); -#15891=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15892=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15893=AXIS2_PLACEMENT_3D('',#15890,#15891,#15892); -#15894=PLANE('',#15893); -#15896=ORIENTED_EDGE('',*,*,#15895,.F.); -#15898=ORIENTED_EDGE('',*,*,#15897,.T.); -#15900=ORIENTED_EDGE('',*,*,#15899,.F.); -#15901=ORIENTED_EDGE('',*,*,#15806,.F.); -#15902=ORIENTED_EDGE('',*,*,#15841,.F.); -#15903=ORIENTED_EDGE('',*,*,#15860,.T.); -#15904=ORIENTED_EDGE('',*,*,#11713,.T.); -#15906=ORIENTED_EDGE('',*,*,#15905,.F.); -#15907=EDGE_LOOP('',(#15896,#15898,#15900,#15901,#15902,#15903,#15904,#15906)); -#15908=FACE_OUTER_BOUND('',#15907,.F.); -#15910=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,3.1E0)); -#15911=DIRECTION('',(0.E0,0.E0,1.E0)); -#15912=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15913=AXIS2_PLACEMENT_3D('',#15910,#15911,#15912); -#15914=PLANE('',#15913); -#15916=ORIENTED_EDGE('',*,*,#15915,.F.); -#15918=ORIENTED_EDGE('',*,*,#15917,.T.); -#15919=ORIENTED_EDGE('',*,*,#15895,.T.); -#15921=ORIENTED_EDGE('',*,*,#15920,.T.); -#15922=EDGE_LOOP('',(#15916,#15918,#15919,#15921)); -#15923=FACE_OUTER_BOUND('',#15922,.F.); -#15925=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,4.E-1)); -#15926=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15927=DIRECTION('',(0.E0,0.E0,1.E0)); -#15928=AXIS2_PLACEMENT_3D('',#15925,#15926,#15927); -#15929=PLANE('',#15928); -#15931=ORIENTED_EDGE('',*,*,#15930,.F.); -#15933=ORIENTED_EDGE('',*,*,#15932,.F.); -#15935=ORIENTED_EDGE('',*,*,#15934,.F.); -#15937=ORIENTED_EDGE('',*,*,#15936,.T.); -#15938=ORIENTED_EDGE('',*,*,#15915,.T.); -#15939=EDGE_LOOP('',(#15931,#15933,#15935,#15937,#15938)); -#15940=FACE_OUTER_BOUND('',#15939,.F.); -#15942=CARTESIAN_POINT('',(2.348021944340E0,5.466807E0,0.E0)); -#15943=DIRECTION('',(0.E0,1.E0,0.E0)); -#15944=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15945=AXIS2_PLACEMENT_3D('',#15942,#15943,#15944); -#15946=PLANE('',#15945); -#15948=ORIENTED_EDGE('',*,*,#15947,.F.); -#15950=ORIENTED_EDGE('',*,*,#15949,.F.); -#15951=ORIENTED_EDGE('',*,*,#15930,.T.); -#15952=ORIENTED_EDGE('',*,*,#15920,.F.); -#15953=ORIENTED_EDGE('',*,*,#15905,.T.); -#15954=ORIENTED_EDGE('',*,*,#11711,.T.); -#15956=ORIENTED_EDGE('',*,*,#15955,.F.); -#15958=ORIENTED_EDGE('',*,*,#15957,.F.); -#15959=EDGE_LOOP('',(#15948,#15950,#15951,#15952,#15953,#15954,#15956,#15958)); -#15960=FACE_OUTER_BOUND('',#15959,.F.); -#15962=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,3.1E0)); -#15963=DIRECTION('',(1.E0,0.E0,0.E0)); -#15964=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15965=AXIS2_PLACEMENT_3D('',#15962,#15963,#15964); -#15966=PLANE('',#15965); -#15967=ORIENTED_EDGE('',*,*,#15947,.T.); -#15969=ORIENTED_EDGE('',*,*,#15968,.F.); -#15971=ORIENTED_EDGE('',*,*,#15970,.F.); -#15973=ORIENTED_EDGE('',*,*,#15972,.F.); -#15975=ORIENTED_EDGE('',*,*,#15974,.T.); -#15976=EDGE_LOOP('',(#15967,#15969,#15971,#15973,#15975)); -#15977=FACE_OUTER_BOUND('',#15976,.F.); -#15979=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,3.1E0)); -#15980=DIRECTION('',(0.E0,0.E0,1.E0)); -#15981=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15982=AXIS2_PLACEMENT_3D('',#15979,#15980,#15981); -#15983=PLANE('',#15982); -#15984=ORIENTED_EDGE('',*,*,#15968,.T.); -#15985=ORIENTED_EDGE('',*,*,#15957,.T.); -#15987=ORIENTED_EDGE('',*,*,#15986,.F.); -#15989=ORIENTED_EDGE('',*,*,#15988,.T.); -#15990=EDGE_LOOP('',(#15984,#15985,#15987,#15989)); -#15991=FACE_OUTER_BOUND('',#15990,.F.); -#15993=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,0.E0)); -#15994=DIRECTION('',(1.E0,0.E0,0.E0)); -#15995=DIRECTION('',(0.E0,1.E0,0.E0)); -#15996=AXIS2_PLACEMENT_3D('',#15993,#15994,#15995); -#15997=PLANE('',#15996); -#15998=ORIENTED_EDGE('',*,*,#15986,.T.); -#15999=ORIENTED_EDGE('',*,*,#15955,.T.); -#16000=ORIENTED_EDGE('',*,*,#11709,.T.); -#16002=ORIENTED_EDGE('',*,*,#16001,.F.); -#16004=ORIENTED_EDGE('',*,*,#16003,.F.); -#16005=ORIENTED_EDGE('',*,*,#15810,.T.); -#16007=ORIENTED_EDGE('',*,*,#16006,.F.); -#16009=ORIENTED_EDGE('',*,*,#16008,.F.); -#16010=EDGE_LOOP('',(#15998,#15999,#16000,#16002,#16004,#16005,#16007,#16009)); -#16011=FACE_OUTER_BOUND('',#16010,.F.); -#16013=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,0.E0)); -#16014=DIRECTION('',(0.E0,1.E0,0.E0)); -#16015=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16016=AXIS2_PLACEMENT_3D('',#16013,#16014,#16015); -#16017=PLANE('',#16016); -#16019=ORIENTED_EDGE('',*,*,#16018,.F.); -#16020=ORIENTED_EDGE('',*,*,#16001,.T.); -#16021=ORIENTED_EDGE('',*,*,#11707,.T.); -#16023=ORIENTED_EDGE('',*,*,#16022,.F.); -#16024=EDGE_LOOP('',(#16019,#16020,#16021,#16023)); -#16025=FACE_OUTER_BOUND('',#16024,.F.); -#16027=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.8E0)); -#16028=DIRECTION('',(0.E0,0.E0,1.E0)); -#16029=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16030=AXIS2_PLACEMENT_3D('',#16027,#16028,#16029); -#16031=PLANE('',#16030); -#16032=ORIENTED_EDGE('',*,*,#15812,.T.); -#16033=ORIENTED_EDGE('',*,*,#16003,.T.); -#16034=ORIENTED_EDGE('',*,*,#16018,.T.); -#16036=ORIENTED_EDGE('',*,*,#16035,.T.); -#16038=ORIENTED_EDGE('',*,*,#16037,.T.); -#16039=EDGE_LOOP('',(#16032,#16033,#16034,#16036,#16038)); -#16040=FACE_OUTER_BOUND('',#16039,.F.); -#16042=CARTESIAN_POINT('',(3.398021944340E0,1.51681E0,0.E0)); -#16043=DIRECTION('',(9.999999999994E-1,1.088139673763E-6,0.E0)); -#16044=DIRECTION('',(-1.088139673763E-6,9.999999999994E-1,0.E0)); -#16045=AXIS2_PLACEMENT_3D('',#16042,#16043,#16044); -#16046=PLANE('',#16045); -#16047=ORIENTED_EDGE('',*,*,#16035,.F.); -#16048=ORIENTED_EDGE('',*,*,#16022,.T.); -#16049=ORIENTED_EDGE('',*,*,#11705,.T.); -#16051=ORIENTED_EDGE('',*,*,#16050,.F.); -#16052=EDGE_LOOP('',(#16047,#16048,#16049,#16051)); -#16053=FACE_OUTER_BOUND('',#16052,.F.); -#16055=CARTESIAN_POINT('',(3.048024944340E0,1.166808E0,0.E0)); -#16056=DIRECTION('',(7.071118319384E-1,-7.071017303986E-1,0.E0)); -#16057=DIRECTION('',(7.071017303986E-1,7.071118319384E-1,0.E0)); -#16058=AXIS2_PLACEMENT_3D('',#16055,#16056,#16057); -#16059=PLANE('',#16058); -#16060=ORIENTED_EDGE('',*,*,#16037,.F.); -#16061=ORIENTED_EDGE('',*,*,#16050,.T.); -#16062=ORIENTED_EDGE('',*,*,#11703,.F.); -#16063=ORIENTED_EDGE('',*,*,#15814,.F.); -#16064=EDGE_LOOP('',(#16060,#16061,#16062,#16063)); -#16065=FACE_OUTER_BOUND('',#16064,.F.); -#16067=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,3.5E0)); -#16068=DIRECTION('',(0.E0,0.E0,1.E0)); -#16069=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16070=AXIS2_PLACEMENT_3D('',#16067,#16068,#16069); -#16071=PLANE('',#16070); -#16073=ORIENTED_EDGE('',*,*,#16072,.F.); -#16074=ORIENTED_EDGE('',*,*,#16006,.T.); -#16075=ORIENTED_EDGE('',*,*,#15808,.T.); -#16076=ORIENTED_EDGE('',*,*,#15899,.T.); -#16077=EDGE_LOOP('',(#16073,#16074,#16075,#16076)); -#16078=FACE_OUTER_BOUND('',#16077,.F.); -#16080=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,3.5E0)); -#16081=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16082=DIRECTION('',(1.E0,0.E0,0.E0)); -#16083=AXIS2_PLACEMENT_3D('',#16080,#16081,#16082); -#16084=PLANE('',#16083); -#16086=ORIENTED_EDGE('',*,*,#16085,.F.); -#16087=ORIENTED_EDGE('',*,*,#15988,.F.); -#16088=ORIENTED_EDGE('',*,*,#16008,.T.); -#16089=ORIENTED_EDGE('',*,*,#16072,.T.); -#16090=ORIENTED_EDGE('',*,*,#15897,.F.); -#16091=ORIENTED_EDGE('',*,*,#15917,.F.); -#16092=EDGE_LOOP('',(#16086,#16087,#16088,#16089,#16090,#16091)); -#16093=FACE_OUTER_BOUND('',#16092,.F.); -#16095=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,3.1E0)); -#16096=DIRECTION('',(0.E0,0.E0,1.E0)); -#16097=DIRECTION('',(1.E0,0.E0,0.E0)); -#16098=AXIS2_PLACEMENT_3D('',#16095,#16096,#16097); -#16099=PLANE('',#16098); -#16100=ORIENTED_EDGE('',*,*,#16085,.T.); -#16101=ORIENTED_EDGE('',*,*,#15936,.F.); -#16103=ORIENTED_EDGE('',*,*,#16102,.F.); -#16104=ORIENTED_EDGE('',*,*,#15970,.T.); -#16105=EDGE_LOOP('',(#16100,#16101,#16103,#16104)); -#16106=FACE_OUTER_BOUND('',#16105,.F.); -#16108=CARTESIAN_POINT('',(-9.273516905566E1,2.766807E0,3.5E0)); -#16109=DIRECTION('',(0.E0,1.E0,0.E0)); -#16110=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16111=AXIS2_PLACEMENT_3D('',#16108,#16109,#16110); -#16112=PLANE('',#16111); -#16113=ORIENTED_EDGE('',*,*,#15972,.T.); -#16114=ORIENTED_EDGE('',*,*,#16102,.T.); -#16115=ORIENTED_EDGE('',*,*,#15934,.T.); -#16117=ORIENTED_EDGE('',*,*,#16116,.T.); -#16118=EDGE_LOOP('',(#16113,#16114,#16115,#16117)); -#16119=FACE_OUTER_BOUND('',#16118,.F.); -#16121=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,4.E-1)); -#16122=DIRECTION('',(0.E0,0.E0,-1.E0)); -#16123=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16124=AXIS2_PLACEMENT_3D('',#16121,#16122,#16123); -#16125=PLANE('',#16124); -#16126=ORIENTED_EDGE('',*,*,#15949,.T.); -#16127=ORIENTED_EDGE('',*,*,#15974,.F.); -#16128=ORIENTED_EDGE('',*,*,#16116,.F.); -#16129=ORIENTED_EDGE('',*,*,#15932,.T.); -#16130=EDGE_LOOP('',(#16126,#16127,#16128,#16129)); -#16131=FACE_OUTER_BOUND('',#16130,.F.); -#16133=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#16134=DIRECTION('',(0.E0,0.E0,1.E0)); -#16135=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16136=AXIS2_PLACEMENT_3D('',#16133,#16134,#16135); -#16137=PLANE('',#16136); -#16138=ORIENTED_EDGE('',*,*,#15818,.F.); -#16139=ORIENTED_EDGE('',*,*,#15764,.T.); -#16140=ORIENTED_EDGE('',*,*,#15779,.T.); -#16141=ORIENTED_EDGE('',*,*,#15793,.T.); -#16142=EDGE_LOOP('',(#16138,#16139,#16140,#16141)); -#16143=FACE_OUTER_BOUND('',#16142,.F.); -#16145=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,0.E0)); -#16146=DIRECTION('',(1.E0,0.E0,0.E0)); -#16147=DIRECTION('',(0.E0,1.E0,0.E0)); -#16148=AXIS2_PLACEMENT_3D('',#16145,#16146,#16147); -#16149=PLANE('',#16148); -#16150=ORIENTED_EDGE('',*,*,#11727,.T.); -#16152=ORIENTED_EDGE('',*,*,#16151,.F.); -#16154=ORIENTED_EDGE('',*,*,#16153,.F.); -#16155=ORIENTED_EDGE('',*,*,#15822,.T.); -#16156=EDGE_LOOP('',(#16150,#16152,#16154,#16155)); -#16157=FACE_OUTER_BOUND('',#16156,.F.); -#16159=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,0.E0)); -#16160=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16161=DIRECTION('',(1.E0,0.E0,0.E0)); -#16162=AXIS2_PLACEMENT_3D('',#16159,#16160,#16161); -#16163=PLANE('',#16162); -#16164=ORIENTED_EDGE('',*,*,#11725,.T.); -#16166=ORIENTED_EDGE('',*,*,#16165,.F.); -#16168=ORIENTED_EDGE('',*,*,#16167,.F.); -#16169=ORIENTED_EDGE('',*,*,#16151,.T.); -#16170=EDGE_LOOP('',(#16164,#16166,#16168,#16169)); -#16171=FACE_OUTER_BOUND('',#16170,.F.); -#16173=CARTESIAN_POINT('',(-1.071974055660E0,1.816806E0,0.E0)); -#16174=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16175=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16176=AXIS2_PLACEMENT_3D('',#16173,#16174,#16175); -#16177=PLANE('',#16176); -#16178=ORIENTED_EDGE('',*,*,#11723,.T.); -#16179=ORIENTED_EDGE('',*,*,#15826,.F.); -#16181=ORIENTED_EDGE('',*,*,#16180,.F.); -#16182=ORIENTED_EDGE('',*,*,#16165,.T.); -#16183=EDGE_LOOP('',(#16178,#16179,#16181,#16182)); -#16184=FACE_OUTER_BOUND('',#16183,.F.); -#16186=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#16187=DIRECTION('',(0.E0,0.E0,1.E0)); -#16188=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16189=AXIS2_PLACEMENT_3D('',#16186,#16187,#16188); -#16190=PLANE('',#16189); -#16191=ORIENTED_EDGE('',*,*,#15824,.F.); -#16192=ORIENTED_EDGE('',*,*,#16153,.T.); -#16193=ORIENTED_EDGE('',*,*,#16167,.T.); -#16194=ORIENTED_EDGE('',*,*,#16180,.T.); -#16195=EDGE_LOOP('',(#16191,#16192,#16193,#16194)); -#16196=FACE_OUTER_BOUND('',#16195,.F.); -#16198=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,0.E0)); -#16199=DIRECTION('',(0.E0,0.E0,1.E0)); -#16200=DIRECTION('',(1.E0,0.E0,0.E0)); -#16201=AXIS2_PLACEMENT_3D('',#16198,#16199,#16200); -#16202=CYLINDRICAL_SURFACE('',#16201,6.01599E-1); -#16203=ORIENTED_EDGE('',*,*,#11741,.F.); -#16204=ORIENTED_EDGE('',*,*,#11739,.F.); -#16205=ORIENTED_EDGE('',*,*,#11737,.F.); -#16207=ORIENTED_EDGE('',*,*,#16206,.T.); -#16209=ORIENTED_EDGE('',*,*,#16208,.F.); -#16211=ORIENTED_EDGE('',*,*,#16210,.F.); -#16213=ORIENTED_EDGE('',*,*,#16212,.F.); -#16215=ORIENTED_EDGE('',*,*,#16214,.T.); -#16216=EDGE_LOOP('',(#16203,#16204,#16205,#16207,#16209,#16211,#16213,#16215)); -#16217=FACE_OUTER_BOUND('',#16216,.F.); -#16219=CARTESIAN_POINT('',(1.221762494434E1,8.012411E0,0.E0)); -#16220=DIRECTION('',(9.999999999999E-1,4.347829856701E-7,0.E0)); -#16221=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); -#16222=AXIS2_PLACEMENT_3D('',#16219,#16220,#16221); -#16223=PLANE('',#16222); -#16225=ORIENTED_EDGE('',*,*,#16224,.T.); -#16227=ORIENTED_EDGE('',*,*,#16226,.F.); -#16229=ORIENTED_EDGE('',*,*,#16228,.F.); -#16231=ORIENTED_EDGE('',*,*,#16230,.T.); -#16233=ORIENTED_EDGE('',*,*,#16232,.T.); -#16234=ORIENTED_EDGE('',*,*,#16206,.F.); -#16235=ORIENTED_EDGE('',*,*,#11767,.T.); -#16237=ORIENTED_EDGE('',*,*,#16236,.F.); -#16238=EDGE_LOOP('',(#16225,#16227,#16229,#16231,#16233,#16234,#16235,#16237)); -#16239=FACE_OUTER_BOUND('',#16238,.F.); -#16241=ORIENTED_EDGE('',*,*,#16240,.F.); -#16243=ORIENTED_EDGE('',*,*,#16242,.F.); -#16245=ORIENTED_EDGE('',*,*,#16244,.F.); -#16247=ORIENTED_EDGE('',*,*,#16246,.F.); -#16248=EDGE_LOOP('',(#16241,#16243,#16245,#16247)); -#16249=FACE_BOUND('',#16248,.F.); -#16251=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#16252=DIRECTION('',(0.E0,0.E0,1.E0)); -#16253=DIRECTION('',(1.E0,0.E0,0.E0)); -#16254=AXIS2_PLACEMENT_3D('',#16251,#16252,#16253); -#16255=PLANE('',#16254); -#16257=ORIENTED_EDGE('',*,*,#16256,.F.); -#16258=ORIENTED_EDGE('',*,*,#16224,.F.); -#16260=ORIENTED_EDGE('',*,*,#16259,.T.); -#16262=ORIENTED_EDGE('',*,*,#16261,.T.); -#16264=ORIENTED_EDGE('',*,*,#16263,.T.); -#16265=EDGE_LOOP('',(#16257,#16258,#16260,#16262,#16264)); -#16266=FACE_OUTER_BOUND('',#16265,.F.); -#16268=CARTESIAN_POINT('',(9.917624944340E0,8.01241E0,0.E0)); -#16269=DIRECTION('',(4.347829844941E-7,-9.999999999999E-1,0.E0)); -#16270=DIRECTION('',(9.999999999999E-1,4.347829844941E-7,0.E0)); -#16271=AXIS2_PLACEMENT_3D('',#16268,#16269,#16270); -#16272=PLANE('',#16271); -#16273=ORIENTED_EDGE('',*,*,#16256,.T.); -#16275=ORIENTED_EDGE('',*,*,#16274,.F.); -#16276=ORIENTED_EDGE('',*,*,#11759,.T.); -#16278=ORIENTED_EDGE('',*,*,#16277,.F.); -#16280=ORIENTED_EDGE('',*,*,#16279,.F.); -#16281=ORIENTED_EDGE('',*,*,#16226,.T.); -#16282=EDGE_LOOP('',(#16273,#16275,#16276,#16278,#16280,#16281)); -#16283=FACE_OUTER_BOUND('',#16282,.F.); -#16285=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,0.E0)); -#16286=DIRECTION('',(0.E0,0.E0,1.E0)); -#16287=DIRECTION('',(1.E0,0.E0,0.E0)); -#16288=AXIS2_PLACEMENT_3D('',#16285,#16286,#16287); -#16289=CYLINDRICAL_SURFACE('',#16288,6.01599E-1); -#16290=ORIENTED_EDGE('',*,*,#11765,.F.); -#16291=ORIENTED_EDGE('',*,*,#11763,.F.); -#16292=ORIENTED_EDGE('',*,*,#11761,.F.); -#16293=ORIENTED_EDGE('',*,*,#16274,.T.); -#16294=ORIENTED_EDGE('',*,*,#16263,.F.); -#16295=ORIENTED_EDGE('',*,*,#16261,.F.); -#16296=ORIENTED_EDGE('',*,*,#16259,.F.); -#16297=ORIENTED_EDGE('',*,*,#16236,.T.); -#16298=EDGE_LOOP('',(#16290,#16291,#16292,#16293,#16294,#16295,#16296,#16297)); -#16299=FACE_OUTER_BOUND('',#16298,.F.); -#16301=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,0.E0)); -#16302=DIRECTION('',(-9.999999999999E-1,-4.347829856701E-7,0.E0)); -#16303=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); -#16304=AXIS2_PLACEMENT_3D('',#16301,#16302,#16303); -#16305=PLANE('',#16304); -#16307=ORIENTED_EDGE('',*,*,#16306,.T.); -#16309=ORIENTED_EDGE('',*,*,#16308,.F.); -#16310=ORIENTED_EDGE('',*,*,#11745,.T.); -#16312=ORIENTED_EDGE('',*,*,#16311,.F.); -#16314=ORIENTED_EDGE('',*,*,#16313,.F.); -#16315=ORIENTED_EDGE('',*,*,#16277,.T.); -#16316=ORIENTED_EDGE('',*,*,#11757,.T.); -#16318=ORIENTED_EDGE('',*,*,#16317,.F.); -#16320=ORIENTED_EDGE('',*,*,#16319,.T.); -#16322=ORIENTED_EDGE('',*,*,#16321,.F.); -#16323=ORIENTED_EDGE('',*,*,#11751,.T.); -#16325=ORIENTED_EDGE('',*,*,#16324,.F.); -#16326=EDGE_LOOP('',(#16307,#16309,#16310,#16312,#16314,#16315,#16316,#16318, -#16320,#16322,#16323,#16325)); -#16327=FACE_OUTER_BOUND('',#16326,.F.); -#16329=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#16330=DIRECTION('',(0.E0,0.E0,1.E0)); -#16331=DIRECTION('',(1.E0,0.E0,0.E0)); -#16332=AXIS2_PLACEMENT_3D('',#16329,#16330,#16331); -#16333=PLANE('',#16332); -#16334=ORIENTED_EDGE('',*,*,#16306,.F.); -#16336=ORIENTED_EDGE('',*,*,#16335,.T.); -#16338=ORIENTED_EDGE('',*,*,#16337,.T.); -#16339=EDGE_LOOP('',(#16334,#16336,#16338)); -#16340=FACE_OUTER_BOUND('',#16339,.F.); -#16342=CARTESIAN_POINT('',(9.667618444340E0,1.2012405E1,0.E0)); -#16343=DIRECTION('',(0.E0,0.E0,1.E0)); -#16344=DIRECTION('',(1.E0,0.E0,0.E0)); -#16345=AXIS2_PLACEMENT_3D('',#16342,#16343,#16344); -#16346=CYLINDRICAL_SURFACE('',#16345,5.516E-1); -#16347=ORIENTED_EDGE('',*,*,#16337,.F.); -#16348=ORIENTED_EDGE('',*,*,#16335,.F.); -#16349=ORIENTED_EDGE('',*,*,#16324,.T.); -#16350=ORIENTED_EDGE('',*,*,#11749,.F.); -#16351=ORIENTED_EDGE('',*,*,#11747,.F.); -#16352=ORIENTED_EDGE('',*,*,#16308,.T.); -#16353=EDGE_LOOP('',(#16347,#16348,#16349,#16350,#16351,#16352)); -#16354=FACE_OUTER_BOUND('',#16353,.F.); -#16356=CARTESIAN_POINT('',(1.221762294434E1,1.2612407E1,0.E0)); -#16357=DIRECTION('',(-4.347829844941E-7,9.999999999999E-1,0.E0)); -#16358=DIRECTION('',(-9.999999999999E-1,-4.347829844941E-7,0.E0)); -#16359=AXIS2_PLACEMENT_3D('',#16356,#16357,#16358); -#16360=PLANE('',#16359); -#16362=ORIENTED_EDGE('',*,*,#16361,.T.); -#16363=ORIENTED_EDGE('',*,*,#16230,.F.); -#16365=ORIENTED_EDGE('',*,*,#16364,.F.); -#16366=ORIENTED_EDGE('',*,*,#16311,.T.); -#16367=ORIENTED_EDGE('',*,*,#11743,.T.); -#16368=ORIENTED_EDGE('',*,*,#16214,.F.); -#16369=EDGE_LOOP('',(#16362,#16363,#16365,#16366,#16367,#16368)); -#16370=FACE_OUTER_BOUND('',#16369,.F.); -#16372=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#16373=DIRECTION('',(0.E0,0.E0,1.E0)); -#16374=DIRECTION('',(1.E0,0.E0,0.E0)); -#16375=AXIS2_PLACEMENT_3D('',#16372,#16373,#16374); -#16376=PLANE('',#16375); -#16377=ORIENTED_EDGE('',*,*,#16232,.F.); -#16378=ORIENTED_EDGE('',*,*,#16361,.F.); -#16379=ORIENTED_EDGE('',*,*,#16212,.T.); -#16380=ORIENTED_EDGE('',*,*,#16210,.T.); -#16381=ORIENTED_EDGE('',*,*,#16208,.T.); -#16382=EDGE_LOOP('',(#16377,#16378,#16379,#16380,#16381)); -#16383=FACE_OUTER_BOUND('',#16382,.F.); -#16385=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.8E0)); -#16386=DIRECTION('',(0.E0,0.E0,1.E0)); -#16387=DIRECTION('',(0.E0,1.E0,0.E0)); -#16388=AXIS2_PLACEMENT_3D('',#16385,#16386,#16387); -#16389=PLANE('',#16388); -#16390=ORIENTED_EDGE('',*,*,#16279,.T.); -#16391=ORIENTED_EDGE('',*,*,#16313,.T.); -#16392=ORIENTED_EDGE('',*,*,#16364,.T.); -#16393=ORIENTED_EDGE('',*,*,#16228,.T.); -#16394=EDGE_LOOP('',(#16390,#16391,#16392,#16393)); -#16395=FACE_OUTER_BOUND('',#16394,.F.); -#16397=CARTESIAN_POINT('',(9.667620944340E0,8.612409E0,0.E0)); -#16398=DIRECTION('',(0.E0,0.E0,1.E0)); -#16399=DIRECTION('',(1.E0,0.E0,0.E0)); -#16400=AXIS2_PLACEMENT_3D('',#16397,#16398,#16399); -#16401=CYLINDRICAL_SURFACE('',#16400,5.516005E-1); -#16403=ORIENTED_EDGE('',*,*,#16402,.F.); -#16405=ORIENTED_EDGE('',*,*,#16404,.F.); -#16406=ORIENTED_EDGE('',*,*,#16317,.T.); -#16407=ORIENTED_EDGE('',*,*,#11755,.F.); -#16408=ORIENTED_EDGE('',*,*,#11753,.F.); -#16409=ORIENTED_EDGE('',*,*,#16321,.T.); -#16410=EDGE_LOOP('',(#16403,#16405,#16406,#16407,#16408,#16409)); -#16411=FACE_OUTER_BOUND('',#16410,.F.); -#16413=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#16414=DIRECTION('',(0.E0,0.E0,1.E0)); -#16415=DIRECTION('',(1.E0,0.E0,0.E0)); -#16416=AXIS2_PLACEMENT_3D('',#16413,#16414,#16415); -#16417=PLANE('',#16416); -#16418=ORIENTED_EDGE('',*,*,#16319,.F.); -#16419=ORIENTED_EDGE('',*,*,#16404,.T.); -#16420=ORIENTED_EDGE('',*,*,#16402,.T.); -#16421=EDGE_LOOP('',(#16418,#16419,#16420)); -#16422=FACE_OUTER_BOUND('',#16421,.F.); -#16424=CARTESIAN_POINT('',(1.221762434654E1,9.387409E0,1.4E0)); -#16425=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); -#16426=DIRECTION('',(0.E0,0.E0,-1.E0)); -#16427=AXIS2_PLACEMENT_3D('',#16424,#16425,#16426); -#16428=PLANE('',#16427); -#16429=ORIENTED_EDGE('',*,*,#16240,.T.); -#16431=ORIENTED_EDGE('',*,*,#16430,.F.); -#16433=ORIENTED_EDGE('',*,*,#16432,.F.); -#16435=ORIENTED_EDGE('',*,*,#16434,.T.); -#16436=EDGE_LOOP('',(#16429,#16431,#16433,#16435)); -#16437=FACE_OUTER_BOUND('',#16436,.F.); -#16439=CARTESIAN_POINT('',(1.221762354214E1,1.1237409E1,1.4E0)); -#16440=DIRECTION('',(0.E0,0.E0,1.E0)); -#16441=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); -#16442=AXIS2_PLACEMENT_3D('',#16439,#16440,#16441); -#16443=PLANE('',#16442); -#16444=ORIENTED_EDGE('',*,*,#16246,.T.); -#16446=ORIENTED_EDGE('',*,*,#16445,.F.); -#16448=ORIENTED_EDGE('',*,*,#16447,.F.); -#16449=ORIENTED_EDGE('',*,*,#16430,.T.); -#16450=EDGE_LOOP('',(#16444,#16446,#16448,#16449)); -#16451=FACE_OUTER_BOUND('',#16450,.F.); -#16453=CARTESIAN_POINT('',(1.221762354214E1,1.1237409E1,6.E-1)); -#16454=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); -#16455=DIRECTION('',(0.E0,0.E0,1.E0)); -#16456=AXIS2_PLACEMENT_3D('',#16453,#16454,#16455); -#16457=PLANE('',#16456); -#16458=ORIENTED_EDGE('',*,*,#16244,.T.); -#16460=ORIENTED_EDGE('',*,*,#16459,.F.); -#16462=ORIENTED_EDGE('',*,*,#16461,.F.); -#16463=ORIENTED_EDGE('',*,*,#16445,.T.); -#16464=EDGE_LOOP('',(#16458,#16460,#16462,#16463)); -#16465=FACE_OUTER_BOUND('',#16464,.F.); -#16467=CARTESIAN_POINT('',(1.221762434654E1,9.387409E0,6.E-1)); -#16468=DIRECTION('',(0.E0,0.E0,-1.E0)); -#16469=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); -#16470=AXIS2_PLACEMENT_3D('',#16467,#16468,#16469); -#16471=PLANE('',#16470); -#16472=ORIENTED_EDGE('',*,*,#16242,.T.); -#16473=ORIENTED_EDGE('',*,*,#16434,.F.); -#16475=ORIENTED_EDGE('',*,*,#16474,.F.); -#16476=ORIENTED_EDGE('',*,*,#16459,.T.); -#16477=EDGE_LOOP('',(#16472,#16473,#16475,#16476)); -#16478=FACE_OUTER_BOUND('',#16477,.F.); -#16480=CARTESIAN_POINT('',(1.333764963144E1,-4.876794588134E1,1.8E0)); -#16481=DIRECTION('',(9.999999999999E-1,4.347829856701E-7,0.E0)); -#16482=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); -#16483=AXIS2_PLACEMENT_3D('',#16480,#16481,#16482); -#16484=PLANE('',#16483); -#16485=ORIENTED_EDGE('',*,*,#16432,.T.); -#16486=ORIENTED_EDGE('',*,*,#16447,.T.); -#16487=ORIENTED_EDGE('',*,*,#16461,.T.); -#16488=ORIENTED_EDGE('',*,*,#16474,.T.); -#16489=EDGE_LOOP('',(#16485,#16486,#16487,#16488)); -#16490=FACE_OUTER_BOUND('',#16489,.F.); -#16492=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,0.E0)); -#16493=DIRECTION('',(0.E0,1.E0,0.E0)); -#16494=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16495=AXIS2_PLACEMENT_3D('',#16492,#16493,#16494); -#16496=PLANE('',#16495); -#16497=ORIENTED_EDGE('',*,*,#11771,.T.); -#16499=ORIENTED_EDGE('',*,*,#16498,.F.); -#16501=ORIENTED_EDGE('',*,*,#16500,.F.); -#16503=ORIENTED_EDGE('',*,*,#16502,.T.); -#16504=EDGE_LOOP('',(#16497,#16499,#16501,#16503)); -#16505=FACE_OUTER_BOUND('',#16504,.F.); -#16507=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,0.E0)); -#16508=DIRECTION('',(1.E0,0.E0,0.E0)); -#16509=DIRECTION('',(0.E0,1.E0,0.E0)); -#16510=AXIS2_PLACEMENT_3D('',#16507,#16508,#16509); -#16511=PLANE('',#16510); -#16512=ORIENTED_EDGE('',*,*,#11777,.T.); -#16514=ORIENTED_EDGE('',*,*,#16513,.F.); -#16516=ORIENTED_EDGE('',*,*,#16515,.F.); -#16517=ORIENTED_EDGE('',*,*,#16498,.T.); -#16518=EDGE_LOOP('',(#16512,#16514,#16516,#16517)); -#16519=FACE_OUTER_BOUND('',#16518,.F.); -#16521=ORIENTED_EDGE('',*,*,#16520,.T.); -#16523=ORIENTED_EDGE('',*,*,#16522,.F.); -#16525=ORIENTED_EDGE('',*,*,#16524,.F.); -#16527=ORIENTED_EDGE('',*,*,#16526,.T.); -#16528=EDGE_LOOP('',(#16521,#16523,#16525,#16527)); -#16529=FACE_BOUND('',#16528,.F.); -#16531=ORIENTED_EDGE('',*,*,#16530,.T.); -#16533=ORIENTED_EDGE('',*,*,#16532,.F.); -#16535=ORIENTED_EDGE('',*,*,#16534,.F.); -#16537=ORIENTED_EDGE('',*,*,#16536,.T.); -#16538=EDGE_LOOP('',(#16531,#16533,#16535,#16537)); -#16539=FACE_BOUND('',#16538,.F.); -#16541=ORIENTED_EDGE('',*,*,#16540,.T.); -#16543=ORIENTED_EDGE('',*,*,#16542,.F.); -#16545=ORIENTED_EDGE('',*,*,#16544,.F.); -#16547=ORIENTED_EDGE('',*,*,#16546,.T.); -#16548=EDGE_LOOP('',(#16541,#16543,#16545,#16547)); -#16549=FACE_BOUND('',#16548,.F.); -#16551=ORIENTED_EDGE('',*,*,#16550,.T.); -#16553=ORIENTED_EDGE('',*,*,#16552,.F.); -#16555=ORIENTED_EDGE('',*,*,#16554,.F.); -#16557=ORIENTED_EDGE('',*,*,#16556,.T.); -#16558=EDGE_LOOP('',(#16551,#16553,#16555,#16557)); -#16559=FACE_BOUND('',#16558,.F.); -#16561=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,0.E0)); -#16562=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16563=DIRECTION('',(1.E0,0.E0,0.E0)); -#16564=AXIS2_PLACEMENT_3D('',#16561,#16562,#16563); -#16565=PLANE('',#16564); -#16566=ORIENTED_EDGE('',*,*,#11775,.T.); -#16568=ORIENTED_EDGE('',*,*,#16567,.F.); -#16570=ORIENTED_EDGE('',*,*,#16569,.F.); -#16571=ORIENTED_EDGE('',*,*,#16513,.T.); -#16572=EDGE_LOOP('',(#16566,#16568,#16570,#16571)); -#16573=FACE_OUTER_BOUND('',#16572,.F.); -#16575=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,0.E0)); -#16576=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16577=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16578=AXIS2_PLACEMENT_3D('',#16575,#16576,#16577); -#16579=PLANE('',#16578); -#16580=ORIENTED_EDGE('',*,*,#11773,.T.); -#16581=ORIENTED_EDGE('',*,*,#16502,.F.); -#16583=ORIENTED_EDGE('',*,*,#16582,.F.); -#16584=ORIENTED_EDGE('',*,*,#16567,.T.); -#16585=EDGE_LOOP('',(#16580,#16581,#16583,#16584)); -#16586=FACE_OUTER_BOUND('',#16585,.F.); -#16588=ORIENTED_EDGE('',*,*,#16587,.F.); -#16590=ORIENTED_EDGE('',*,*,#16589,.F.); -#16592=ORIENTED_EDGE('',*,*,#16591,.T.); -#16594=ORIENTED_EDGE('',*,*,#16593,.T.); -#16595=EDGE_LOOP('',(#16588,#16590,#16592,#16594)); -#16596=FACE_BOUND('',#16595,.F.); -#16598=ORIENTED_EDGE('',*,*,#16597,.F.); -#16600=ORIENTED_EDGE('',*,*,#16599,.F.); -#16602=ORIENTED_EDGE('',*,*,#16601,.T.); -#16604=ORIENTED_EDGE('',*,*,#16603,.T.); -#16605=EDGE_LOOP('',(#16598,#16600,#16602,#16604)); -#16606=FACE_BOUND('',#16605,.F.); -#16608=ORIENTED_EDGE('',*,*,#16607,.F.); -#16610=ORIENTED_EDGE('',*,*,#16609,.F.); -#16612=ORIENTED_EDGE('',*,*,#16611,.T.); -#16614=ORIENTED_EDGE('',*,*,#16613,.T.); -#16615=EDGE_LOOP('',(#16608,#16610,#16612,#16614)); -#16616=FACE_BOUND('',#16615,.F.); -#16618=ORIENTED_EDGE('',*,*,#16617,.F.); -#16620=ORIENTED_EDGE('',*,*,#16619,.F.); -#16622=ORIENTED_EDGE('',*,*,#16621,.T.); -#16624=ORIENTED_EDGE('',*,*,#16623,.T.); -#16625=EDGE_LOOP('',(#16618,#16620,#16622,#16624)); -#16626=FACE_BOUND('',#16625,.F.); -#16628=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E0)); -#16629=DIRECTION('',(0.E0,0.E0,1.E0)); -#16630=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16631=AXIS2_PLACEMENT_3D('',#16628,#16629,#16630); -#16632=PLANE('',#16631); -#16633=ORIENTED_EDGE('',*,*,#16500,.T.); -#16634=ORIENTED_EDGE('',*,*,#16515,.T.); -#16635=ORIENTED_EDGE('',*,*,#16569,.T.); -#16636=ORIENTED_EDGE('',*,*,#16582,.T.); -#16637=EDGE_LOOP('',(#16633,#16634,#16635,#16636)); -#16638=FACE_OUTER_BOUND('',#16637,.F.); -#16640=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); -#16641=DIRECTION('',(0.E0,0.E0,1.E0)); -#16642=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16643=AXIS2_PLACEMENT_3D('',#16640,#16641,#16642); -#16644=PLANE('',#16643); -#16645=ORIENTED_EDGE('',*,*,#16587,.T.); -#16647=ORIENTED_EDGE('',*,*,#16646,.F.); -#16649=ORIENTED_EDGE('',*,*,#16648,.T.); -#16651=ORIENTED_EDGE('',*,*,#16650,.F.); -#16652=EDGE_LOOP('',(#16645,#16647,#16649,#16651)); -#16653=FACE_OUTER_BOUND('',#16652,.F.); -#16655=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,0.E0)); -#16656=DIRECTION('',(0.E0,1.E0,0.E0)); -#16657=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16658=AXIS2_PLACEMENT_3D('',#16655,#16656,#16657); -#16659=PLANE('',#16658); -#16661=ORIENTED_EDGE('',*,*,#16660,.T.); -#16663=ORIENTED_EDGE('',*,*,#16662,.F.); -#16665=ORIENTED_EDGE('',*,*,#16664,.T.); -#16666=ORIENTED_EDGE('',*,*,#11785,.F.); -#16668=ORIENTED_EDGE('',*,*,#16667,.F.); -#16669=ORIENTED_EDGE('',*,*,#16646,.T.); -#16670=ORIENTED_EDGE('',*,*,#16593,.F.); -#16672=ORIENTED_EDGE('',*,*,#16671,.F.); -#16673=EDGE_LOOP('',(#16661,#16663,#16665,#16666,#16668,#16669,#16670,#16672)); -#16674=FACE_OUTER_BOUND('',#16673,.F.); -#16676=CARTESIAN_POINT('',(-3.479569055660E0,2.8067008E1,0.E0)); -#16677=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16678=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16679=AXIS2_PLACEMENT_3D('',#16676,#16677,#16678); -#16680=PLANE('',#16679); -#16681=ORIENTED_EDGE('',*,*,#16660,.F.); -#16683=ORIENTED_EDGE('',*,*,#16682,.F.); -#16685=ORIENTED_EDGE('',*,*,#16684,.F.); -#16687=ORIENTED_EDGE('',*,*,#16686,.T.); -#16688=EDGE_LOOP('',(#16681,#16683,#16685,#16687)); -#16689=FACE_OUTER_BOUND('',#16688,.F.); -#16691=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); -#16692=DIRECTION('',(0.E0,0.E0,1.E0)); -#16693=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16694=AXIS2_PLACEMENT_3D('',#16691,#16692,#16693); -#16695=PLANE('',#16694); -#16696=ORIENTED_EDGE('',*,*,#16591,.F.); -#16698=ORIENTED_EDGE('',*,*,#16697,.T.); -#16699=ORIENTED_EDGE('',*,*,#16682,.T.); -#16700=ORIENTED_EDGE('',*,*,#16671,.T.); -#16701=EDGE_LOOP('',(#16696,#16698,#16699,#16700)); -#16702=FACE_OUTER_BOUND('',#16701,.F.); -#16704=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,0.E0)); -#16705=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16706=DIRECTION('',(1.E0,0.E0,0.E0)); -#16707=AXIS2_PLACEMENT_3D('',#16704,#16705,#16706); -#16708=PLANE('',#16707); -#16709=ORIENTED_EDGE('',*,*,#16650,.T.); -#16711=ORIENTED_EDGE('',*,*,#16710,.T.); -#16712=ORIENTED_EDGE('',*,*,#11781,.F.); -#16714=ORIENTED_EDGE('',*,*,#16713,.F.); -#16716=ORIENTED_EDGE('',*,*,#16715,.F.); -#16717=ORIENTED_EDGE('',*,*,#16684,.T.); -#16718=ORIENTED_EDGE('',*,*,#16697,.F.); -#16719=ORIENTED_EDGE('',*,*,#16589,.T.); -#16720=EDGE_LOOP('',(#16709,#16711,#16712,#16714,#16716,#16717,#16718,#16719)); -#16721=FACE_OUTER_BOUND('',#16720,.F.); -#16723=CARTESIAN_POINT('',(-3.073169055660E0,2.7254208E1,0.E0)); -#16724=DIRECTION('',(1.E0,0.E0,0.E0)); -#16725=DIRECTION('',(0.E0,1.E0,0.E0)); -#16726=AXIS2_PLACEMENT_3D('',#16723,#16724,#16725); -#16727=PLANE('',#16726); -#16728=ORIENTED_EDGE('',*,*,#16648,.F.); -#16729=ORIENTED_EDGE('',*,*,#16667,.T.); -#16730=ORIENTED_EDGE('',*,*,#11783,.T.); -#16731=ORIENTED_EDGE('',*,*,#16710,.F.); -#16732=EDGE_LOOP('',(#16728,#16729,#16730,#16731)); -#16733=FACE_OUTER_BOUND('',#16732,.F.); -#16735=CARTESIAN_POINT('',(-4.749569055660E0,2.8067008E1,0.E0)); -#16736=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16737=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16738=AXIS2_PLACEMENT_3D('',#16735,#16736,#16737); -#16739=PLANE('',#16738); -#16740=ORIENTED_EDGE('',*,*,#11787,.T.); -#16741=ORIENTED_EDGE('',*,*,#16664,.F.); -#16743=ORIENTED_EDGE('',*,*,#16742,.F.); -#16744=ORIENTED_EDGE('',*,*,#16713,.T.); -#16745=EDGE_LOOP('',(#16740,#16741,#16743,#16744)); -#16746=FACE_OUTER_BOUND('',#16745,.F.); -#16748=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#16749=DIRECTION('',(0.E0,0.E0,1.E0)); -#16750=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16751=AXIS2_PLACEMENT_3D('',#16748,#16749,#16750); -#16752=PLANE('',#16751); -#16753=ORIENTED_EDGE('',*,*,#16686,.F.); -#16754=ORIENTED_EDGE('',*,*,#16715,.T.); -#16755=ORIENTED_EDGE('',*,*,#16742,.T.); -#16756=ORIENTED_EDGE('',*,*,#16662,.T.); -#16757=EDGE_LOOP('',(#16753,#16754,#16755,#16756)); -#16758=FACE_OUTER_BOUND('',#16757,.F.); -#16760=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); -#16761=DIRECTION('',(0.E0,0.E0,1.E0)); -#16762=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16763=AXIS2_PLACEMENT_3D('',#16760,#16761,#16762); -#16764=PLANE('',#16763); -#16765=ORIENTED_EDGE('',*,*,#16597,.T.); -#16767=ORIENTED_EDGE('',*,*,#16766,.F.); -#16769=ORIENTED_EDGE('',*,*,#16768,.T.); -#16771=ORIENTED_EDGE('',*,*,#16770,.F.); -#16772=EDGE_LOOP('',(#16765,#16767,#16769,#16771)); -#16773=FACE_OUTER_BOUND('',#16772,.F.); -#16775=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,0.E0)); -#16776=DIRECTION('',(0.E0,1.E0,0.E0)); -#16777=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16778=AXIS2_PLACEMENT_3D('',#16775,#16776,#16777); -#16779=PLANE('',#16778); -#16781=ORIENTED_EDGE('',*,*,#16780,.T.); -#16783=ORIENTED_EDGE('',*,*,#16782,.F.); -#16785=ORIENTED_EDGE('',*,*,#16784,.T.); -#16786=ORIENTED_EDGE('',*,*,#11795,.F.); -#16788=ORIENTED_EDGE('',*,*,#16787,.F.); -#16789=ORIENTED_EDGE('',*,*,#16766,.T.); -#16790=ORIENTED_EDGE('',*,*,#16603,.F.); -#16792=ORIENTED_EDGE('',*,*,#16791,.F.); -#16793=EDGE_LOOP('',(#16781,#16783,#16785,#16786,#16788,#16789,#16790,#16792)); -#16794=FACE_OUTER_BOUND('',#16793,.F.); -#16796=CARTESIAN_POINT('',(-3.479569055660E0,2.6797008E1,0.E0)); -#16797=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16798=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16799=AXIS2_PLACEMENT_3D('',#16796,#16797,#16798); -#16800=PLANE('',#16799); -#16801=ORIENTED_EDGE('',*,*,#16780,.F.); -#16803=ORIENTED_EDGE('',*,*,#16802,.F.); -#16805=ORIENTED_EDGE('',*,*,#16804,.T.); -#16807=ORIENTED_EDGE('',*,*,#16806,.T.); -#16808=EDGE_LOOP('',(#16801,#16803,#16805,#16807)); -#16809=FACE_OUTER_BOUND('',#16808,.F.); -#16811=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); -#16812=DIRECTION('',(0.E0,0.E0,1.E0)); -#16813=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16814=AXIS2_PLACEMENT_3D('',#16811,#16812,#16813); -#16815=PLANE('',#16814); -#16816=ORIENTED_EDGE('',*,*,#16601,.F.); -#16818=ORIENTED_EDGE('',*,*,#16817,.T.); -#16819=ORIENTED_EDGE('',*,*,#16802,.T.); -#16820=ORIENTED_EDGE('',*,*,#16791,.T.); -#16821=EDGE_LOOP('',(#16816,#16818,#16819,#16820)); -#16822=FACE_OUTER_BOUND('',#16821,.F.); -#16824=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,0.E0)); -#16825=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16826=DIRECTION('',(1.E0,0.E0,0.E0)); -#16827=AXIS2_PLACEMENT_3D('',#16824,#16825,#16826); -#16828=PLANE('',#16827); -#16829=ORIENTED_EDGE('',*,*,#16770,.T.); -#16831=ORIENTED_EDGE('',*,*,#16830,.T.); -#16832=ORIENTED_EDGE('',*,*,#11791,.F.); -#16834=ORIENTED_EDGE('',*,*,#16833,.F.); -#16836=ORIENTED_EDGE('',*,*,#16835,.F.); -#16837=ORIENTED_EDGE('',*,*,#16804,.F.); -#16838=ORIENTED_EDGE('',*,*,#16817,.F.); -#16839=ORIENTED_EDGE('',*,*,#16599,.T.); -#16840=EDGE_LOOP('',(#16829,#16831,#16832,#16834,#16836,#16837,#16838,#16839)); -#16841=FACE_OUTER_BOUND('',#16840,.F.); -#16843=CARTESIAN_POINT('',(-3.073169055660E0,2.5984208E1,0.E0)); -#16844=DIRECTION('',(1.E0,0.E0,0.E0)); -#16845=DIRECTION('',(0.E0,1.E0,0.E0)); -#16846=AXIS2_PLACEMENT_3D('',#16843,#16844,#16845); -#16847=PLANE('',#16846); -#16848=ORIENTED_EDGE('',*,*,#16768,.F.); -#16849=ORIENTED_EDGE('',*,*,#16787,.T.); -#16850=ORIENTED_EDGE('',*,*,#11793,.T.); -#16851=ORIENTED_EDGE('',*,*,#16830,.F.); -#16852=EDGE_LOOP('',(#16848,#16849,#16850,#16851)); -#16853=FACE_OUTER_BOUND('',#16852,.F.); -#16855=CARTESIAN_POINT('',(-4.749569055660E0,2.6797008E1,0.E0)); -#16856=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16857=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16858=AXIS2_PLACEMENT_3D('',#16855,#16856,#16857); -#16859=PLANE('',#16858); -#16860=ORIENTED_EDGE('',*,*,#11797,.T.); -#16861=ORIENTED_EDGE('',*,*,#16784,.F.); -#16863=ORIENTED_EDGE('',*,*,#16862,.F.); -#16864=ORIENTED_EDGE('',*,*,#16833,.T.); -#16865=EDGE_LOOP('',(#16860,#16861,#16863,#16864)); -#16866=FACE_OUTER_BOUND('',#16865,.F.); -#16868=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#16869=DIRECTION('',(0.E0,0.E0,1.E0)); -#16870=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16871=AXIS2_PLACEMENT_3D('',#16868,#16869,#16870); -#16872=PLANE('',#16871); -#16873=ORIENTED_EDGE('',*,*,#16806,.F.); -#16874=ORIENTED_EDGE('',*,*,#16835,.T.); -#16875=ORIENTED_EDGE('',*,*,#16862,.T.); -#16876=ORIENTED_EDGE('',*,*,#16782,.T.); -#16877=EDGE_LOOP('',(#16873,#16874,#16875,#16876)); -#16878=FACE_OUTER_BOUND('',#16877,.F.); -#16880=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); -#16881=DIRECTION('',(0.E0,0.E0,1.E0)); -#16882=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16883=AXIS2_PLACEMENT_3D('',#16880,#16881,#16882); -#16884=PLANE('',#16883); -#16885=ORIENTED_EDGE('',*,*,#16607,.T.); -#16887=ORIENTED_EDGE('',*,*,#16886,.F.); -#16889=ORIENTED_EDGE('',*,*,#16888,.T.); -#16891=ORIENTED_EDGE('',*,*,#16890,.F.); -#16892=EDGE_LOOP('',(#16885,#16887,#16889,#16891)); -#16893=FACE_OUTER_BOUND('',#16892,.F.); -#16895=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,0.E0)); -#16896=DIRECTION('',(0.E0,1.E0,0.E0)); -#16897=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16898=AXIS2_PLACEMENT_3D('',#16895,#16896,#16897); -#16899=PLANE('',#16898); -#16901=ORIENTED_EDGE('',*,*,#16900,.T.); -#16903=ORIENTED_EDGE('',*,*,#16902,.F.); -#16905=ORIENTED_EDGE('',*,*,#16904,.T.); -#16906=ORIENTED_EDGE('',*,*,#11805,.F.); -#16908=ORIENTED_EDGE('',*,*,#16907,.F.); -#16909=ORIENTED_EDGE('',*,*,#16886,.T.); -#16910=ORIENTED_EDGE('',*,*,#16613,.F.); -#16912=ORIENTED_EDGE('',*,*,#16911,.F.); -#16913=EDGE_LOOP('',(#16901,#16903,#16905,#16906,#16908,#16909,#16910,#16912)); -#16914=FACE_OUTER_BOUND('',#16913,.F.); -#16916=CARTESIAN_POINT('',(-3.479569055660E0,2.5527008E1,0.E0)); -#16917=DIRECTION('',(-1.E0,1.748382715945E-14,0.E0)); -#16918=DIRECTION('',(-1.748382715945E-14,-1.E0,0.E0)); -#16919=AXIS2_PLACEMENT_3D('',#16916,#16917,#16918); -#16920=PLANE('',#16919); -#16921=ORIENTED_EDGE('',*,*,#16900,.F.); -#16923=ORIENTED_EDGE('',*,*,#16922,.F.); -#16925=ORIENTED_EDGE('',*,*,#16924,.F.); -#16927=ORIENTED_EDGE('',*,*,#16926,.T.); -#16928=EDGE_LOOP('',(#16921,#16923,#16925,#16927)); -#16929=FACE_OUTER_BOUND('',#16928,.F.); -#16931=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); -#16932=DIRECTION('',(0.E0,0.E0,1.E0)); -#16933=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16934=AXIS2_PLACEMENT_3D('',#16931,#16932,#16933); -#16935=PLANE('',#16934); -#16936=ORIENTED_EDGE('',*,*,#16611,.F.); -#16938=ORIENTED_EDGE('',*,*,#16937,.T.); -#16939=ORIENTED_EDGE('',*,*,#16922,.T.); -#16940=ORIENTED_EDGE('',*,*,#16911,.T.); -#16941=EDGE_LOOP('',(#16936,#16938,#16939,#16940)); -#16942=FACE_OUTER_BOUND('',#16941,.F.); -#16944=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,0.E0)); -#16945=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16946=DIRECTION('',(1.E0,0.E0,0.E0)); -#16947=AXIS2_PLACEMENT_3D('',#16944,#16945,#16946); -#16948=PLANE('',#16947); -#16949=ORIENTED_EDGE('',*,*,#16890,.T.); -#16951=ORIENTED_EDGE('',*,*,#16950,.T.); -#16952=ORIENTED_EDGE('',*,*,#11801,.F.); -#16954=ORIENTED_EDGE('',*,*,#16953,.F.); -#16956=ORIENTED_EDGE('',*,*,#16955,.F.); -#16957=ORIENTED_EDGE('',*,*,#16924,.T.); -#16958=ORIENTED_EDGE('',*,*,#16937,.F.); -#16959=ORIENTED_EDGE('',*,*,#16609,.T.); -#16960=EDGE_LOOP('',(#16949,#16951,#16952,#16954,#16956,#16957,#16958,#16959)); -#16961=FACE_OUTER_BOUND('',#16960,.F.); -#16963=CARTESIAN_POINT('',(-3.073169055660E0,2.4714208E1,0.E0)); -#16964=DIRECTION('',(1.E0,-3.496765431890E-14,0.E0)); -#16965=DIRECTION('',(3.496765431890E-14,1.E0,0.E0)); -#16966=AXIS2_PLACEMENT_3D('',#16963,#16964,#16965); -#16967=PLANE('',#16966); -#16968=ORIENTED_EDGE('',*,*,#16888,.F.); -#16969=ORIENTED_EDGE('',*,*,#16907,.T.); -#16970=ORIENTED_EDGE('',*,*,#11803,.T.); -#16971=ORIENTED_EDGE('',*,*,#16950,.F.); -#16972=EDGE_LOOP('',(#16968,#16969,#16970,#16971)); -#16973=FACE_OUTER_BOUND('',#16972,.F.); -#16975=CARTESIAN_POINT('',(-4.749569055660E0,2.5527008E1,0.E0)); -#16976=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16977=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16978=AXIS2_PLACEMENT_3D('',#16975,#16976,#16977); -#16979=PLANE('',#16978); -#16980=ORIENTED_EDGE('',*,*,#11807,.T.); -#16981=ORIENTED_EDGE('',*,*,#16904,.F.); -#16983=ORIENTED_EDGE('',*,*,#16982,.F.); -#16984=ORIENTED_EDGE('',*,*,#16953,.T.); -#16985=EDGE_LOOP('',(#16980,#16981,#16983,#16984)); -#16986=FACE_OUTER_BOUND('',#16985,.F.); -#16988=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#16989=DIRECTION('',(0.E0,0.E0,1.E0)); -#16990=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16991=AXIS2_PLACEMENT_3D('',#16988,#16989,#16990); -#16992=PLANE('',#16991); -#16993=ORIENTED_EDGE('',*,*,#16926,.F.); -#16994=ORIENTED_EDGE('',*,*,#16955,.T.); -#16995=ORIENTED_EDGE('',*,*,#16982,.T.); -#16996=ORIENTED_EDGE('',*,*,#16902,.T.); -#16997=EDGE_LOOP('',(#16993,#16994,#16995,#16996)); -#16998=FACE_OUTER_BOUND('',#16997,.F.); -#17000=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); -#17001=DIRECTION('',(0.E0,0.E0,1.E0)); -#17002=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17003=AXIS2_PLACEMENT_3D('',#17000,#17001,#17002); -#17004=PLANE('',#17003); -#17005=ORIENTED_EDGE('',*,*,#16617,.T.); -#17007=ORIENTED_EDGE('',*,*,#17006,.F.); -#17009=ORIENTED_EDGE('',*,*,#17008,.T.); -#17011=ORIENTED_EDGE('',*,*,#17010,.F.); -#17012=EDGE_LOOP('',(#17005,#17007,#17009,#17011)); -#17013=FACE_OUTER_BOUND('',#17012,.F.); -#17015=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,0.E0)); -#17016=DIRECTION('',(0.E0,1.E0,0.E0)); -#17017=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17018=AXIS2_PLACEMENT_3D('',#17015,#17016,#17017); -#17019=PLANE('',#17018); -#17021=ORIENTED_EDGE('',*,*,#17020,.T.); -#17023=ORIENTED_EDGE('',*,*,#17022,.F.); -#17025=ORIENTED_EDGE('',*,*,#17024,.T.); -#17026=ORIENTED_EDGE('',*,*,#11815,.F.); -#17028=ORIENTED_EDGE('',*,*,#17027,.F.); -#17029=ORIENTED_EDGE('',*,*,#17006,.T.); -#17030=ORIENTED_EDGE('',*,*,#16623,.F.); -#17032=ORIENTED_EDGE('',*,*,#17031,.F.); -#17033=EDGE_LOOP('',(#17021,#17023,#17025,#17026,#17028,#17029,#17030,#17032)); -#17034=FACE_OUTER_BOUND('',#17033,.F.); -#17036=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,0.E0)); -#17037=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17038=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17039=AXIS2_PLACEMENT_3D('',#17036,#17037,#17038); -#17040=PLANE('',#17039); -#17041=ORIENTED_EDGE('',*,*,#17020,.F.); -#17043=ORIENTED_EDGE('',*,*,#17042,.F.); -#17045=ORIENTED_EDGE('',*,*,#17044,.T.); -#17047=ORIENTED_EDGE('',*,*,#17046,.F.); -#17048=EDGE_LOOP('',(#17041,#17043,#17045,#17047)); -#17049=FACE_OUTER_BOUND('',#17048,.F.); -#17051=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); -#17052=DIRECTION('',(0.E0,0.E0,1.E0)); -#17053=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17054=AXIS2_PLACEMENT_3D('',#17051,#17052,#17053); -#17055=PLANE('',#17054); -#17056=ORIENTED_EDGE('',*,*,#16621,.F.); -#17058=ORIENTED_EDGE('',*,*,#17057,.T.); -#17059=ORIENTED_EDGE('',*,*,#17042,.T.); -#17060=ORIENTED_EDGE('',*,*,#17031,.T.); -#17061=EDGE_LOOP('',(#17056,#17058,#17059,#17060)); -#17062=FACE_OUTER_BOUND('',#17061,.F.); -#17064=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,0.E0)); -#17065=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17066=DIRECTION('',(1.E0,0.E0,0.E0)); -#17067=AXIS2_PLACEMENT_3D('',#17064,#17065,#17066); -#17068=PLANE('',#17067); -#17069=ORIENTED_EDGE('',*,*,#17010,.T.); -#17071=ORIENTED_EDGE('',*,*,#17070,.T.); -#17072=ORIENTED_EDGE('',*,*,#11811,.F.); -#17074=ORIENTED_EDGE('',*,*,#17073,.F.); -#17076=ORIENTED_EDGE('',*,*,#17075,.F.); -#17077=ORIENTED_EDGE('',*,*,#17044,.F.); -#17078=ORIENTED_EDGE('',*,*,#17057,.F.); -#17079=ORIENTED_EDGE('',*,*,#16619,.T.); -#17080=EDGE_LOOP('',(#17069,#17071,#17072,#17074,#17076,#17077,#17078,#17079)); -#17081=FACE_OUTER_BOUND('',#17080,.F.); -#17083=CARTESIAN_POINT('',(-3.073169055660E0,2.3444208E1,0.E0)); -#17084=DIRECTION('',(1.E0,0.E0,0.E0)); -#17085=DIRECTION('',(0.E0,1.E0,0.E0)); -#17086=AXIS2_PLACEMENT_3D('',#17083,#17084,#17085); -#17087=PLANE('',#17086); -#17088=ORIENTED_EDGE('',*,*,#17008,.F.); -#17089=ORIENTED_EDGE('',*,*,#17027,.T.); -#17090=ORIENTED_EDGE('',*,*,#11813,.T.); -#17091=ORIENTED_EDGE('',*,*,#17070,.F.); -#17092=EDGE_LOOP('',(#17088,#17089,#17090,#17091)); -#17093=FACE_OUTER_BOUND('',#17092,.F.); -#17095=CARTESIAN_POINT('',(-4.749569055660E0,2.4257008E1,0.E0)); -#17096=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17097=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17098=AXIS2_PLACEMENT_3D('',#17095,#17096,#17097); -#17099=PLANE('',#17098); -#17100=ORIENTED_EDGE('',*,*,#11817,.T.); -#17101=ORIENTED_EDGE('',*,*,#17024,.F.); -#17103=ORIENTED_EDGE('',*,*,#17102,.F.); -#17104=ORIENTED_EDGE('',*,*,#17073,.T.); -#17105=EDGE_LOOP('',(#17100,#17101,#17103,#17104)); -#17106=FACE_OUTER_BOUND('',#17105,.F.); -#17108=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#17109=DIRECTION('',(0.E0,0.E0,1.E0)); -#17110=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17111=AXIS2_PLACEMENT_3D('',#17108,#17109,#17110); -#17112=PLANE('',#17111); -#17113=ORIENTED_EDGE('',*,*,#17046,.T.); -#17114=ORIENTED_EDGE('',*,*,#17075,.T.); -#17115=ORIENTED_EDGE('',*,*,#17102,.T.); -#17116=ORIENTED_EDGE('',*,*,#17022,.T.); -#17117=EDGE_LOOP('',(#17113,#17114,#17115,#17116)); -#17118=FACE_OUTER_BOUND('',#17117,.F.); -#17120=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); -#17121=DIRECTION('',(0.E0,0.E0,1.E0)); -#17122=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17123=AXIS2_PLACEMENT_3D('',#17120,#17121,#17122); -#17124=PLANE('',#17123); -#17125=ORIENTED_EDGE('',*,*,#16520,.F.); -#17127=ORIENTED_EDGE('',*,*,#17126,.F.); -#17129=ORIENTED_EDGE('',*,*,#17128,.T.); -#17131=ORIENTED_EDGE('',*,*,#17130,.F.); -#17132=EDGE_LOOP('',(#17125,#17127,#17129,#17131)); -#17133=FACE_OUTER_BOUND('',#17132,.F.); -#17135=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,0.E0)); -#17136=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17137=DIRECTION('',(1.E0,0.E0,0.E0)); -#17138=AXIS2_PLACEMENT_3D('',#17135,#17136,#17137); -#17139=PLANE('',#17138); -#17141=ORIENTED_EDGE('',*,*,#17140,.F.); -#17142=ORIENTED_EDGE('',*,*,#17126,.T.); -#17143=ORIENTED_EDGE('',*,*,#16526,.F.); -#17145=ORIENTED_EDGE('',*,*,#17144,.F.); -#17147=ORIENTED_EDGE('',*,*,#17146,.T.); -#17149=ORIENTED_EDGE('',*,*,#17148,.F.); -#17151=ORIENTED_EDGE('',*,*,#17150,.T.); -#17152=ORIENTED_EDGE('',*,*,#11825,.F.); -#17153=EDGE_LOOP('',(#17141,#17142,#17143,#17145,#17147,#17149,#17151,#17152)); -#17154=FACE_OUTER_BOUND('',#17153,.F.); -#17156=CARTESIAN_POINT('',(2.972030944340E0,2.8067008E1,0.E0)); -#17157=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17158=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17159=AXIS2_PLACEMENT_3D('',#17156,#17157,#17158); -#17160=PLANE('',#17159); -#17161=ORIENTED_EDGE('',*,*,#17128,.F.); -#17162=ORIENTED_EDGE('',*,*,#17140,.T.); -#17163=ORIENTED_EDGE('',*,*,#11823,.T.); -#17165=ORIENTED_EDGE('',*,*,#17164,.F.); -#17166=EDGE_LOOP('',(#17161,#17162,#17163,#17165)); -#17167=FACE_OUTER_BOUND('',#17166,.F.); -#17169=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,0.E0)); -#17170=DIRECTION('',(0.E0,1.E0,0.E0)); -#17171=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17172=AXIS2_PLACEMENT_3D('',#17169,#17170,#17171); -#17173=PLANE('',#17172); -#17175=ORIENTED_EDGE('',*,*,#17174,.F.); -#17177=ORIENTED_EDGE('',*,*,#17176,.F.); -#17178=ORIENTED_EDGE('',*,*,#16522,.T.); -#17179=ORIENTED_EDGE('',*,*,#17130,.T.); -#17180=ORIENTED_EDGE('',*,*,#17164,.T.); -#17181=ORIENTED_EDGE('',*,*,#11821,.F.); -#17183=ORIENTED_EDGE('',*,*,#17182,.F.); -#17185=ORIENTED_EDGE('',*,*,#17184,.F.); -#17186=EDGE_LOOP('',(#17175,#17177,#17178,#17179,#17180,#17181,#17183,#17185)); -#17187=FACE_OUTER_BOUND('',#17186,.F.); -#17189=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,0.E0)); -#17190=DIRECTION('',(1.E0,0.E0,0.E0)); -#17191=DIRECTION('',(0.E0,1.E0,0.E0)); -#17192=AXIS2_PLACEMENT_3D('',#17189,#17190,#17191); -#17193=PLANE('',#17192); -#17194=ORIENTED_EDGE('',*,*,#17146,.F.); -#17196=ORIENTED_EDGE('',*,*,#17195,.F.); -#17197=ORIENTED_EDGE('',*,*,#17174,.T.); -#17199=ORIENTED_EDGE('',*,*,#17198,.F.); -#17200=EDGE_LOOP('',(#17194,#17196,#17197,#17199)); -#17201=FACE_OUTER_BOUND('',#17200,.F.); -#17203=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); -#17204=DIRECTION('',(0.E0,0.E0,1.E0)); -#17205=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17206=AXIS2_PLACEMENT_3D('',#17203,#17204,#17205); -#17207=PLANE('',#17206); -#17208=ORIENTED_EDGE('',*,*,#16524,.T.); -#17209=ORIENTED_EDGE('',*,*,#17176,.T.); -#17210=ORIENTED_EDGE('',*,*,#17195,.T.); -#17211=ORIENTED_EDGE('',*,*,#17144,.T.); -#17212=EDGE_LOOP('',(#17208,#17209,#17210,#17211)); -#17213=FACE_OUTER_BOUND('',#17212,.F.); -#17215=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#17216=DIRECTION('',(0.E0,0.E0,1.E0)); -#17217=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17218=AXIS2_PLACEMENT_3D('',#17215,#17216,#17217); -#17219=PLANE('',#17218); -#17220=ORIENTED_EDGE('',*,*,#17198,.T.); -#17221=ORIENTED_EDGE('',*,*,#17184,.T.); -#17223=ORIENTED_EDGE('',*,*,#17222,.T.); -#17224=ORIENTED_EDGE('',*,*,#17148,.T.); -#17225=EDGE_LOOP('',(#17220,#17221,#17223,#17224)); -#17226=FACE_OUTER_BOUND('',#17225,.F.); -#17228=CARTESIAN_POINT('',(4.648430944340E0,2.7254208E1,0.E0)); -#17229=DIRECTION('',(1.E0,0.E0,0.E0)); -#17230=DIRECTION('',(0.E0,1.E0,0.E0)); -#17231=AXIS2_PLACEMENT_3D('',#17228,#17229,#17230); -#17232=PLANE('',#17231); -#17233=ORIENTED_EDGE('',*,*,#11827,.T.); -#17234=ORIENTED_EDGE('',*,*,#17150,.F.); -#17235=ORIENTED_EDGE('',*,*,#17222,.F.); -#17236=ORIENTED_EDGE('',*,*,#17182,.T.); -#17237=EDGE_LOOP('',(#17233,#17234,#17235,#17236)); -#17238=FACE_OUTER_BOUND('',#17237,.F.); -#17240=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); -#17241=DIRECTION('',(0.E0,0.E0,1.E0)); -#17242=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17243=AXIS2_PLACEMENT_3D('',#17240,#17241,#17242); -#17244=PLANE('',#17243); -#17245=ORIENTED_EDGE('',*,*,#16530,.F.); -#17247=ORIENTED_EDGE('',*,*,#17246,.F.); -#17249=ORIENTED_EDGE('',*,*,#17248,.T.); -#17251=ORIENTED_EDGE('',*,*,#17250,.F.); -#17252=EDGE_LOOP('',(#17245,#17247,#17249,#17251)); -#17253=FACE_OUTER_BOUND('',#17252,.F.); -#17255=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,0.E0)); -#17256=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17257=DIRECTION('',(1.E0,0.E0,0.E0)); -#17258=AXIS2_PLACEMENT_3D('',#17255,#17256,#17257); -#17259=PLANE('',#17258); -#17261=ORIENTED_EDGE('',*,*,#17260,.F.); -#17262=ORIENTED_EDGE('',*,*,#17246,.T.); -#17263=ORIENTED_EDGE('',*,*,#16536,.F.); -#17265=ORIENTED_EDGE('',*,*,#17264,.F.); -#17267=ORIENTED_EDGE('',*,*,#17266,.F.); -#17269=ORIENTED_EDGE('',*,*,#17268,.F.); -#17271=ORIENTED_EDGE('',*,*,#17270,.T.); -#17272=ORIENTED_EDGE('',*,*,#11835,.F.); -#17273=EDGE_LOOP('',(#17261,#17262,#17263,#17265,#17267,#17269,#17271,#17272)); -#17274=FACE_OUTER_BOUND('',#17273,.F.); -#17276=CARTESIAN_POINT('',(2.972030944340E0,2.6797008E1,0.E0)); -#17277=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17278=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17279=AXIS2_PLACEMENT_3D('',#17276,#17277,#17278); -#17280=PLANE('',#17279); -#17281=ORIENTED_EDGE('',*,*,#17248,.F.); -#17282=ORIENTED_EDGE('',*,*,#17260,.T.); -#17283=ORIENTED_EDGE('',*,*,#11833,.T.); -#17285=ORIENTED_EDGE('',*,*,#17284,.F.); -#17286=EDGE_LOOP('',(#17281,#17282,#17283,#17285)); -#17287=FACE_OUTER_BOUND('',#17286,.F.); -#17289=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,0.E0)); -#17290=DIRECTION('',(0.E0,1.E0,0.E0)); -#17291=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17292=AXIS2_PLACEMENT_3D('',#17289,#17290,#17291); -#17293=PLANE('',#17292); -#17295=ORIENTED_EDGE('',*,*,#17294,.F.); -#17297=ORIENTED_EDGE('',*,*,#17296,.F.); -#17298=ORIENTED_EDGE('',*,*,#16532,.T.); -#17299=ORIENTED_EDGE('',*,*,#17250,.T.); -#17300=ORIENTED_EDGE('',*,*,#17284,.T.); -#17301=ORIENTED_EDGE('',*,*,#11831,.F.); -#17303=ORIENTED_EDGE('',*,*,#17302,.F.); -#17305=ORIENTED_EDGE('',*,*,#17304,.F.); -#17306=EDGE_LOOP('',(#17295,#17297,#17298,#17299,#17300,#17301,#17303,#17305)); -#17307=FACE_OUTER_BOUND('',#17306,.F.); -#17309=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,0.E0)); -#17310=DIRECTION('',(1.E0,0.E0,0.E0)); -#17311=DIRECTION('',(0.E0,1.E0,0.E0)); -#17312=AXIS2_PLACEMENT_3D('',#17309,#17310,#17311); -#17313=PLANE('',#17312); -#17314=ORIENTED_EDGE('',*,*,#17266,.T.); -#17316=ORIENTED_EDGE('',*,*,#17315,.F.); -#17317=ORIENTED_EDGE('',*,*,#17294,.T.); -#17319=ORIENTED_EDGE('',*,*,#17318,.T.); -#17320=EDGE_LOOP('',(#17314,#17316,#17317,#17319)); -#17321=FACE_OUTER_BOUND('',#17320,.F.); -#17323=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); -#17324=DIRECTION('',(0.E0,0.E0,1.E0)); -#17325=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17326=AXIS2_PLACEMENT_3D('',#17323,#17324,#17325); -#17327=PLANE('',#17326); -#17328=ORIENTED_EDGE('',*,*,#16534,.T.); -#17329=ORIENTED_EDGE('',*,*,#17296,.T.); -#17330=ORIENTED_EDGE('',*,*,#17315,.T.); -#17331=ORIENTED_EDGE('',*,*,#17264,.T.); -#17332=EDGE_LOOP('',(#17328,#17329,#17330,#17331)); -#17333=FACE_OUTER_BOUND('',#17332,.F.); -#17335=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#17336=DIRECTION('',(0.E0,0.E0,1.E0)); -#17337=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17338=AXIS2_PLACEMENT_3D('',#17335,#17336,#17337); -#17339=PLANE('',#17338); -#17340=ORIENTED_EDGE('',*,*,#17318,.F.); -#17341=ORIENTED_EDGE('',*,*,#17304,.T.); -#17343=ORIENTED_EDGE('',*,*,#17342,.T.); -#17344=ORIENTED_EDGE('',*,*,#17268,.T.); -#17345=EDGE_LOOP('',(#17340,#17341,#17343,#17344)); -#17346=FACE_OUTER_BOUND('',#17345,.F.); -#17348=CARTESIAN_POINT('',(4.648430944340E0,2.5984208E1,0.E0)); -#17349=DIRECTION('',(1.E0,0.E0,0.E0)); -#17350=DIRECTION('',(0.E0,1.E0,0.E0)); -#17351=AXIS2_PLACEMENT_3D('',#17348,#17349,#17350); -#17352=PLANE('',#17351); -#17353=ORIENTED_EDGE('',*,*,#11837,.T.); -#17354=ORIENTED_EDGE('',*,*,#17270,.F.); -#17355=ORIENTED_EDGE('',*,*,#17342,.F.); -#17356=ORIENTED_EDGE('',*,*,#17302,.T.); -#17357=EDGE_LOOP('',(#17353,#17354,#17355,#17356)); -#17358=FACE_OUTER_BOUND('',#17357,.F.); -#17360=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); -#17361=DIRECTION('',(0.E0,0.E0,1.E0)); -#17362=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17363=AXIS2_PLACEMENT_3D('',#17360,#17361,#17362); -#17364=PLANE('',#17363); -#17365=ORIENTED_EDGE('',*,*,#16540,.F.); -#17367=ORIENTED_EDGE('',*,*,#17366,.F.); -#17369=ORIENTED_EDGE('',*,*,#17368,.T.); -#17371=ORIENTED_EDGE('',*,*,#17370,.F.); -#17372=EDGE_LOOP('',(#17365,#17367,#17369,#17371)); -#17373=FACE_OUTER_BOUND('',#17372,.F.); -#17375=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,0.E0)); -#17376=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17377=DIRECTION('',(1.E0,0.E0,0.E0)); -#17378=AXIS2_PLACEMENT_3D('',#17375,#17376,#17377); -#17379=PLANE('',#17378); -#17381=ORIENTED_EDGE('',*,*,#17380,.F.); -#17382=ORIENTED_EDGE('',*,*,#17366,.T.); -#17383=ORIENTED_EDGE('',*,*,#16546,.F.); -#17385=ORIENTED_EDGE('',*,*,#17384,.F.); -#17387=ORIENTED_EDGE('',*,*,#17386,.T.); -#17389=ORIENTED_EDGE('',*,*,#17388,.F.); -#17391=ORIENTED_EDGE('',*,*,#17390,.T.); -#17392=ORIENTED_EDGE('',*,*,#11845,.F.); -#17393=EDGE_LOOP('',(#17381,#17382,#17383,#17385,#17387,#17389,#17391,#17392)); -#17394=FACE_OUTER_BOUND('',#17393,.F.); -#17396=CARTESIAN_POINT('',(2.972030944340E0,2.5527008E1,0.E0)); -#17397=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17398=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17399=AXIS2_PLACEMENT_3D('',#17396,#17397,#17398); -#17400=PLANE('',#17399); -#17401=ORIENTED_EDGE('',*,*,#17368,.F.); -#17402=ORIENTED_EDGE('',*,*,#17380,.T.); -#17403=ORIENTED_EDGE('',*,*,#11843,.T.); -#17405=ORIENTED_EDGE('',*,*,#17404,.F.); -#17406=EDGE_LOOP('',(#17401,#17402,#17403,#17405)); -#17407=FACE_OUTER_BOUND('',#17406,.F.); -#17409=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,0.E0)); -#17410=DIRECTION('',(0.E0,1.E0,0.E0)); -#17411=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17412=AXIS2_PLACEMENT_3D('',#17409,#17410,#17411); -#17413=PLANE('',#17412); -#17415=ORIENTED_EDGE('',*,*,#17414,.F.); -#17417=ORIENTED_EDGE('',*,*,#17416,.F.); -#17418=ORIENTED_EDGE('',*,*,#16542,.T.); -#17419=ORIENTED_EDGE('',*,*,#17370,.T.); -#17420=ORIENTED_EDGE('',*,*,#17404,.T.); -#17421=ORIENTED_EDGE('',*,*,#11841,.F.); -#17423=ORIENTED_EDGE('',*,*,#17422,.F.); -#17425=ORIENTED_EDGE('',*,*,#17424,.F.); -#17426=EDGE_LOOP('',(#17415,#17417,#17418,#17419,#17420,#17421,#17423,#17425)); -#17427=FACE_OUTER_BOUND('',#17426,.F.); -#17429=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,0.E0)); -#17430=DIRECTION('',(1.E0,0.E0,0.E0)); -#17431=DIRECTION('',(0.E0,1.E0,0.E0)); -#17432=AXIS2_PLACEMENT_3D('',#17429,#17430,#17431); -#17433=PLANE('',#17432); -#17434=ORIENTED_EDGE('',*,*,#17386,.F.); -#17436=ORIENTED_EDGE('',*,*,#17435,.F.); -#17437=ORIENTED_EDGE('',*,*,#17414,.T.); -#17439=ORIENTED_EDGE('',*,*,#17438,.T.); -#17440=EDGE_LOOP('',(#17434,#17436,#17437,#17439)); -#17441=FACE_OUTER_BOUND('',#17440,.F.); -#17443=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); -#17444=DIRECTION('',(0.E0,0.E0,1.E0)); -#17445=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17446=AXIS2_PLACEMENT_3D('',#17443,#17444,#17445); -#17447=PLANE('',#17446); -#17448=ORIENTED_EDGE('',*,*,#16544,.T.); -#17449=ORIENTED_EDGE('',*,*,#17416,.T.); -#17450=ORIENTED_EDGE('',*,*,#17435,.T.); -#17451=ORIENTED_EDGE('',*,*,#17384,.T.); -#17452=EDGE_LOOP('',(#17448,#17449,#17450,#17451)); -#17453=FACE_OUTER_BOUND('',#17452,.F.); -#17455=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#17456=DIRECTION('',(0.E0,0.E0,1.E0)); -#17457=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17458=AXIS2_PLACEMENT_3D('',#17455,#17456,#17457); -#17459=PLANE('',#17458); -#17460=ORIENTED_EDGE('',*,*,#17438,.F.); -#17461=ORIENTED_EDGE('',*,*,#17424,.T.); -#17463=ORIENTED_EDGE('',*,*,#17462,.T.); -#17464=ORIENTED_EDGE('',*,*,#17388,.T.); -#17465=EDGE_LOOP('',(#17460,#17461,#17463,#17464)); -#17466=FACE_OUTER_BOUND('',#17465,.F.); -#17468=CARTESIAN_POINT('',(4.648430944340E0,2.4714208E1,0.E0)); -#17469=DIRECTION('',(1.E0,0.E0,0.E0)); -#17470=DIRECTION('',(0.E0,1.E0,0.E0)); -#17471=AXIS2_PLACEMENT_3D('',#17468,#17469,#17470); -#17472=PLANE('',#17471); -#17473=ORIENTED_EDGE('',*,*,#11847,.T.); -#17474=ORIENTED_EDGE('',*,*,#17390,.F.); -#17475=ORIENTED_EDGE('',*,*,#17462,.F.); -#17476=ORIENTED_EDGE('',*,*,#17422,.T.); -#17477=EDGE_LOOP('',(#17473,#17474,#17475,#17476)); -#17478=FACE_OUTER_BOUND('',#17477,.F.); -#17480=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); -#17481=DIRECTION('',(0.E0,0.E0,1.E0)); -#17482=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17483=AXIS2_PLACEMENT_3D('',#17480,#17481,#17482); -#17484=PLANE('',#17483); -#17485=ORIENTED_EDGE('',*,*,#16550,.F.); -#17487=ORIENTED_EDGE('',*,*,#17486,.F.); -#17489=ORIENTED_EDGE('',*,*,#17488,.T.); -#17491=ORIENTED_EDGE('',*,*,#17490,.F.); -#17492=EDGE_LOOP('',(#17485,#17487,#17489,#17491)); -#17493=FACE_OUTER_BOUND('',#17492,.F.); -#17495=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,0.E0)); -#17496=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17497=DIRECTION('',(1.E0,0.E0,0.E0)); -#17498=AXIS2_PLACEMENT_3D('',#17495,#17496,#17497); -#17499=PLANE('',#17498); -#17501=ORIENTED_EDGE('',*,*,#17500,.F.); -#17502=ORIENTED_EDGE('',*,*,#17486,.T.); -#17503=ORIENTED_EDGE('',*,*,#16556,.F.); -#17505=ORIENTED_EDGE('',*,*,#17504,.F.); -#17507=ORIENTED_EDGE('',*,*,#17506,.F.); -#17509=ORIENTED_EDGE('',*,*,#17508,.F.); -#17511=ORIENTED_EDGE('',*,*,#17510,.T.); -#17512=ORIENTED_EDGE('',*,*,#11855,.F.); -#17513=EDGE_LOOP('',(#17501,#17502,#17503,#17505,#17507,#17509,#17511,#17512)); -#17514=FACE_OUTER_BOUND('',#17513,.F.); -#17516=CARTESIAN_POINT('',(2.972030944340E0,2.4257008E1,0.E0)); -#17517=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17518=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17519=AXIS2_PLACEMENT_3D('',#17516,#17517,#17518); -#17520=PLANE('',#17519); -#17521=ORIENTED_EDGE('',*,*,#17488,.F.); -#17522=ORIENTED_EDGE('',*,*,#17500,.T.); -#17523=ORIENTED_EDGE('',*,*,#11853,.T.); -#17525=ORIENTED_EDGE('',*,*,#17524,.F.); -#17526=EDGE_LOOP('',(#17521,#17522,#17523,#17525)); -#17527=FACE_OUTER_BOUND('',#17526,.F.); -#17529=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,0.E0)); -#17530=DIRECTION('',(0.E0,1.E0,0.E0)); -#17531=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17532=AXIS2_PLACEMENT_3D('',#17529,#17530,#17531); -#17533=PLANE('',#17532); -#17535=ORIENTED_EDGE('',*,*,#17534,.F.); -#17537=ORIENTED_EDGE('',*,*,#17536,.F.); -#17538=ORIENTED_EDGE('',*,*,#16552,.T.); -#17539=ORIENTED_EDGE('',*,*,#17490,.T.); -#17540=ORIENTED_EDGE('',*,*,#17524,.T.); -#17541=ORIENTED_EDGE('',*,*,#11851,.F.); -#17543=ORIENTED_EDGE('',*,*,#17542,.F.); -#17545=ORIENTED_EDGE('',*,*,#17544,.F.); -#17546=EDGE_LOOP('',(#17535,#17537,#17538,#17539,#17540,#17541,#17543,#17545)); -#17547=FACE_OUTER_BOUND('',#17546,.F.); -#17549=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,0.E0)); -#17550=DIRECTION('',(1.E0,0.E0,0.E0)); -#17551=DIRECTION('',(0.E0,1.E0,0.E0)); -#17552=AXIS2_PLACEMENT_3D('',#17549,#17550,#17551); -#17553=PLANE('',#17552); -#17554=ORIENTED_EDGE('',*,*,#17506,.T.); -#17556=ORIENTED_EDGE('',*,*,#17555,.F.); -#17557=ORIENTED_EDGE('',*,*,#17534,.T.); -#17559=ORIENTED_EDGE('',*,*,#17558,.T.); -#17560=EDGE_LOOP('',(#17554,#17556,#17557,#17559)); -#17561=FACE_OUTER_BOUND('',#17560,.F.); -#17563=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); -#17564=DIRECTION('',(0.E0,0.E0,1.E0)); -#17565=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17566=AXIS2_PLACEMENT_3D('',#17563,#17564,#17565); -#17567=PLANE('',#17566); -#17568=ORIENTED_EDGE('',*,*,#16554,.T.); -#17569=ORIENTED_EDGE('',*,*,#17536,.T.); -#17570=ORIENTED_EDGE('',*,*,#17555,.T.); -#17571=ORIENTED_EDGE('',*,*,#17504,.T.); -#17572=EDGE_LOOP('',(#17568,#17569,#17570,#17571)); -#17573=FACE_OUTER_BOUND('',#17572,.F.); -#17575=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#17576=DIRECTION('',(0.E0,0.E0,1.E0)); -#17577=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17578=AXIS2_PLACEMENT_3D('',#17575,#17576,#17577); -#17579=PLANE('',#17578); -#17580=ORIENTED_EDGE('',*,*,#17558,.F.); -#17581=ORIENTED_EDGE('',*,*,#17544,.T.); -#17583=ORIENTED_EDGE('',*,*,#17582,.T.); -#17584=ORIENTED_EDGE('',*,*,#17508,.T.); -#17585=EDGE_LOOP('',(#17580,#17581,#17583,#17584)); -#17586=FACE_OUTER_BOUND('',#17585,.F.); -#17588=CARTESIAN_POINT('',(4.648430944340E0,2.3444208E1,0.E0)); -#17589=DIRECTION('',(1.E0,0.E0,0.E0)); -#17590=DIRECTION('',(0.E0,1.E0,0.E0)); -#17591=AXIS2_PLACEMENT_3D('',#17588,#17589,#17590); -#17592=PLANE('',#17591); -#17593=ORIENTED_EDGE('',*,*,#11857,.T.); -#17594=ORIENTED_EDGE('',*,*,#17510,.F.); -#17595=ORIENTED_EDGE('',*,*,#17582,.F.); -#17596=ORIENTED_EDGE('',*,*,#17542,.T.); -#17597=EDGE_LOOP('',(#17593,#17594,#17595,#17596)); -#17598=FACE_OUTER_BOUND('',#17597,.F.); -#17600=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,0.E0)); -#17601=DIRECTION('',(0.E0,1.E0,0.E0)); -#17602=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17603=AXIS2_PLACEMENT_3D('',#17600,#17601,#17602); -#17604=PLANE('',#17603); -#17605=ORIENTED_EDGE('',*,*,#11861,.T.); -#17607=ORIENTED_EDGE('',*,*,#17606,.F.); -#17609=ORIENTED_EDGE('',*,*,#17608,.F.); -#17611=ORIENTED_EDGE('',*,*,#17610,.T.); -#17612=EDGE_LOOP('',(#17605,#17607,#17609,#17611)); -#17613=FACE_OUTER_BOUND('',#17612,.F.); -#17615=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,0.E0)); -#17616=DIRECTION('',(1.E0,0.E0,0.E0)); -#17617=DIRECTION('',(0.E0,1.E0,0.E0)); -#17618=AXIS2_PLACEMENT_3D('',#17615,#17616,#17617); -#17619=PLANE('',#17618); -#17620=ORIENTED_EDGE('',*,*,#11899,.T.); -#17622=ORIENTED_EDGE('',*,*,#17621,.F.); -#17624=ORIENTED_EDGE('',*,*,#17623,.F.); -#17625=ORIENTED_EDGE('',*,*,#17606,.T.); -#17626=EDGE_LOOP('',(#17620,#17622,#17624,#17625)); -#17627=FACE_OUTER_BOUND('',#17626,.F.); -#17629=CARTESIAN_POINT('',(4.211525944340E0,3.9159137E1,0.E0)); -#17630=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17631=DIRECTION('',(1.E0,0.E0,0.E0)); -#17632=AXIS2_PLACEMENT_3D('',#17629,#17630,#17631); -#17633=PLANE('',#17632); -#17634=ORIENTED_EDGE('',*,*,#11897,.T.); -#17636=ORIENTED_EDGE('',*,*,#17635,.F.); -#17638=ORIENTED_EDGE('',*,*,#17637,.F.); -#17639=ORIENTED_EDGE('',*,*,#17621,.T.); -#17640=EDGE_LOOP('',(#17634,#17636,#17638,#17639)); -#17641=FACE_OUTER_BOUND('',#17640,.F.); -#17643=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,0.E0)); -#17644=DIRECTION('',(1.E0,0.E0,0.E0)); -#17645=DIRECTION('',(0.E0,1.E0,0.E0)); -#17646=AXIS2_PLACEMENT_3D('',#17643,#17644,#17645); -#17647=PLANE('',#17646); -#17649=ORIENTED_EDGE('',*,*,#17648,.T.); -#17650=ORIENTED_EDGE('',*,*,#17635,.T.); -#17651=ORIENTED_EDGE('',*,*,#11895,.T.); -#17653=ORIENTED_EDGE('',*,*,#17652,.F.); -#17655=ORIENTED_EDGE('',*,*,#17654,.F.); -#17657=ORIENTED_EDGE('',*,*,#17656,.T.); -#17658=ORIENTED_EDGE('',*,*,#11863,.T.); -#17659=ORIENTED_EDGE('',*,*,#17610,.F.); -#17660=EDGE_LOOP('',(#17649,#17650,#17651,#17653,#17655,#17657,#17658,#17659)); -#17661=FACE_OUTER_BOUND('',#17660,.F.); -#17663=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); -#17664=DIRECTION('',(0.E0,0.E0,1.E0)); -#17665=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17666=AXIS2_PLACEMENT_3D('',#17663,#17664,#17665); -#17667=PLANE('',#17666); -#17668=ORIENTED_EDGE('',*,*,#17648,.F.); -#17669=ORIENTED_EDGE('',*,*,#17608,.T.); -#17670=ORIENTED_EDGE('',*,*,#17623,.T.); -#17671=ORIENTED_EDGE('',*,*,#17637,.T.); -#17672=EDGE_LOOP('',(#17668,#17669,#17670,#17671)); -#17673=FACE_OUTER_BOUND('',#17672,.F.); -#17675=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,0.E0)); -#17676=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17677=DIRECTION('',(1.E0,0.E0,0.E0)); -#17678=AXIS2_PLACEMENT_3D('',#17675,#17676,#17677); -#17679=PLANE('',#17678); -#17681=ORIENTED_EDGE('',*,*,#17680,.T.); -#17683=ORIENTED_EDGE('',*,*,#17682,.T.); -#17684=ORIENTED_EDGE('',*,*,#11885,.T.); -#17686=ORIENTED_EDGE('',*,*,#17685,.F.); -#17688=ORIENTED_EDGE('',*,*,#17687,.F.); -#17689=ORIENTED_EDGE('',*,*,#17652,.T.); -#17690=ORIENTED_EDGE('',*,*,#11893,.T.); -#17692=ORIENTED_EDGE('',*,*,#17691,.F.); -#17693=EDGE_LOOP('',(#17681,#17683,#17684,#17686,#17688,#17689,#17690,#17692)); -#17694=FACE_OUTER_BOUND('',#17693,.F.); -#17696=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); -#17697=DIRECTION('',(0.E0,0.E0,1.E0)); -#17698=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17699=AXIS2_PLACEMENT_3D('',#17696,#17697,#17698); -#17700=PLANE('',#17699); -#17701=ORIENTED_EDGE('',*,*,#17680,.F.); -#17703=ORIENTED_EDGE('',*,*,#17702,.T.); -#17705=ORIENTED_EDGE('',*,*,#17704,.T.); -#17707=ORIENTED_EDGE('',*,*,#17706,.T.); -#17708=EDGE_LOOP('',(#17701,#17703,#17705,#17707)); -#17709=FACE_OUTER_BOUND('',#17708,.F.); -#17711=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,0.E0)); -#17712=DIRECTION('',(1.E0,0.E0,0.E0)); -#17713=DIRECTION('',(0.E0,1.E0,0.E0)); -#17714=AXIS2_PLACEMENT_3D('',#17711,#17712,#17713); -#17715=PLANE('',#17714); -#17716=ORIENTED_EDGE('',*,*,#11891,.T.); -#17718=ORIENTED_EDGE('',*,*,#17717,.F.); -#17719=ORIENTED_EDGE('',*,*,#17702,.F.); -#17720=ORIENTED_EDGE('',*,*,#17691,.T.); -#17721=EDGE_LOOP('',(#17716,#17718,#17719,#17720)); -#17722=FACE_OUTER_BOUND('',#17721,.F.); -#17724=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,0.E0)); -#17725=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17726=DIRECTION('',(1.E0,0.E0,0.E0)); -#17727=AXIS2_PLACEMENT_3D('',#17724,#17725,#17726); -#17728=PLANE('',#17727); -#17729=ORIENTED_EDGE('',*,*,#11889,.T.); -#17731=ORIENTED_EDGE('',*,*,#17730,.F.); -#17732=ORIENTED_EDGE('',*,*,#17704,.F.); -#17733=ORIENTED_EDGE('',*,*,#17717,.T.); -#17734=EDGE_LOOP('',(#17729,#17731,#17732,#17733)); -#17735=FACE_OUTER_BOUND('',#17734,.F.); -#17737=CARTESIAN_POINT('',(-2.166287055660E0,3.8384513E1,0.E0)); -#17738=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17739=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17740=AXIS2_PLACEMENT_3D('',#17737,#17738,#17739); -#17741=PLANE('',#17740); -#17742=ORIENTED_EDGE('',*,*,#11887,.T.); -#17743=ORIENTED_EDGE('',*,*,#17682,.F.); -#17744=ORIENTED_EDGE('',*,*,#17706,.F.); -#17745=ORIENTED_EDGE('',*,*,#17730,.T.); -#17746=EDGE_LOOP('',(#17742,#17743,#17744,#17745)); -#17747=FACE_OUTER_BOUND('',#17746,.F.); -#17749=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,0.E0)); -#17750=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17751=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17752=AXIS2_PLACEMENT_3D('',#17749,#17750,#17751); -#17753=PLANE('',#17752); -#17755=ORIENTED_EDGE('',*,*,#17754,.T.); -#17757=ORIENTED_EDGE('',*,*,#17756,.T.); -#17758=ORIENTED_EDGE('',*,*,#11875,.T.); -#17760=ORIENTED_EDGE('',*,*,#17759,.F.); -#17762=ORIENTED_EDGE('',*,*,#17761,.F.); -#17763=ORIENTED_EDGE('',*,*,#17685,.T.); -#17764=ORIENTED_EDGE('',*,*,#11883,.T.); -#17766=ORIENTED_EDGE('',*,*,#17765,.F.); -#17767=EDGE_LOOP('',(#17755,#17757,#17758,#17760,#17762,#17763,#17764,#17766)); -#17768=FACE_OUTER_BOUND('',#17767,.F.); -#17770=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); -#17771=DIRECTION('',(0.E0,0.E0,1.E0)); -#17772=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17773=AXIS2_PLACEMENT_3D('',#17770,#17771,#17772); -#17774=PLANE('',#17773); -#17775=ORIENTED_EDGE('',*,*,#17754,.F.); -#17777=ORIENTED_EDGE('',*,*,#17776,.T.); -#17779=ORIENTED_EDGE('',*,*,#17778,.T.); -#17781=ORIENTED_EDGE('',*,*,#17780,.T.); -#17782=EDGE_LOOP('',(#17775,#17777,#17779,#17781)); -#17783=FACE_OUTER_BOUND('',#17782,.F.); -#17785=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,0.E0)); -#17786=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17787=DIRECTION('',(1.E0,0.E0,0.E0)); -#17788=AXIS2_PLACEMENT_3D('',#17785,#17786,#17787); -#17789=PLANE('',#17788); -#17790=ORIENTED_EDGE('',*,*,#11881,.T.); -#17792=ORIENTED_EDGE('',*,*,#17791,.F.); -#17793=ORIENTED_EDGE('',*,*,#17776,.F.); -#17794=ORIENTED_EDGE('',*,*,#17765,.T.); -#17795=EDGE_LOOP('',(#17790,#17792,#17793,#17794)); -#17796=FACE_OUTER_BOUND('',#17795,.F.); -#17798=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,0.E0)); -#17799=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17800=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17801=AXIS2_PLACEMENT_3D('',#17798,#17799,#17800); -#17802=PLANE('',#17801); -#17803=ORIENTED_EDGE('',*,*,#11879,.T.); -#17805=ORIENTED_EDGE('',*,*,#17804,.F.); -#17806=ORIENTED_EDGE('',*,*,#17778,.F.); -#17807=ORIENTED_EDGE('',*,*,#17791,.T.); -#17808=EDGE_LOOP('',(#17803,#17805,#17806,#17807)); -#17809=FACE_OUTER_BOUND('',#17808,.F.); -#17811=CARTESIAN_POINT('',(-2.940911055660E0,4.4762326E1,0.E0)); -#17812=DIRECTION('',(0.E0,1.E0,0.E0)); -#17813=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17814=AXIS2_PLACEMENT_3D('',#17811,#17812,#17813); -#17815=PLANE('',#17814); -#17816=ORIENTED_EDGE('',*,*,#11877,.T.); -#17817=ORIENTED_EDGE('',*,*,#17756,.F.); -#17818=ORIENTED_EDGE('',*,*,#17780,.F.); -#17819=ORIENTED_EDGE('',*,*,#17804,.T.); -#17820=EDGE_LOOP('',(#17816,#17817,#17818,#17819)); -#17821=FACE_OUTER_BOUND('',#17820,.F.); -#17823=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,0.E0)); -#17824=DIRECTION('',(0.E0,1.E0,0.E0)); -#17825=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17826=AXIS2_PLACEMENT_3D('',#17823,#17824,#17825); -#17827=PLANE('',#17826); -#17829=ORIENTED_EDGE('',*,*,#17828,.T.); -#17831=ORIENTED_EDGE('',*,*,#17830,.T.); -#17832=ORIENTED_EDGE('',*,*,#11865,.T.); -#17833=ORIENTED_EDGE('',*,*,#17656,.F.); -#17835=ORIENTED_EDGE('',*,*,#17834,.F.); -#17836=ORIENTED_EDGE('',*,*,#17759,.T.); -#17837=ORIENTED_EDGE('',*,*,#11873,.T.); -#17839=ORIENTED_EDGE('',*,*,#17838,.F.); -#17840=EDGE_LOOP('',(#17829,#17831,#17832,#17833,#17835,#17836,#17837,#17839)); -#17841=FACE_OUTER_BOUND('',#17840,.F.); -#17843=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); -#17844=DIRECTION('',(0.E0,0.E0,1.E0)); -#17845=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17846=AXIS2_PLACEMENT_3D('',#17843,#17844,#17845); -#17847=PLANE('',#17846); -#17848=ORIENTED_EDGE('',*,*,#17828,.F.); -#17850=ORIENTED_EDGE('',*,*,#17849,.T.); -#17852=ORIENTED_EDGE('',*,*,#17851,.T.); -#17854=ORIENTED_EDGE('',*,*,#17853,.T.); -#17855=EDGE_LOOP('',(#17848,#17850,#17852,#17854)); -#17856=FACE_OUTER_BOUND('',#17855,.F.); -#17858=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,0.E0)); -#17859=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17860=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17861=AXIS2_PLACEMENT_3D('',#17858,#17859,#17860); -#17862=PLANE('',#17861); -#17863=ORIENTED_EDGE('',*,*,#11871,.T.); -#17865=ORIENTED_EDGE('',*,*,#17864,.F.); -#17866=ORIENTED_EDGE('',*,*,#17849,.F.); -#17867=ORIENTED_EDGE('',*,*,#17838,.T.); -#17868=EDGE_LOOP('',(#17863,#17865,#17866,#17867)); -#17869=FACE_OUTER_BOUND('',#17868,.F.); -#17871=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,0.E0)); -#17872=DIRECTION('',(0.E0,1.E0,0.E0)); -#17873=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17874=AXIS2_PLACEMENT_3D('',#17871,#17872,#17873); -#17875=PLANE('',#17874); -#17876=ORIENTED_EDGE('',*,*,#11869,.T.); -#17878=ORIENTED_EDGE('',*,*,#17877,.F.); -#17879=ORIENTED_EDGE('',*,*,#17851,.F.); -#17880=ORIENTED_EDGE('',*,*,#17864,.T.); -#17881=EDGE_LOOP('',(#17876,#17878,#17879,#17880)); -#17882=FACE_OUTER_BOUND('',#17881,.F.); -#17884=CARTESIAN_POINT('',(3.436901944340E0,4.553695E1,0.E0)); -#17885=DIRECTION('',(1.E0,0.E0,0.E0)); -#17886=DIRECTION('',(0.E0,1.E0,0.E0)); -#17887=AXIS2_PLACEMENT_3D('',#17884,#17885,#17886); -#17888=PLANE('',#17887); -#17889=ORIENTED_EDGE('',*,*,#11867,.T.); -#17890=ORIENTED_EDGE('',*,*,#17830,.F.); -#17891=ORIENTED_EDGE('',*,*,#17853,.F.); -#17892=ORIENTED_EDGE('',*,*,#17877,.T.); -#17893=EDGE_LOOP('',(#17889,#17890,#17891,#17892)); -#17894=FACE_OUTER_BOUND('',#17893,.F.); -#17896=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#17897=DIRECTION('',(0.E0,0.E0,1.E0)); -#17898=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17899=AXIS2_PLACEMENT_3D('',#17896,#17897,#17898); -#17900=PLANE('',#17899); -#17901=ORIENTED_EDGE('',*,*,#17761,.T.); -#17902=ORIENTED_EDGE('',*,*,#17834,.T.); -#17903=ORIENTED_EDGE('',*,*,#17654,.T.); -#17904=ORIENTED_EDGE('',*,*,#17687,.T.); -#17905=EDGE_LOOP('',(#17901,#17902,#17903,#17904)); -#17906=FACE_OUTER_BOUND('',#17905,.F.); -#17908=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,0.E0)); -#17909=DIRECTION('',(0.E0,1.E0,0.E0)); -#17910=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17911=AXIS2_PLACEMENT_3D('',#17908,#17909,#17910); -#17912=PLANE('',#17911); -#17913=ORIENTED_EDGE('',*,*,#11903,.T.); -#17915=ORIENTED_EDGE('',*,*,#17914,.F.); -#17917=ORIENTED_EDGE('',*,*,#17916,.F.); -#17919=ORIENTED_EDGE('',*,*,#17918,.T.); -#17920=EDGE_LOOP('',(#17913,#17915,#17917,#17919)); -#17921=FACE_OUTER_BOUND('',#17920,.F.); -#17923=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,0.E0)); -#17924=DIRECTION('',(1.E0,0.E0,0.E0)); -#17925=DIRECTION('',(0.E0,1.E0,0.E0)); -#17926=AXIS2_PLACEMENT_3D('',#17923,#17924,#17925); -#17927=PLANE('',#17926); -#17928=ORIENTED_EDGE('',*,*,#11925,.T.); -#17930=ORIENTED_EDGE('',*,*,#17929,.F.); -#17932=ORIENTED_EDGE('',*,*,#17931,.F.); -#17933=ORIENTED_EDGE('',*,*,#17914,.T.); -#17934=EDGE_LOOP('',(#17928,#17930,#17932,#17933)); -#17935=FACE_OUTER_BOUND('',#17934,.F.); -#17937=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,0.E0)); -#17938=DIRECTION('',(0.E0,1.E0,0.E0)); -#17939=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17940=AXIS2_PLACEMENT_3D('',#17937,#17938,#17939); -#17941=PLANE('',#17940); -#17943=ORIENTED_EDGE('',*,*,#17942,.F.); -#17944=ORIENTED_EDGE('',*,*,#17929,.T.); -#17945=ORIENTED_EDGE('',*,*,#11923,.T.); -#17947=ORIENTED_EDGE('',*,*,#17946,.F.); -#17949=ORIENTED_EDGE('',*,*,#17948,.F.); -#17951=ORIENTED_EDGE('',*,*,#17950,.T.); -#17952=ORIENTED_EDGE('',*,*,#11907,.T.); -#17954=ORIENTED_EDGE('',*,*,#17953,.F.); -#17955=EDGE_LOOP('',(#17943,#17944,#17945,#17947,#17949,#17951,#17952,#17954)); -#17956=FACE_OUTER_BOUND('',#17955,.F.); -#17958=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); -#17959=DIRECTION('',(0.E0,0.E0,1.E0)); -#17960=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17961=AXIS2_PLACEMENT_3D('',#17958,#17959,#17960); -#17962=PLANE('',#17961); -#17963=ORIENTED_EDGE('',*,*,#17942,.T.); -#17965=ORIENTED_EDGE('',*,*,#17964,.T.); -#17966=ORIENTED_EDGE('',*,*,#17916,.T.); -#17967=ORIENTED_EDGE('',*,*,#17931,.T.); -#17968=EDGE_LOOP('',(#17963,#17965,#17966,#17967)); -#17969=FACE_OUTER_BOUND('',#17968,.F.); -#17971=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,0.E0)); -#17972=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17973=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17974=AXIS2_PLACEMENT_3D('',#17971,#17972,#17973); -#17975=PLANE('',#17974); -#17976=ORIENTED_EDGE('',*,*,#11905,.T.); -#17977=ORIENTED_EDGE('',*,*,#17918,.F.); -#17978=ORIENTED_EDGE('',*,*,#17964,.F.); -#17979=ORIENTED_EDGE('',*,*,#17953,.T.); -#17980=EDGE_LOOP('',(#17976,#17977,#17978,#17979)); -#17981=FACE_OUTER_BOUND('',#17980,.F.); -#17983=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,0.E0)); -#17984=DIRECTION('',(1.E0,0.E0,0.E0)); -#17985=DIRECTION('',(0.E0,1.E0,0.E0)); -#17986=AXIS2_PLACEMENT_3D('',#17983,#17984,#17985); -#17987=PLANE('',#17986); -#17988=ORIENTED_EDGE('',*,*,#11921,.T.); -#17990=ORIENTED_EDGE('',*,*,#17989,.F.); -#17992=ORIENTED_EDGE('',*,*,#17991,.F.); -#17993=ORIENTED_EDGE('',*,*,#17946,.T.); -#17994=EDGE_LOOP('',(#17988,#17990,#17992,#17993)); -#17995=FACE_OUTER_BOUND('',#17994,.F.); -#17997=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,0.E0)); -#17998=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17999=DIRECTION('',(1.E0,0.E0,0.E0)); -#18000=AXIS2_PLACEMENT_3D('',#17997,#17998,#17999); -#18001=PLANE('',#18000); -#18003=ORIENTED_EDGE('',*,*,#18002,.T.); -#18005=ORIENTED_EDGE('',*,*,#18004,.T.); -#18006=ORIENTED_EDGE('',*,*,#11911,.T.); -#18008=ORIENTED_EDGE('',*,*,#18007,.F.); -#18010=ORIENTED_EDGE('',*,*,#18009,.F.); -#18011=ORIENTED_EDGE('',*,*,#17989,.T.); -#18012=ORIENTED_EDGE('',*,*,#11919,.T.); -#18014=ORIENTED_EDGE('',*,*,#18013,.F.); -#18015=EDGE_LOOP('',(#18003,#18005,#18006,#18008,#18010,#18011,#18012,#18014)); -#18016=FACE_OUTER_BOUND('',#18015,.F.); -#18018=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); -#18019=DIRECTION('',(0.E0,0.E0,1.E0)); -#18020=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18021=AXIS2_PLACEMENT_3D('',#18018,#18019,#18020); -#18022=PLANE('',#18021); -#18023=ORIENTED_EDGE('',*,*,#18002,.F.); -#18025=ORIENTED_EDGE('',*,*,#18024,.T.); -#18027=ORIENTED_EDGE('',*,*,#18026,.T.); -#18029=ORIENTED_EDGE('',*,*,#18028,.T.); -#18030=EDGE_LOOP('',(#18023,#18025,#18027,#18029)); -#18031=FACE_OUTER_BOUND('',#18030,.F.); -#18033=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,0.E0)); -#18034=DIRECTION('',(1.E0,0.E0,0.E0)); -#18035=DIRECTION('',(0.E0,1.E0,0.E0)); -#18036=AXIS2_PLACEMENT_3D('',#18033,#18034,#18035); -#18037=PLANE('',#18036); -#18038=ORIENTED_EDGE('',*,*,#11917,.T.); -#18040=ORIENTED_EDGE('',*,*,#18039,.F.); -#18041=ORIENTED_EDGE('',*,*,#18024,.F.); -#18042=ORIENTED_EDGE('',*,*,#18013,.T.); -#18043=EDGE_LOOP('',(#18038,#18040,#18041,#18042)); -#18044=FACE_OUTER_BOUND('',#18043,.F.); -#18046=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,0.E0)); -#18047=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18048=DIRECTION('',(1.E0,0.E0,0.E0)); -#18049=AXIS2_PLACEMENT_3D('',#18046,#18047,#18048); -#18050=PLANE('',#18049); -#18051=ORIENTED_EDGE('',*,*,#11915,.T.); -#18053=ORIENTED_EDGE('',*,*,#18052,.F.); -#18054=ORIENTED_EDGE('',*,*,#18026,.F.); -#18055=ORIENTED_EDGE('',*,*,#18039,.T.); -#18056=EDGE_LOOP('',(#18051,#18053,#18054,#18055)); -#18057=FACE_OUTER_BOUND('',#18056,.F.); -#18059=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,0.E0)); -#18060=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18061=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18062=AXIS2_PLACEMENT_3D('',#18059,#18060,#18061); -#18063=PLANE('',#18062); -#18064=ORIENTED_EDGE('',*,*,#11913,.T.); -#18065=ORIENTED_EDGE('',*,*,#18004,.F.); -#18066=ORIENTED_EDGE('',*,*,#18028,.F.); -#18067=ORIENTED_EDGE('',*,*,#18052,.T.); -#18068=EDGE_LOOP('',(#18064,#18065,#18066,#18067)); -#18069=FACE_OUTER_BOUND('',#18068,.F.); -#18071=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,0.E0)); -#18072=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18073=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18074=AXIS2_PLACEMENT_3D('',#18071,#18072,#18073); -#18075=PLANE('',#18074); -#18076=ORIENTED_EDGE('',*,*,#11909,.T.); -#18077=ORIENTED_EDGE('',*,*,#17950,.F.); -#18079=ORIENTED_EDGE('',*,*,#18078,.F.); -#18080=ORIENTED_EDGE('',*,*,#18007,.T.); -#18081=EDGE_LOOP('',(#18076,#18077,#18079,#18080)); -#18082=FACE_OUTER_BOUND('',#18081,.F.); -#18084=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#18085=DIRECTION('',(0.E0,0.E0,1.E0)); -#18086=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18087=AXIS2_PLACEMENT_3D('',#18084,#18085,#18086); -#18088=PLANE('',#18087); -#18089=ORIENTED_EDGE('',*,*,#17948,.T.); -#18090=ORIENTED_EDGE('',*,*,#17991,.T.); -#18091=ORIENTED_EDGE('',*,*,#18009,.T.); -#18092=ORIENTED_EDGE('',*,*,#18078,.T.); -#18093=EDGE_LOOP('',(#18089,#18090,#18091,#18092)); -#18094=FACE_OUTER_BOUND('',#18093,.F.); -#18096=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,0.E0)); -#18097=DIRECTION('',(0.E0,1.E0,0.E0)); -#18098=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18099=AXIS2_PLACEMENT_3D('',#18096,#18097,#18098); -#18100=PLANE('',#18099); -#18101=ORIENTED_EDGE('',*,*,#11929,.T.); -#18103=ORIENTED_EDGE('',*,*,#18102,.F.); -#18105=ORIENTED_EDGE('',*,*,#18104,.F.); -#18107=ORIENTED_EDGE('',*,*,#18106,.T.); -#18108=EDGE_LOOP('',(#18101,#18103,#18105,#18107)); -#18109=FACE_OUTER_BOUND('',#18108,.F.); -#18111=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,0.E0)); -#18112=DIRECTION('',(1.E0,0.E0,0.E0)); -#18113=DIRECTION('',(0.E0,1.E0,0.E0)); -#18114=AXIS2_PLACEMENT_3D('',#18111,#18112,#18113); -#18115=PLANE('',#18114); -#18116=ORIENTED_EDGE('',*,*,#11935,.T.); -#18118=ORIENTED_EDGE('',*,*,#18117,.F.); -#18120=ORIENTED_EDGE('',*,*,#18119,.F.); -#18121=ORIENTED_EDGE('',*,*,#18102,.T.); -#18122=EDGE_LOOP('',(#18116,#18118,#18120,#18121)); -#18123=FACE_OUTER_BOUND('',#18122,.F.); -#18125=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,0.E0)); -#18126=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18127=DIRECTION('',(1.E0,0.E0,0.E0)); -#18128=AXIS2_PLACEMENT_3D('',#18125,#18126,#18127); -#18129=PLANE('',#18128); -#18130=ORIENTED_EDGE('',*,*,#11933,.T.); -#18132=ORIENTED_EDGE('',*,*,#18131,.F.); -#18134=ORIENTED_EDGE('',*,*,#18133,.F.); -#18135=ORIENTED_EDGE('',*,*,#18117,.T.); -#18136=EDGE_LOOP('',(#18130,#18132,#18134,#18135)); -#18137=FACE_OUTER_BOUND('',#18136,.F.); -#18139=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,0.E0)); -#18140=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18141=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18142=AXIS2_PLACEMENT_3D('',#18139,#18140,#18141); -#18143=PLANE('',#18142); -#18144=ORIENTED_EDGE('',*,*,#11931,.T.); -#18145=ORIENTED_EDGE('',*,*,#18106,.F.); -#18147=ORIENTED_EDGE('',*,*,#18146,.F.); -#18148=ORIENTED_EDGE('',*,*,#18131,.T.); -#18149=EDGE_LOOP('',(#18144,#18145,#18147,#18148)); -#18150=FACE_OUTER_BOUND('',#18149,.F.); -#18152=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#18153=DIRECTION('',(0.E0,0.E0,1.E0)); -#18154=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18155=AXIS2_PLACEMENT_3D('',#18152,#18153,#18154); -#18156=PLANE('',#18155); -#18157=ORIENTED_EDGE('',*,*,#18104,.T.); -#18158=ORIENTED_EDGE('',*,*,#18119,.T.); -#18159=ORIENTED_EDGE('',*,*,#18133,.T.); -#18160=ORIENTED_EDGE('',*,*,#18146,.T.); -#18161=EDGE_LOOP('',(#18157,#18158,#18159,#18160)); -#18162=FACE_OUTER_BOUND('',#18161,.F.); -#18164=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,0.E0)); -#18165=DIRECTION('',(1.E0,0.E0,0.E0)); -#18166=DIRECTION('',(0.E0,1.E0,0.E0)); -#18167=AXIS2_PLACEMENT_3D('',#18164,#18165,#18166); -#18168=PLANE('',#18167); -#18169=ORIENTED_EDGE('',*,*,#11939,.T.); -#18171=ORIENTED_EDGE('',*,*,#18170,.F.); -#18173=ORIENTED_EDGE('',*,*,#18172,.F.); -#18175=ORIENTED_EDGE('',*,*,#18174,.T.); -#18176=EDGE_LOOP('',(#18169,#18171,#18173,#18175)); -#18177=FACE_OUTER_BOUND('',#18176,.F.); -#18179=CARTESIAN_POINT('',(9.742820047540E0,5.3409647E1,0.E0)); -#18180=DIRECTION('',(0.E0,1.E0,0.E0)); -#18181=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18182=AXIS2_PLACEMENT_3D('',#18179,#18180,#18181); -#18183=PLANE('',#18182); -#18185=ORIENTED_EDGE('',*,*,#18184,.F.); -#18186=ORIENTED_EDGE('',*,*,#18170,.T.); -#18187=ORIENTED_EDGE('',*,*,#11969,.T.); -#18189=ORIENTED_EDGE('',*,*,#18188,.F.); -#18191=ORIENTED_EDGE('',*,*,#18190,.F.); -#18193=ORIENTED_EDGE('',*,*,#18192,.T.); -#18194=ORIENTED_EDGE('',*,*,#11945,.T.); -#18196=ORIENTED_EDGE('',*,*,#18195,.F.); -#18197=EDGE_LOOP('',(#18185,#18186,#18187,#18189,#18191,#18193,#18194,#18196)); -#18198=FACE_OUTER_BOUND('',#18197,.F.); -#18200=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); -#18201=DIRECTION('',(0.E0,0.E0,1.E0)); -#18202=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18203=AXIS2_PLACEMENT_3D('',#18200,#18201,#18202); -#18204=PLANE('',#18203); -#18205=ORIENTED_EDGE('',*,*,#18184,.T.); -#18207=ORIENTED_EDGE('',*,*,#18206,.T.); -#18209=ORIENTED_EDGE('',*,*,#18208,.T.); -#18210=ORIENTED_EDGE('',*,*,#18172,.T.); -#18211=EDGE_LOOP('',(#18205,#18207,#18209,#18210)); -#18212=FACE_OUTER_BOUND('',#18211,.F.); -#18214=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,0.E0)); -#18215=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18216=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18217=AXIS2_PLACEMENT_3D('',#18214,#18215,#18216); -#18218=PLANE('',#18217); -#18219=ORIENTED_EDGE('',*,*,#11943,.T.); -#18221=ORIENTED_EDGE('',*,*,#18220,.F.); -#18222=ORIENTED_EDGE('',*,*,#18206,.F.); -#18223=ORIENTED_EDGE('',*,*,#18195,.T.); -#18224=EDGE_LOOP('',(#18219,#18221,#18222,#18223)); -#18225=FACE_OUTER_BOUND('',#18224,.F.); -#18227=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,0.E0)); -#18228=DIRECTION('',(0.E0,1.E0,0.E0)); -#18229=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18230=AXIS2_PLACEMENT_3D('',#18227,#18228,#18229); -#18231=PLANE('',#18230); -#18232=ORIENTED_EDGE('',*,*,#11941,.T.); -#18233=ORIENTED_EDGE('',*,*,#18174,.F.); -#18234=ORIENTED_EDGE('',*,*,#18208,.F.); -#18235=ORIENTED_EDGE('',*,*,#18220,.T.); -#18236=EDGE_LOOP('',(#18232,#18233,#18234,#18235)); -#18237=FACE_OUTER_BOUND('',#18236,.F.); -#18239=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,0.E0)); -#18240=DIRECTION('',(1.E0,0.E0,0.E0)); -#18241=DIRECTION('',(0.E0,1.E0,0.E0)); -#18242=AXIS2_PLACEMENT_3D('',#18239,#18240,#18241); -#18243=PLANE('',#18242); -#18244=ORIENTED_EDGE('',*,*,#11967,.T.); -#18246=ORIENTED_EDGE('',*,*,#18245,.F.); -#18248=ORIENTED_EDGE('',*,*,#18247,.F.); -#18250=ORIENTED_EDGE('',*,*,#18249,.F.); -#18251=ORIENTED_EDGE('',*,*,#18188,.T.); -#18252=EDGE_LOOP('',(#18244,#18246,#18248,#18250,#18251)); -#18253=FACE_OUTER_BOUND('',#18252,.F.); -#18255=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,0.E0)); -#18256=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18257=DIRECTION('',(1.E0,0.E0,0.E0)); -#18258=AXIS2_PLACEMENT_3D('',#18255,#18256,#18257); -#18259=PLANE('',#18258); -#18261=ORIENTED_EDGE('',*,*,#18260,.T.); -#18263=ORIENTED_EDGE('',*,*,#18262,.T.); -#18264=ORIENTED_EDGE('',*,*,#11957,.T.); -#18266=ORIENTED_EDGE('',*,*,#18265,.F.); -#18268=ORIENTED_EDGE('',*,*,#18267,.F.); -#18269=ORIENTED_EDGE('',*,*,#18245,.T.); -#18270=ORIENTED_EDGE('',*,*,#11965,.T.); -#18272=ORIENTED_EDGE('',*,*,#18271,.F.); -#18273=EDGE_LOOP('',(#18261,#18263,#18264,#18266,#18268,#18269,#18270,#18272)); -#18274=FACE_OUTER_BOUND('',#18273,.F.); -#18276=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); -#18277=DIRECTION('',(0.E0,0.E0,1.E0)); -#18278=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18279=AXIS2_PLACEMENT_3D('',#18276,#18277,#18278); -#18280=PLANE('',#18279); -#18281=ORIENTED_EDGE('',*,*,#18260,.F.); -#18283=ORIENTED_EDGE('',*,*,#18282,.T.); -#18285=ORIENTED_EDGE('',*,*,#18284,.T.); -#18287=ORIENTED_EDGE('',*,*,#18286,.T.); -#18288=EDGE_LOOP('',(#18281,#18283,#18285,#18287)); -#18289=FACE_OUTER_BOUND('',#18288,.F.); -#18291=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,0.E0)); -#18292=DIRECTION('',(1.E0,0.E0,0.E0)); -#18293=DIRECTION('',(0.E0,1.E0,0.E0)); -#18294=AXIS2_PLACEMENT_3D('',#18291,#18292,#18293); -#18295=PLANE('',#18294); -#18296=ORIENTED_EDGE('',*,*,#11963,.T.); -#18298=ORIENTED_EDGE('',*,*,#18297,.F.); -#18299=ORIENTED_EDGE('',*,*,#18282,.F.); -#18300=ORIENTED_EDGE('',*,*,#18271,.T.); -#18301=EDGE_LOOP('',(#18296,#18298,#18299,#18300)); -#18302=FACE_OUTER_BOUND('',#18301,.F.); -#18304=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,0.E0)); -#18305=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18306=DIRECTION('',(1.E0,0.E0,0.E0)); -#18307=AXIS2_PLACEMENT_3D('',#18304,#18305,#18306); -#18308=PLANE('',#18307); -#18309=ORIENTED_EDGE('',*,*,#11961,.T.); -#18311=ORIENTED_EDGE('',*,*,#18310,.F.); -#18312=ORIENTED_EDGE('',*,*,#18284,.F.); -#18313=ORIENTED_EDGE('',*,*,#18297,.T.); -#18314=EDGE_LOOP('',(#18309,#18311,#18312,#18313)); -#18315=FACE_OUTER_BOUND('',#18314,.F.); -#18317=CARTESIAN_POINT('',(7.735902944340E0,4.9889649E1,0.E0)); -#18318=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18319=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18320=AXIS2_PLACEMENT_3D('',#18317,#18318,#18319); -#18321=PLANE('',#18320); -#18322=ORIENTED_EDGE('',*,*,#11959,.T.); -#18323=ORIENTED_EDGE('',*,*,#18262,.F.); -#18324=ORIENTED_EDGE('',*,*,#18286,.F.); -#18325=ORIENTED_EDGE('',*,*,#18310,.T.); -#18326=EDGE_LOOP('',(#18322,#18323,#18324,#18325)); -#18327=FACE_OUTER_BOUND('',#18326,.F.); -#18329=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,0.E0)); -#18330=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18331=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18332=AXIS2_PLACEMENT_3D('',#18329,#18330,#18331); -#18333=PLANE('',#18332); -#18335=ORIENTED_EDGE('',*,*,#18334,.T.); -#18337=ORIENTED_EDGE('',*,*,#18336,.T.); -#18338=ORIENTED_EDGE('',*,*,#11947,.T.); -#18339=ORIENTED_EDGE('',*,*,#18192,.F.); -#18341=ORIENTED_EDGE('',*,*,#18340,.F.); -#18342=ORIENTED_EDGE('',*,*,#18265,.T.); -#18343=ORIENTED_EDGE('',*,*,#11955,.T.); -#18345=ORIENTED_EDGE('',*,*,#18344,.F.); -#18346=EDGE_LOOP('',(#18335,#18337,#18338,#18339,#18341,#18342,#18343,#18345)); -#18347=FACE_OUTER_BOUND('',#18346,.F.); -#18349=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); -#18350=DIRECTION('',(0.E0,0.E0,1.E0)); -#18351=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18352=AXIS2_PLACEMENT_3D('',#18349,#18350,#18351); -#18353=PLANE('',#18352); -#18354=ORIENTED_EDGE('',*,*,#18334,.F.); -#18356=ORIENTED_EDGE('',*,*,#18355,.T.); -#18358=ORIENTED_EDGE('',*,*,#18357,.T.); -#18360=ORIENTED_EDGE('',*,*,#18359,.T.); -#18361=EDGE_LOOP('',(#18354,#18356,#18358,#18360)); -#18362=FACE_OUTER_BOUND('',#18361,.F.); -#18364=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,0.E0)); -#18365=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18366=DIRECTION('',(1.E0,0.E0,0.E0)); -#18367=AXIS2_PLACEMENT_3D('',#18364,#18365,#18366); -#18368=PLANE('',#18367); -#18369=ORIENTED_EDGE('',*,*,#11953,.T.); -#18371=ORIENTED_EDGE('',*,*,#18370,.F.); -#18372=ORIENTED_EDGE('',*,*,#18355,.F.); -#18373=ORIENTED_EDGE('',*,*,#18344,.T.); -#18374=EDGE_LOOP('',(#18369,#18371,#18372,#18373)); -#18375=FACE_OUTER_BOUND('',#18374,.F.); -#18377=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,0.E0)); -#18378=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18379=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18380=AXIS2_PLACEMENT_3D('',#18377,#18378,#18379); -#18381=PLANE('',#18380); -#18382=ORIENTED_EDGE('',*,*,#11951,.T.); -#18384=ORIENTED_EDGE('',*,*,#18383,.F.); -#18385=ORIENTED_EDGE('',*,*,#18357,.F.); -#18386=ORIENTED_EDGE('',*,*,#18370,.T.); -#18387=EDGE_LOOP('',(#18382,#18384,#18385,#18386)); -#18388=FACE_OUTER_BOUND('',#18387,.F.); -#18390=CARTESIAN_POINT('',(7.291781618540E0,5.2171245E1,0.E0)); -#18391=DIRECTION('',(0.E0,1.E0,0.E0)); -#18392=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18393=AXIS2_PLACEMENT_3D('',#18390,#18391,#18392); -#18394=PLANE('',#18393); -#18395=ORIENTED_EDGE('',*,*,#11949,.T.); -#18396=ORIENTED_EDGE('',*,*,#18336,.F.); -#18397=ORIENTED_EDGE('',*,*,#18359,.F.); -#18398=ORIENTED_EDGE('',*,*,#18383,.T.); -#18399=EDGE_LOOP('',(#18395,#18396,#18397,#18398)); -#18400=FACE_OUTER_BOUND('',#18399,.F.); -#18402=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,3.E-1)); -#18403=DIRECTION('',(0.E0,0.E0,1.E0)); -#18404=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18405=AXIS2_PLACEMENT_3D('',#18402,#18403,#18404); -#18406=PLANE('',#18405); -#18407=ORIENTED_EDGE('',*,*,#18247,.T.); -#18408=ORIENTED_EDGE('',*,*,#18267,.T.); -#18409=ORIENTED_EDGE('',*,*,#18340,.T.); -#18410=ORIENTED_EDGE('',*,*,#18190,.T.); -#18411=ORIENTED_EDGE('',*,*,#18249,.T.); -#18413=ORIENTED_EDGE('',*,*,#18412,.T.); -#18415=ORIENTED_EDGE('',*,*,#18414,.T.); -#18417=ORIENTED_EDGE('',*,*,#18416,.T.); -#18418=EDGE_LOOP('',(#18407,#18408,#18409,#18410,#18411,#18413,#18415,#18417)); -#18419=FACE_OUTER_BOUND('',#18418,.F.); -#18421=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); -#18422=DIRECTION('',(0.E0,0.E0,1.E0)); -#18423=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18424=AXIS2_PLACEMENT_3D('',#18421,#18422,#18423); -#18425=CYLINDRICAL_SURFACE('',#18424,1.E0); -#18426=ORIENTED_EDGE('',*,*,#18416,.F.); -#18428=ORIENTED_EDGE('',*,*,#18427,.F.); -#18430=ORIENTED_EDGE('',*,*,#18429,.F.); -#18432=ORIENTED_EDGE('',*,*,#18431,.T.); -#18433=ORIENTED_EDGE('',*,*,#18412,.F.); -#18434=EDGE_LOOP('',(#18426,#18428,#18430,#18432,#18433)); -#18435=FACE_OUTER_BOUND('',#18434,.F.); -#18437=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); -#18438=DIRECTION('',(0.E0,0.E0,1.E0)); -#18439=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18440=AXIS2_PLACEMENT_3D('',#18437,#18438,#18439); -#18441=CYLINDRICAL_SURFACE('',#18440,1.E0); -#18442=ORIENTED_EDGE('',*,*,#18414,.F.); -#18443=ORIENTED_EDGE('',*,*,#18431,.F.); -#18445=ORIENTED_EDGE('',*,*,#18444,.F.); -#18446=ORIENTED_EDGE('',*,*,#18427,.T.); -#18447=EDGE_LOOP('',(#18442,#18443,#18445,#18446)); -#18448=FACE_OUTER_BOUND('',#18447,.F.); -#18450=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#18451=DIRECTION('',(0.E0,0.E0,1.E0)); -#18452=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18453=AXIS2_PLACEMENT_3D('',#18450,#18451,#18452); -#18454=PLANE('',#18453); -#18455=ORIENTED_EDGE('',*,*,#18429,.T.); -#18456=ORIENTED_EDGE('',*,*,#18444,.T.); -#18457=EDGE_LOOP('',(#18455,#18456)); -#18458=FACE_OUTER_BOUND('',#18457,.F.); -#18460=ORIENTED_EDGE('',*,*,#18459,.T.); -#18462=ORIENTED_EDGE('',*,*,#18461,.T.); -#18463=EDGE_LOOP('',(#18460,#18462)); -#18464=FACE_BOUND('',#18463,.F.); -#18466=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); -#18467=DIRECTION('',(0.E0,0.E0,1.E0)); -#18468=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18469=AXIS2_PLACEMENT_3D('',#18466,#18467,#18468); -#18470=CYLINDRICAL_SURFACE('',#18469,8.E-1); -#18471=ORIENTED_EDGE('',*,*,#18459,.F.); -#18473=ORIENTED_EDGE('',*,*,#18472,.T.); -#18475=ORIENTED_EDGE('',*,*,#18474,.F.); -#18477=ORIENTED_EDGE('',*,*,#18476,.F.); -#18478=EDGE_LOOP('',(#18471,#18473,#18475,#18477)); -#18479=FACE_OUTER_BOUND('',#18478,.F.); -#18481=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); -#18482=DIRECTION('',(0.E0,0.E0,1.E0)); -#18483=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18484=AXIS2_PLACEMENT_3D('',#18481,#18482,#18483); -#18485=CYLINDRICAL_SURFACE('',#18484,8.E-1); -#18486=ORIENTED_EDGE('',*,*,#18461,.F.); -#18487=ORIENTED_EDGE('',*,*,#18476,.T.); -#18489=ORIENTED_EDGE('',*,*,#18488,.F.); -#18490=ORIENTED_EDGE('',*,*,#18472,.F.); -#18491=EDGE_LOOP('',(#18486,#18487,#18489,#18490)); -#18492=FACE_OUTER_BOUND('',#18491,.F.); -#18494=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,0.E0)); -#18495=DIRECTION('',(0.E0,0.E0,1.E0)); -#18496=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18497=AXIS2_PLACEMENT_3D('',#18494,#18495,#18496); -#18498=PLANE('',#18497); -#18499=ORIENTED_EDGE('',*,*,#18474,.T.); -#18500=ORIENTED_EDGE('',*,*,#18488,.T.); -#18501=EDGE_LOOP('',(#18499,#18500)); -#18502=FACE_OUTER_BOUND('',#18501,.F.); -#18504=ORIENTED_EDGE('',*,*,#18503,.T.); -#18506=ORIENTED_EDGE('',*,*,#18505,.T.); -#18507=EDGE_LOOP('',(#18504,#18506)); -#18508=FACE_BOUND('',#18507,.F.); -#18510=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); -#18511=DIRECTION('',(0.E0,0.E0,1.E0)); -#18512=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18513=AXIS2_PLACEMENT_3D('',#18510,#18511,#18512); -#18514=CYLINDRICAL_SURFACE('',#18513,2.5E-1); -#18516=ORIENTED_EDGE('',*,*,#18515,.F.); -#18518=ORIENTED_EDGE('',*,*,#18517,.T.); -#18519=ORIENTED_EDGE('',*,*,#18503,.F.); -#18521=ORIENTED_EDGE('',*,*,#18520,.F.); -#18522=EDGE_LOOP('',(#18516,#18518,#18519,#18521)); -#18523=FACE_OUTER_BOUND('',#18522,.F.); -#18525=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#18526=DIRECTION('',(0.E0,0.E0,1.E0)); -#18527=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18528=AXIS2_PLACEMENT_3D('',#18525,#18526,#18527); -#18529=PLANE('',#18528); -#18530=ORIENTED_EDGE('',*,*,#18515,.T.); -#18532=ORIENTED_EDGE('',*,*,#18531,.T.); -#18533=EDGE_LOOP('',(#18530,#18532)); -#18534=FACE_OUTER_BOUND('',#18533,.F.); -#18536=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); -#18537=DIRECTION('',(0.E0,0.E0,1.E0)); -#18538=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18539=AXIS2_PLACEMENT_3D('',#18536,#18537,#18538); -#18540=CYLINDRICAL_SURFACE('',#18539,2.5E-1); -#18541=ORIENTED_EDGE('',*,*,#18531,.F.); -#18542=ORIENTED_EDGE('',*,*,#18520,.T.); -#18543=ORIENTED_EDGE('',*,*,#18505,.F.); -#18544=ORIENTED_EDGE('',*,*,#18517,.F.); -#18545=EDGE_LOOP('',(#18541,#18542,#18543,#18544)); -#18546=FACE_OUTER_BOUND('',#18545,.F.); -#18548=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,0.E0)); -#18549=DIRECTION('',(0.E0,1.E0,0.E0)); -#18550=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18551=AXIS2_PLACEMENT_3D('',#18548,#18549,#18550); -#18552=PLANE('',#18551); -#18553=ORIENTED_EDGE('',*,*,#11973,.T.); -#18555=ORIENTED_EDGE('',*,*,#18554,.F.); -#18557=ORIENTED_EDGE('',*,*,#18556,.F.); -#18559=ORIENTED_EDGE('',*,*,#18558,.T.); -#18560=EDGE_LOOP('',(#18553,#18555,#18557,#18559)); -#18561=FACE_OUTER_BOUND('',#18560,.F.); -#18563=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,0.E0)); -#18564=DIRECTION('',(1.E0,0.E0,0.E0)); -#18565=DIRECTION('',(0.E0,1.E0,0.E0)); -#18566=AXIS2_PLACEMENT_3D('',#18563,#18564,#18565); -#18567=PLANE('',#18566); -#18568=ORIENTED_EDGE('',*,*,#11979,.T.); -#18570=ORIENTED_EDGE('',*,*,#18569,.F.); -#18572=ORIENTED_EDGE('',*,*,#18571,.F.); -#18573=ORIENTED_EDGE('',*,*,#18554,.T.); -#18574=EDGE_LOOP('',(#18568,#18570,#18572,#18573)); -#18575=FACE_OUTER_BOUND('',#18574,.F.); -#18577=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,0.E0)); -#18578=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18579=DIRECTION('',(1.E0,0.E0,0.E0)); -#18580=AXIS2_PLACEMENT_3D('',#18577,#18578,#18579); -#18581=PLANE('',#18580); -#18582=ORIENTED_EDGE('',*,*,#11977,.T.); -#18584=ORIENTED_EDGE('',*,*,#18583,.F.); -#18586=ORIENTED_EDGE('',*,*,#18585,.F.); -#18587=ORIENTED_EDGE('',*,*,#18569,.T.); -#18588=EDGE_LOOP('',(#18582,#18584,#18586,#18587)); -#18589=FACE_OUTER_BOUND('',#18588,.F.); -#18591=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,0.E0)); -#18592=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18593=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18594=AXIS2_PLACEMENT_3D('',#18591,#18592,#18593); -#18595=PLANE('',#18594); -#18596=ORIENTED_EDGE('',*,*,#11975,.T.); -#18597=ORIENTED_EDGE('',*,*,#18558,.F.); -#18599=ORIENTED_EDGE('',*,*,#18598,.F.); -#18600=ORIENTED_EDGE('',*,*,#18583,.T.); -#18601=EDGE_LOOP('',(#18596,#18597,#18599,#18600)); -#18602=FACE_OUTER_BOUND('',#18601,.F.); -#18604=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#18605=DIRECTION('',(0.E0,0.E0,1.E0)); -#18606=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18607=AXIS2_PLACEMENT_3D('',#18604,#18605,#18606); -#18608=PLANE('',#18607); -#18609=ORIENTED_EDGE('',*,*,#18556,.T.); -#18610=ORIENTED_EDGE('',*,*,#18571,.T.); -#18611=ORIENTED_EDGE('',*,*,#18585,.T.); -#18612=ORIENTED_EDGE('',*,*,#18598,.T.); -#18613=EDGE_LOOP('',(#18609,#18610,#18611,#18612)); -#18614=FACE_OUTER_BOUND('',#18613,.F.); -#18616=FILL_AREA_STYLE_COLOUR('',#43); -#18617=FILL_AREA_STYLE('',(#18616)); -#18618=SURFACE_STYLE_FILL_AREA(#18617); -#18619=SURFACE_SIDE_STYLE('',(#18618)); -#18620=SURFACE_STYLE_USAGE(.POSITIVE.,#18619); -#18621=PRESENTATION_STYLE_ASSIGNMENT((#18620)); -#18622=STYLED_ITEM('',(#18621),#18615); -#18623=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,0.E0)); -#18624=DIRECTION('',(0.E0,1.E0,0.E0)); -#18625=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18626=AXIS2_PLACEMENT_3D('',#18623,#18624,#18625); -#18627=PLANE('',#18626); -#18628=ORIENTED_EDGE('',*,*,#11983,.T.); -#18630=ORIENTED_EDGE('',*,*,#18629,.F.); -#18632=ORIENTED_EDGE('',*,*,#18631,.F.); -#18634=ORIENTED_EDGE('',*,*,#18633,.T.); -#18635=EDGE_LOOP('',(#18628,#18630,#18632,#18634)); -#18636=FACE_OUTER_BOUND('',#18635,.F.); -#18638=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,0.E0)); -#18639=DIRECTION('',(1.E0,0.E0,0.E0)); -#18640=DIRECTION('',(0.E0,1.E0,0.E0)); -#18641=AXIS2_PLACEMENT_3D('',#18638,#18639,#18640); -#18642=PLANE('',#18641); -#18643=ORIENTED_EDGE('',*,*,#11989,.T.); -#18645=ORIENTED_EDGE('',*,*,#18644,.F.); -#18647=ORIENTED_EDGE('',*,*,#18646,.F.); -#18648=ORIENTED_EDGE('',*,*,#18629,.T.); -#18649=EDGE_LOOP('',(#18643,#18645,#18647,#18648)); -#18650=FACE_OUTER_BOUND('',#18649,.F.); -#18652=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,0.E0)); -#18653=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18654=DIRECTION('',(1.E0,0.E0,0.E0)); -#18655=AXIS2_PLACEMENT_3D('',#18652,#18653,#18654); -#18656=PLANE('',#18655); -#18657=ORIENTED_EDGE('',*,*,#11987,.T.); -#18659=ORIENTED_EDGE('',*,*,#18658,.F.); -#18661=ORIENTED_EDGE('',*,*,#18660,.F.); -#18662=ORIENTED_EDGE('',*,*,#18644,.T.); -#18663=EDGE_LOOP('',(#18657,#18659,#18661,#18662)); -#18664=FACE_OUTER_BOUND('',#18663,.F.); -#18666=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,0.E0)); -#18667=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18668=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18669=AXIS2_PLACEMENT_3D('',#18666,#18667,#18668); -#18670=PLANE('',#18669); -#18671=ORIENTED_EDGE('',*,*,#11985,.T.); -#18672=ORIENTED_EDGE('',*,*,#18633,.F.); -#18674=ORIENTED_EDGE('',*,*,#18673,.F.); -#18675=ORIENTED_EDGE('',*,*,#18658,.T.); -#18676=EDGE_LOOP('',(#18671,#18672,#18674,#18675)); -#18677=FACE_OUTER_BOUND('',#18676,.F.); -#18679=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#18680=DIRECTION('',(0.E0,0.E0,1.E0)); -#18681=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18682=AXIS2_PLACEMENT_3D('',#18679,#18680,#18681); -#18683=PLANE('',#18682); -#18684=ORIENTED_EDGE('',*,*,#18631,.T.); -#18685=ORIENTED_EDGE('',*,*,#18646,.T.); -#18686=ORIENTED_EDGE('',*,*,#18660,.T.); -#18687=ORIENTED_EDGE('',*,*,#18673,.T.); -#18688=EDGE_LOOP('',(#18684,#18685,#18686,#18687)); -#18689=FACE_OUTER_BOUND('',#18688,.F.); -#18691=FILL_AREA_STYLE_COLOUR('',#4); -#18692=FILL_AREA_STYLE('',(#18691)); -#18693=SURFACE_STYLE_FILL_AREA(#18692); -#18694=SURFACE_SIDE_STYLE('',(#18693)); -#18695=SURFACE_STYLE_USAGE(.POSITIVE.,#18694); -#18696=PRESENTATION_STYLE_ASSIGNMENT((#18695)); -#18697=STYLED_ITEM('',(#18696),#18690); -#18698=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,0.E0)); -#18699=DIRECTION('',(0.E0,1.E0,0.E0)); -#18700=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18701=AXIS2_PLACEMENT_3D('',#18698,#18699,#18700); -#18702=PLANE('',#18701); -#18703=ORIENTED_EDGE('',*,*,#11993,.T.); -#18705=ORIENTED_EDGE('',*,*,#18704,.F.); -#18707=ORIENTED_EDGE('',*,*,#18706,.F.); -#18709=ORIENTED_EDGE('',*,*,#18708,.T.); -#18710=EDGE_LOOP('',(#18703,#18705,#18707,#18709)); -#18711=FACE_OUTER_BOUND('',#18710,.F.); -#18713=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,0.E0)); -#18714=DIRECTION('',(1.E0,0.E0,0.E0)); -#18715=DIRECTION('',(0.E0,1.E0,0.E0)); -#18716=AXIS2_PLACEMENT_3D('',#18713,#18714,#18715); -#18717=PLANE('',#18716); -#18718=ORIENTED_EDGE('',*,*,#11999,.T.); -#18720=ORIENTED_EDGE('',*,*,#18719,.F.); -#18722=ORIENTED_EDGE('',*,*,#18721,.F.); -#18723=ORIENTED_EDGE('',*,*,#18704,.T.); -#18724=EDGE_LOOP('',(#18718,#18720,#18722,#18723)); -#18725=FACE_OUTER_BOUND('',#18724,.F.); -#18727=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,0.E0)); -#18728=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18729=DIRECTION('',(1.E0,0.E0,0.E0)); -#18730=AXIS2_PLACEMENT_3D('',#18727,#18728,#18729); -#18731=PLANE('',#18730); -#18732=ORIENTED_EDGE('',*,*,#11997,.T.); -#18734=ORIENTED_EDGE('',*,*,#18733,.F.); -#18736=ORIENTED_EDGE('',*,*,#18735,.F.); -#18737=ORIENTED_EDGE('',*,*,#18719,.T.); -#18738=EDGE_LOOP('',(#18732,#18734,#18736,#18737)); -#18739=FACE_OUTER_BOUND('',#18738,.F.); -#18741=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,0.E0)); -#18742=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18743=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18744=AXIS2_PLACEMENT_3D('',#18741,#18742,#18743); -#18745=PLANE('',#18744); -#18746=ORIENTED_EDGE('',*,*,#11995,.T.); -#18747=ORIENTED_EDGE('',*,*,#18708,.F.); -#18749=ORIENTED_EDGE('',*,*,#18748,.F.); -#18750=ORIENTED_EDGE('',*,*,#18733,.T.); -#18751=EDGE_LOOP('',(#18746,#18747,#18749,#18750)); -#18752=FACE_OUTER_BOUND('',#18751,.F.); -#18754=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#18755=DIRECTION('',(0.E0,0.E0,1.E0)); -#18756=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18757=AXIS2_PLACEMENT_3D('',#18754,#18755,#18756); -#18758=PLANE('',#18757); -#18759=ORIENTED_EDGE('',*,*,#18706,.T.); -#18760=ORIENTED_EDGE('',*,*,#18721,.T.); -#18761=ORIENTED_EDGE('',*,*,#18735,.T.); -#18762=ORIENTED_EDGE('',*,*,#18748,.T.); -#18763=EDGE_LOOP('',(#18759,#18760,#18761,#18762)); -#18764=FACE_OUTER_BOUND('',#18763,.F.); -#18766=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,0.E0)); -#18767=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18768=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18769=AXIS2_PLACEMENT_3D('',#18766,#18767,#18768); -#18770=PLANE('',#18769); -#18771=ORIENTED_EDGE('',*,*,#12003,.T.); -#18773=ORIENTED_EDGE('',*,*,#18772,.F.); -#18775=ORIENTED_EDGE('',*,*,#18774,.F.); -#18777=ORIENTED_EDGE('',*,*,#18776,.T.); -#18778=EDGE_LOOP('',(#18771,#18773,#18775,#18777)); -#18779=FACE_OUTER_BOUND('',#18778,.F.); -#18781=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,0.E0)); -#18782=DIRECTION('',(0.E0,1.E0,0.E0)); -#18783=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18784=AXIS2_PLACEMENT_3D('',#18781,#18782,#18783); -#18785=PLANE('',#18784); -#18786=ORIENTED_EDGE('',*,*,#12009,.T.); -#18788=ORIENTED_EDGE('',*,*,#18787,.F.); -#18790=ORIENTED_EDGE('',*,*,#18789,.F.); -#18791=ORIENTED_EDGE('',*,*,#18772,.T.); -#18792=EDGE_LOOP('',(#18786,#18788,#18790,#18791)); -#18793=FACE_OUTER_BOUND('',#18792,.F.); -#18795=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,0.E0)); -#18796=DIRECTION('',(1.E0,0.E0,0.E0)); -#18797=DIRECTION('',(0.E0,1.E0,0.E0)); -#18798=AXIS2_PLACEMENT_3D('',#18795,#18796,#18797); -#18799=PLANE('',#18798); -#18800=ORIENTED_EDGE('',*,*,#12007,.T.); -#18802=ORIENTED_EDGE('',*,*,#18801,.F.); -#18804=ORIENTED_EDGE('',*,*,#18803,.F.); -#18805=ORIENTED_EDGE('',*,*,#18787,.T.); -#18806=EDGE_LOOP('',(#18800,#18802,#18804,#18805)); -#18807=FACE_OUTER_BOUND('',#18806,.F.); -#18809=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,0.E0)); -#18810=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18811=DIRECTION('',(1.E0,0.E0,0.E0)); -#18812=AXIS2_PLACEMENT_3D('',#18809,#18810,#18811); -#18813=PLANE('',#18812); -#18814=ORIENTED_EDGE('',*,*,#12005,.T.); -#18815=ORIENTED_EDGE('',*,*,#18776,.F.); -#18817=ORIENTED_EDGE('',*,*,#18816,.F.); -#18818=ORIENTED_EDGE('',*,*,#18801,.T.); -#18819=EDGE_LOOP('',(#18814,#18815,#18817,#18818)); -#18820=FACE_OUTER_BOUND('',#18819,.F.); -#18822=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#18823=DIRECTION('',(0.E0,0.E0,1.E0)); -#18824=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18825=AXIS2_PLACEMENT_3D('',#18822,#18823,#18824); -#18826=PLANE('',#18825); -#18827=ORIENTED_EDGE('',*,*,#18774,.T.); -#18828=ORIENTED_EDGE('',*,*,#18789,.T.); -#18829=ORIENTED_EDGE('',*,*,#18803,.T.); -#18830=ORIENTED_EDGE('',*,*,#18816,.T.); -#18831=EDGE_LOOP('',(#18827,#18828,#18829,#18830)); -#18832=FACE_OUTER_BOUND('',#18831,.F.); -#18834=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,0.E0)); -#18835=DIRECTION('',(0.E0,1.E0,0.E0)); -#18836=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18837=AXIS2_PLACEMENT_3D('',#18834,#18835,#18836); -#18838=PLANE('',#18837); -#18839=ORIENTED_EDGE('',*,*,#12013,.T.); -#18841=ORIENTED_EDGE('',*,*,#18840,.F.); -#18843=ORIENTED_EDGE('',*,*,#18842,.F.); -#18845=ORIENTED_EDGE('',*,*,#18844,.T.); -#18846=EDGE_LOOP('',(#18839,#18841,#18843,#18845)); -#18847=FACE_OUTER_BOUND('',#18846,.F.); -#18849=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,0.E0)); -#18850=DIRECTION('',(1.E0,0.E0,0.E0)); -#18851=DIRECTION('',(0.E0,1.E0,0.E0)); -#18852=AXIS2_PLACEMENT_3D('',#18849,#18850,#18851); -#18853=PLANE('',#18852); -#18854=ORIENTED_EDGE('',*,*,#12019,.T.); -#18856=ORIENTED_EDGE('',*,*,#18855,.F.); -#18858=ORIENTED_EDGE('',*,*,#18857,.F.); -#18859=ORIENTED_EDGE('',*,*,#18840,.T.); -#18860=EDGE_LOOP('',(#18854,#18856,#18858,#18859)); -#18861=FACE_OUTER_BOUND('',#18860,.F.); -#18863=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,0.E0)); -#18864=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18865=DIRECTION('',(1.E0,0.E0,0.E0)); -#18866=AXIS2_PLACEMENT_3D('',#18863,#18864,#18865); -#18867=PLANE('',#18866); -#18868=ORIENTED_EDGE('',*,*,#12017,.T.); -#18870=ORIENTED_EDGE('',*,*,#18869,.F.); -#18872=ORIENTED_EDGE('',*,*,#18871,.F.); -#18873=ORIENTED_EDGE('',*,*,#18855,.T.); -#18874=EDGE_LOOP('',(#18868,#18870,#18872,#18873)); -#18875=FACE_OUTER_BOUND('',#18874,.F.); -#18877=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,0.E0)); -#18878=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18879=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18880=AXIS2_PLACEMENT_3D('',#18877,#18878,#18879); -#18881=PLANE('',#18880); -#18882=ORIENTED_EDGE('',*,*,#12015,.T.); -#18883=ORIENTED_EDGE('',*,*,#18844,.F.); -#18885=ORIENTED_EDGE('',*,*,#18884,.F.); -#18886=ORIENTED_EDGE('',*,*,#18869,.T.); -#18887=EDGE_LOOP('',(#18882,#18883,#18885,#18886)); -#18888=FACE_OUTER_BOUND('',#18887,.F.); -#18890=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#18891=DIRECTION('',(0.E0,0.E0,1.E0)); -#18892=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18893=AXIS2_PLACEMENT_3D('',#18890,#18891,#18892); -#18894=PLANE('',#18893); -#18895=ORIENTED_EDGE('',*,*,#18842,.T.); -#18896=ORIENTED_EDGE('',*,*,#18857,.T.); -#18897=ORIENTED_EDGE('',*,*,#18871,.T.); -#18898=ORIENTED_EDGE('',*,*,#18884,.T.); -#18899=EDGE_LOOP('',(#18895,#18896,#18897,#18898)); -#18900=FACE_OUTER_BOUND('',#18899,.F.); -#18902=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,0.E0)); -#18903=DIRECTION('',(1.E0,0.E0,0.E0)); -#18904=DIRECTION('',(0.E0,1.E0,0.E0)); -#18905=AXIS2_PLACEMENT_3D('',#18902,#18903,#18904); -#18906=PLANE('',#18905); -#18907=ORIENTED_EDGE('',*,*,#12023,.T.); -#18909=ORIENTED_EDGE('',*,*,#18908,.F.); -#18911=ORIENTED_EDGE('',*,*,#18910,.F.); -#18913=ORIENTED_EDGE('',*,*,#18912,.T.); -#18914=EDGE_LOOP('',(#18907,#18909,#18911,#18913)); -#18915=FACE_OUTER_BOUND('',#18914,.F.); -#18917=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,0.E0)); -#18918=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18919=DIRECTION('',(1.E0,0.E0,0.E0)); -#18920=AXIS2_PLACEMENT_3D('',#18917,#18918,#18919); -#18921=PLANE('',#18920); -#18922=ORIENTED_EDGE('',*,*,#12029,.T.); -#18924=ORIENTED_EDGE('',*,*,#18923,.F.); -#18926=ORIENTED_EDGE('',*,*,#18925,.F.); -#18927=ORIENTED_EDGE('',*,*,#18908,.T.); -#18928=EDGE_LOOP('',(#18922,#18924,#18926,#18927)); -#18929=FACE_OUTER_BOUND('',#18928,.F.); -#18931=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,0.E0)); -#18932=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18933=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18934=AXIS2_PLACEMENT_3D('',#18931,#18932,#18933); -#18935=PLANE('',#18934); -#18936=ORIENTED_EDGE('',*,*,#12027,.T.); -#18938=ORIENTED_EDGE('',*,*,#18937,.F.); -#18940=ORIENTED_EDGE('',*,*,#18939,.F.); -#18941=ORIENTED_EDGE('',*,*,#18923,.T.); -#18942=EDGE_LOOP('',(#18936,#18938,#18940,#18941)); -#18943=FACE_OUTER_BOUND('',#18942,.F.); -#18945=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,0.E0)); -#18946=DIRECTION('',(0.E0,1.E0,0.E0)); -#18947=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18948=AXIS2_PLACEMENT_3D('',#18945,#18946,#18947); -#18949=PLANE('',#18948); -#18950=ORIENTED_EDGE('',*,*,#12025,.T.); -#18951=ORIENTED_EDGE('',*,*,#18912,.F.); -#18953=ORIENTED_EDGE('',*,*,#18952,.F.); -#18954=ORIENTED_EDGE('',*,*,#18937,.T.); -#18955=EDGE_LOOP('',(#18950,#18951,#18953,#18954)); -#18956=FACE_OUTER_BOUND('',#18955,.F.); -#18958=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#18959=DIRECTION('',(0.E0,0.E0,1.E0)); -#18960=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18961=AXIS2_PLACEMENT_3D('',#18958,#18959,#18960); -#18962=PLANE('',#18961); -#18963=ORIENTED_EDGE('',*,*,#18910,.T.); -#18964=ORIENTED_EDGE('',*,*,#18925,.T.); -#18965=ORIENTED_EDGE('',*,*,#18939,.T.); -#18966=ORIENTED_EDGE('',*,*,#18952,.T.); -#18967=EDGE_LOOP('',(#18963,#18964,#18965,#18966)); -#18968=FACE_OUTER_BOUND('',#18967,.F.); -#18970=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,0.E0)); -#18971=DIRECTION('',(0.E0,1.E0,0.E0)); -#18972=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18973=AXIS2_PLACEMENT_3D('',#18970,#18971,#18972); -#18974=PLANE('',#18973); -#18975=ORIENTED_EDGE('',*,*,#12033,.T.); -#18977=ORIENTED_EDGE('',*,*,#18976,.F.); -#18979=ORIENTED_EDGE('',*,*,#18978,.F.); -#18981=ORIENTED_EDGE('',*,*,#18980,.T.); -#18982=EDGE_LOOP('',(#18975,#18977,#18979,#18981)); -#18983=FACE_OUTER_BOUND('',#18982,.F.); -#18985=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,0.E0)); -#18986=DIRECTION('',(1.E0,0.E0,0.E0)); -#18987=DIRECTION('',(0.E0,1.E0,0.E0)); -#18988=AXIS2_PLACEMENT_3D('',#18985,#18986,#18987); -#18989=PLANE('',#18988); -#18990=ORIENTED_EDGE('',*,*,#12039,.T.); -#18992=ORIENTED_EDGE('',*,*,#18991,.F.); -#18994=ORIENTED_EDGE('',*,*,#18993,.F.); -#18995=ORIENTED_EDGE('',*,*,#18976,.T.); -#18996=EDGE_LOOP('',(#18990,#18992,#18994,#18995)); -#18997=FACE_OUTER_BOUND('',#18996,.F.); -#18999=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,0.E0)); -#19000=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19001=DIRECTION('',(1.E0,0.E0,0.E0)); -#19002=AXIS2_PLACEMENT_3D('',#18999,#19000,#19001); -#19003=PLANE('',#19002); -#19004=ORIENTED_EDGE('',*,*,#12037,.T.); -#19006=ORIENTED_EDGE('',*,*,#19005,.F.); -#19008=ORIENTED_EDGE('',*,*,#19007,.F.); -#19009=ORIENTED_EDGE('',*,*,#18991,.T.); -#19010=EDGE_LOOP('',(#19004,#19006,#19008,#19009)); -#19011=FACE_OUTER_BOUND('',#19010,.F.); -#19013=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,0.E0)); -#19014=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19015=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19016=AXIS2_PLACEMENT_3D('',#19013,#19014,#19015); -#19017=PLANE('',#19016); -#19018=ORIENTED_EDGE('',*,*,#12035,.T.); -#19019=ORIENTED_EDGE('',*,*,#18980,.F.); -#19021=ORIENTED_EDGE('',*,*,#19020,.F.); -#19022=ORIENTED_EDGE('',*,*,#19005,.T.); -#19023=EDGE_LOOP('',(#19018,#19019,#19021,#19022)); -#19024=FACE_OUTER_BOUND('',#19023,.F.); -#19026=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19027=DIRECTION('',(0.E0,0.E0,1.E0)); -#19028=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19029=AXIS2_PLACEMENT_3D('',#19026,#19027,#19028); -#19030=PLANE('',#19029); -#19031=ORIENTED_EDGE('',*,*,#18978,.T.); -#19032=ORIENTED_EDGE('',*,*,#18993,.T.); -#19033=ORIENTED_EDGE('',*,*,#19007,.T.); -#19034=ORIENTED_EDGE('',*,*,#19020,.T.); -#19035=EDGE_LOOP('',(#19031,#19032,#19033,#19034)); -#19036=FACE_OUTER_BOUND('',#19035,.F.); -#19038=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,0.E0)); -#19039=DIRECTION('',(0.E0,1.E0,0.E0)); -#19040=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19041=AXIS2_PLACEMENT_3D('',#19038,#19039,#19040); -#19042=PLANE('',#19041); -#19043=ORIENTED_EDGE('',*,*,#12043,.T.); -#19045=ORIENTED_EDGE('',*,*,#19044,.F.); -#19047=ORIENTED_EDGE('',*,*,#19046,.F.); -#19049=ORIENTED_EDGE('',*,*,#19048,.T.); -#19050=EDGE_LOOP('',(#19043,#19045,#19047,#19049)); -#19051=FACE_OUTER_BOUND('',#19050,.F.); -#19053=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,0.E0)); -#19054=DIRECTION('',(1.E0,0.E0,0.E0)); -#19055=DIRECTION('',(0.E0,1.E0,0.E0)); -#19056=AXIS2_PLACEMENT_3D('',#19053,#19054,#19055); -#19057=PLANE('',#19056); -#19058=ORIENTED_EDGE('',*,*,#12049,.T.); -#19060=ORIENTED_EDGE('',*,*,#19059,.F.); -#19062=ORIENTED_EDGE('',*,*,#19061,.F.); -#19063=ORIENTED_EDGE('',*,*,#19044,.T.); -#19064=EDGE_LOOP('',(#19058,#19060,#19062,#19063)); -#19065=FACE_OUTER_BOUND('',#19064,.F.); -#19067=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,0.E0)); -#19068=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19069=DIRECTION('',(1.E0,0.E0,0.E0)); -#19070=AXIS2_PLACEMENT_3D('',#19067,#19068,#19069); -#19071=PLANE('',#19070); -#19072=ORIENTED_EDGE('',*,*,#12047,.T.); -#19074=ORIENTED_EDGE('',*,*,#19073,.F.); -#19076=ORIENTED_EDGE('',*,*,#19075,.F.); -#19077=ORIENTED_EDGE('',*,*,#19059,.T.); -#19078=EDGE_LOOP('',(#19072,#19074,#19076,#19077)); -#19079=FACE_OUTER_BOUND('',#19078,.F.); -#19081=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,0.E0)); -#19082=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19083=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19084=AXIS2_PLACEMENT_3D('',#19081,#19082,#19083); -#19085=PLANE('',#19084); -#19086=ORIENTED_EDGE('',*,*,#12045,.T.); -#19087=ORIENTED_EDGE('',*,*,#19048,.F.); -#19089=ORIENTED_EDGE('',*,*,#19088,.F.); -#19090=ORIENTED_EDGE('',*,*,#19073,.T.); -#19091=EDGE_LOOP('',(#19086,#19087,#19089,#19090)); -#19092=FACE_OUTER_BOUND('',#19091,.F.); -#19094=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19095=DIRECTION('',(0.E0,0.E0,1.E0)); -#19096=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19097=AXIS2_PLACEMENT_3D('',#19094,#19095,#19096); -#19098=PLANE('',#19097); -#19099=ORIENTED_EDGE('',*,*,#19046,.T.); -#19100=ORIENTED_EDGE('',*,*,#19061,.T.); -#19101=ORIENTED_EDGE('',*,*,#19075,.T.); -#19102=ORIENTED_EDGE('',*,*,#19088,.T.); -#19103=EDGE_LOOP('',(#19099,#19100,#19101,#19102)); -#19104=FACE_OUTER_BOUND('',#19103,.F.); -#19106=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,0.E0)); -#19107=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19108=DIRECTION('',(1.E0,0.E0,0.E0)); -#19109=AXIS2_PLACEMENT_3D('',#19106,#19107,#19108); -#19110=PLANE('',#19109); -#19111=ORIENTED_EDGE('',*,*,#12053,.T.); -#19113=ORIENTED_EDGE('',*,*,#19112,.F.); -#19115=ORIENTED_EDGE('',*,*,#19114,.F.); -#19117=ORIENTED_EDGE('',*,*,#19116,.T.); -#19118=EDGE_LOOP('',(#19111,#19113,#19115,#19117)); -#19119=FACE_OUTER_BOUND('',#19118,.F.); -#19121=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,0.E0)); -#19122=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19123=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19124=AXIS2_PLACEMENT_3D('',#19121,#19122,#19123); -#19125=PLANE('',#19124); -#19126=ORIENTED_EDGE('',*,*,#12059,.T.); -#19128=ORIENTED_EDGE('',*,*,#19127,.F.); -#19130=ORIENTED_EDGE('',*,*,#19129,.F.); -#19131=ORIENTED_EDGE('',*,*,#19112,.T.); -#19132=EDGE_LOOP('',(#19126,#19128,#19130,#19131)); -#19133=FACE_OUTER_BOUND('',#19132,.F.); -#19135=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,0.E0)); -#19136=DIRECTION('',(0.E0,1.E0,0.E0)); -#19137=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19138=AXIS2_PLACEMENT_3D('',#19135,#19136,#19137); -#19139=PLANE('',#19138); -#19140=ORIENTED_EDGE('',*,*,#12057,.T.); -#19142=ORIENTED_EDGE('',*,*,#19141,.F.); -#19144=ORIENTED_EDGE('',*,*,#19143,.F.); -#19145=ORIENTED_EDGE('',*,*,#19127,.T.); -#19146=EDGE_LOOP('',(#19140,#19142,#19144,#19145)); -#19147=FACE_OUTER_BOUND('',#19146,.F.); -#19149=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,0.E0)); -#19150=DIRECTION('',(1.E0,0.E0,0.E0)); -#19151=DIRECTION('',(0.E0,1.E0,0.E0)); -#19152=AXIS2_PLACEMENT_3D('',#19149,#19150,#19151); -#19153=PLANE('',#19152); -#19154=ORIENTED_EDGE('',*,*,#12055,.T.); -#19155=ORIENTED_EDGE('',*,*,#19116,.F.); -#19157=ORIENTED_EDGE('',*,*,#19156,.F.); -#19158=ORIENTED_EDGE('',*,*,#19141,.T.); -#19159=EDGE_LOOP('',(#19154,#19155,#19157,#19158)); -#19160=FACE_OUTER_BOUND('',#19159,.F.); -#19162=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19163=DIRECTION('',(0.E0,0.E0,1.E0)); -#19164=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19165=AXIS2_PLACEMENT_3D('',#19162,#19163,#19164); -#19166=PLANE('',#19165); -#19167=ORIENTED_EDGE('',*,*,#19114,.T.); -#19168=ORIENTED_EDGE('',*,*,#19129,.T.); -#19169=ORIENTED_EDGE('',*,*,#19143,.T.); -#19170=ORIENTED_EDGE('',*,*,#19156,.T.); -#19171=EDGE_LOOP('',(#19167,#19168,#19169,#19170)); -#19172=FACE_OUTER_BOUND('',#19171,.F.); -#19174=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,0.E0)); -#19175=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19176=DIRECTION('',(1.E0,0.E0,0.E0)); -#19177=AXIS2_PLACEMENT_3D('',#19174,#19175,#19176); -#19178=PLANE('',#19177); -#19179=ORIENTED_EDGE('',*,*,#12063,.T.); -#19181=ORIENTED_EDGE('',*,*,#19180,.F.); -#19183=ORIENTED_EDGE('',*,*,#19182,.F.); -#19185=ORIENTED_EDGE('',*,*,#19184,.T.); -#19186=EDGE_LOOP('',(#19179,#19181,#19183,#19185)); -#19187=FACE_OUTER_BOUND('',#19186,.F.); -#19189=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,0.E0)); -#19190=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19191=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19192=AXIS2_PLACEMENT_3D('',#19189,#19190,#19191); -#19193=PLANE('',#19192); -#19194=ORIENTED_EDGE('',*,*,#12069,.T.); -#19196=ORIENTED_EDGE('',*,*,#19195,.F.); -#19198=ORIENTED_EDGE('',*,*,#19197,.F.); -#19199=ORIENTED_EDGE('',*,*,#19180,.T.); -#19200=EDGE_LOOP('',(#19194,#19196,#19198,#19199)); -#19201=FACE_OUTER_BOUND('',#19200,.F.); -#19203=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,0.E0)); -#19204=DIRECTION('',(0.E0,1.E0,0.E0)); -#19205=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19206=AXIS2_PLACEMENT_3D('',#19203,#19204,#19205); -#19207=PLANE('',#19206); -#19208=ORIENTED_EDGE('',*,*,#12067,.T.); -#19210=ORIENTED_EDGE('',*,*,#19209,.F.); -#19212=ORIENTED_EDGE('',*,*,#19211,.F.); -#19213=ORIENTED_EDGE('',*,*,#19195,.T.); -#19214=EDGE_LOOP('',(#19208,#19210,#19212,#19213)); -#19215=FACE_OUTER_BOUND('',#19214,.F.); -#19217=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,0.E0)); -#19218=DIRECTION('',(1.E0,0.E0,0.E0)); -#19219=DIRECTION('',(0.E0,1.E0,0.E0)); -#19220=AXIS2_PLACEMENT_3D('',#19217,#19218,#19219); -#19221=PLANE('',#19220); -#19222=ORIENTED_EDGE('',*,*,#12065,.T.); -#19223=ORIENTED_EDGE('',*,*,#19184,.F.); -#19225=ORIENTED_EDGE('',*,*,#19224,.F.); -#19226=ORIENTED_EDGE('',*,*,#19209,.T.); -#19227=EDGE_LOOP('',(#19222,#19223,#19225,#19226)); -#19228=FACE_OUTER_BOUND('',#19227,.F.); -#19230=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19231=DIRECTION('',(0.E0,0.E0,1.E0)); -#19232=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19233=AXIS2_PLACEMENT_3D('',#19230,#19231,#19232); -#19234=PLANE('',#19233); -#19235=ORIENTED_EDGE('',*,*,#19182,.T.); -#19236=ORIENTED_EDGE('',*,*,#19197,.T.); -#19237=ORIENTED_EDGE('',*,*,#19211,.T.); -#19238=ORIENTED_EDGE('',*,*,#19224,.T.); -#19239=EDGE_LOOP('',(#19235,#19236,#19237,#19238)); -#19240=FACE_OUTER_BOUND('',#19239,.F.); -#19242=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,0.E0)); -#19243=DIRECTION('',(0.E0,1.E0,0.E0)); -#19244=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19245=AXIS2_PLACEMENT_3D('',#19242,#19243,#19244); -#19246=PLANE('',#19245); -#19247=ORIENTED_EDGE('',*,*,#12073,.T.); -#19249=ORIENTED_EDGE('',*,*,#19248,.F.); -#19251=ORIENTED_EDGE('',*,*,#19250,.F.); -#19253=ORIENTED_EDGE('',*,*,#19252,.T.); -#19254=EDGE_LOOP('',(#19247,#19249,#19251,#19253)); -#19255=FACE_OUTER_BOUND('',#19254,.F.); -#19257=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,0.E0)); -#19258=DIRECTION('',(1.E0,0.E0,0.E0)); -#19259=DIRECTION('',(0.E0,1.E0,0.E0)); -#19260=AXIS2_PLACEMENT_3D('',#19257,#19258,#19259); -#19261=PLANE('',#19260); -#19262=ORIENTED_EDGE('',*,*,#12079,.T.); -#19264=ORIENTED_EDGE('',*,*,#19263,.F.); -#19266=ORIENTED_EDGE('',*,*,#19265,.F.); -#19267=ORIENTED_EDGE('',*,*,#19248,.T.); -#19268=EDGE_LOOP('',(#19262,#19264,#19266,#19267)); -#19269=FACE_OUTER_BOUND('',#19268,.F.); -#19271=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,0.E0)); -#19272=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19273=DIRECTION('',(1.E0,0.E0,0.E0)); -#19274=AXIS2_PLACEMENT_3D('',#19271,#19272,#19273); -#19275=PLANE('',#19274); -#19276=ORIENTED_EDGE('',*,*,#12077,.T.); -#19278=ORIENTED_EDGE('',*,*,#19277,.F.); -#19280=ORIENTED_EDGE('',*,*,#19279,.F.); -#19281=ORIENTED_EDGE('',*,*,#19263,.T.); -#19282=EDGE_LOOP('',(#19276,#19278,#19280,#19281)); -#19283=FACE_OUTER_BOUND('',#19282,.F.); -#19285=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,0.E0)); -#19286=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19287=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19288=AXIS2_PLACEMENT_3D('',#19285,#19286,#19287); -#19289=PLANE('',#19288); -#19290=ORIENTED_EDGE('',*,*,#12075,.T.); -#19291=ORIENTED_EDGE('',*,*,#19252,.F.); -#19293=ORIENTED_EDGE('',*,*,#19292,.F.); -#19294=ORIENTED_EDGE('',*,*,#19277,.T.); -#19295=EDGE_LOOP('',(#19290,#19291,#19293,#19294)); -#19296=FACE_OUTER_BOUND('',#19295,.F.); -#19298=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19299=DIRECTION('',(0.E0,0.E0,1.E0)); -#19300=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19301=AXIS2_PLACEMENT_3D('',#19298,#19299,#19300); -#19302=PLANE('',#19301); -#19303=ORIENTED_EDGE('',*,*,#19250,.T.); -#19304=ORIENTED_EDGE('',*,*,#19265,.T.); -#19305=ORIENTED_EDGE('',*,*,#19279,.T.); -#19306=ORIENTED_EDGE('',*,*,#19292,.T.); -#19307=EDGE_LOOP('',(#19303,#19304,#19305,#19306)); -#19308=FACE_OUTER_BOUND('',#19307,.F.); -#19310=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,0.E0)); -#19311=DIRECTION('',(0.E0,1.E0,0.E0)); -#19312=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19313=AXIS2_PLACEMENT_3D('',#19310,#19311,#19312); -#19314=PLANE('',#19313); -#19315=ORIENTED_EDGE('',*,*,#12083,.T.); -#19317=ORIENTED_EDGE('',*,*,#19316,.F.); -#19319=ORIENTED_EDGE('',*,*,#19318,.F.); -#19321=ORIENTED_EDGE('',*,*,#19320,.T.); -#19322=EDGE_LOOP('',(#19315,#19317,#19319,#19321)); -#19323=FACE_OUTER_BOUND('',#19322,.F.); -#19325=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,0.E0)); -#19326=DIRECTION('',(1.E0,0.E0,0.E0)); -#19327=DIRECTION('',(0.E0,1.E0,0.E0)); -#19328=AXIS2_PLACEMENT_3D('',#19325,#19326,#19327); -#19329=PLANE('',#19328); -#19330=ORIENTED_EDGE('',*,*,#12089,.T.); -#19332=ORIENTED_EDGE('',*,*,#19331,.F.); -#19334=ORIENTED_EDGE('',*,*,#19333,.F.); -#19335=ORIENTED_EDGE('',*,*,#19316,.T.); -#19336=EDGE_LOOP('',(#19330,#19332,#19334,#19335)); -#19337=FACE_OUTER_BOUND('',#19336,.F.); -#19339=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,0.E0)); -#19340=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19341=DIRECTION('',(1.E0,0.E0,0.E0)); -#19342=AXIS2_PLACEMENT_3D('',#19339,#19340,#19341); -#19343=PLANE('',#19342); -#19344=ORIENTED_EDGE('',*,*,#12087,.T.); -#19346=ORIENTED_EDGE('',*,*,#19345,.F.); -#19348=ORIENTED_EDGE('',*,*,#19347,.F.); -#19349=ORIENTED_EDGE('',*,*,#19331,.T.); -#19350=EDGE_LOOP('',(#19344,#19346,#19348,#19349)); -#19351=FACE_OUTER_BOUND('',#19350,.F.); -#19353=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,0.E0)); -#19354=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19355=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19356=AXIS2_PLACEMENT_3D('',#19353,#19354,#19355); -#19357=PLANE('',#19356); -#19358=ORIENTED_EDGE('',*,*,#12085,.T.); -#19359=ORIENTED_EDGE('',*,*,#19320,.F.); -#19361=ORIENTED_EDGE('',*,*,#19360,.F.); -#19362=ORIENTED_EDGE('',*,*,#19345,.T.); -#19363=EDGE_LOOP('',(#19358,#19359,#19361,#19362)); -#19364=FACE_OUTER_BOUND('',#19363,.F.); -#19366=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19367=DIRECTION('',(0.E0,0.E0,1.E0)); -#19368=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19369=AXIS2_PLACEMENT_3D('',#19366,#19367,#19368); -#19370=PLANE('',#19369); -#19371=ORIENTED_EDGE('',*,*,#19318,.T.); -#19372=ORIENTED_EDGE('',*,*,#19333,.T.); -#19373=ORIENTED_EDGE('',*,*,#19347,.T.); -#19374=ORIENTED_EDGE('',*,*,#19360,.T.); -#19375=EDGE_LOOP('',(#19371,#19372,#19373,#19374)); -#19376=FACE_OUTER_BOUND('',#19375,.F.); -#19378=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,0.E0)); -#19379=DIRECTION('',(0.E0,1.E0,0.E0)); -#19380=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19381=AXIS2_PLACEMENT_3D('',#19378,#19379,#19380); -#19382=PLANE('',#19381); -#19383=ORIENTED_EDGE('',*,*,#12093,.T.); -#19385=ORIENTED_EDGE('',*,*,#19384,.F.); -#19387=ORIENTED_EDGE('',*,*,#19386,.F.); -#19389=ORIENTED_EDGE('',*,*,#19388,.T.); -#19390=EDGE_LOOP('',(#19383,#19385,#19387,#19389)); -#19391=FACE_OUTER_BOUND('',#19390,.F.); -#19393=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,0.E0)); -#19394=DIRECTION('',(1.E0,0.E0,0.E0)); -#19395=DIRECTION('',(0.E0,1.E0,0.E0)); -#19396=AXIS2_PLACEMENT_3D('',#19393,#19394,#19395); -#19397=PLANE('',#19396); -#19398=ORIENTED_EDGE('',*,*,#12099,.T.); -#19400=ORIENTED_EDGE('',*,*,#19399,.F.); -#19402=ORIENTED_EDGE('',*,*,#19401,.F.); -#19403=ORIENTED_EDGE('',*,*,#19384,.T.); -#19404=EDGE_LOOP('',(#19398,#19400,#19402,#19403)); -#19405=FACE_OUTER_BOUND('',#19404,.F.); -#19407=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,0.E0)); -#19408=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19409=DIRECTION('',(1.E0,0.E0,0.E0)); -#19410=AXIS2_PLACEMENT_3D('',#19407,#19408,#19409); -#19411=PLANE('',#19410); -#19412=ORIENTED_EDGE('',*,*,#12097,.T.); -#19414=ORIENTED_EDGE('',*,*,#19413,.F.); -#19416=ORIENTED_EDGE('',*,*,#19415,.F.); -#19417=ORIENTED_EDGE('',*,*,#19399,.T.); -#19418=EDGE_LOOP('',(#19412,#19414,#19416,#19417)); -#19419=FACE_OUTER_BOUND('',#19418,.F.); -#19421=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,0.E0)); -#19422=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19423=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19424=AXIS2_PLACEMENT_3D('',#19421,#19422,#19423); -#19425=PLANE('',#19424); -#19426=ORIENTED_EDGE('',*,*,#12095,.T.); -#19427=ORIENTED_EDGE('',*,*,#19388,.F.); -#19429=ORIENTED_EDGE('',*,*,#19428,.F.); -#19430=ORIENTED_EDGE('',*,*,#19413,.T.); -#19431=EDGE_LOOP('',(#19426,#19427,#19429,#19430)); -#19432=FACE_OUTER_BOUND('',#19431,.F.); -#19434=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19435=DIRECTION('',(0.E0,0.E0,1.E0)); -#19436=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19437=AXIS2_PLACEMENT_3D('',#19434,#19435,#19436); -#19438=PLANE('',#19437); -#19439=ORIENTED_EDGE('',*,*,#19386,.T.); -#19440=ORIENTED_EDGE('',*,*,#19401,.T.); -#19441=ORIENTED_EDGE('',*,*,#19415,.T.); -#19442=ORIENTED_EDGE('',*,*,#19428,.T.); -#19443=EDGE_LOOP('',(#19439,#19440,#19441,#19442)); -#19444=FACE_OUTER_BOUND('',#19443,.F.); -#19446=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,0.E0)); -#19447=DIRECTION('',(1.E0,0.E0,0.E0)); -#19448=DIRECTION('',(0.E0,1.E0,0.E0)); -#19449=AXIS2_PLACEMENT_3D('',#19446,#19447,#19448); -#19450=PLANE('',#19449); -#19451=ORIENTED_EDGE('',*,*,#12103,.T.); -#19453=ORIENTED_EDGE('',*,*,#19452,.F.); -#19455=ORIENTED_EDGE('',*,*,#19454,.F.); -#19457=ORIENTED_EDGE('',*,*,#19456,.T.); -#19458=EDGE_LOOP('',(#19451,#19453,#19455,#19457)); -#19459=FACE_OUTER_BOUND('',#19458,.F.); -#19461=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,0.E0)); -#19462=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19463=DIRECTION('',(1.E0,0.E0,0.E0)); -#19464=AXIS2_PLACEMENT_3D('',#19461,#19462,#19463); -#19465=PLANE('',#19464); -#19466=ORIENTED_EDGE('',*,*,#12109,.T.); -#19468=ORIENTED_EDGE('',*,*,#19467,.F.); -#19470=ORIENTED_EDGE('',*,*,#19469,.F.); -#19471=ORIENTED_EDGE('',*,*,#19452,.T.); -#19472=EDGE_LOOP('',(#19466,#19468,#19470,#19471)); -#19473=FACE_OUTER_BOUND('',#19472,.F.); -#19475=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,0.E0)); -#19476=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19477=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19478=AXIS2_PLACEMENT_3D('',#19475,#19476,#19477); -#19479=PLANE('',#19478); -#19480=ORIENTED_EDGE('',*,*,#12107,.T.); -#19482=ORIENTED_EDGE('',*,*,#19481,.F.); -#19484=ORIENTED_EDGE('',*,*,#19483,.F.); -#19485=ORIENTED_EDGE('',*,*,#19467,.T.); -#19486=EDGE_LOOP('',(#19480,#19482,#19484,#19485)); -#19487=FACE_OUTER_BOUND('',#19486,.F.); -#19489=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,0.E0)); -#19490=DIRECTION('',(0.E0,1.E0,0.E0)); -#19491=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19492=AXIS2_PLACEMENT_3D('',#19489,#19490,#19491); -#19493=PLANE('',#19492); -#19494=ORIENTED_EDGE('',*,*,#12105,.T.); -#19495=ORIENTED_EDGE('',*,*,#19456,.F.); -#19497=ORIENTED_EDGE('',*,*,#19496,.F.); -#19498=ORIENTED_EDGE('',*,*,#19481,.T.); -#19499=EDGE_LOOP('',(#19494,#19495,#19497,#19498)); -#19500=FACE_OUTER_BOUND('',#19499,.F.); -#19502=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19503=DIRECTION('',(0.E0,0.E0,1.E0)); -#19504=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19505=AXIS2_PLACEMENT_3D('',#19502,#19503,#19504); -#19506=PLANE('',#19505); -#19507=ORIENTED_EDGE('',*,*,#19454,.T.); -#19508=ORIENTED_EDGE('',*,*,#19469,.T.); -#19509=ORIENTED_EDGE('',*,*,#19483,.T.); -#19510=ORIENTED_EDGE('',*,*,#19496,.T.); -#19511=EDGE_LOOP('',(#19507,#19508,#19509,#19510)); -#19512=FACE_OUTER_BOUND('',#19511,.F.); -#19514=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,0.E0)); -#19515=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19516=DIRECTION('',(1.E0,0.E0,0.E0)); -#19517=AXIS2_PLACEMENT_3D('',#19514,#19515,#19516); -#19518=PLANE('',#19517); -#19519=ORIENTED_EDGE('',*,*,#12113,.T.); -#19521=ORIENTED_EDGE('',*,*,#19520,.F.); -#19523=ORIENTED_EDGE('',*,*,#19522,.F.); -#19525=ORIENTED_EDGE('',*,*,#19524,.T.); -#19526=EDGE_LOOP('',(#19519,#19521,#19523,#19525)); -#19527=FACE_OUTER_BOUND('',#19526,.F.); -#19529=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,0.E0)); -#19530=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19531=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19532=AXIS2_PLACEMENT_3D('',#19529,#19530,#19531); -#19533=PLANE('',#19532); -#19534=ORIENTED_EDGE('',*,*,#12119,.T.); -#19536=ORIENTED_EDGE('',*,*,#19535,.F.); -#19538=ORIENTED_EDGE('',*,*,#19537,.F.); -#19539=ORIENTED_EDGE('',*,*,#19520,.T.); -#19540=EDGE_LOOP('',(#19534,#19536,#19538,#19539)); -#19541=FACE_OUTER_BOUND('',#19540,.F.); -#19543=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,0.E0)); -#19544=DIRECTION('',(0.E0,1.E0,0.E0)); -#19545=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19546=AXIS2_PLACEMENT_3D('',#19543,#19544,#19545); -#19547=PLANE('',#19546); -#19548=ORIENTED_EDGE('',*,*,#12117,.T.); -#19550=ORIENTED_EDGE('',*,*,#19549,.F.); -#19552=ORIENTED_EDGE('',*,*,#19551,.F.); -#19553=ORIENTED_EDGE('',*,*,#19535,.T.); -#19554=EDGE_LOOP('',(#19548,#19550,#19552,#19553)); -#19555=FACE_OUTER_BOUND('',#19554,.F.); -#19557=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,0.E0)); -#19558=DIRECTION('',(1.E0,0.E0,0.E0)); -#19559=DIRECTION('',(0.E0,1.E0,0.E0)); -#19560=AXIS2_PLACEMENT_3D('',#19557,#19558,#19559); -#19561=PLANE('',#19560); -#19562=ORIENTED_EDGE('',*,*,#12115,.T.); -#19563=ORIENTED_EDGE('',*,*,#19524,.F.); -#19565=ORIENTED_EDGE('',*,*,#19564,.F.); -#19566=ORIENTED_EDGE('',*,*,#19549,.T.); -#19567=EDGE_LOOP('',(#19562,#19563,#19565,#19566)); -#19568=FACE_OUTER_BOUND('',#19567,.F.); -#19570=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19571=DIRECTION('',(0.E0,0.E0,1.E0)); -#19572=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19573=AXIS2_PLACEMENT_3D('',#19570,#19571,#19572); -#19574=PLANE('',#19573); -#19575=ORIENTED_EDGE('',*,*,#19522,.T.); -#19576=ORIENTED_EDGE('',*,*,#19537,.T.); -#19577=ORIENTED_EDGE('',*,*,#19551,.T.); -#19578=ORIENTED_EDGE('',*,*,#19564,.T.); -#19579=EDGE_LOOP('',(#19575,#19576,#19577,#19578)); -#19580=FACE_OUTER_BOUND('',#19579,.F.); -#19582=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,0.E0)); -#19583=DIRECTION('',(1.E0,0.E0,0.E0)); -#19584=DIRECTION('',(0.E0,1.E0,0.E0)); -#19585=AXIS2_PLACEMENT_3D('',#19582,#19583,#19584); -#19586=PLANE('',#19585); -#19587=ORIENTED_EDGE('',*,*,#12123,.T.); -#19589=ORIENTED_EDGE('',*,*,#19588,.F.); -#19591=ORIENTED_EDGE('',*,*,#19590,.F.); -#19593=ORIENTED_EDGE('',*,*,#19592,.T.); -#19594=EDGE_LOOP('',(#19587,#19589,#19591,#19593)); -#19595=FACE_OUTER_BOUND('',#19594,.F.); -#19597=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,0.E0)); -#19598=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19599=DIRECTION('',(1.E0,0.E0,0.E0)); -#19600=AXIS2_PLACEMENT_3D('',#19597,#19598,#19599); -#19601=PLANE('',#19600); -#19602=ORIENTED_EDGE('',*,*,#12129,.T.); -#19604=ORIENTED_EDGE('',*,*,#19603,.F.); -#19606=ORIENTED_EDGE('',*,*,#19605,.F.); -#19607=ORIENTED_EDGE('',*,*,#19588,.T.); -#19608=EDGE_LOOP('',(#19602,#19604,#19606,#19607)); -#19609=FACE_OUTER_BOUND('',#19608,.F.); -#19611=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,0.E0)); -#19612=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19613=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19614=AXIS2_PLACEMENT_3D('',#19611,#19612,#19613); -#19615=PLANE('',#19614); -#19616=ORIENTED_EDGE('',*,*,#12127,.T.); -#19618=ORIENTED_EDGE('',*,*,#19617,.F.); -#19620=ORIENTED_EDGE('',*,*,#19619,.F.); -#19621=ORIENTED_EDGE('',*,*,#19603,.T.); -#19622=EDGE_LOOP('',(#19616,#19618,#19620,#19621)); -#19623=FACE_OUTER_BOUND('',#19622,.F.); -#19625=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,0.E0)); -#19626=DIRECTION('',(0.E0,1.E0,0.E0)); -#19627=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19628=AXIS2_PLACEMENT_3D('',#19625,#19626,#19627); -#19629=PLANE('',#19628); -#19630=ORIENTED_EDGE('',*,*,#12125,.T.); -#19631=ORIENTED_EDGE('',*,*,#19592,.F.); -#19633=ORIENTED_EDGE('',*,*,#19632,.F.); -#19634=ORIENTED_EDGE('',*,*,#19617,.T.); -#19635=EDGE_LOOP('',(#19630,#19631,#19633,#19634)); -#19636=FACE_OUTER_BOUND('',#19635,.F.); -#19638=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19639=DIRECTION('',(0.E0,0.E0,1.E0)); -#19640=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19641=AXIS2_PLACEMENT_3D('',#19638,#19639,#19640); -#19642=PLANE('',#19641); -#19643=ORIENTED_EDGE('',*,*,#19590,.T.); -#19644=ORIENTED_EDGE('',*,*,#19605,.T.); -#19645=ORIENTED_EDGE('',*,*,#19619,.T.); -#19646=ORIENTED_EDGE('',*,*,#19632,.T.); -#19647=EDGE_LOOP('',(#19643,#19644,#19645,#19646)); -#19648=FACE_OUTER_BOUND('',#19647,.F.); -#19650=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,0.E0)); -#19651=DIRECTION('',(0.E0,1.E0,0.E0)); -#19652=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19653=AXIS2_PLACEMENT_3D('',#19650,#19651,#19652); -#19654=PLANE('',#19653); -#19655=ORIENTED_EDGE('',*,*,#12133,.T.); -#19657=ORIENTED_EDGE('',*,*,#19656,.F.); -#19659=ORIENTED_EDGE('',*,*,#19658,.F.); -#19661=ORIENTED_EDGE('',*,*,#19660,.T.); -#19662=EDGE_LOOP('',(#19655,#19657,#19659,#19661)); -#19663=FACE_OUTER_BOUND('',#19662,.F.); -#19665=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,0.E0)); -#19666=DIRECTION('',(1.E0,0.E0,0.E0)); -#19667=DIRECTION('',(0.E0,1.E0,0.E0)); -#19668=AXIS2_PLACEMENT_3D('',#19665,#19666,#19667); -#19669=PLANE('',#19668); -#19670=ORIENTED_EDGE('',*,*,#12139,.T.); -#19672=ORIENTED_EDGE('',*,*,#19671,.F.); -#19674=ORIENTED_EDGE('',*,*,#19673,.F.); -#19675=ORIENTED_EDGE('',*,*,#19656,.T.); -#19676=EDGE_LOOP('',(#19670,#19672,#19674,#19675)); -#19677=FACE_OUTER_BOUND('',#19676,.F.); -#19679=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,0.E0)); -#19680=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19681=DIRECTION('',(1.E0,0.E0,0.E0)); -#19682=AXIS2_PLACEMENT_3D('',#19679,#19680,#19681); -#19683=PLANE('',#19682); -#19684=ORIENTED_EDGE('',*,*,#12137,.T.); -#19686=ORIENTED_EDGE('',*,*,#19685,.F.); -#19688=ORIENTED_EDGE('',*,*,#19687,.F.); -#19689=ORIENTED_EDGE('',*,*,#19671,.T.); -#19690=EDGE_LOOP('',(#19684,#19686,#19688,#19689)); -#19691=FACE_OUTER_BOUND('',#19690,.F.); -#19693=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,0.E0)); -#19694=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19695=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19696=AXIS2_PLACEMENT_3D('',#19693,#19694,#19695); -#19697=PLANE('',#19696); -#19698=ORIENTED_EDGE('',*,*,#12135,.T.); -#19699=ORIENTED_EDGE('',*,*,#19660,.F.); -#19701=ORIENTED_EDGE('',*,*,#19700,.F.); -#19702=ORIENTED_EDGE('',*,*,#19685,.T.); -#19703=EDGE_LOOP('',(#19698,#19699,#19701,#19702)); -#19704=FACE_OUTER_BOUND('',#19703,.F.); -#19706=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19707=DIRECTION('',(0.E0,0.E0,1.E0)); -#19708=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19709=AXIS2_PLACEMENT_3D('',#19706,#19707,#19708); -#19710=PLANE('',#19709); -#19711=ORIENTED_EDGE('',*,*,#19658,.T.); -#19712=ORIENTED_EDGE('',*,*,#19673,.T.); -#19713=ORIENTED_EDGE('',*,*,#19687,.T.); -#19714=ORIENTED_EDGE('',*,*,#19700,.T.); -#19715=EDGE_LOOP('',(#19711,#19712,#19713,#19714)); -#19716=FACE_OUTER_BOUND('',#19715,.F.); -#19718=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,0.E0)); -#19719=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19720=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19721=AXIS2_PLACEMENT_3D('',#19718,#19719,#19720); -#19722=PLANE('',#19721); -#19723=ORIENTED_EDGE('',*,*,#12143,.T.); -#19725=ORIENTED_EDGE('',*,*,#19724,.F.); -#19727=ORIENTED_EDGE('',*,*,#19726,.F.); -#19729=ORIENTED_EDGE('',*,*,#19728,.T.); -#19730=EDGE_LOOP('',(#19723,#19725,#19727,#19729)); -#19731=FACE_OUTER_BOUND('',#19730,.F.); -#19733=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,0.E0)); -#19734=DIRECTION('',(0.E0,1.E0,0.E0)); -#19735=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19736=AXIS2_PLACEMENT_3D('',#19733,#19734,#19735); -#19737=PLANE('',#19736); -#19738=ORIENTED_EDGE('',*,*,#12149,.T.); -#19740=ORIENTED_EDGE('',*,*,#19739,.F.); -#19742=ORIENTED_EDGE('',*,*,#19741,.F.); -#19743=ORIENTED_EDGE('',*,*,#19724,.T.); -#19744=EDGE_LOOP('',(#19738,#19740,#19742,#19743)); -#19745=FACE_OUTER_BOUND('',#19744,.F.); -#19747=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,0.E0)); -#19748=DIRECTION('',(1.E0,0.E0,0.E0)); -#19749=DIRECTION('',(0.E0,1.E0,0.E0)); -#19750=AXIS2_PLACEMENT_3D('',#19747,#19748,#19749); -#19751=PLANE('',#19750); -#19752=ORIENTED_EDGE('',*,*,#12147,.T.); -#19754=ORIENTED_EDGE('',*,*,#19753,.F.); -#19756=ORIENTED_EDGE('',*,*,#19755,.F.); -#19757=ORIENTED_EDGE('',*,*,#19739,.T.); -#19758=EDGE_LOOP('',(#19752,#19754,#19756,#19757)); -#19759=FACE_OUTER_BOUND('',#19758,.F.); -#19761=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,0.E0)); -#19762=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19763=DIRECTION('',(1.E0,0.E0,0.E0)); -#19764=AXIS2_PLACEMENT_3D('',#19761,#19762,#19763); -#19765=PLANE('',#19764); -#19766=ORIENTED_EDGE('',*,*,#12145,.T.); -#19767=ORIENTED_EDGE('',*,*,#19728,.F.); -#19769=ORIENTED_EDGE('',*,*,#19768,.F.); -#19770=ORIENTED_EDGE('',*,*,#19753,.T.); -#19771=EDGE_LOOP('',(#19766,#19767,#19769,#19770)); -#19772=FACE_OUTER_BOUND('',#19771,.F.); -#19774=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19775=DIRECTION('',(0.E0,0.E0,1.E0)); -#19776=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19777=AXIS2_PLACEMENT_3D('',#19774,#19775,#19776); -#19778=PLANE('',#19777); -#19779=ORIENTED_EDGE('',*,*,#19726,.T.); -#19780=ORIENTED_EDGE('',*,*,#19741,.T.); -#19781=ORIENTED_EDGE('',*,*,#19755,.T.); -#19782=ORIENTED_EDGE('',*,*,#19768,.T.); -#19783=EDGE_LOOP('',(#19779,#19780,#19781,#19782)); -#19784=FACE_OUTER_BOUND('',#19783,.F.); -#19786=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,0.E0)); -#19787=DIRECTION('',(0.E0,1.E0,0.E0)); -#19788=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19789=AXIS2_PLACEMENT_3D('',#19786,#19787,#19788); -#19790=PLANE('',#19789); -#19791=ORIENTED_EDGE('',*,*,#12153,.T.); -#19793=ORIENTED_EDGE('',*,*,#19792,.F.); -#19795=ORIENTED_EDGE('',*,*,#19794,.F.); -#19797=ORIENTED_EDGE('',*,*,#19796,.T.); -#19798=EDGE_LOOP('',(#19791,#19793,#19795,#19797)); -#19799=FACE_OUTER_BOUND('',#19798,.F.); -#19801=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,0.E0)); -#19802=DIRECTION('',(1.E0,0.E0,0.E0)); -#19803=DIRECTION('',(0.E0,1.E0,0.E0)); -#19804=AXIS2_PLACEMENT_3D('',#19801,#19802,#19803); -#19805=PLANE('',#19804); -#19806=ORIENTED_EDGE('',*,*,#12159,.T.); -#19808=ORIENTED_EDGE('',*,*,#19807,.F.); -#19810=ORIENTED_EDGE('',*,*,#19809,.F.); -#19811=ORIENTED_EDGE('',*,*,#19792,.T.); -#19812=EDGE_LOOP('',(#19806,#19808,#19810,#19811)); -#19813=FACE_OUTER_BOUND('',#19812,.F.); -#19815=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,0.E0)); -#19816=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19817=DIRECTION('',(1.E0,0.E0,0.E0)); -#19818=AXIS2_PLACEMENT_3D('',#19815,#19816,#19817); -#19819=PLANE('',#19818); -#19820=ORIENTED_EDGE('',*,*,#12157,.T.); -#19822=ORIENTED_EDGE('',*,*,#19821,.F.); -#19824=ORIENTED_EDGE('',*,*,#19823,.F.); -#19825=ORIENTED_EDGE('',*,*,#19807,.T.); -#19826=EDGE_LOOP('',(#19820,#19822,#19824,#19825)); -#19827=FACE_OUTER_BOUND('',#19826,.F.); -#19829=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,0.E0)); -#19830=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19831=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19832=AXIS2_PLACEMENT_3D('',#19829,#19830,#19831); -#19833=PLANE('',#19832); -#19834=ORIENTED_EDGE('',*,*,#12155,.T.); -#19835=ORIENTED_EDGE('',*,*,#19796,.F.); -#19837=ORIENTED_EDGE('',*,*,#19836,.F.); -#19838=ORIENTED_EDGE('',*,*,#19821,.T.); -#19839=EDGE_LOOP('',(#19834,#19835,#19837,#19838)); -#19840=FACE_OUTER_BOUND('',#19839,.F.); -#19842=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19843=DIRECTION('',(0.E0,0.E0,1.E0)); -#19844=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19845=AXIS2_PLACEMENT_3D('',#19842,#19843,#19844); -#19846=PLANE('',#19845); -#19847=ORIENTED_EDGE('',*,*,#19794,.T.); -#19848=ORIENTED_EDGE('',*,*,#19809,.T.); -#19849=ORIENTED_EDGE('',*,*,#19823,.T.); -#19850=ORIENTED_EDGE('',*,*,#19836,.T.); -#19851=EDGE_LOOP('',(#19847,#19848,#19849,#19850)); -#19852=FACE_OUTER_BOUND('',#19851,.F.); -#19854=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,0.E0)); -#19855=DIRECTION('',(0.E0,1.E0,0.E0)); -#19856=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19857=AXIS2_PLACEMENT_3D('',#19854,#19855,#19856); -#19858=PLANE('',#19857); -#19859=ORIENTED_EDGE('',*,*,#12163,.T.); -#19861=ORIENTED_EDGE('',*,*,#19860,.F.); -#19863=ORIENTED_EDGE('',*,*,#19862,.F.); -#19865=ORIENTED_EDGE('',*,*,#19864,.T.); -#19866=EDGE_LOOP('',(#19859,#19861,#19863,#19865)); -#19867=FACE_OUTER_BOUND('',#19866,.F.); -#19869=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,0.E0)); -#19870=DIRECTION('',(1.E0,0.E0,0.E0)); -#19871=DIRECTION('',(0.E0,1.E0,0.E0)); -#19872=AXIS2_PLACEMENT_3D('',#19869,#19870,#19871); -#19873=PLANE('',#19872); -#19874=ORIENTED_EDGE('',*,*,#12169,.T.); -#19876=ORIENTED_EDGE('',*,*,#19875,.F.); -#19878=ORIENTED_EDGE('',*,*,#19877,.F.); -#19879=ORIENTED_EDGE('',*,*,#19860,.T.); -#19880=EDGE_LOOP('',(#19874,#19876,#19878,#19879)); -#19881=FACE_OUTER_BOUND('',#19880,.F.); -#19883=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,0.E0)); -#19884=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19885=DIRECTION('',(1.E0,0.E0,0.E0)); -#19886=AXIS2_PLACEMENT_3D('',#19883,#19884,#19885); -#19887=PLANE('',#19886); -#19888=ORIENTED_EDGE('',*,*,#12167,.T.); -#19890=ORIENTED_EDGE('',*,*,#19889,.F.); -#19892=ORIENTED_EDGE('',*,*,#19891,.F.); -#19893=ORIENTED_EDGE('',*,*,#19875,.T.); -#19894=EDGE_LOOP('',(#19888,#19890,#19892,#19893)); -#19895=FACE_OUTER_BOUND('',#19894,.F.); -#19897=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,0.E0)); -#19898=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19899=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19900=AXIS2_PLACEMENT_3D('',#19897,#19898,#19899); -#19901=PLANE('',#19900); -#19902=ORIENTED_EDGE('',*,*,#12165,.T.); -#19903=ORIENTED_EDGE('',*,*,#19864,.F.); -#19905=ORIENTED_EDGE('',*,*,#19904,.F.); -#19906=ORIENTED_EDGE('',*,*,#19889,.T.); -#19907=EDGE_LOOP('',(#19902,#19903,#19905,#19906)); -#19908=FACE_OUTER_BOUND('',#19907,.F.); -#19910=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19911=DIRECTION('',(0.E0,0.E0,1.E0)); -#19912=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19913=AXIS2_PLACEMENT_3D('',#19910,#19911,#19912); -#19914=PLANE('',#19913); -#19915=ORIENTED_EDGE('',*,*,#19862,.T.); -#19916=ORIENTED_EDGE('',*,*,#19877,.T.); -#19917=ORIENTED_EDGE('',*,*,#19891,.T.); -#19918=ORIENTED_EDGE('',*,*,#19904,.T.); -#19919=EDGE_LOOP('',(#19915,#19916,#19917,#19918)); -#19920=FACE_OUTER_BOUND('',#19919,.F.); -#19922=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,0.E0)); -#19923=DIRECTION('',(0.E0,1.E0,0.E0)); -#19924=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19925=AXIS2_PLACEMENT_3D('',#19922,#19923,#19924); -#19926=PLANE('',#19925); -#19927=ORIENTED_EDGE('',*,*,#12173,.T.); -#19929=ORIENTED_EDGE('',*,*,#19928,.F.); -#19931=ORIENTED_EDGE('',*,*,#19930,.F.); -#19933=ORIENTED_EDGE('',*,*,#19932,.T.); -#19934=EDGE_LOOP('',(#19927,#19929,#19931,#19933)); -#19935=FACE_OUTER_BOUND('',#19934,.F.); -#19937=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,0.E0)); -#19938=DIRECTION('',(1.E0,0.E0,0.E0)); -#19939=DIRECTION('',(0.E0,1.E0,0.E0)); -#19940=AXIS2_PLACEMENT_3D('',#19937,#19938,#19939); -#19941=PLANE('',#19940); -#19942=ORIENTED_EDGE('',*,*,#12179,.T.); -#19944=ORIENTED_EDGE('',*,*,#19943,.F.); -#19946=ORIENTED_EDGE('',*,*,#19945,.F.); -#19947=ORIENTED_EDGE('',*,*,#19928,.T.); -#19948=EDGE_LOOP('',(#19942,#19944,#19946,#19947)); -#19949=FACE_OUTER_BOUND('',#19948,.F.); -#19951=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,0.E0)); -#19952=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19953=DIRECTION('',(1.E0,0.E0,0.E0)); -#19954=AXIS2_PLACEMENT_3D('',#19951,#19952,#19953); -#19955=PLANE('',#19954); -#19956=ORIENTED_EDGE('',*,*,#12177,.T.); -#19958=ORIENTED_EDGE('',*,*,#19957,.F.); -#19960=ORIENTED_EDGE('',*,*,#19959,.F.); -#19961=ORIENTED_EDGE('',*,*,#19943,.T.); -#19962=EDGE_LOOP('',(#19956,#19958,#19960,#19961)); -#19963=FACE_OUTER_BOUND('',#19962,.F.); -#19965=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,0.E0)); -#19966=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19967=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19968=AXIS2_PLACEMENT_3D('',#19965,#19966,#19967); -#19969=PLANE('',#19968); -#19970=ORIENTED_EDGE('',*,*,#12175,.T.); -#19971=ORIENTED_EDGE('',*,*,#19932,.F.); -#19973=ORIENTED_EDGE('',*,*,#19972,.F.); -#19974=ORIENTED_EDGE('',*,*,#19957,.T.); -#19975=EDGE_LOOP('',(#19970,#19971,#19973,#19974)); -#19976=FACE_OUTER_BOUND('',#19975,.F.); -#19978=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#19979=DIRECTION('',(0.E0,0.E0,1.E0)); -#19980=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19981=AXIS2_PLACEMENT_3D('',#19978,#19979,#19980); -#19982=PLANE('',#19981); -#19983=ORIENTED_EDGE('',*,*,#19930,.T.); -#19984=ORIENTED_EDGE('',*,*,#19945,.T.); -#19985=ORIENTED_EDGE('',*,*,#19959,.T.); -#19986=ORIENTED_EDGE('',*,*,#19972,.T.); -#19987=EDGE_LOOP('',(#19983,#19984,#19985,#19986)); -#19988=FACE_OUTER_BOUND('',#19987,.F.); -#19990=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,0.E0)); -#19991=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19992=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19993=AXIS2_PLACEMENT_3D('',#19990,#19991,#19992); -#19994=PLANE('',#19993); -#19995=ORIENTED_EDGE('',*,*,#12183,.T.); -#19997=ORIENTED_EDGE('',*,*,#19996,.F.); -#19999=ORIENTED_EDGE('',*,*,#19998,.F.); -#20001=ORIENTED_EDGE('',*,*,#20000,.T.); -#20002=EDGE_LOOP('',(#19995,#19997,#19999,#20001)); -#20003=FACE_OUTER_BOUND('',#20002,.F.); -#20005=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,0.E0)); -#20006=DIRECTION('',(0.E0,1.E0,0.E0)); -#20007=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20008=AXIS2_PLACEMENT_3D('',#20005,#20006,#20007); -#20009=PLANE('',#20008); -#20010=ORIENTED_EDGE('',*,*,#12189,.T.); -#20012=ORIENTED_EDGE('',*,*,#20011,.F.); -#20014=ORIENTED_EDGE('',*,*,#20013,.F.); -#20015=ORIENTED_EDGE('',*,*,#19996,.T.); -#20016=EDGE_LOOP('',(#20010,#20012,#20014,#20015)); -#20017=FACE_OUTER_BOUND('',#20016,.F.); -#20019=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,0.E0)); -#20020=DIRECTION('',(1.E0,0.E0,0.E0)); -#20021=DIRECTION('',(0.E0,1.E0,0.E0)); -#20022=AXIS2_PLACEMENT_3D('',#20019,#20020,#20021); -#20023=PLANE('',#20022); -#20024=ORIENTED_EDGE('',*,*,#12187,.T.); -#20026=ORIENTED_EDGE('',*,*,#20025,.F.); -#20028=ORIENTED_EDGE('',*,*,#20027,.F.); -#20029=ORIENTED_EDGE('',*,*,#20011,.T.); -#20030=EDGE_LOOP('',(#20024,#20026,#20028,#20029)); -#20031=FACE_OUTER_BOUND('',#20030,.F.); -#20033=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,0.E0)); -#20034=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20035=DIRECTION('',(1.E0,0.E0,0.E0)); -#20036=AXIS2_PLACEMENT_3D('',#20033,#20034,#20035); -#20037=PLANE('',#20036); -#20038=ORIENTED_EDGE('',*,*,#12185,.T.); -#20039=ORIENTED_EDGE('',*,*,#20000,.F.); -#20041=ORIENTED_EDGE('',*,*,#20040,.F.); -#20042=ORIENTED_EDGE('',*,*,#20025,.T.); -#20043=EDGE_LOOP('',(#20038,#20039,#20041,#20042)); -#20044=FACE_OUTER_BOUND('',#20043,.F.); -#20046=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); -#20047=DIRECTION('',(0.E0,0.E0,1.E0)); -#20048=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20049=AXIS2_PLACEMENT_3D('',#20046,#20047,#20048); -#20050=PLANE('',#20049); -#20051=ORIENTED_EDGE('',*,*,#19998,.T.); -#20052=ORIENTED_EDGE('',*,*,#20013,.T.); -#20053=ORIENTED_EDGE('',*,*,#20027,.T.); -#20054=ORIENTED_EDGE('',*,*,#20040,.T.); -#20055=EDGE_LOOP('',(#20051,#20052,#20053,#20054)); -#20056=FACE_OUTER_BOUND('',#20055,.F.); -#20058=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,0.E0)); -#20059=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20060=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20061=AXIS2_PLACEMENT_3D('',#20058,#20059,#20060); -#20062=PLANE('',#20061); -#20063=ORIENTED_EDGE('',*,*,#12193,.T.); -#20065=ORIENTED_EDGE('',*,*,#20064,.F.); -#20067=ORIENTED_EDGE('',*,*,#20066,.F.); -#20069=ORIENTED_EDGE('',*,*,#20068,.T.); -#20070=EDGE_LOOP('',(#20063,#20065,#20067,#20069)); -#20071=FACE_OUTER_BOUND('',#20070,.F.); -#20073=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,0.E0)); -#20074=DIRECTION('',(0.E0,1.E0,0.E0)); -#20075=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20076=AXIS2_PLACEMENT_3D('',#20073,#20074,#20075); -#20077=PLANE('',#20076); -#20078=ORIENTED_EDGE('',*,*,#12199,.T.); -#20080=ORIENTED_EDGE('',*,*,#20079,.F.); -#20082=ORIENTED_EDGE('',*,*,#20081,.F.); -#20083=ORIENTED_EDGE('',*,*,#20064,.T.); -#20084=EDGE_LOOP('',(#20078,#20080,#20082,#20083)); -#20085=FACE_OUTER_BOUND('',#20084,.F.); -#20087=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,0.E0)); -#20088=DIRECTION('',(1.E0,0.E0,0.E0)); -#20089=DIRECTION('',(0.E0,1.E0,0.E0)); -#20090=AXIS2_PLACEMENT_3D('',#20087,#20088,#20089); -#20091=PLANE('',#20090); -#20092=ORIENTED_EDGE('',*,*,#12197,.T.); -#20094=ORIENTED_EDGE('',*,*,#20093,.F.); -#20096=ORIENTED_EDGE('',*,*,#20095,.F.); -#20097=ORIENTED_EDGE('',*,*,#20079,.T.); -#20098=EDGE_LOOP('',(#20092,#20094,#20096,#20097)); -#20099=FACE_OUTER_BOUND('',#20098,.F.); -#20101=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,0.E0)); -#20102=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20103=DIRECTION('',(1.E0,0.E0,0.E0)); -#20104=AXIS2_PLACEMENT_3D('',#20101,#20102,#20103); -#20105=PLANE('',#20104); -#20106=ORIENTED_EDGE('',*,*,#12195,.T.); -#20107=ORIENTED_EDGE('',*,*,#20068,.F.); -#20109=ORIENTED_EDGE('',*,*,#20108,.F.); -#20110=ORIENTED_EDGE('',*,*,#20093,.T.); -#20111=EDGE_LOOP('',(#20106,#20107,#20109,#20110)); -#20112=FACE_OUTER_BOUND('',#20111,.F.); -#20114=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20115=DIRECTION('',(0.E0,0.E0,1.E0)); -#20116=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20117=AXIS2_PLACEMENT_3D('',#20114,#20115,#20116); -#20118=PLANE('',#20117); -#20119=ORIENTED_EDGE('',*,*,#20066,.T.); -#20120=ORIENTED_EDGE('',*,*,#20081,.T.); -#20121=ORIENTED_EDGE('',*,*,#20095,.T.); -#20122=ORIENTED_EDGE('',*,*,#20108,.T.); -#20123=EDGE_LOOP('',(#20119,#20120,#20121,#20122)); -#20124=FACE_OUTER_BOUND('',#20123,.F.); -#20126=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,0.E0)); -#20127=DIRECTION('',(0.E0,1.E0,0.E0)); -#20128=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20129=AXIS2_PLACEMENT_3D('',#20126,#20127,#20128); -#20130=PLANE('',#20129); -#20131=ORIENTED_EDGE('',*,*,#12203,.T.); -#20133=ORIENTED_EDGE('',*,*,#20132,.F.); -#20135=ORIENTED_EDGE('',*,*,#20134,.F.); -#20137=ORIENTED_EDGE('',*,*,#20136,.T.); -#20138=EDGE_LOOP('',(#20131,#20133,#20135,#20137)); -#20139=FACE_OUTER_BOUND('',#20138,.F.); -#20141=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,0.E0)); -#20142=DIRECTION('',(1.E0,0.E0,0.E0)); -#20143=DIRECTION('',(0.E0,1.E0,0.E0)); -#20144=AXIS2_PLACEMENT_3D('',#20141,#20142,#20143); -#20145=PLANE('',#20144); -#20146=ORIENTED_EDGE('',*,*,#12209,.T.); -#20148=ORIENTED_EDGE('',*,*,#20147,.F.); -#20150=ORIENTED_EDGE('',*,*,#20149,.F.); -#20151=ORIENTED_EDGE('',*,*,#20132,.T.); -#20152=EDGE_LOOP('',(#20146,#20148,#20150,#20151)); -#20153=FACE_OUTER_BOUND('',#20152,.F.); -#20155=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,0.E0)); -#20156=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20157=DIRECTION('',(1.E0,0.E0,0.E0)); -#20158=AXIS2_PLACEMENT_3D('',#20155,#20156,#20157); -#20159=PLANE('',#20158); -#20160=ORIENTED_EDGE('',*,*,#12207,.T.); -#20162=ORIENTED_EDGE('',*,*,#20161,.F.); -#20164=ORIENTED_EDGE('',*,*,#20163,.F.); -#20165=ORIENTED_EDGE('',*,*,#20147,.T.); -#20166=EDGE_LOOP('',(#20160,#20162,#20164,#20165)); -#20167=FACE_OUTER_BOUND('',#20166,.F.); -#20169=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,0.E0)); -#20170=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20171=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20172=AXIS2_PLACEMENT_3D('',#20169,#20170,#20171); -#20173=PLANE('',#20172); -#20174=ORIENTED_EDGE('',*,*,#12205,.T.); -#20175=ORIENTED_EDGE('',*,*,#20136,.F.); -#20177=ORIENTED_EDGE('',*,*,#20176,.F.); -#20178=ORIENTED_EDGE('',*,*,#20161,.T.); -#20179=EDGE_LOOP('',(#20174,#20175,#20177,#20178)); -#20180=FACE_OUTER_BOUND('',#20179,.F.); -#20182=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20183=DIRECTION('',(0.E0,0.E0,1.E0)); -#20184=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20185=AXIS2_PLACEMENT_3D('',#20182,#20183,#20184); -#20186=PLANE('',#20185); -#20187=ORIENTED_EDGE('',*,*,#20134,.T.); -#20188=ORIENTED_EDGE('',*,*,#20149,.T.); -#20189=ORIENTED_EDGE('',*,*,#20163,.T.); -#20190=ORIENTED_EDGE('',*,*,#20176,.T.); -#20191=EDGE_LOOP('',(#20187,#20188,#20189,#20190)); -#20192=FACE_OUTER_BOUND('',#20191,.F.); -#20194=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,0.E0)); -#20195=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20196=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20197=AXIS2_PLACEMENT_3D('',#20194,#20195,#20196); -#20198=PLANE('',#20197); -#20199=ORIENTED_EDGE('',*,*,#12213,.T.); -#20201=ORIENTED_EDGE('',*,*,#20200,.F.); -#20203=ORIENTED_EDGE('',*,*,#20202,.F.); -#20205=ORIENTED_EDGE('',*,*,#20204,.T.); -#20206=EDGE_LOOP('',(#20199,#20201,#20203,#20205)); -#20207=FACE_OUTER_BOUND('',#20206,.F.); -#20209=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,0.E0)); -#20210=DIRECTION('',(0.E0,1.E0,0.E0)); -#20211=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20212=AXIS2_PLACEMENT_3D('',#20209,#20210,#20211); -#20213=PLANE('',#20212); -#20214=ORIENTED_EDGE('',*,*,#12219,.T.); -#20216=ORIENTED_EDGE('',*,*,#20215,.F.); -#20218=ORIENTED_EDGE('',*,*,#20217,.F.); -#20219=ORIENTED_EDGE('',*,*,#20200,.T.); -#20220=EDGE_LOOP('',(#20214,#20216,#20218,#20219)); -#20221=FACE_OUTER_BOUND('',#20220,.F.); -#20223=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,0.E0)); -#20224=DIRECTION('',(1.E0,0.E0,0.E0)); -#20225=DIRECTION('',(0.E0,1.E0,0.E0)); -#20226=AXIS2_PLACEMENT_3D('',#20223,#20224,#20225); -#20227=PLANE('',#20226); -#20228=ORIENTED_EDGE('',*,*,#12217,.T.); -#20230=ORIENTED_EDGE('',*,*,#20229,.F.); -#20232=ORIENTED_EDGE('',*,*,#20231,.F.); -#20233=ORIENTED_EDGE('',*,*,#20215,.T.); -#20234=EDGE_LOOP('',(#20228,#20230,#20232,#20233)); -#20235=FACE_OUTER_BOUND('',#20234,.F.); -#20237=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,0.E0)); -#20238=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20239=DIRECTION('',(1.E0,0.E0,0.E0)); -#20240=AXIS2_PLACEMENT_3D('',#20237,#20238,#20239); -#20241=PLANE('',#20240); -#20242=ORIENTED_EDGE('',*,*,#12215,.T.); -#20243=ORIENTED_EDGE('',*,*,#20204,.F.); -#20245=ORIENTED_EDGE('',*,*,#20244,.F.); -#20246=ORIENTED_EDGE('',*,*,#20229,.T.); -#20247=EDGE_LOOP('',(#20242,#20243,#20245,#20246)); -#20248=FACE_OUTER_BOUND('',#20247,.F.); -#20250=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20251=DIRECTION('',(0.E0,0.E0,1.E0)); -#20252=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20253=AXIS2_PLACEMENT_3D('',#20250,#20251,#20252); -#20254=PLANE('',#20253); -#20255=ORIENTED_EDGE('',*,*,#20202,.T.); -#20256=ORIENTED_EDGE('',*,*,#20217,.T.); -#20257=ORIENTED_EDGE('',*,*,#20231,.T.); -#20258=ORIENTED_EDGE('',*,*,#20244,.T.); -#20259=EDGE_LOOP('',(#20255,#20256,#20257,#20258)); -#20260=FACE_OUTER_BOUND('',#20259,.F.); -#20262=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,0.E0)); -#20263=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20264=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20265=AXIS2_PLACEMENT_3D('',#20262,#20263,#20264); -#20266=PLANE('',#20265); -#20267=ORIENTED_EDGE('',*,*,#12223,.T.); -#20269=ORIENTED_EDGE('',*,*,#20268,.F.); -#20271=ORIENTED_EDGE('',*,*,#20270,.F.); -#20273=ORIENTED_EDGE('',*,*,#20272,.T.); -#20274=EDGE_LOOP('',(#20267,#20269,#20271,#20273)); -#20275=FACE_OUTER_BOUND('',#20274,.F.); -#20277=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,0.E0)); -#20278=DIRECTION('',(0.E0,1.E0,0.E0)); -#20279=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20280=AXIS2_PLACEMENT_3D('',#20277,#20278,#20279); -#20281=PLANE('',#20280); -#20282=ORIENTED_EDGE('',*,*,#12229,.T.); -#20284=ORIENTED_EDGE('',*,*,#20283,.F.); -#20286=ORIENTED_EDGE('',*,*,#20285,.F.); -#20287=ORIENTED_EDGE('',*,*,#20268,.T.); -#20288=EDGE_LOOP('',(#20282,#20284,#20286,#20287)); -#20289=FACE_OUTER_BOUND('',#20288,.F.); -#20291=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,0.E0)); -#20292=DIRECTION('',(1.E0,0.E0,0.E0)); -#20293=DIRECTION('',(0.E0,1.E0,0.E0)); -#20294=AXIS2_PLACEMENT_3D('',#20291,#20292,#20293); -#20295=PLANE('',#20294); -#20296=ORIENTED_EDGE('',*,*,#12227,.T.); -#20298=ORIENTED_EDGE('',*,*,#20297,.F.); -#20300=ORIENTED_EDGE('',*,*,#20299,.F.); -#20301=ORIENTED_EDGE('',*,*,#20283,.T.); -#20302=EDGE_LOOP('',(#20296,#20298,#20300,#20301)); -#20303=FACE_OUTER_BOUND('',#20302,.F.); -#20305=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,0.E0)); -#20306=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20307=DIRECTION('',(1.E0,0.E0,0.E0)); -#20308=AXIS2_PLACEMENT_3D('',#20305,#20306,#20307); -#20309=PLANE('',#20308); -#20310=ORIENTED_EDGE('',*,*,#12225,.T.); -#20311=ORIENTED_EDGE('',*,*,#20272,.F.); -#20313=ORIENTED_EDGE('',*,*,#20312,.F.); -#20314=ORIENTED_EDGE('',*,*,#20297,.T.); -#20315=EDGE_LOOP('',(#20310,#20311,#20313,#20314)); -#20316=FACE_OUTER_BOUND('',#20315,.F.); -#20318=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20319=DIRECTION('',(0.E0,0.E0,1.E0)); -#20320=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20321=AXIS2_PLACEMENT_3D('',#20318,#20319,#20320); -#20322=PLANE('',#20321); -#20323=ORIENTED_EDGE('',*,*,#20270,.T.); -#20324=ORIENTED_EDGE('',*,*,#20285,.T.); -#20325=ORIENTED_EDGE('',*,*,#20299,.T.); -#20326=ORIENTED_EDGE('',*,*,#20312,.T.); -#20327=EDGE_LOOP('',(#20323,#20324,#20325,#20326)); -#20328=FACE_OUTER_BOUND('',#20327,.F.); -#20330=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,0.E0)); -#20331=DIRECTION('',(0.E0,1.E0,0.E0)); -#20332=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20333=AXIS2_PLACEMENT_3D('',#20330,#20331,#20332); -#20334=PLANE('',#20333); -#20335=ORIENTED_EDGE('',*,*,#12233,.T.); -#20337=ORIENTED_EDGE('',*,*,#20336,.F.); -#20339=ORIENTED_EDGE('',*,*,#20338,.F.); -#20341=ORIENTED_EDGE('',*,*,#20340,.T.); -#20342=EDGE_LOOP('',(#20335,#20337,#20339,#20341)); -#20343=FACE_OUTER_BOUND('',#20342,.F.); -#20345=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,0.E0)); -#20346=DIRECTION('',(1.E0,0.E0,0.E0)); -#20347=DIRECTION('',(0.E0,1.E0,0.E0)); -#20348=AXIS2_PLACEMENT_3D('',#20345,#20346,#20347); -#20349=PLANE('',#20348); -#20350=ORIENTED_EDGE('',*,*,#12239,.T.); -#20352=ORIENTED_EDGE('',*,*,#20351,.F.); -#20354=ORIENTED_EDGE('',*,*,#20353,.F.); -#20355=ORIENTED_EDGE('',*,*,#20336,.T.); -#20356=EDGE_LOOP('',(#20350,#20352,#20354,#20355)); -#20357=FACE_OUTER_BOUND('',#20356,.F.); -#20359=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,0.E0)); -#20360=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20361=DIRECTION('',(1.E0,0.E0,0.E0)); -#20362=AXIS2_PLACEMENT_3D('',#20359,#20360,#20361); -#20363=PLANE('',#20362); -#20364=ORIENTED_EDGE('',*,*,#12237,.T.); -#20366=ORIENTED_EDGE('',*,*,#20365,.F.); -#20368=ORIENTED_EDGE('',*,*,#20367,.F.); -#20369=ORIENTED_EDGE('',*,*,#20351,.T.); -#20370=EDGE_LOOP('',(#20364,#20366,#20368,#20369)); -#20371=FACE_OUTER_BOUND('',#20370,.F.); -#20373=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,0.E0)); -#20374=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20375=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20376=AXIS2_PLACEMENT_3D('',#20373,#20374,#20375); -#20377=PLANE('',#20376); -#20378=ORIENTED_EDGE('',*,*,#12235,.T.); -#20379=ORIENTED_EDGE('',*,*,#20340,.F.); -#20381=ORIENTED_EDGE('',*,*,#20380,.F.); -#20382=ORIENTED_EDGE('',*,*,#20365,.T.); -#20383=EDGE_LOOP('',(#20378,#20379,#20381,#20382)); -#20384=FACE_OUTER_BOUND('',#20383,.F.); -#20386=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20387=DIRECTION('',(0.E0,0.E0,1.E0)); -#20388=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20389=AXIS2_PLACEMENT_3D('',#20386,#20387,#20388); -#20390=PLANE('',#20389); -#20391=ORIENTED_EDGE('',*,*,#20338,.T.); -#20392=ORIENTED_EDGE('',*,*,#20353,.T.); -#20393=ORIENTED_EDGE('',*,*,#20367,.T.); -#20394=ORIENTED_EDGE('',*,*,#20380,.T.); -#20395=EDGE_LOOP('',(#20391,#20392,#20393,#20394)); -#20396=FACE_OUTER_BOUND('',#20395,.F.); -#20398=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,0.E0)); -#20399=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20400=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20401=AXIS2_PLACEMENT_3D('',#20398,#20399,#20400); -#20402=PLANE('',#20401); -#20403=ORIENTED_EDGE('',*,*,#12243,.T.); -#20405=ORIENTED_EDGE('',*,*,#20404,.F.); -#20407=ORIENTED_EDGE('',*,*,#20406,.F.); -#20409=ORIENTED_EDGE('',*,*,#20408,.T.); -#20410=EDGE_LOOP('',(#20403,#20405,#20407,#20409)); -#20411=FACE_OUTER_BOUND('',#20410,.F.); -#20413=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,0.E0)); -#20414=DIRECTION('',(0.E0,1.E0,0.E0)); -#20415=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20416=AXIS2_PLACEMENT_3D('',#20413,#20414,#20415); -#20417=PLANE('',#20416); -#20418=ORIENTED_EDGE('',*,*,#12249,.T.); -#20420=ORIENTED_EDGE('',*,*,#20419,.F.); -#20422=ORIENTED_EDGE('',*,*,#20421,.F.); -#20423=ORIENTED_EDGE('',*,*,#20404,.T.); -#20424=EDGE_LOOP('',(#20418,#20420,#20422,#20423)); -#20425=FACE_OUTER_BOUND('',#20424,.F.); -#20427=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,0.E0)); -#20428=DIRECTION('',(1.E0,0.E0,0.E0)); -#20429=DIRECTION('',(0.E0,1.E0,0.E0)); -#20430=AXIS2_PLACEMENT_3D('',#20427,#20428,#20429); -#20431=PLANE('',#20430); -#20432=ORIENTED_EDGE('',*,*,#12247,.T.); -#20434=ORIENTED_EDGE('',*,*,#20433,.F.); -#20436=ORIENTED_EDGE('',*,*,#20435,.F.); -#20437=ORIENTED_EDGE('',*,*,#20419,.T.); -#20438=EDGE_LOOP('',(#20432,#20434,#20436,#20437)); -#20439=FACE_OUTER_BOUND('',#20438,.F.); -#20441=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,0.E0)); -#20442=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20443=DIRECTION('',(1.E0,0.E0,0.E0)); -#20444=AXIS2_PLACEMENT_3D('',#20441,#20442,#20443); -#20445=PLANE('',#20444); -#20446=ORIENTED_EDGE('',*,*,#12245,.T.); -#20447=ORIENTED_EDGE('',*,*,#20408,.F.); -#20449=ORIENTED_EDGE('',*,*,#20448,.F.); -#20450=ORIENTED_EDGE('',*,*,#20433,.T.); -#20451=EDGE_LOOP('',(#20446,#20447,#20449,#20450)); -#20452=FACE_OUTER_BOUND('',#20451,.F.); -#20454=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20455=DIRECTION('',(0.E0,0.E0,1.E0)); -#20456=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20457=AXIS2_PLACEMENT_3D('',#20454,#20455,#20456); -#20458=PLANE('',#20457); -#20459=ORIENTED_EDGE('',*,*,#20406,.T.); -#20460=ORIENTED_EDGE('',*,*,#20421,.T.); -#20461=ORIENTED_EDGE('',*,*,#20435,.T.); -#20462=ORIENTED_EDGE('',*,*,#20448,.T.); -#20463=EDGE_LOOP('',(#20459,#20460,#20461,#20462)); -#20464=FACE_OUTER_BOUND('',#20463,.F.); -#20466=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,0.E0)); -#20467=DIRECTION('',(0.E0,1.E0,0.E0)); -#20468=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20469=AXIS2_PLACEMENT_3D('',#20466,#20467,#20468); -#20470=PLANE('',#20469); -#20471=ORIENTED_EDGE('',*,*,#12253,.T.); -#20473=ORIENTED_EDGE('',*,*,#20472,.F.); -#20475=ORIENTED_EDGE('',*,*,#20474,.F.); -#20477=ORIENTED_EDGE('',*,*,#20476,.T.); -#20478=EDGE_LOOP('',(#20471,#20473,#20475,#20477)); -#20479=FACE_OUTER_BOUND('',#20478,.F.); -#20481=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,0.E0)); -#20482=DIRECTION('',(1.E0,0.E0,0.E0)); -#20483=DIRECTION('',(0.E0,1.E0,0.E0)); -#20484=AXIS2_PLACEMENT_3D('',#20481,#20482,#20483); -#20485=PLANE('',#20484); -#20486=ORIENTED_EDGE('',*,*,#12259,.T.); -#20488=ORIENTED_EDGE('',*,*,#20487,.F.); -#20490=ORIENTED_EDGE('',*,*,#20489,.F.); -#20491=ORIENTED_EDGE('',*,*,#20472,.T.); -#20492=EDGE_LOOP('',(#20486,#20488,#20490,#20491)); -#20493=FACE_OUTER_BOUND('',#20492,.F.); -#20495=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,0.E0)); -#20496=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20497=DIRECTION('',(1.E0,0.E0,0.E0)); -#20498=AXIS2_PLACEMENT_3D('',#20495,#20496,#20497); -#20499=PLANE('',#20498); -#20500=ORIENTED_EDGE('',*,*,#12257,.T.); -#20502=ORIENTED_EDGE('',*,*,#20501,.F.); -#20504=ORIENTED_EDGE('',*,*,#20503,.F.); -#20505=ORIENTED_EDGE('',*,*,#20487,.T.); -#20506=EDGE_LOOP('',(#20500,#20502,#20504,#20505)); -#20507=FACE_OUTER_BOUND('',#20506,.F.); -#20509=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,0.E0)); -#20510=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20511=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20512=AXIS2_PLACEMENT_3D('',#20509,#20510,#20511); -#20513=PLANE('',#20512); -#20514=ORIENTED_EDGE('',*,*,#12255,.T.); -#20515=ORIENTED_EDGE('',*,*,#20476,.F.); -#20517=ORIENTED_EDGE('',*,*,#20516,.F.); -#20518=ORIENTED_EDGE('',*,*,#20501,.T.); -#20519=EDGE_LOOP('',(#20514,#20515,#20517,#20518)); -#20520=FACE_OUTER_BOUND('',#20519,.F.); -#20522=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20523=DIRECTION('',(0.E0,0.E0,1.E0)); -#20524=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20525=AXIS2_PLACEMENT_3D('',#20522,#20523,#20524); -#20526=PLANE('',#20525); -#20527=ORIENTED_EDGE('',*,*,#20474,.T.); -#20528=ORIENTED_EDGE('',*,*,#20489,.T.); -#20529=ORIENTED_EDGE('',*,*,#20503,.T.); -#20530=ORIENTED_EDGE('',*,*,#20516,.T.); -#20531=EDGE_LOOP('',(#20527,#20528,#20529,#20530)); -#20532=FACE_OUTER_BOUND('',#20531,.F.); -#20534=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,0.E0)); -#20535=DIRECTION('',(0.E0,1.E0,0.E0)); -#20536=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20537=AXIS2_PLACEMENT_3D('',#20534,#20535,#20536); -#20538=PLANE('',#20537); -#20539=ORIENTED_EDGE('',*,*,#12263,.T.); -#20541=ORIENTED_EDGE('',*,*,#20540,.F.); -#20543=ORIENTED_EDGE('',*,*,#20542,.F.); -#20545=ORIENTED_EDGE('',*,*,#20544,.T.); -#20546=EDGE_LOOP('',(#20539,#20541,#20543,#20545)); -#20547=FACE_OUTER_BOUND('',#20546,.F.); -#20549=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,0.E0)); -#20550=DIRECTION('',(1.E0,0.E0,0.E0)); -#20551=DIRECTION('',(0.E0,1.E0,0.E0)); -#20552=AXIS2_PLACEMENT_3D('',#20549,#20550,#20551); -#20553=PLANE('',#20552); -#20554=ORIENTED_EDGE('',*,*,#12269,.T.); -#20556=ORIENTED_EDGE('',*,*,#20555,.F.); -#20558=ORIENTED_EDGE('',*,*,#20557,.F.); -#20559=ORIENTED_EDGE('',*,*,#20540,.T.); -#20560=EDGE_LOOP('',(#20554,#20556,#20558,#20559)); -#20561=FACE_OUTER_BOUND('',#20560,.F.); -#20563=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,0.E0)); -#20564=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20565=DIRECTION('',(1.E0,0.E0,0.E0)); -#20566=AXIS2_PLACEMENT_3D('',#20563,#20564,#20565); -#20567=PLANE('',#20566); -#20568=ORIENTED_EDGE('',*,*,#12267,.T.); -#20570=ORIENTED_EDGE('',*,*,#20569,.F.); -#20572=ORIENTED_EDGE('',*,*,#20571,.F.); -#20573=ORIENTED_EDGE('',*,*,#20555,.T.); -#20574=EDGE_LOOP('',(#20568,#20570,#20572,#20573)); -#20575=FACE_OUTER_BOUND('',#20574,.F.); -#20577=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,0.E0)); -#20578=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20579=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20580=AXIS2_PLACEMENT_3D('',#20577,#20578,#20579); -#20581=PLANE('',#20580); -#20582=ORIENTED_EDGE('',*,*,#12265,.T.); -#20583=ORIENTED_EDGE('',*,*,#20544,.F.); -#20585=ORIENTED_EDGE('',*,*,#20584,.F.); -#20586=ORIENTED_EDGE('',*,*,#20569,.T.); -#20587=EDGE_LOOP('',(#20582,#20583,#20585,#20586)); -#20588=FACE_OUTER_BOUND('',#20587,.F.); -#20590=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20591=DIRECTION('',(0.E0,0.E0,1.E0)); -#20592=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20593=AXIS2_PLACEMENT_3D('',#20590,#20591,#20592); -#20594=PLANE('',#20593); -#20595=ORIENTED_EDGE('',*,*,#20542,.T.); -#20596=ORIENTED_EDGE('',*,*,#20557,.T.); -#20597=ORIENTED_EDGE('',*,*,#20571,.T.); -#20598=ORIENTED_EDGE('',*,*,#20584,.T.); -#20599=EDGE_LOOP('',(#20595,#20596,#20597,#20598)); -#20600=FACE_OUTER_BOUND('',#20599,.F.); -#20602=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,0.E0)); -#20603=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20604=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20605=AXIS2_PLACEMENT_3D('',#20602,#20603,#20604); -#20606=PLANE('',#20605); -#20607=ORIENTED_EDGE('',*,*,#12273,.T.); -#20609=ORIENTED_EDGE('',*,*,#20608,.F.); -#20611=ORIENTED_EDGE('',*,*,#20610,.F.); -#20613=ORIENTED_EDGE('',*,*,#20612,.T.); -#20614=EDGE_LOOP('',(#20607,#20609,#20611,#20613)); -#20615=FACE_OUTER_BOUND('',#20614,.F.); -#20617=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,0.E0)); -#20618=DIRECTION('',(0.E0,1.E0,0.E0)); -#20619=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20620=AXIS2_PLACEMENT_3D('',#20617,#20618,#20619); -#20621=PLANE('',#20620); -#20622=ORIENTED_EDGE('',*,*,#12279,.T.); -#20624=ORIENTED_EDGE('',*,*,#20623,.F.); -#20626=ORIENTED_EDGE('',*,*,#20625,.F.); -#20627=ORIENTED_EDGE('',*,*,#20608,.T.); -#20628=EDGE_LOOP('',(#20622,#20624,#20626,#20627)); -#20629=FACE_OUTER_BOUND('',#20628,.F.); -#20631=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,0.E0)); -#20632=DIRECTION('',(1.E0,0.E0,0.E0)); -#20633=DIRECTION('',(0.E0,1.E0,0.E0)); -#20634=AXIS2_PLACEMENT_3D('',#20631,#20632,#20633); -#20635=PLANE('',#20634); -#20636=ORIENTED_EDGE('',*,*,#12277,.T.); -#20638=ORIENTED_EDGE('',*,*,#20637,.F.); -#20640=ORIENTED_EDGE('',*,*,#20639,.F.); -#20641=ORIENTED_EDGE('',*,*,#20623,.T.); -#20642=EDGE_LOOP('',(#20636,#20638,#20640,#20641)); -#20643=FACE_OUTER_BOUND('',#20642,.F.); -#20645=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,0.E0)); -#20646=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20647=DIRECTION('',(1.E0,0.E0,0.E0)); -#20648=AXIS2_PLACEMENT_3D('',#20645,#20646,#20647); -#20649=PLANE('',#20648); -#20650=ORIENTED_EDGE('',*,*,#12275,.T.); -#20651=ORIENTED_EDGE('',*,*,#20612,.F.); -#20653=ORIENTED_EDGE('',*,*,#20652,.F.); -#20654=ORIENTED_EDGE('',*,*,#20637,.T.); -#20655=EDGE_LOOP('',(#20650,#20651,#20653,#20654)); -#20656=FACE_OUTER_BOUND('',#20655,.F.); -#20658=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20659=DIRECTION('',(0.E0,0.E0,1.E0)); -#20660=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20661=AXIS2_PLACEMENT_3D('',#20658,#20659,#20660); -#20662=PLANE('',#20661); -#20663=ORIENTED_EDGE('',*,*,#20610,.T.); -#20664=ORIENTED_EDGE('',*,*,#20625,.T.); -#20665=ORIENTED_EDGE('',*,*,#20639,.T.); -#20666=ORIENTED_EDGE('',*,*,#20652,.T.); -#20667=EDGE_LOOP('',(#20663,#20664,#20665,#20666)); -#20668=FACE_OUTER_BOUND('',#20667,.F.); -#20670=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,0.E0)); -#20671=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20672=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20673=AXIS2_PLACEMENT_3D('',#20670,#20671,#20672); -#20674=PLANE('',#20673); -#20675=ORIENTED_EDGE('',*,*,#12283,.T.); -#20677=ORIENTED_EDGE('',*,*,#20676,.F.); -#20679=ORIENTED_EDGE('',*,*,#20678,.F.); -#20681=ORIENTED_EDGE('',*,*,#20680,.T.); -#20682=EDGE_LOOP('',(#20675,#20677,#20679,#20681)); -#20683=FACE_OUTER_BOUND('',#20682,.F.); -#20685=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,0.E0)); -#20686=DIRECTION('',(0.E0,1.E0,0.E0)); -#20687=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20688=AXIS2_PLACEMENT_3D('',#20685,#20686,#20687); -#20689=PLANE('',#20688); -#20690=ORIENTED_EDGE('',*,*,#12289,.T.); -#20692=ORIENTED_EDGE('',*,*,#20691,.F.); -#20694=ORIENTED_EDGE('',*,*,#20693,.F.); -#20695=ORIENTED_EDGE('',*,*,#20676,.T.); -#20696=EDGE_LOOP('',(#20690,#20692,#20694,#20695)); -#20697=FACE_OUTER_BOUND('',#20696,.F.); -#20699=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,0.E0)); -#20700=DIRECTION('',(1.E0,0.E0,0.E0)); -#20701=DIRECTION('',(0.E0,1.E0,0.E0)); -#20702=AXIS2_PLACEMENT_3D('',#20699,#20700,#20701); -#20703=PLANE('',#20702); -#20704=ORIENTED_EDGE('',*,*,#12287,.T.); -#20706=ORIENTED_EDGE('',*,*,#20705,.F.); -#20708=ORIENTED_EDGE('',*,*,#20707,.F.); -#20709=ORIENTED_EDGE('',*,*,#20691,.T.); -#20710=EDGE_LOOP('',(#20704,#20706,#20708,#20709)); -#20711=FACE_OUTER_BOUND('',#20710,.F.); -#20713=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,0.E0)); -#20714=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20715=DIRECTION('',(1.E0,0.E0,0.E0)); -#20716=AXIS2_PLACEMENT_3D('',#20713,#20714,#20715); -#20717=PLANE('',#20716); -#20718=ORIENTED_EDGE('',*,*,#12285,.T.); -#20719=ORIENTED_EDGE('',*,*,#20680,.F.); -#20721=ORIENTED_EDGE('',*,*,#20720,.F.); -#20722=ORIENTED_EDGE('',*,*,#20705,.T.); -#20723=EDGE_LOOP('',(#20718,#20719,#20721,#20722)); -#20724=FACE_OUTER_BOUND('',#20723,.F.); -#20726=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20727=DIRECTION('',(0.E0,0.E0,1.E0)); -#20728=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20729=AXIS2_PLACEMENT_3D('',#20726,#20727,#20728); -#20730=PLANE('',#20729); -#20731=ORIENTED_EDGE('',*,*,#20678,.T.); -#20732=ORIENTED_EDGE('',*,*,#20693,.T.); -#20733=ORIENTED_EDGE('',*,*,#20707,.T.); -#20734=ORIENTED_EDGE('',*,*,#20720,.T.); -#20735=EDGE_LOOP('',(#20731,#20732,#20733,#20734)); -#20736=FACE_OUTER_BOUND('',#20735,.F.); -#20738=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,0.E0)); -#20739=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20740=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20741=AXIS2_PLACEMENT_3D('',#20738,#20739,#20740); -#20742=PLANE('',#20741); -#20743=ORIENTED_EDGE('',*,*,#12293,.T.); -#20745=ORIENTED_EDGE('',*,*,#20744,.F.); -#20747=ORIENTED_EDGE('',*,*,#20746,.F.); -#20749=ORIENTED_EDGE('',*,*,#20748,.T.); -#20750=EDGE_LOOP('',(#20743,#20745,#20747,#20749)); -#20751=FACE_OUTER_BOUND('',#20750,.F.); -#20753=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,0.E0)); -#20754=DIRECTION('',(0.E0,1.E0,0.E0)); -#20755=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20756=AXIS2_PLACEMENT_3D('',#20753,#20754,#20755); -#20757=PLANE('',#20756); -#20758=ORIENTED_EDGE('',*,*,#12299,.T.); -#20760=ORIENTED_EDGE('',*,*,#20759,.F.); -#20762=ORIENTED_EDGE('',*,*,#20761,.F.); -#20763=ORIENTED_EDGE('',*,*,#20744,.T.); -#20764=EDGE_LOOP('',(#20758,#20760,#20762,#20763)); -#20765=FACE_OUTER_BOUND('',#20764,.F.); -#20767=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,0.E0)); -#20768=DIRECTION('',(1.E0,0.E0,0.E0)); -#20769=DIRECTION('',(0.E0,1.E0,0.E0)); -#20770=AXIS2_PLACEMENT_3D('',#20767,#20768,#20769); -#20771=PLANE('',#20770); -#20772=ORIENTED_EDGE('',*,*,#12297,.T.); -#20774=ORIENTED_EDGE('',*,*,#20773,.F.); -#20776=ORIENTED_EDGE('',*,*,#20775,.F.); -#20777=ORIENTED_EDGE('',*,*,#20759,.T.); -#20778=EDGE_LOOP('',(#20772,#20774,#20776,#20777)); -#20779=FACE_OUTER_BOUND('',#20778,.F.); -#20781=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,0.E0)); -#20782=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20783=DIRECTION('',(1.E0,0.E0,0.E0)); -#20784=AXIS2_PLACEMENT_3D('',#20781,#20782,#20783); -#20785=PLANE('',#20784); -#20786=ORIENTED_EDGE('',*,*,#12295,.T.); -#20787=ORIENTED_EDGE('',*,*,#20748,.F.); -#20789=ORIENTED_EDGE('',*,*,#20788,.F.); -#20790=ORIENTED_EDGE('',*,*,#20773,.T.); -#20791=EDGE_LOOP('',(#20786,#20787,#20789,#20790)); -#20792=FACE_OUTER_BOUND('',#20791,.F.); -#20794=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20795=DIRECTION('',(0.E0,0.E0,1.E0)); -#20796=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20797=AXIS2_PLACEMENT_3D('',#20794,#20795,#20796); -#20798=PLANE('',#20797); -#20799=ORIENTED_EDGE('',*,*,#20746,.T.); -#20800=ORIENTED_EDGE('',*,*,#20761,.T.); -#20801=ORIENTED_EDGE('',*,*,#20775,.T.); -#20802=ORIENTED_EDGE('',*,*,#20788,.T.); -#20803=EDGE_LOOP('',(#20799,#20800,#20801,#20802)); -#20804=FACE_OUTER_BOUND('',#20803,.F.); -#20806=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,0.E0)); -#20807=DIRECTION('',(0.E0,1.E0,0.E0)); -#20808=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20809=AXIS2_PLACEMENT_3D('',#20806,#20807,#20808); -#20810=PLANE('',#20809); -#20811=ORIENTED_EDGE('',*,*,#12303,.T.); -#20813=ORIENTED_EDGE('',*,*,#20812,.F.); -#20815=ORIENTED_EDGE('',*,*,#20814,.F.); -#20817=ORIENTED_EDGE('',*,*,#20816,.T.); -#20818=EDGE_LOOP('',(#20811,#20813,#20815,#20817)); -#20819=FACE_OUTER_BOUND('',#20818,.F.); -#20821=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,0.E0)); -#20822=DIRECTION('',(1.E0,0.E0,0.E0)); -#20823=DIRECTION('',(0.E0,1.E0,0.E0)); -#20824=AXIS2_PLACEMENT_3D('',#20821,#20822,#20823); -#20825=PLANE('',#20824); -#20826=ORIENTED_EDGE('',*,*,#12309,.T.); -#20828=ORIENTED_EDGE('',*,*,#20827,.F.); -#20830=ORIENTED_EDGE('',*,*,#20829,.F.); -#20831=ORIENTED_EDGE('',*,*,#20812,.T.); -#20832=EDGE_LOOP('',(#20826,#20828,#20830,#20831)); -#20833=FACE_OUTER_BOUND('',#20832,.F.); -#20835=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,0.E0)); -#20836=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20837=DIRECTION('',(1.E0,0.E0,0.E0)); -#20838=AXIS2_PLACEMENT_3D('',#20835,#20836,#20837); -#20839=PLANE('',#20838); -#20840=ORIENTED_EDGE('',*,*,#12307,.T.); -#20842=ORIENTED_EDGE('',*,*,#20841,.F.); -#20844=ORIENTED_EDGE('',*,*,#20843,.F.); -#20845=ORIENTED_EDGE('',*,*,#20827,.T.); -#20846=EDGE_LOOP('',(#20840,#20842,#20844,#20845)); -#20847=FACE_OUTER_BOUND('',#20846,.F.); -#20849=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,0.E0)); -#20850=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20851=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20852=AXIS2_PLACEMENT_3D('',#20849,#20850,#20851); -#20853=PLANE('',#20852); -#20854=ORIENTED_EDGE('',*,*,#12305,.T.); -#20855=ORIENTED_EDGE('',*,*,#20816,.F.); -#20857=ORIENTED_EDGE('',*,*,#20856,.F.); -#20858=ORIENTED_EDGE('',*,*,#20841,.T.); -#20859=EDGE_LOOP('',(#20854,#20855,#20857,#20858)); -#20860=FACE_OUTER_BOUND('',#20859,.F.); -#20862=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20863=DIRECTION('',(0.E0,0.E0,1.E0)); -#20864=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20865=AXIS2_PLACEMENT_3D('',#20862,#20863,#20864); -#20866=PLANE('',#20865); -#20867=ORIENTED_EDGE('',*,*,#20814,.T.); -#20868=ORIENTED_EDGE('',*,*,#20829,.T.); -#20869=ORIENTED_EDGE('',*,*,#20843,.T.); -#20870=ORIENTED_EDGE('',*,*,#20856,.T.); -#20871=EDGE_LOOP('',(#20867,#20868,#20869,#20870)); -#20872=FACE_OUTER_BOUND('',#20871,.F.); -#20874=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,0.E0)); -#20875=DIRECTION('',(0.E0,1.E0,0.E0)); -#20876=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20877=AXIS2_PLACEMENT_3D('',#20874,#20875,#20876); -#20878=PLANE('',#20877); -#20879=ORIENTED_EDGE('',*,*,#12313,.T.); -#20881=ORIENTED_EDGE('',*,*,#20880,.F.); -#20883=ORIENTED_EDGE('',*,*,#20882,.F.); -#20885=ORIENTED_EDGE('',*,*,#20884,.T.); -#20886=EDGE_LOOP('',(#20879,#20881,#20883,#20885)); -#20887=FACE_OUTER_BOUND('',#20886,.F.); -#20889=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,0.E0)); -#20890=DIRECTION('',(1.E0,0.E0,0.E0)); -#20891=DIRECTION('',(0.E0,1.E0,0.E0)); -#20892=AXIS2_PLACEMENT_3D('',#20889,#20890,#20891); -#20893=PLANE('',#20892); -#20894=ORIENTED_EDGE('',*,*,#12319,.T.); -#20896=ORIENTED_EDGE('',*,*,#20895,.F.); -#20898=ORIENTED_EDGE('',*,*,#20897,.F.); -#20899=ORIENTED_EDGE('',*,*,#20880,.T.); -#20900=EDGE_LOOP('',(#20894,#20896,#20898,#20899)); -#20901=FACE_OUTER_BOUND('',#20900,.F.); -#20903=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,0.E0)); -#20904=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20905=DIRECTION('',(1.E0,0.E0,0.E0)); -#20906=AXIS2_PLACEMENT_3D('',#20903,#20904,#20905); -#20907=PLANE('',#20906); -#20908=ORIENTED_EDGE('',*,*,#12317,.T.); -#20910=ORIENTED_EDGE('',*,*,#20909,.F.); -#20912=ORIENTED_EDGE('',*,*,#20911,.F.); -#20913=ORIENTED_EDGE('',*,*,#20895,.T.); -#20914=EDGE_LOOP('',(#20908,#20910,#20912,#20913)); -#20915=FACE_OUTER_BOUND('',#20914,.F.); -#20917=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,0.E0)); -#20918=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20919=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20920=AXIS2_PLACEMENT_3D('',#20917,#20918,#20919); -#20921=PLANE('',#20920); -#20922=ORIENTED_EDGE('',*,*,#12315,.T.); -#20923=ORIENTED_EDGE('',*,*,#20884,.F.); -#20925=ORIENTED_EDGE('',*,*,#20924,.F.); -#20926=ORIENTED_EDGE('',*,*,#20909,.T.); -#20927=EDGE_LOOP('',(#20922,#20923,#20925,#20926)); -#20928=FACE_OUTER_BOUND('',#20927,.F.); -#20930=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20931=DIRECTION('',(0.E0,0.E0,1.E0)); -#20932=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20933=AXIS2_PLACEMENT_3D('',#20930,#20931,#20932); -#20934=PLANE('',#20933); -#20935=ORIENTED_EDGE('',*,*,#20882,.T.); -#20936=ORIENTED_EDGE('',*,*,#20897,.T.); -#20937=ORIENTED_EDGE('',*,*,#20911,.T.); -#20938=ORIENTED_EDGE('',*,*,#20924,.T.); -#20939=EDGE_LOOP('',(#20935,#20936,#20937,#20938)); -#20940=FACE_OUTER_BOUND('',#20939,.F.); -#20942=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,0.E0)); -#20943=DIRECTION('',(0.E0,1.E0,0.E0)); -#20944=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20945=AXIS2_PLACEMENT_3D('',#20942,#20943,#20944); -#20946=PLANE('',#20945); -#20947=ORIENTED_EDGE('',*,*,#12323,.T.); -#20949=ORIENTED_EDGE('',*,*,#20948,.F.); -#20951=ORIENTED_EDGE('',*,*,#20950,.F.); -#20953=ORIENTED_EDGE('',*,*,#20952,.T.); -#20954=EDGE_LOOP('',(#20947,#20949,#20951,#20953)); -#20955=FACE_OUTER_BOUND('',#20954,.F.); -#20957=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,0.E0)); -#20958=DIRECTION('',(1.E0,0.E0,0.E0)); -#20959=DIRECTION('',(0.E0,1.E0,0.E0)); -#20960=AXIS2_PLACEMENT_3D('',#20957,#20958,#20959); -#20961=PLANE('',#20960); -#20962=ORIENTED_EDGE('',*,*,#12329,.T.); -#20964=ORIENTED_EDGE('',*,*,#20963,.F.); -#20966=ORIENTED_EDGE('',*,*,#20965,.F.); -#20967=ORIENTED_EDGE('',*,*,#20948,.T.); -#20968=EDGE_LOOP('',(#20962,#20964,#20966,#20967)); -#20969=FACE_OUTER_BOUND('',#20968,.F.); -#20971=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,0.E0)); -#20972=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20973=DIRECTION('',(1.E0,0.E0,0.E0)); -#20974=AXIS2_PLACEMENT_3D('',#20971,#20972,#20973); -#20975=PLANE('',#20974); -#20976=ORIENTED_EDGE('',*,*,#12327,.T.); -#20978=ORIENTED_EDGE('',*,*,#20977,.F.); -#20980=ORIENTED_EDGE('',*,*,#20979,.F.); -#20981=ORIENTED_EDGE('',*,*,#20963,.T.); -#20982=EDGE_LOOP('',(#20976,#20978,#20980,#20981)); -#20983=FACE_OUTER_BOUND('',#20982,.F.); -#20985=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,0.E0)); -#20986=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20987=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20988=AXIS2_PLACEMENT_3D('',#20985,#20986,#20987); -#20989=PLANE('',#20988); -#20990=ORIENTED_EDGE('',*,*,#12325,.T.); -#20991=ORIENTED_EDGE('',*,*,#20952,.F.); -#20993=ORIENTED_EDGE('',*,*,#20992,.F.); -#20994=ORIENTED_EDGE('',*,*,#20977,.T.); -#20995=EDGE_LOOP('',(#20990,#20991,#20993,#20994)); -#20996=FACE_OUTER_BOUND('',#20995,.F.); -#20998=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#20999=DIRECTION('',(0.E0,0.E0,1.E0)); -#21000=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21001=AXIS2_PLACEMENT_3D('',#20998,#20999,#21000); -#21002=PLANE('',#21001); -#21003=ORIENTED_EDGE('',*,*,#20950,.T.); -#21004=ORIENTED_EDGE('',*,*,#20965,.T.); -#21005=ORIENTED_EDGE('',*,*,#20979,.T.); -#21006=ORIENTED_EDGE('',*,*,#20992,.T.); -#21007=EDGE_LOOP('',(#21003,#21004,#21005,#21006)); -#21008=FACE_OUTER_BOUND('',#21007,.F.); -#21010=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,0.E0)); -#21011=DIRECTION('',(0.E0,1.E0,0.E0)); -#21012=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21013=AXIS2_PLACEMENT_3D('',#21010,#21011,#21012); -#21014=PLANE('',#21013); -#21015=ORIENTED_EDGE('',*,*,#12333,.T.); -#21017=ORIENTED_EDGE('',*,*,#21016,.F.); -#21019=ORIENTED_EDGE('',*,*,#21018,.F.); -#21021=ORIENTED_EDGE('',*,*,#21020,.T.); -#21022=EDGE_LOOP('',(#21015,#21017,#21019,#21021)); -#21023=FACE_OUTER_BOUND('',#21022,.F.); -#21025=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,0.E0)); -#21026=DIRECTION('',(1.E0,0.E0,0.E0)); -#21027=DIRECTION('',(0.E0,1.E0,0.E0)); -#21028=AXIS2_PLACEMENT_3D('',#21025,#21026,#21027); -#21029=PLANE('',#21028); -#21030=ORIENTED_EDGE('',*,*,#12339,.T.); -#21032=ORIENTED_EDGE('',*,*,#21031,.F.); -#21034=ORIENTED_EDGE('',*,*,#21033,.F.); -#21035=ORIENTED_EDGE('',*,*,#21016,.T.); -#21036=EDGE_LOOP('',(#21030,#21032,#21034,#21035)); -#21037=FACE_OUTER_BOUND('',#21036,.F.); -#21039=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,0.E0)); -#21040=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21041=DIRECTION('',(1.E0,0.E0,0.E0)); -#21042=AXIS2_PLACEMENT_3D('',#21039,#21040,#21041); -#21043=PLANE('',#21042); -#21044=ORIENTED_EDGE('',*,*,#12337,.T.); -#21046=ORIENTED_EDGE('',*,*,#21045,.F.); -#21048=ORIENTED_EDGE('',*,*,#21047,.F.); -#21049=ORIENTED_EDGE('',*,*,#21031,.T.); -#21050=EDGE_LOOP('',(#21044,#21046,#21048,#21049)); -#21051=FACE_OUTER_BOUND('',#21050,.F.); -#21053=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,0.E0)); -#21054=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21055=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21056=AXIS2_PLACEMENT_3D('',#21053,#21054,#21055); -#21057=PLANE('',#21056); -#21058=ORIENTED_EDGE('',*,*,#12335,.T.); -#21059=ORIENTED_EDGE('',*,*,#21020,.F.); -#21061=ORIENTED_EDGE('',*,*,#21060,.F.); -#21062=ORIENTED_EDGE('',*,*,#21045,.T.); -#21063=EDGE_LOOP('',(#21058,#21059,#21061,#21062)); -#21064=FACE_OUTER_BOUND('',#21063,.F.); -#21066=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#21067=DIRECTION('',(0.E0,0.E0,1.E0)); -#21068=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21069=AXIS2_PLACEMENT_3D('',#21066,#21067,#21068); -#21070=PLANE('',#21069); -#21071=ORIENTED_EDGE('',*,*,#21018,.T.); -#21072=ORIENTED_EDGE('',*,*,#21033,.T.); -#21073=ORIENTED_EDGE('',*,*,#21047,.T.); -#21074=ORIENTED_EDGE('',*,*,#21060,.T.); -#21075=EDGE_LOOP('',(#21071,#21072,#21073,#21074)); -#21076=FACE_OUTER_BOUND('',#21075,.F.); -#21078=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,0.E0)); -#21079=DIRECTION('',(0.E0,1.E0,0.E0)); -#21080=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21081=AXIS2_PLACEMENT_3D('',#21078,#21079,#21080); -#21082=PLANE('',#21081); -#21083=ORIENTED_EDGE('',*,*,#12343,.T.); -#21085=ORIENTED_EDGE('',*,*,#21084,.F.); -#21087=ORIENTED_EDGE('',*,*,#21086,.F.); -#21089=ORIENTED_EDGE('',*,*,#21088,.T.); -#21090=EDGE_LOOP('',(#21083,#21085,#21087,#21089)); -#21091=FACE_OUTER_BOUND('',#21090,.F.); -#21093=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,0.E0)); -#21094=DIRECTION('',(1.E0,0.E0,0.E0)); -#21095=DIRECTION('',(0.E0,1.E0,0.E0)); -#21096=AXIS2_PLACEMENT_3D('',#21093,#21094,#21095); -#21097=PLANE('',#21096); -#21098=ORIENTED_EDGE('',*,*,#12349,.T.); -#21100=ORIENTED_EDGE('',*,*,#21099,.F.); -#21102=ORIENTED_EDGE('',*,*,#21101,.F.); -#21103=ORIENTED_EDGE('',*,*,#21084,.T.); -#21104=EDGE_LOOP('',(#21098,#21100,#21102,#21103)); -#21105=FACE_OUTER_BOUND('',#21104,.F.); -#21107=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,0.E0)); -#21108=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21109=DIRECTION('',(1.E0,0.E0,0.E0)); -#21110=AXIS2_PLACEMENT_3D('',#21107,#21108,#21109); -#21111=PLANE('',#21110); -#21112=ORIENTED_EDGE('',*,*,#12347,.T.); -#21114=ORIENTED_EDGE('',*,*,#21113,.F.); -#21116=ORIENTED_EDGE('',*,*,#21115,.F.); -#21117=ORIENTED_EDGE('',*,*,#21099,.T.); -#21118=EDGE_LOOP('',(#21112,#21114,#21116,#21117)); -#21119=FACE_OUTER_BOUND('',#21118,.F.); -#21121=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,0.E0)); -#21122=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21123=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21124=AXIS2_PLACEMENT_3D('',#21121,#21122,#21123); -#21125=PLANE('',#21124); -#21126=ORIENTED_EDGE('',*,*,#12345,.T.); -#21127=ORIENTED_EDGE('',*,*,#21088,.F.); -#21129=ORIENTED_EDGE('',*,*,#21128,.F.); -#21130=ORIENTED_EDGE('',*,*,#21113,.T.); -#21131=EDGE_LOOP('',(#21126,#21127,#21129,#21130)); -#21132=FACE_OUTER_BOUND('',#21131,.F.); -#21134=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#21135=DIRECTION('',(0.E0,0.E0,1.E0)); -#21136=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21137=AXIS2_PLACEMENT_3D('',#21134,#21135,#21136); -#21138=PLANE('',#21137); -#21139=ORIENTED_EDGE('',*,*,#21086,.T.); -#21140=ORIENTED_EDGE('',*,*,#21101,.T.); -#21141=ORIENTED_EDGE('',*,*,#21115,.T.); -#21142=ORIENTED_EDGE('',*,*,#21128,.T.); -#21143=EDGE_LOOP('',(#21139,#21140,#21141,#21142)); -#21144=FACE_OUTER_BOUND('',#21143,.F.); -#21146=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,0.E0)); -#21147=DIRECTION('',(0.E0,1.E0,0.E0)); -#21148=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21149=AXIS2_PLACEMENT_3D('',#21146,#21147,#21148); -#21150=PLANE('',#21149); -#21151=ORIENTED_EDGE('',*,*,#12353,.T.); -#21153=ORIENTED_EDGE('',*,*,#21152,.F.); -#21155=ORIENTED_EDGE('',*,*,#21154,.F.); -#21157=ORIENTED_EDGE('',*,*,#21156,.T.); -#21158=EDGE_LOOP('',(#21151,#21153,#21155,#21157)); -#21159=FACE_OUTER_BOUND('',#21158,.F.); -#21161=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,0.E0)); -#21162=DIRECTION('',(1.E0,0.E0,0.E0)); -#21163=DIRECTION('',(0.E0,1.E0,0.E0)); -#21164=AXIS2_PLACEMENT_3D('',#21161,#21162,#21163); -#21165=PLANE('',#21164); -#21166=ORIENTED_EDGE('',*,*,#12359,.T.); -#21168=ORIENTED_EDGE('',*,*,#21167,.F.); -#21170=ORIENTED_EDGE('',*,*,#21169,.F.); -#21171=ORIENTED_EDGE('',*,*,#21152,.T.); -#21172=EDGE_LOOP('',(#21166,#21168,#21170,#21171)); -#21173=FACE_OUTER_BOUND('',#21172,.F.); -#21175=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,0.E0)); -#21176=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21177=DIRECTION('',(1.E0,0.E0,0.E0)); -#21178=AXIS2_PLACEMENT_3D('',#21175,#21176,#21177); -#21179=PLANE('',#21178); -#21180=ORIENTED_EDGE('',*,*,#12357,.T.); -#21182=ORIENTED_EDGE('',*,*,#21181,.F.); -#21184=ORIENTED_EDGE('',*,*,#21183,.F.); -#21185=ORIENTED_EDGE('',*,*,#21167,.T.); -#21186=EDGE_LOOP('',(#21180,#21182,#21184,#21185)); -#21187=FACE_OUTER_BOUND('',#21186,.F.); -#21189=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,0.E0)); -#21190=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21191=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21192=AXIS2_PLACEMENT_3D('',#21189,#21190,#21191); -#21193=PLANE('',#21192); -#21194=ORIENTED_EDGE('',*,*,#12355,.T.); -#21195=ORIENTED_EDGE('',*,*,#21156,.F.); -#21197=ORIENTED_EDGE('',*,*,#21196,.F.); -#21198=ORIENTED_EDGE('',*,*,#21181,.T.); -#21199=EDGE_LOOP('',(#21194,#21195,#21197,#21198)); -#21200=FACE_OUTER_BOUND('',#21199,.F.); -#21202=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#21203=DIRECTION('',(0.E0,0.E0,1.E0)); -#21204=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21205=AXIS2_PLACEMENT_3D('',#21202,#21203,#21204); -#21206=PLANE('',#21205); -#21207=ORIENTED_EDGE('',*,*,#21154,.T.); -#21208=ORIENTED_EDGE('',*,*,#21169,.T.); -#21209=ORIENTED_EDGE('',*,*,#21183,.T.); -#21210=ORIENTED_EDGE('',*,*,#21196,.T.); -#21211=EDGE_LOOP('',(#21207,#21208,#21209,#21210)); -#21212=FACE_OUTER_BOUND('',#21211,.F.); -#21214=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,0.E0)); -#21215=DIRECTION('',(0.E0,1.E0,0.E0)); -#21216=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21217=AXIS2_PLACEMENT_3D('',#21214,#21215,#21216); -#21218=PLANE('',#21217); -#21219=ORIENTED_EDGE('',*,*,#12363,.T.); -#21221=ORIENTED_EDGE('',*,*,#21220,.F.); -#21223=ORIENTED_EDGE('',*,*,#21222,.F.); -#21225=ORIENTED_EDGE('',*,*,#21224,.T.); -#21226=EDGE_LOOP('',(#21219,#21221,#21223,#21225)); -#21227=FACE_OUTER_BOUND('',#21226,.F.); -#21229=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,0.E0)); -#21230=DIRECTION('',(1.E0,0.E0,0.E0)); -#21231=DIRECTION('',(0.E0,1.E0,0.E0)); -#21232=AXIS2_PLACEMENT_3D('',#21229,#21230,#21231); -#21233=PLANE('',#21232); -#21234=ORIENTED_EDGE('',*,*,#12369,.T.); -#21236=ORIENTED_EDGE('',*,*,#21235,.F.); -#21238=ORIENTED_EDGE('',*,*,#21237,.F.); -#21239=ORIENTED_EDGE('',*,*,#21220,.T.); -#21240=EDGE_LOOP('',(#21234,#21236,#21238,#21239)); -#21241=FACE_OUTER_BOUND('',#21240,.F.); -#21243=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,0.E0)); -#21244=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21245=DIRECTION('',(1.E0,0.E0,0.E0)); -#21246=AXIS2_PLACEMENT_3D('',#21243,#21244,#21245); -#21247=PLANE('',#21246); -#21248=ORIENTED_EDGE('',*,*,#12367,.T.); -#21250=ORIENTED_EDGE('',*,*,#21249,.F.); -#21252=ORIENTED_EDGE('',*,*,#21251,.F.); -#21253=ORIENTED_EDGE('',*,*,#21235,.T.); -#21254=EDGE_LOOP('',(#21248,#21250,#21252,#21253)); -#21255=FACE_OUTER_BOUND('',#21254,.F.); -#21257=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,0.E0)); -#21258=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21259=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21260=AXIS2_PLACEMENT_3D('',#21257,#21258,#21259); -#21261=PLANE('',#21260); -#21262=ORIENTED_EDGE('',*,*,#12365,.T.); -#21263=ORIENTED_EDGE('',*,*,#21224,.F.); -#21265=ORIENTED_EDGE('',*,*,#21264,.F.); -#21266=ORIENTED_EDGE('',*,*,#21249,.T.); -#21267=EDGE_LOOP('',(#21262,#21263,#21265,#21266)); -#21268=FACE_OUTER_BOUND('',#21267,.F.); -#21270=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#21271=DIRECTION('',(0.E0,0.E0,1.E0)); -#21272=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21273=AXIS2_PLACEMENT_3D('',#21270,#21271,#21272); -#21274=PLANE('',#21273); -#21275=ORIENTED_EDGE('',*,*,#21222,.T.); -#21276=ORIENTED_EDGE('',*,*,#21237,.T.); -#21277=ORIENTED_EDGE('',*,*,#21251,.T.); -#21278=ORIENTED_EDGE('',*,*,#21264,.T.); -#21279=EDGE_LOOP('',(#21275,#21276,#21277,#21278)); -#21280=FACE_OUTER_BOUND('',#21279,.F.); -#21282=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,0.E0)); -#21283=DIRECTION('',(0.E0,1.E0,0.E0)); -#21284=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21285=AXIS2_PLACEMENT_3D('',#21282,#21283,#21284); -#21286=PLANE('',#21285); -#21287=ORIENTED_EDGE('',*,*,#12373,.T.); -#21289=ORIENTED_EDGE('',*,*,#21288,.F.); -#21291=ORIENTED_EDGE('',*,*,#21290,.F.); -#21293=ORIENTED_EDGE('',*,*,#21292,.T.); -#21294=EDGE_LOOP('',(#21287,#21289,#21291,#21293)); -#21295=FACE_OUTER_BOUND('',#21294,.F.); -#21297=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,0.E0)); -#21298=DIRECTION('',(1.E0,0.E0,0.E0)); -#21299=DIRECTION('',(0.E0,1.E0,0.E0)); -#21300=AXIS2_PLACEMENT_3D('',#21297,#21298,#21299); -#21301=PLANE('',#21300); -#21302=ORIENTED_EDGE('',*,*,#12379,.T.); -#21304=ORIENTED_EDGE('',*,*,#21303,.F.); -#21306=ORIENTED_EDGE('',*,*,#21305,.F.); -#21307=ORIENTED_EDGE('',*,*,#21288,.T.); -#21308=EDGE_LOOP('',(#21302,#21304,#21306,#21307)); -#21309=FACE_OUTER_BOUND('',#21308,.F.); -#21311=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,0.E0)); -#21312=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21313=DIRECTION('',(1.E0,0.E0,0.E0)); -#21314=AXIS2_PLACEMENT_3D('',#21311,#21312,#21313); -#21315=PLANE('',#21314); -#21316=ORIENTED_EDGE('',*,*,#12377,.T.); -#21318=ORIENTED_EDGE('',*,*,#21317,.F.); -#21320=ORIENTED_EDGE('',*,*,#21319,.F.); -#21321=ORIENTED_EDGE('',*,*,#21303,.T.); -#21322=EDGE_LOOP('',(#21316,#21318,#21320,#21321)); -#21323=FACE_OUTER_BOUND('',#21322,.F.); -#21325=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,0.E0)); -#21326=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21327=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21328=AXIS2_PLACEMENT_3D('',#21325,#21326,#21327); -#21329=PLANE('',#21328); -#21330=ORIENTED_EDGE('',*,*,#12375,.T.); -#21331=ORIENTED_EDGE('',*,*,#21292,.F.); -#21333=ORIENTED_EDGE('',*,*,#21332,.F.); -#21334=ORIENTED_EDGE('',*,*,#21317,.T.); -#21335=EDGE_LOOP('',(#21330,#21331,#21333,#21334)); -#21336=FACE_OUTER_BOUND('',#21335,.F.); -#21338=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#21339=DIRECTION('',(0.E0,0.E0,1.E0)); -#21340=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21341=AXIS2_PLACEMENT_3D('',#21338,#21339,#21340); -#21342=PLANE('',#21341); -#21343=ORIENTED_EDGE('',*,*,#21290,.T.); -#21344=ORIENTED_EDGE('',*,*,#21305,.T.); -#21345=ORIENTED_EDGE('',*,*,#21319,.T.); -#21346=ORIENTED_EDGE('',*,*,#21332,.T.); -#21347=EDGE_LOOP('',(#21343,#21344,#21345,#21346)); -#21348=FACE_OUTER_BOUND('',#21347,.F.); -#21350=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,0.E0)); -#21351=DIRECTION('',(1.E0,0.E0,0.E0)); -#21352=DIRECTION('',(0.E0,1.E0,0.E0)); -#21353=AXIS2_PLACEMENT_3D('',#21350,#21351,#21352); -#21354=PLANE('',#21353); -#21355=ORIENTED_EDGE('',*,*,#12383,.T.); -#21357=ORIENTED_EDGE('',*,*,#21356,.F.); -#21359=ORIENTED_EDGE('',*,*,#21358,.F.); -#21361=ORIENTED_EDGE('',*,*,#21360,.T.); -#21362=EDGE_LOOP('',(#21355,#21357,#21359,#21361)); -#21363=FACE_OUTER_BOUND('',#21362,.F.); -#21365=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,0.E0)); -#21366=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21367=DIRECTION('',(1.E0,0.E0,0.E0)); -#21368=AXIS2_PLACEMENT_3D('',#21365,#21366,#21367); -#21369=PLANE('',#21368); -#21370=ORIENTED_EDGE('',*,*,#12389,.T.); -#21372=ORIENTED_EDGE('',*,*,#21371,.F.); -#21374=ORIENTED_EDGE('',*,*,#21373,.F.); -#21375=ORIENTED_EDGE('',*,*,#21356,.T.); -#21376=EDGE_LOOP('',(#21370,#21372,#21374,#21375)); -#21377=FACE_OUTER_BOUND('',#21376,.F.); -#21379=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,0.E0)); -#21380=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21381=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21382=AXIS2_PLACEMENT_3D('',#21379,#21380,#21381); -#21383=PLANE('',#21382); -#21384=ORIENTED_EDGE('',*,*,#12387,.T.); -#21386=ORIENTED_EDGE('',*,*,#21385,.F.); -#21388=ORIENTED_EDGE('',*,*,#21387,.F.); -#21389=ORIENTED_EDGE('',*,*,#21371,.T.); -#21390=EDGE_LOOP('',(#21384,#21386,#21388,#21389)); -#21391=FACE_OUTER_BOUND('',#21390,.F.); -#21393=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,0.E0)); -#21394=DIRECTION('',(0.E0,1.E0,0.E0)); -#21395=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21396=AXIS2_PLACEMENT_3D('',#21393,#21394,#21395); -#21397=PLANE('',#21396); -#21398=ORIENTED_EDGE('',*,*,#12385,.T.); -#21399=ORIENTED_EDGE('',*,*,#21360,.F.); -#21401=ORIENTED_EDGE('',*,*,#21400,.F.); -#21402=ORIENTED_EDGE('',*,*,#21385,.T.); -#21403=EDGE_LOOP('',(#21398,#21399,#21401,#21402)); -#21404=FACE_OUTER_BOUND('',#21403,.F.); -#21406=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#21407=DIRECTION('',(0.E0,0.E0,1.E0)); -#21408=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21409=AXIS2_PLACEMENT_3D('',#21406,#21407,#21408); -#21410=PLANE('',#21409); -#21411=ORIENTED_EDGE('',*,*,#21358,.T.); -#21412=ORIENTED_EDGE('',*,*,#21373,.T.); -#21413=ORIENTED_EDGE('',*,*,#21387,.T.); -#21414=ORIENTED_EDGE('',*,*,#21400,.T.); -#21415=EDGE_LOOP('',(#21411,#21412,#21413,#21414)); -#21416=FACE_OUTER_BOUND('',#21415,.F.); -#21418=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,0.E0)); -#21419=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21420=DIRECTION('',(1.E0,0.E0,0.E0)); -#21421=AXIS2_PLACEMENT_3D('',#21418,#21419,#21420); -#21422=PLANE('',#21421); -#21423=ORIENTED_EDGE('',*,*,#12393,.T.); -#21425=ORIENTED_EDGE('',*,*,#21424,.F.); -#21427=ORIENTED_EDGE('',*,*,#21426,.F.); -#21429=ORIENTED_EDGE('',*,*,#21428,.T.); -#21430=EDGE_LOOP('',(#21423,#21425,#21427,#21429)); -#21431=FACE_OUTER_BOUND('',#21430,.F.); -#21433=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,0.E0)); -#21434=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21435=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21436=AXIS2_PLACEMENT_3D('',#21433,#21434,#21435); -#21437=PLANE('',#21436); -#21438=ORIENTED_EDGE('',*,*,#12399,.T.); -#21440=ORIENTED_EDGE('',*,*,#21439,.F.); -#21442=ORIENTED_EDGE('',*,*,#21441,.F.); -#21443=ORIENTED_EDGE('',*,*,#21424,.T.); -#21444=EDGE_LOOP('',(#21438,#21440,#21442,#21443)); -#21445=FACE_OUTER_BOUND('',#21444,.F.); -#21447=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,0.E0)); -#21448=DIRECTION('',(0.E0,1.E0,0.E0)); -#21449=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21450=AXIS2_PLACEMENT_3D('',#21447,#21448,#21449); -#21451=PLANE('',#21450); -#21452=ORIENTED_EDGE('',*,*,#12397,.T.); -#21454=ORIENTED_EDGE('',*,*,#21453,.F.); -#21456=ORIENTED_EDGE('',*,*,#21455,.F.); -#21457=ORIENTED_EDGE('',*,*,#21439,.T.); -#21458=EDGE_LOOP('',(#21452,#21454,#21456,#21457)); -#21459=FACE_OUTER_BOUND('',#21458,.F.); -#21461=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,0.E0)); -#21462=DIRECTION('',(1.E0,0.E0,0.E0)); -#21463=DIRECTION('',(0.E0,1.E0,0.E0)); -#21464=AXIS2_PLACEMENT_3D('',#21461,#21462,#21463); -#21465=PLANE('',#21464); -#21466=ORIENTED_EDGE('',*,*,#12395,.T.); -#21467=ORIENTED_EDGE('',*,*,#21428,.F.); -#21469=ORIENTED_EDGE('',*,*,#21468,.F.); -#21470=ORIENTED_EDGE('',*,*,#21453,.T.); -#21471=EDGE_LOOP('',(#21466,#21467,#21469,#21470)); -#21472=FACE_OUTER_BOUND('',#21471,.F.); -#21474=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#21475=DIRECTION('',(0.E0,0.E0,1.E0)); -#21476=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21477=AXIS2_PLACEMENT_3D('',#21474,#21475,#21476); -#21478=PLANE('',#21477); -#21479=ORIENTED_EDGE('',*,*,#21426,.T.); -#21480=ORIENTED_EDGE('',*,*,#21441,.T.); -#21481=ORIENTED_EDGE('',*,*,#21455,.T.); -#21482=ORIENTED_EDGE('',*,*,#21468,.T.); -#21483=EDGE_LOOP('',(#21479,#21480,#21481,#21482)); -#21484=FACE_OUTER_BOUND('',#21483,.F.); -#21486=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,0.E0)); -#21487=DIRECTION('',(1.E0,0.E0,0.E0)); -#21488=DIRECTION('',(0.E0,1.E0,0.E0)); -#21489=AXIS2_PLACEMENT_3D('',#21486,#21487,#21488); -#21490=PLANE('',#21489); -#21491=ORIENTED_EDGE('',*,*,#12403,.T.); -#21493=ORIENTED_EDGE('',*,*,#21492,.F.); -#21495=ORIENTED_EDGE('',*,*,#21494,.F.); -#21497=ORIENTED_EDGE('',*,*,#21496,.T.); -#21498=EDGE_LOOP('',(#21491,#21493,#21495,#21497)); -#21499=FACE_OUTER_BOUND('',#21498,.F.); -#21501=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,0.E0)); -#21502=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21503=DIRECTION('',(1.E0,0.E0,0.E0)); -#21504=AXIS2_PLACEMENT_3D('',#21501,#21502,#21503); -#21505=PLANE('',#21504); -#21506=ORIENTED_EDGE('',*,*,#12409,.T.); -#21508=ORIENTED_EDGE('',*,*,#21507,.F.); -#21510=ORIENTED_EDGE('',*,*,#21509,.F.); -#21511=ORIENTED_EDGE('',*,*,#21492,.T.); -#21512=EDGE_LOOP('',(#21506,#21508,#21510,#21511)); -#21513=FACE_OUTER_BOUND('',#21512,.F.); -#21515=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,0.E0)); -#21516=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21517=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21518=AXIS2_PLACEMENT_3D('',#21515,#21516,#21517); -#21519=PLANE('',#21518); -#21520=ORIENTED_EDGE('',*,*,#12407,.T.); -#21522=ORIENTED_EDGE('',*,*,#21521,.F.); -#21524=ORIENTED_EDGE('',*,*,#21523,.F.); -#21525=ORIENTED_EDGE('',*,*,#21507,.T.); -#21526=EDGE_LOOP('',(#21520,#21522,#21524,#21525)); -#21527=FACE_OUTER_BOUND('',#21526,.F.); -#21529=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,0.E0)); -#21530=DIRECTION('',(0.E0,1.E0,0.E0)); -#21531=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21532=AXIS2_PLACEMENT_3D('',#21529,#21530,#21531); -#21533=PLANE('',#21532); -#21534=ORIENTED_EDGE('',*,*,#12405,.T.); -#21535=ORIENTED_EDGE('',*,*,#21496,.F.); -#21537=ORIENTED_EDGE('',*,*,#21536,.F.); -#21538=ORIENTED_EDGE('',*,*,#21521,.T.); -#21539=EDGE_LOOP('',(#21534,#21535,#21537,#21538)); -#21540=FACE_OUTER_BOUND('',#21539,.F.); -#21542=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#21543=DIRECTION('',(0.E0,0.E0,1.E0)); -#21544=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21545=AXIS2_PLACEMENT_3D('',#21542,#21543,#21544); -#21546=PLANE('',#21545); -#21547=ORIENTED_EDGE('',*,*,#21494,.T.); -#21548=ORIENTED_EDGE('',*,*,#21509,.T.); -#21549=ORIENTED_EDGE('',*,*,#21523,.T.); -#21550=ORIENTED_EDGE('',*,*,#21536,.T.); -#21551=EDGE_LOOP('',(#21547,#21548,#21549,#21550)); -#21552=FACE_OUTER_BOUND('',#21551,.F.); -#21554=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,0.E0)); -#21555=DIRECTION('',(0.E0,1.E0,0.E0)); -#21556=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21557=AXIS2_PLACEMENT_3D('',#21554,#21555,#21556); -#21558=PLANE('',#21557); -#21559=ORIENTED_EDGE('',*,*,#12413,.T.); -#21561=ORIENTED_EDGE('',*,*,#21560,.F.); -#21563=ORIENTED_EDGE('',*,*,#21562,.F.); -#21565=ORIENTED_EDGE('',*,*,#21564,.T.); -#21566=EDGE_LOOP('',(#21559,#21561,#21563,#21565)); -#21567=FACE_OUTER_BOUND('',#21566,.F.); -#21569=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,0.E0)); -#21570=DIRECTION('',(1.E0,0.E0,0.E0)); -#21571=DIRECTION('',(0.E0,1.E0,0.E0)); -#21572=AXIS2_PLACEMENT_3D('',#21569,#21570,#21571); -#21573=PLANE('',#21572); -#21574=ORIENTED_EDGE('',*,*,#12419,.T.); -#21576=ORIENTED_EDGE('',*,*,#21575,.F.); -#21578=ORIENTED_EDGE('',*,*,#21577,.F.); -#21579=ORIENTED_EDGE('',*,*,#21560,.T.); -#21580=EDGE_LOOP('',(#21574,#21576,#21578,#21579)); -#21581=FACE_OUTER_BOUND('',#21580,.F.); -#21583=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,0.E0)); -#21584=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21585=DIRECTION('',(1.E0,0.E0,0.E0)); -#21586=AXIS2_PLACEMENT_3D('',#21583,#21584,#21585); -#21587=PLANE('',#21586); -#21588=ORIENTED_EDGE('',*,*,#12417,.T.); -#21590=ORIENTED_EDGE('',*,*,#21589,.F.); -#21592=ORIENTED_EDGE('',*,*,#21591,.F.); -#21593=ORIENTED_EDGE('',*,*,#21575,.T.); -#21594=EDGE_LOOP('',(#21588,#21590,#21592,#21593)); -#21595=FACE_OUTER_BOUND('',#21594,.F.); -#21597=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,0.E0)); -#21598=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21599=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21600=AXIS2_PLACEMENT_3D('',#21597,#21598,#21599); -#21601=PLANE('',#21600); -#21602=ORIENTED_EDGE('',*,*,#12415,.T.); -#21603=ORIENTED_EDGE('',*,*,#21564,.F.); -#21605=ORIENTED_EDGE('',*,*,#21604,.F.); -#21606=ORIENTED_EDGE('',*,*,#21589,.T.); -#21607=EDGE_LOOP('',(#21602,#21603,#21605,#21606)); -#21608=FACE_OUTER_BOUND('',#21607,.F.); -#21610=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#21611=DIRECTION('',(0.E0,0.E0,1.E0)); -#21612=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21613=AXIS2_PLACEMENT_3D('',#21610,#21611,#21612); -#21614=PLANE('',#21613); -#21615=ORIENTED_EDGE('',*,*,#21562,.T.); -#21616=ORIENTED_EDGE('',*,*,#21577,.T.); -#21617=ORIENTED_EDGE('',*,*,#21591,.T.); -#21618=ORIENTED_EDGE('',*,*,#21604,.T.); -#21619=EDGE_LOOP('',(#21615,#21616,#21617,#21618)); -#21620=FACE_OUTER_BOUND('',#21619,.F.); -#21622=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,0.E0)); -#21623=DIRECTION('',(0.E0,1.E0,0.E0)); -#21624=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21625=AXIS2_PLACEMENT_3D('',#21622,#21623,#21624); -#21626=PLANE('',#21625); -#21627=ORIENTED_EDGE('',*,*,#12423,.T.); -#21629=ORIENTED_EDGE('',*,*,#21628,.F.); -#21631=ORIENTED_EDGE('',*,*,#21630,.F.); -#21633=ORIENTED_EDGE('',*,*,#21632,.T.); -#21634=EDGE_LOOP('',(#21627,#21629,#21631,#21633)); -#21635=FACE_OUTER_BOUND('',#21634,.F.); -#21637=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,0.E0)); -#21638=DIRECTION('',(1.E0,0.E0,0.E0)); -#21639=DIRECTION('',(0.E0,1.E0,0.E0)); -#21640=AXIS2_PLACEMENT_3D('',#21637,#21638,#21639); -#21641=PLANE('',#21640); -#21642=ORIENTED_EDGE('',*,*,#12429,.T.); -#21644=ORIENTED_EDGE('',*,*,#21643,.F.); -#21646=ORIENTED_EDGE('',*,*,#21645,.F.); -#21647=ORIENTED_EDGE('',*,*,#21628,.T.); -#21648=EDGE_LOOP('',(#21642,#21644,#21646,#21647)); -#21649=FACE_OUTER_BOUND('',#21648,.F.); -#21651=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,0.E0)); -#21652=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21653=DIRECTION('',(1.E0,0.E0,0.E0)); -#21654=AXIS2_PLACEMENT_3D('',#21651,#21652,#21653); -#21655=PLANE('',#21654); -#21656=ORIENTED_EDGE('',*,*,#12427,.T.); -#21658=ORIENTED_EDGE('',*,*,#21657,.F.); -#21660=ORIENTED_EDGE('',*,*,#21659,.F.); -#21661=ORIENTED_EDGE('',*,*,#21643,.T.); -#21662=EDGE_LOOP('',(#21656,#21658,#21660,#21661)); -#21663=FACE_OUTER_BOUND('',#21662,.F.); -#21665=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,0.E0)); -#21666=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21667=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21668=AXIS2_PLACEMENT_3D('',#21665,#21666,#21667); -#21669=PLANE('',#21668); -#21670=ORIENTED_EDGE('',*,*,#12425,.T.); -#21671=ORIENTED_EDGE('',*,*,#21632,.F.); -#21673=ORIENTED_EDGE('',*,*,#21672,.F.); -#21674=ORIENTED_EDGE('',*,*,#21657,.T.); -#21675=EDGE_LOOP('',(#21670,#21671,#21673,#21674)); -#21676=FACE_OUTER_BOUND('',#21675,.F.); -#21678=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#21679=DIRECTION('',(0.E0,0.E0,1.E0)); -#21680=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21681=AXIS2_PLACEMENT_3D('',#21678,#21679,#21680); -#21682=PLANE('',#21681); -#21683=ORIENTED_EDGE('',*,*,#21630,.T.); -#21684=ORIENTED_EDGE('',*,*,#21645,.T.); -#21685=ORIENTED_EDGE('',*,*,#21659,.T.); -#21686=ORIENTED_EDGE('',*,*,#21672,.T.); -#21687=EDGE_LOOP('',(#21683,#21684,#21685,#21686)); -#21688=FACE_OUTER_BOUND('',#21687,.F.); -#21690=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,0.E0)); -#21691=DIRECTION('',(0.E0,1.E0,0.E0)); -#21692=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21693=AXIS2_PLACEMENT_3D('',#21690,#21691,#21692); -#21694=PLANE('',#21693); -#21695=ORIENTED_EDGE('',*,*,#12433,.T.); -#21697=ORIENTED_EDGE('',*,*,#21696,.F.); -#21699=ORIENTED_EDGE('',*,*,#21698,.F.); -#21701=ORIENTED_EDGE('',*,*,#21700,.T.); -#21702=EDGE_LOOP('',(#21695,#21697,#21699,#21701)); -#21703=FACE_OUTER_BOUND('',#21702,.F.); -#21705=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,0.E0)); -#21706=DIRECTION('',(1.E0,0.E0,0.E0)); -#21707=DIRECTION('',(0.E0,1.E0,0.E0)); -#21708=AXIS2_PLACEMENT_3D('',#21705,#21706,#21707); -#21709=PLANE('',#21708); -#21710=ORIENTED_EDGE('',*,*,#12439,.T.); -#21712=ORIENTED_EDGE('',*,*,#21711,.F.); -#21714=ORIENTED_EDGE('',*,*,#21713,.F.); -#21715=ORIENTED_EDGE('',*,*,#21696,.T.); -#21716=EDGE_LOOP('',(#21710,#21712,#21714,#21715)); -#21717=FACE_OUTER_BOUND('',#21716,.F.); -#21719=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,0.E0)); -#21720=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21721=DIRECTION('',(1.E0,0.E0,0.E0)); -#21722=AXIS2_PLACEMENT_3D('',#21719,#21720,#21721); -#21723=PLANE('',#21722); -#21724=ORIENTED_EDGE('',*,*,#12437,.T.); -#21726=ORIENTED_EDGE('',*,*,#21725,.F.); -#21728=ORIENTED_EDGE('',*,*,#21727,.F.); -#21729=ORIENTED_EDGE('',*,*,#21711,.T.); -#21730=EDGE_LOOP('',(#21724,#21726,#21728,#21729)); -#21731=FACE_OUTER_BOUND('',#21730,.F.); -#21733=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,0.E0)); -#21734=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21735=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21736=AXIS2_PLACEMENT_3D('',#21733,#21734,#21735); -#21737=PLANE('',#21736); -#21738=ORIENTED_EDGE('',*,*,#12435,.T.); -#21739=ORIENTED_EDGE('',*,*,#21700,.F.); -#21741=ORIENTED_EDGE('',*,*,#21740,.F.); -#21742=ORIENTED_EDGE('',*,*,#21725,.T.); -#21743=EDGE_LOOP('',(#21738,#21739,#21741,#21742)); -#21744=FACE_OUTER_BOUND('',#21743,.F.); -#21746=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#21747=DIRECTION('',(0.E0,0.E0,1.E0)); -#21748=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21749=AXIS2_PLACEMENT_3D('',#21746,#21747,#21748); -#21750=PLANE('',#21749); -#21751=ORIENTED_EDGE('',*,*,#21698,.T.); -#21752=ORIENTED_EDGE('',*,*,#21713,.T.); -#21753=ORIENTED_EDGE('',*,*,#21727,.T.); -#21754=ORIENTED_EDGE('',*,*,#21740,.T.); -#21755=EDGE_LOOP('',(#21751,#21752,#21753,#21754)); -#21756=FACE_OUTER_BOUND('',#21755,.F.); -#21758=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,0.E0)); -#21759=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21760=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21761=AXIS2_PLACEMENT_3D('',#21758,#21759,#21760); -#21762=PLANE('',#21761); -#21763=ORIENTED_EDGE('',*,*,#12443,.T.); -#21765=ORIENTED_EDGE('',*,*,#21764,.F.); -#21767=ORIENTED_EDGE('',*,*,#21766,.F.); -#21769=ORIENTED_EDGE('',*,*,#21768,.T.); -#21770=EDGE_LOOP('',(#21763,#21765,#21767,#21769)); -#21771=FACE_OUTER_BOUND('',#21770,.F.); -#21773=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,0.E0)); -#21774=DIRECTION('',(0.E0,1.E0,0.E0)); -#21775=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21776=AXIS2_PLACEMENT_3D('',#21773,#21774,#21775); -#21777=PLANE('',#21776); -#21778=ORIENTED_EDGE('',*,*,#12449,.T.); -#21780=ORIENTED_EDGE('',*,*,#21779,.F.); -#21782=ORIENTED_EDGE('',*,*,#21781,.F.); -#21783=ORIENTED_EDGE('',*,*,#21764,.T.); -#21784=EDGE_LOOP('',(#21778,#21780,#21782,#21783)); -#21785=FACE_OUTER_BOUND('',#21784,.F.); -#21787=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,0.E0)); -#21788=DIRECTION('',(1.E0,0.E0,0.E0)); -#21789=DIRECTION('',(0.E0,1.E0,0.E0)); -#21790=AXIS2_PLACEMENT_3D('',#21787,#21788,#21789); -#21791=PLANE('',#21790); -#21792=ORIENTED_EDGE('',*,*,#12447,.T.); -#21794=ORIENTED_EDGE('',*,*,#21793,.F.); -#21796=ORIENTED_EDGE('',*,*,#21795,.F.); -#21797=ORIENTED_EDGE('',*,*,#21779,.T.); -#21798=EDGE_LOOP('',(#21792,#21794,#21796,#21797)); -#21799=FACE_OUTER_BOUND('',#21798,.F.); -#21801=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,0.E0)); -#21802=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21803=DIRECTION('',(1.E0,0.E0,0.E0)); -#21804=AXIS2_PLACEMENT_3D('',#21801,#21802,#21803); -#21805=PLANE('',#21804); -#21806=ORIENTED_EDGE('',*,*,#12445,.T.); -#21807=ORIENTED_EDGE('',*,*,#21768,.F.); -#21809=ORIENTED_EDGE('',*,*,#21808,.F.); -#21810=ORIENTED_EDGE('',*,*,#21793,.T.); -#21811=EDGE_LOOP('',(#21806,#21807,#21809,#21810)); -#21812=FACE_OUTER_BOUND('',#21811,.F.); -#21814=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#21815=DIRECTION('',(0.E0,0.E0,1.E0)); -#21816=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21817=AXIS2_PLACEMENT_3D('',#21814,#21815,#21816); -#21818=PLANE('',#21817); -#21819=ORIENTED_EDGE('',*,*,#21766,.T.); -#21820=ORIENTED_EDGE('',*,*,#21781,.T.); -#21821=ORIENTED_EDGE('',*,*,#21795,.T.); -#21822=ORIENTED_EDGE('',*,*,#21808,.T.); -#21823=EDGE_LOOP('',(#21819,#21820,#21821,#21822)); -#21824=FACE_OUTER_BOUND('',#21823,.F.); -#21826=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,0.E0)); -#21827=DIRECTION('',(0.E0,1.E0,0.E0)); -#21828=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21829=AXIS2_PLACEMENT_3D('',#21826,#21827,#21828); -#21830=PLANE('',#21829); -#21831=ORIENTED_EDGE('',*,*,#12453,.T.); -#21833=ORIENTED_EDGE('',*,*,#21832,.F.); -#21835=ORIENTED_EDGE('',*,*,#21834,.F.); -#21837=ORIENTED_EDGE('',*,*,#21836,.T.); -#21838=EDGE_LOOP('',(#21831,#21833,#21835,#21837)); -#21839=FACE_OUTER_BOUND('',#21838,.F.); -#21841=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,0.E0)); -#21842=DIRECTION('',(1.E0,0.E0,0.E0)); -#21843=DIRECTION('',(0.E0,1.E0,0.E0)); -#21844=AXIS2_PLACEMENT_3D('',#21841,#21842,#21843); -#21845=PLANE('',#21844); -#21846=ORIENTED_EDGE('',*,*,#12459,.T.); -#21848=ORIENTED_EDGE('',*,*,#21847,.F.); -#21850=ORIENTED_EDGE('',*,*,#21849,.F.); -#21851=ORIENTED_EDGE('',*,*,#21832,.T.); -#21852=EDGE_LOOP('',(#21846,#21848,#21850,#21851)); -#21853=FACE_OUTER_BOUND('',#21852,.F.); -#21855=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,0.E0)); -#21856=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21857=DIRECTION('',(1.E0,0.E0,0.E0)); -#21858=AXIS2_PLACEMENT_3D('',#21855,#21856,#21857); -#21859=PLANE('',#21858); -#21860=ORIENTED_EDGE('',*,*,#12457,.T.); -#21862=ORIENTED_EDGE('',*,*,#21861,.F.); -#21864=ORIENTED_EDGE('',*,*,#21863,.F.); -#21865=ORIENTED_EDGE('',*,*,#21847,.T.); -#21866=EDGE_LOOP('',(#21860,#21862,#21864,#21865)); -#21867=FACE_OUTER_BOUND('',#21866,.F.); -#21869=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,0.E0)); -#21870=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21871=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21872=AXIS2_PLACEMENT_3D('',#21869,#21870,#21871); -#21873=PLANE('',#21872); -#21874=ORIENTED_EDGE('',*,*,#12455,.T.); -#21875=ORIENTED_EDGE('',*,*,#21836,.F.); -#21877=ORIENTED_EDGE('',*,*,#21876,.F.); -#21878=ORIENTED_EDGE('',*,*,#21861,.T.); -#21879=EDGE_LOOP('',(#21874,#21875,#21877,#21878)); -#21880=FACE_OUTER_BOUND('',#21879,.F.); -#21882=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#21883=DIRECTION('',(0.E0,0.E0,1.E0)); -#21884=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21885=AXIS2_PLACEMENT_3D('',#21882,#21883,#21884); -#21886=PLANE('',#21885); -#21887=ORIENTED_EDGE('',*,*,#21834,.T.); -#21888=ORIENTED_EDGE('',*,*,#21849,.T.); -#21889=ORIENTED_EDGE('',*,*,#21863,.T.); -#21890=ORIENTED_EDGE('',*,*,#21876,.T.); -#21891=EDGE_LOOP('',(#21887,#21888,#21889,#21890)); -#21892=FACE_OUTER_BOUND('',#21891,.F.); -#21894=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,0.E0)); -#21895=DIRECTION('',(0.E0,1.E0,0.E0)); -#21896=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21897=AXIS2_PLACEMENT_3D('',#21894,#21895,#21896); -#21898=PLANE('',#21897); -#21899=ORIENTED_EDGE('',*,*,#12463,.T.); -#21901=ORIENTED_EDGE('',*,*,#21900,.F.); -#21903=ORIENTED_EDGE('',*,*,#21902,.F.); -#21905=ORIENTED_EDGE('',*,*,#21904,.T.); -#21906=EDGE_LOOP('',(#21899,#21901,#21903,#21905)); -#21907=FACE_OUTER_BOUND('',#21906,.F.); -#21909=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,0.E0)); -#21910=DIRECTION('',(1.E0,0.E0,0.E0)); -#21911=DIRECTION('',(0.E0,1.E0,0.E0)); -#21912=AXIS2_PLACEMENT_3D('',#21909,#21910,#21911); -#21913=PLANE('',#21912); -#21914=ORIENTED_EDGE('',*,*,#12469,.T.); -#21916=ORIENTED_EDGE('',*,*,#21915,.F.); -#21918=ORIENTED_EDGE('',*,*,#21917,.F.); -#21919=ORIENTED_EDGE('',*,*,#21900,.T.); -#21920=EDGE_LOOP('',(#21914,#21916,#21918,#21919)); -#21921=FACE_OUTER_BOUND('',#21920,.F.); -#21923=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,0.E0)); -#21924=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21925=DIRECTION('',(1.E0,0.E0,0.E0)); -#21926=AXIS2_PLACEMENT_3D('',#21923,#21924,#21925); -#21927=PLANE('',#21926); -#21928=ORIENTED_EDGE('',*,*,#12467,.T.); -#21930=ORIENTED_EDGE('',*,*,#21929,.F.); -#21932=ORIENTED_EDGE('',*,*,#21931,.F.); -#21933=ORIENTED_EDGE('',*,*,#21915,.T.); -#21934=EDGE_LOOP('',(#21928,#21930,#21932,#21933)); -#21935=FACE_OUTER_BOUND('',#21934,.F.); -#21937=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,0.E0)); -#21938=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21939=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21940=AXIS2_PLACEMENT_3D('',#21937,#21938,#21939); -#21941=PLANE('',#21940); -#21942=ORIENTED_EDGE('',*,*,#12465,.T.); -#21943=ORIENTED_EDGE('',*,*,#21904,.F.); -#21945=ORIENTED_EDGE('',*,*,#21944,.F.); -#21946=ORIENTED_EDGE('',*,*,#21929,.T.); -#21947=EDGE_LOOP('',(#21942,#21943,#21945,#21946)); -#21948=FACE_OUTER_BOUND('',#21947,.F.); -#21950=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#21951=DIRECTION('',(0.E0,0.E0,1.E0)); -#21952=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21953=AXIS2_PLACEMENT_3D('',#21950,#21951,#21952); -#21954=PLANE('',#21953); -#21955=ORIENTED_EDGE('',*,*,#21902,.T.); -#21956=ORIENTED_EDGE('',*,*,#21917,.T.); -#21957=ORIENTED_EDGE('',*,*,#21931,.T.); -#21958=ORIENTED_EDGE('',*,*,#21944,.T.); -#21959=EDGE_LOOP('',(#21955,#21956,#21957,#21958)); -#21960=FACE_OUTER_BOUND('',#21959,.F.); -#21962=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,0.E0)); -#21963=DIRECTION('',(0.E0,1.E0,0.E0)); -#21964=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21965=AXIS2_PLACEMENT_3D('',#21962,#21963,#21964); -#21966=PLANE('',#21965); -#21967=ORIENTED_EDGE('',*,*,#12473,.T.); -#21969=ORIENTED_EDGE('',*,*,#21968,.F.); -#21971=ORIENTED_EDGE('',*,*,#21970,.F.); -#21973=ORIENTED_EDGE('',*,*,#21972,.T.); -#21974=EDGE_LOOP('',(#21967,#21969,#21971,#21973)); -#21975=FACE_OUTER_BOUND('',#21974,.F.); -#21977=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,0.E0)); -#21978=DIRECTION('',(1.E0,0.E0,0.E0)); -#21979=DIRECTION('',(0.E0,1.E0,0.E0)); -#21980=AXIS2_PLACEMENT_3D('',#21977,#21978,#21979); -#21981=PLANE('',#21980); -#21982=ORIENTED_EDGE('',*,*,#12479,.T.); -#21984=ORIENTED_EDGE('',*,*,#21983,.F.); -#21986=ORIENTED_EDGE('',*,*,#21985,.F.); -#21987=ORIENTED_EDGE('',*,*,#21968,.T.); -#21988=EDGE_LOOP('',(#21982,#21984,#21986,#21987)); -#21989=FACE_OUTER_BOUND('',#21988,.F.); -#21991=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,0.E0)); -#21992=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21993=DIRECTION('',(1.E0,0.E0,0.E0)); -#21994=AXIS2_PLACEMENT_3D('',#21991,#21992,#21993); -#21995=PLANE('',#21994); -#21996=ORIENTED_EDGE('',*,*,#12477,.T.); -#21998=ORIENTED_EDGE('',*,*,#21997,.F.); -#22000=ORIENTED_EDGE('',*,*,#21999,.F.); -#22001=ORIENTED_EDGE('',*,*,#21983,.T.); -#22002=EDGE_LOOP('',(#21996,#21998,#22000,#22001)); -#22003=FACE_OUTER_BOUND('',#22002,.F.); -#22005=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,0.E0)); -#22006=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22007=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22008=AXIS2_PLACEMENT_3D('',#22005,#22006,#22007); -#22009=PLANE('',#22008); -#22010=ORIENTED_EDGE('',*,*,#12475,.T.); -#22011=ORIENTED_EDGE('',*,*,#21972,.F.); -#22013=ORIENTED_EDGE('',*,*,#22012,.F.); -#22014=ORIENTED_EDGE('',*,*,#21997,.T.); -#22015=EDGE_LOOP('',(#22010,#22011,#22013,#22014)); -#22016=FACE_OUTER_BOUND('',#22015,.F.); -#22018=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#22019=DIRECTION('',(0.E0,0.E0,1.E0)); -#22020=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22021=AXIS2_PLACEMENT_3D('',#22018,#22019,#22020); -#22022=PLANE('',#22021); -#22023=ORIENTED_EDGE('',*,*,#21970,.T.); -#22024=ORIENTED_EDGE('',*,*,#21985,.T.); -#22025=ORIENTED_EDGE('',*,*,#21999,.T.); -#22026=ORIENTED_EDGE('',*,*,#22012,.T.); -#22027=EDGE_LOOP('',(#22023,#22024,#22025,#22026)); -#22028=FACE_OUTER_BOUND('',#22027,.F.); -#22030=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,0.E0)); -#22031=DIRECTION('',(1.E0,0.E0,0.E0)); -#22032=DIRECTION('',(0.E0,1.E0,0.E0)); -#22033=AXIS2_PLACEMENT_3D('',#22030,#22031,#22032); -#22034=PLANE('',#22033); -#22035=ORIENTED_EDGE('',*,*,#12483,.T.); -#22037=ORIENTED_EDGE('',*,*,#22036,.F.); -#22039=ORIENTED_EDGE('',*,*,#22038,.F.); -#22041=ORIENTED_EDGE('',*,*,#22040,.T.); -#22042=EDGE_LOOP('',(#22035,#22037,#22039,#22041)); -#22043=FACE_OUTER_BOUND('',#22042,.F.); -#22045=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,0.E0)); -#22046=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22047=DIRECTION('',(1.E0,0.E0,0.E0)); -#22048=AXIS2_PLACEMENT_3D('',#22045,#22046,#22047); -#22049=PLANE('',#22048); -#22050=ORIENTED_EDGE('',*,*,#12489,.T.); -#22052=ORIENTED_EDGE('',*,*,#22051,.F.); -#22054=ORIENTED_EDGE('',*,*,#22053,.F.); -#22055=ORIENTED_EDGE('',*,*,#22036,.T.); -#22056=EDGE_LOOP('',(#22050,#22052,#22054,#22055)); -#22057=FACE_OUTER_BOUND('',#22056,.F.); -#22059=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,0.E0)); -#22060=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22061=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22062=AXIS2_PLACEMENT_3D('',#22059,#22060,#22061); -#22063=PLANE('',#22062); -#22064=ORIENTED_EDGE('',*,*,#12487,.T.); -#22066=ORIENTED_EDGE('',*,*,#22065,.F.); -#22068=ORIENTED_EDGE('',*,*,#22067,.F.); -#22069=ORIENTED_EDGE('',*,*,#22051,.T.); -#22070=EDGE_LOOP('',(#22064,#22066,#22068,#22069)); -#22071=FACE_OUTER_BOUND('',#22070,.F.); -#22073=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,0.E0)); -#22074=DIRECTION('',(0.E0,1.E0,0.E0)); -#22075=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22076=AXIS2_PLACEMENT_3D('',#22073,#22074,#22075); -#22077=PLANE('',#22076); -#22078=ORIENTED_EDGE('',*,*,#12485,.T.); -#22079=ORIENTED_EDGE('',*,*,#22040,.F.); -#22081=ORIENTED_EDGE('',*,*,#22080,.F.); -#22082=ORIENTED_EDGE('',*,*,#22065,.T.); -#22083=EDGE_LOOP('',(#22078,#22079,#22081,#22082)); -#22084=FACE_OUTER_BOUND('',#22083,.F.); -#22086=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#22087=DIRECTION('',(0.E0,0.E0,1.E0)); -#22088=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22089=AXIS2_PLACEMENT_3D('',#22086,#22087,#22088); -#22090=PLANE('',#22089); -#22091=ORIENTED_EDGE('',*,*,#22038,.T.); -#22092=ORIENTED_EDGE('',*,*,#22053,.T.); -#22093=ORIENTED_EDGE('',*,*,#22067,.T.); -#22094=ORIENTED_EDGE('',*,*,#22080,.T.); -#22095=EDGE_LOOP('',(#22091,#22092,#22093,#22094)); -#22096=FACE_OUTER_BOUND('',#22095,.F.); -#22098=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,0.E0)); -#22099=DIRECTION('',(0.E0,1.E0,0.E0)); -#22100=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22101=AXIS2_PLACEMENT_3D('',#22098,#22099,#22100); -#22102=PLANE('',#22101); -#22103=ORIENTED_EDGE('',*,*,#12493,.T.); -#22105=ORIENTED_EDGE('',*,*,#22104,.F.); -#22107=ORIENTED_EDGE('',*,*,#22106,.F.); -#22109=ORIENTED_EDGE('',*,*,#22108,.T.); -#22110=EDGE_LOOP('',(#22103,#22105,#22107,#22109)); -#22111=FACE_OUTER_BOUND('',#22110,.F.); -#22113=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,0.E0)); -#22114=DIRECTION('',(1.E0,0.E0,0.E0)); -#22115=DIRECTION('',(0.E0,1.E0,0.E0)); -#22116=AXIS2_PLACEMENT_3D('',#22113,#22114,#22115); -#22117=PLANE('',#22116); -#22118=ORIENTED_EDGE('',*,*,#12499,.T.); -#22120=ORIENTED_EDGE('',*,*,#22119,.F.); -#22122=ORIENTED_EDGE('',*,*,#22121,.F.); -#22123=ORIENTED_EDGE('',*,*,#22104,.T.); -#22124=EDGE_LOOP('',(#22118,#22120,#22122,#22123)); -#22125=FACE_OUTER_BOUND('',#22124,.F.); -#22127=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,0.E0)); -#22128=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22129=DIRECTION('',(1.E0,0.E0,0.E0)); -#22130=AXIS2_PLACEMENT_3D('',#22127,#22128,#22129); -#22131=PLANE('',#22130); -#22132=ORIENTED_EDGE('',*,*,#12497,.T.); -#22134=ORIENTED_EDGE('',*,*,#22133,.F.); -#22136=ORIENTED_EDGE('',*,*,#22135,.F.); -#22137=ORIENTED_EDGE('',*,*,#22119,.T.); -#22138=EDGE_LOOP('',(#22132,#22134,#22136,#22137)); -#22139=FACE_OUTER_BOUND('',#22138,.F.); -#22141=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,0.E0)); -#22142=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22143=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22144=AXIS2_PLACEMENT_3D('',#22141,#22142,#22143); -#22145=PLANE('',#22144); -#22146=ORIENTED_EDGE('',*,*,#12495,.T.); -#22147=ORIENTED_EDGE('',*,*,#22108,.F.); -#22149=ORIENTED_EDGE('',*,*,#22148,.F.); -#22150=ORIENTED_EDGE('',*,*,#22133,.T.); -#22151=EDGE_LOOP('',(#22146,#22147,#22149,#22150)); -#22152=FACE_OUTER_BOUND('',#22151,.F.); -#22154=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#22155=DIRECTION('',(0.E0,0.E0,1.E0)); -#22156=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22157=AXIS2_PLACEMENT_3D('',#22154,#22155,#22156); -#22158=PLANE('',#22157); -#22159=ORIENTED_EDGE('',*,*,#22106,.T.); -#22160=ORIENTED_EDGE('',*,*,#22121,.T.); -#22161=ORIENTED_EDGE('',*,*,#22135,.T.); -#22162=ORIENTED_EDGE('',*,*,#22148,.T.); -#22163=EDGE_LOOP('',(#22159,#22160,#22161,#22162)); -#22164=FACE_OUTER_BOUND('',#22163,.F.); -#22166=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,0.E0)); -#22167=DIRECTION('',(0.E0,1.E0,0.E0)); -#22168=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22169=AXIS2_PLACEMENT_3D('',#22166,#22167,#22168); -#22170=PLANE('',#22169); -#22171=ORIENTED_EDGE('',*,*,#12503,.T.); -#22173=ORIENTED_EDGE('',*,*,#22172,.F.); -#22175=ORIENTED_EDGE('',*,*,#22174,.F.); -#22177=ORIENTED_EDGE('',*,*,#22176,.T.); -#22178=EDGE_LOOP('',(#22171,#22173,#22175,#22177)); -#22179=FACE_OUTER_BOUND('',#22178,.F.); -#22181=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,0.E0)); -#22182=DIRECTION('',(1.E0,0.E0,0.E0)); -#22183=DIRECTION('',(0.E0,1.E0,0.E0)); -#22184=AXIS2_PLACEMENT_3D('',#22181,#22182,#22183); -#22185=PLANE('',#22184); -#22186=ORIENTED_EDGE('',*,*,#12509,.T.); -#22188=ORIENTED_EDGE('',*,*,#22187,.F.); -#22190=ORIENTED_EDGE('',*,*,#22189,.F.); -#22191=ORIENTED_EDGE('',*,*,#22172,.T.); -#22192=EDGE_LOOP('',(#22186,#22188,#22190,#22191)); -#22193=FACE_OUTER_BOUND('',#22192,.F.); -#22195=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,0.E0)); -#22196=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22197=DIRECTION('',(1.E0,0.E0,0.E0)); -#22198=AXIS2_PLACEMENT_3D('',#22195,#22196,#22197); -#22199=PLANE('',#22198); -#22200=ORIENTED_EDGE('',*,*,#12507,.T.); -#22202=ORIENTED_EDGE('',*,*,#22201,.F.); -#22204=ORIENTED_EDGE('',*,*,#22203,.F.); -#22205=ORIENTED_EDGE('',*,*,#22187,.T.); -#22206=EDGE_LOOP('',(#22200,#22202,#22204,#22205)); -#22207=FACE_OUTER_BOUND('',#22206,.F.); -#22209=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,0.E0)); -#22210=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22211=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22212=AXIS2_PLACEMENT_3D('',#22209,#22210,#22211); -#22213=PLANE('',#22212); -#22214=ORIENTED_EDGE('',*,*,#12505,.T.); -#22215=ORIENTED_EDGE('',*,*,#22176,.F.); -#22217=ORIENTED_EDGE('',*,*,#22216,.F.); -#22218=ORIENTED_EDGE('',*,*,#22201,.T.); -#22219=EDGE_LOOP('',(#22214,#22215,#22217,#22218)); -#22220=FACE_OUTER_BOUND('',#22219,.F.); -#22222=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#22223=DIRECTION('',(0.E0,0.E0,1.E0)); -#22224=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22225=AXIS2_PLACEMENT_3D('',#22222,#22223,#22224); -#22226=PLANE('',#22225); -#22227=ORIENTED_EDGE('',*,*,#22174,.T.); -#22228=ORIENTED_EDGE('',*,*,#22189,.T.); -#22229=ORIENTED_EDGE('',*,*,#22203,.T.); -#22230=ORIENTED_EDGE('',*,*,#22216,.T.); -#22231=EDGE_LOOP('',(#22227,#22228,#22229,#22230)); -#22232=FACE_OUTER_BOUND('',#22231,.F.); -#22234=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,0.E0)); -#22235=DIRECTION('',(0.E0,1.E0,0.E0)); -#22236=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22237=AXIS2_PLACEMENT_3D('',#22234,#22235,#22236); -#22238=PLANE('',#22237); -#22239=ORIENTED_EDGE('',*,*,#12513,.T.); -#22241=ORIENTED_EDGE('',*,*,#22240,.F.); -#22243=ORIENTED_EDGE('',*,*,#22242,.F.); -#22245=ORIENTED_EDGE('',*,*,#22244,.T.); -#22246=EDGE_LOOP('',(#22239,#22241,#22243,#22245)); -#22247=FACE_OUTER_BOUND('',#22246,.F.); -#22249=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,0.E0)); -#22250=DIRECTION('',(1.E0,0.E0,0.E0)); -#22251=DIRECTION('',(0.E0,1.E0,0.E0)); -#22252=AXIS2_PLACEMENT_3D('',#22249,#22250,#22251); -#22253=PLANE('',#22252); -#22254=ORIENTED_EDGE('',*,*,#12519,.T.); -#22256=ORIENTED_EDGE('',*,*,#22255,.F.); -#22258=ORIENTED_EDGE('',*,*,#22257,.F.); -#22259=ORIENTED_EDGE('',*,*,#22240,.T.); -#22260=EDGE_LOOP('',(#22254,#22256,#22258,#22259)); -#22261=FACE_OUTER_BOUND('',#22260,.F.); -#22263=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,0.E0)); -#22264=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22265=DIRECTION('',(1.E0,0.E0,0.E0)); -#22266=AXIS2_PLACEMENT_3D('',#22263,#22264,#22265); -#22267=PLANE('',#22266); -#22268=ORIENTED_EDGE('',*,*,#12517,.T.); -#22270=ORIENTED_EDGE('',*,*,#22269,.F.); -#22272=ORIENTED_EDGE('',*,*,#22271,.F.); -#22273=ORIENTED_EDGE('',*,*,#22255,.T.); -#22274=EDGE_LOOP('',(#22268,#22270,#22272,#22273)); -#22275=FACE_OUTER_BOUND('',#22274,.F.); -#22277=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,0.E0)); -#22278=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22279=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22280=AXIS2_PLACEMENT_3D('',#22277,#22278,#22279); -#22281=PLANE('',#22280); -#22282=ORIENTED_EDGE('',*,*,#12515,.T.); -#22283=ORIENTED_EDGE('',*,*,#22244,.F.); -#22285=ORIENTED_EDGE('',*,*,#22284,.F.); -#22286=ORIENTED_EDGE('',*,*,#22269,.T.); -#22287=EDGE_LOOP('',(#22282,#22283,#22285,#22286)); -#22288=FACE_OUTER_BOUND('',#22287,.F.); -#22290=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); -#22291=DIRECTION('',(0.E0,0.E0,1.E0)); -#22292=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22293=AXIS2_PLACEMENT_3D('',#22290,#22291,#22292); -#22294=PLANE('',#22293); -#22295=ORIENTED_EDGE('',*,*,#22242,.T.); -#22296=ORIENTED_EDGE('',*,*,#22257,.T.); -#22297=ORIENTED_EDGE('',*,*,#22271,.T.); -#22298=ORIENTED_EDGE('',*,*,#22284,.T.); -#22299=EDGE_LOOP('',(#22295,#22296,#22297,#22298)); -#22300=FACE_OUTER_BOUND('',#22299,.F.); -#22302=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,0.E0)); -#22303=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22304=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22305=AXIS2_PLACEMENT_3D('',#22302,#22303,#22304); -#22306=PLANE('',#22305); -#22307=ORIENTED_EDGE('',*,*,#12523,.T.); -#22309=ORIENTED_EDGE('',*,*,#22308,.F.); -#22311=ORIENTED_EDGE('',*,*,#22310,.F.); -#22313=ORIENTED_EDGE('',*,*,#22312,.T.); -#22314=EDGE_LOOP('',(#22307,#22309,#22311,#22313)); -#22315=FACE_OUTER_BOUND('',#22314,.F.); -#22317=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,0.E0)); -#22318=DIRECTION('',(0.E0,1.E0,0.E0)); -#22319=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22320=AXIS2_PLACEMENT_3D('',#22317,#22318,#22319); -#22321=PLANE('',#22320); -#22322=ORIENTED_EDGE('',*,*,#12529,.T.); -#22324=ORIENTED_EDGE('',*,*,#22323,.F.); -#22326=ORIENTED_EDGE('',*,*,#22325,.F.); -#22327=ORIENTED_EDGE('',*,*,#22308,.T.); -#22328=EDGE_LOOP('',(#22322,#22324,#22326,#22327)); -#22329=FACE_OUTER_BOUND('',#22328,.F.); -#22331=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,0.E0)); -#22332=DIRECTION('',(1.E0,0.E0,0.E0)); -#22333=DIRECTION('',(0.E0,1.E0,0.E0)); -#22334=AXIS2_PLACEMENT_3D('',#22331,#22332,#22333); -#22335=PLANE('',#22334); -#22336=ORIENTED_EDGE('',*,*,#12527,.T.); -#22338=ORIENTED_EDGE('',*,*,#22337,.F.); -#22340=ORIENTED_EDGE('',*,*,#22339,.F.); -#22341=ORIENTED_EDGE('',*,*,#22323,.T.); -#22342=EDGE_LOOP('',(#22336,#22338,#22340,#22341)); -#22343=FACE_OUTER_BOUND('',#22342,.F.); -#22345=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,0.E0)); -#22346=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22347=DIRECTION('',(1.E0,0.E0,0.E0)); -#22348=AXIS2_PLACEMENT_3D('',#22345,#22346,#22347); -#22349=PLANE('',#22348); -#22350=ORIENTED_EDGE('',*,*,#12525,.T.); -#22351=ORIENTED_EDGE('',*,*,#22312,.F.); -#22353=ORIENTED_EDGE('',*,*,#22352,.F.); -#22354=ORIENTED_EDGE('',*,*,#22337,.T.); -#22355=EDGE_LOOP('',(#22350,#22351,#22353,#22354)); -#22356=FACE_OUTER_BOUND('',#22355,.F.); -#22358=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); -#22359=DIRECTION('',(0.E0,0.E0,1.E0)); -#22360=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22361=AXIS2_PLACEMENT_3D('',#22358,#22359,#22360); -#22362=PLANE('',#22361); -#22363=ORIENTED_EDGE('',*,*,#22310,.T.); -#22364=ORIENTED_EDGE('',*,*,#22325,.T.); -#22365=ORIENTED_EDGE('',*,*,#22339,.T.); -#22366=ORIENTED_EDGE('',*,*,#22352,.T.); -#22367=EDGE_LOOP('',(#22363,#22364,#22365,#22366)); -#22368=FACE_OUTER_BOUND('',#22367,.F.); -#22370=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,0.E0)); -#22371=DIRECTION('',(0.E0,1.E0,0.E0)); -#22372=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22373=AXIS2_PLACEMENT_3D('',#22370,#22371,#22372); -#22374=PLANE('',#22373); -#22375=ORIENTED_EDGE('',*,*,#12533,.T.); -#22377=ORIENTED_EDGE('',*,*,#22376,.F.); -#22379=ORIENTED_EDGE('',*,*,#22378,.F.); -#22381=ORIENTED_EDGE('',*,*,#22380,.T.); -#22382=EDGE_LOOP('',(#22375,#22377,#22379,#22381)); -#22383=FACE_OUTER_BOUND('',#22382,.F.); -#22385=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,0.E0)); -#22386=DIRECTION('',(1.E0,0.E0,0.E0)); -#22387=DIRECTION('',(0.E0,1.E0,0.E0)); -#22388=AXIS2_PLACEMENT_3D('',#22385,#22386,#22387); -#22389=PLANE('',#22388); -#22390=ORIENTED_EDGE('',*,*,#12555,.T.); -#22392=ORIENTED_EDGE('',*,*,#22391,.F.); -#22394=ORIENTED_EDGE('',*,*,#22393,.F.); -#22395=ORIENTED_EDGE('',*,*,#22376,.T.); -#22396=EDGE_LOOP('',(#22390,#22392,#22394,#22395)); -#22397=FACE_OUTER_BOUND('',#22396,.F.); -#22399=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,0.E0)); -#22400=DIRECTION('',(0.E0,1.E0,0.E0)); -#22401=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22402=AXIS2_PLACEMENT_3D('',#22399,#22400,#22401); -#22403=PLANE('',#22402); -#22405=ORIENTED_EDGE('',*,*,#22404,.F.); -#22406=ORIENTED_EDGE('',*,*,#22391,.T.); -#22407=ORIENTED_EDGE('',*,*,#12553,.T.); -#22409=ORIENTED_EDGE('',*,*,#22408,.F.); -#22411=ORIENTED_EDGE('',*,*,#22410,.F.); -#22413=ORIENTED_EDGE('',*,*,#22412,.T.); -#22414=ORIENTED_EDGE('',*,*,#12537,.T.); -#22416=ORIENTED_EDGE('',*,*,#22415,.F.); -#22417=EDGE_LOOP('',(#22405,#22406,#22407,#22409,#22411,#22413,#22414,#22416)); -#22418=FACE_OUTER_BOUND('',#22417,.F.); -#22420=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#22421=DIRECTION('',(0.E0,0.E0,1.E0)); -#22422=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22423=AXIS2_PLACEMENT_3D('',#22420,#22421,#22422); -#22424=PLANE('',#22423); -#22425=ORIENTED_EDGE('',*,*,#22404,.T.); -#22427=ORIENTED_EDGE('',*,*,#22426,.T.); -#22428=ORIENTED_EDGE('',*,*,#22378,.T.); -#22429=ORIENTED_EDGE('',*,*,#22393,.T.); -#22430=EDGE_LOOP('',(#22425,#22427,#22428,#22429)); -#22431=FACE_OUTER_BOUND('',#22430,.F.); -#22433=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,0.E0)); -#22434=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22435=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22436=AXIS2_PLACEMENT_3D('',#22433,#22434,#22435); -#22437=PLANE('',#22436); -#22438=ORIENTED_EDGE('',*,*,#12535,.T.); -#22439=ORIENTED_EDGE('',*,*,#22380,.F.); -#22440=ORIENTED_EDGE('',*,*,#22426,.F.); -#22441=ORIENTED_EDGE('',*,*,#22415,.T.); -#22442=EDGE_LOOP('',(#22438,#22439,#22440,#22441)); -#22443=FACE_OUTER_BOUND('',#22442,.F.); -#22445=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,0.E0)); -#22446=DIRECTION('',(1.E0,0.E0,0.E0)); -#22447=DIRECTION('',(0.E0,1.E0,0.E0)); -#22448=AXIS2_PLACEMENT_3D('',#22445,#22446,#22447); -#22449=PLANE('',#22448); -#22450=ORIENTED_EDGE('',*,*,#12551,.T.); -#22452=ORIENTED_EDGE('',*,*,#22451,.F.); -#22454=ORIENTED_EDGE('',*,*,#22453,.F.); -#22455=ORIENTED_EDGE('',*,*,#22408,.T.); -#22456=EDGE_LOOP('',(#22450,#22452,#22454,#22455)); -#22457=FACE_OUTER_BOUND('',#22456,.F.); -#22459=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,0.E0)); -#22460=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22461=DIRECTION('',(1.E0,0.E0,0.E0)); -#22462=AXIS2_PLACEMENT_3D('',#22459,#22460,#22461); -#22463=PLANE('',#22462); -#22465=ORIENTED_EDGE('',*,*,#22464,.T.); -#22467=ORIENTED_EDGE('',*,*,#22466,.T.); -#22468=ORIENTED_EDGE('',*,*,#12541,.T.); -#22470=ORIENTED_EDGE('',*,*,#22469,.F.); -#22472=ORIENTED_EDGE('',*,*,#22471,.F.); -#22473=ORIENTED_EDGE('',*,*,#22451,.T.); -#22474=ORIENTED_EDGE('',*,*,#12549,.T.); -#22476=ORIENTED_EDGE('',*,*,#22475,.F.); -#22477=EDGE_LOOP('',(#22465,#22467,#22468,#22470,#22472,#22473,#22474,#22476)); -#22478=FACE_OUTER_BOUND('',#22477,.F.); -#22480=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#22481=DIRECTION('',(0.E0,0.E0,1.E0)); -#22482=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22483=AXIS2_PLACEMENT_3D('',#22480,#22481,#22482); -#22484=PLANE('',#22483); -#22485=ORIENTED_EDGE('',*,*,#22464,.F.); -#22487=ORIENTED_EDGE('',*,*,#22486,.T.); -#22489=ORIENTED_EDGE('',*,*,#22488,.T.); -#22491=ORIENTED_EDGE('',*,*,#22490,.T.); -#22492=EDGE_LOOP('',(#22485,#22487,#22489,#22491)); -#22493=FACE_OUTER_BOUND('',#22492,.F.); -#22495=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,0.E0)); -#22496=DIRECTION('',(1.E0,0.E0,0.E0)); -#22497=DIRECTION('',(0.E0,1.E0,0.E0)); -#22498=AXIS2_PLACEMENT_3D('',#22495,#22496,#22497); -#22499=PLANE('',#22498); -#22500=ORIENTED_EDGE('',*,*,#12547,.T.); -#22502=ORIENTED_EDGE('',*,*,#22501,.F.); -#22503=ORIENTED_EDGE('',*,*,#22486,.F.); -#22504=ORIENTED_EDGE('',*,*,#22475,.T.); -#22505=EDGE_LOOP('',(#22500,#22502,#22503,#22504)); -#22506=FACE_OUTER_BOUND('',#22505,.F.); -#22508=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,0.E0)); -#22509=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22510=DIRECTION('',(1.E0,0.E0,0.E0)); -#22511=AXIS2_PLACEMENT_3D('',#22508,#22509,#22510); -#22512=PLANE('',#22511); -#22513=ORIENTED_EDGE('',*,*,#12545,.T.); -#22515=ORIENTED_EDGE('',*,*,#22514,.F.); -#22516=ORIENTED_EDGE('',*,*,#22488,.F.); -#22517=ORIENTED_EDGE('',*,*,#22501,.T.); -#22518=EDGE_LOOP('',(#22513,#22515,#22516,#22517)); -#22519=FACE_OUTER_BOUND('',#22518,.F.); -#22521=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,0.E0)); -#22522=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22523=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22524=AXIS2_PLACEMENT_3D('',#22521,#22522,#22523); -#22525=PLANE('',#22524); -#22526=ORIENTED_EDGE('',*,*,#12543,.T.); -#22527=ORIENTED_EDGE('',*,*,#22466,.F.); -#22528=ORIENTED_EDGE('',*,*,#22490,.F.); -#22529=ORIENTED_EDGE('',*,*,#22514,.T.); -#22530=EDGE_LOOP('',(#22526,#22527,#22528,#22529)); -#22531=FACE_OUTER_BOUND('',#22530,.F.); -#22533=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,0.E0)); -#22534=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22535=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22536=AXIS2_PLACEMENT_3D('',#22533,#22534,#22535); -#22537=PLANE('',#22536); -#22538=ORIENTED_EDGE('',*,*,#12539,.T.); -#22539=ORIENTED_EDGE('',*,*,#22412,.F.); -#22541=ORIENTED_EDGE('',*,*,#22540,.F.); -#22542=ORIENTED_EDGE('',*,*,#22469,.T.); -#22543=EDGE_LOOP('',(#22538,#22539,#22541,#22542)); -#22544=FACE_OUTER_BOUND('',#22543,.F.); -#22546=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#22547=DIRECTION('',(0.E0,0.E0,1.E0)); -#22548=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22549=AXIS2_PLACEMENT_3D('',#22546,#22547,#22548); -#22550=PLANE('',#22549); -#22551=ORIENTED_EDGE('',*,*,#22410,.T.); -#22552=ORIENTED_EDGE('',*,*,#22453,.T.); -#22553=ORIENTED_EDGE('',*,*,#22471,.T.); -#22554=ORIENTED_EDGE('',*,*,#22540,.T.); -#22555=EDGE_LOOP('',(#22551,#22552,#22553,#22554)); -#22556=FACE_OUTER_BOUND('',#22555,.F.); -#22558=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,0.E0)); -#22559=DIRECTION('',(1.E0,0.E0,0.E0)); -#22560=DIRECTION('',(0.E0,1.E0,0.E0)); -#22561=AXIS2_PLACEMENT_3D('',#22558,#22559,#22560); -#22562=PLANE('',#22561); -#22563=ORIENTED_EDGE('',*,*,#12559,.T.); -#22565=ORIENTED_EDGE('',*,*,#22564,.F.); -#22567=ORIENTED_EDGE('',*,*,#22566,.F.); -#22569=ORIENTED_EDGE('',*,*,#22568,.T.); -#22570=EDGE_LOOP('',(#22563,#22565,#22567,#22569)); -#22571=FACE_OUTER_BOUND('',#22570,.F.); -#22573=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,0.E0)); -#22574=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22575=DIRECTION('',(1.E0,0.E0,0.E0)); -#22576=AXIS2_PLACEMENT_3D('',#22573,#22574,#22575); -#22577=PLANE('',#22576); -#22578=ORIENTED_EDGE('',*,*,#12581,.T.); -#22580=ORIENTED_EDGE('',*,*,#22579,.F.); -#22582=ORIENTED_EDGE('',*,*,#22581,.F.); -#22583=ORIENTED_EDGE('',*,*,#22564,.T.); -#22584=EDGE_LOOP('',(#22578,#22580,#22582,#22583)); -#22585=FACE_OUTER_BOUND('',#22584,.F.); -#22587=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,0.E0)); -#22588=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22589=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22590=AXIS2_PLACEMENT_3D('',#22587,#22588,#22589); -#22591=PLANE('',#22590); -#22592=ORIENTED_EDGE('',*,*,#12579,.T.); -#22594=ORIENTED_EDGE('',*,*,#22593,.F.); -#22596=ORIENTED_EDGE('',*,*,#22595,.F.); -#22597=ORIENTED_EDGE('',*,*,#22579,.T.); -#22598=EDGE_LOOP('',(#22592,#22594,#22596,#22597)); -#22599=FACE_OUTER_BOUND('',#22598,.F.); -#22601=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,0.E0)); -#22602=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22603=DIRECTION('',(1.E0,0.E0,0.E0)); -#22604=AXIS2_PLACEMENT_3D('',#22601,#22602,#22603); -#22605=PLANE('',#22604); -#22607=ORIENTED_EDGE('',*,*,#22606,.F.); -#22608=ORIENTED_EDGE('',*,*,#22593,.T.); -#22609=ORIENTED_EDGE('',*,*,#12577,.T.); -#22611=ORIENTED_EDGE('',*,*,#22610,.F.); -#22613=ORIENTED_EDGE('',*,*,#22612,.F.); -#22615=ORIENTED_EDGE('',*,*,#22614,.T.); -#22616=ORIENTED_EDGE('',*,*,#12561,.T.); -#22617=ORIENTED_EDGE('',*,*,#22568,.F.); -#22618=EDGE_LOOP('',(#22607,#22608,#22609,#22611,#22613,#22615,#22616,#22617)); -#22619=FACE_OUTER_BOUND('',#22618,.F.); -#22621=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#22622=DIRECTION('',(0.E0,0.E0,1.E0)); -#22623=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22624=AXIS2_PLACEMENT_3D('',#22621,#22622,#22623); -#22625=PLANE('',#22624); -#22626=ORIENTED_EDGE('',*,*,#22606,.T.); -#22627=ORIENTED_EDGE('',*,*,#22566,.T.); -#22628=ORIENTED_EDGE('',*,*,#22581,.T.); -#22629=ORIENTED_EDGE('',*,*,#22595,.T.); -#22630=EDGE_LOOP('',(#22626,#22627,#22628,#22629)); -#22631=FACE_OUTER_BOUND('',#22630,.F.); -#22633=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,0.E0)); -#22634=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22635=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22636=AXIS2_PLACEMENT_3D('',#22633,#22634,#22635); -#22637=PLANE('',#22636); -#22638=ORIENTED_EDGE('',*,*,#12575,.T.); -#22640=ORIENTED_EDGE('',*,*,#22639,.F.); -#22642=ORIENTED_EDGE('',*,*,#22641,.F.); -#22643=ORIENTED_EDGE('',*,*,#22610,.T.); -#22644=EDGE_LOOP('',(#22638,#22640,#22642,#22643)); -#22645=FACE_OUTER_BOUND('',#22644,.F.); -#22647=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,0.E0)); -#22648=DIRECTION('',(0.E0,1.E0,0.E0)); -#22649=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22650=AXIS2_PLACEMENT_3D('',#22647,#22648,#22649); -#22651=PLANE('',#22650); -#22653=ORIENTED_EDGE('',*,*,#22652,.T.); -#22655=ORIENTED_EDGE('',*,*,#22654,.T.); -#22656=ORIENTED_EDGE('',*,*,#12565,.T.); -#22658=ORIENTED_EDGE('',*,*,#22657,.F.); -#22660=ORIENTED_EDGE('',*,*,#22659,.F.); -#22661=ORIENTED_EDGE('',*,*,#22639,.T.); -#22662=ORIENTED_EDGE('',*,*,#12573,.T.); -#22664=ORIENTED_EDGE('',*,*,#22663,.F.); -#22665=EDGE_LOOP('',(#22653,#22655,#22656,#22658,#22660,#22661,#22662,#22664)); -#22666=FACE_OUTER_BOUND('',#22665,.F.); -#22668=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#22669=DIRECTION('',(0.E0,0.E0,1.E0)); -#22670=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22671=AXIS2_PLACEMENT_3D('',#22668,#22669,#22670); -#22672=PLANE('',#22671); -#22673=ORIENTED_EDGE('',*,*,#22652,.F.); -#22675=ORIENTED_EDGE('',*,*,#22674,.T.); -#22677=ORIENTED_EDGE('',*,*,#22676,.T.); -#22679=ORIENTED_EDGE('',*,*,#22678,.T.); -#22680=EDGE_LOOP('',(#22673,#22675,#22677,#22679)); -#22681=FACE_OUTER_BOUND('',#22680,.F.); -#22683=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,0.E0)); -#22684=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22685=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22686=AXIS2_PLACEMENT_3D('',#22683,#22684,#22685); -#22687=PLANE('',#22686); -#22688=ORIENTED_EDGE('',*,*,#12571,.T.); -#22690=ORIENTED_EDGE('',*,*,#22689,.F.); -#22691=ORIENTED_EDGE('',*,*,#22674,.F.); -#22692=ORIENTED_EDGE('',*,*,#22663,.T.); -#22693=EDGE_LOOP('',(#22688,#22690,#22691,#22692)); -#22694=FACE_OUTER_BOUND('',#22693,.F.); -#22696=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,0.E0)); -#22697=DIRECTION('',(0.E0,1.E0,0.E0)); -#22698=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22699=AXIS2_PLACEMENT_3D('',#22696,#22697,#22698); -#22700=PLANE('',#22699); -#22701=ORIENTED_EDGE('',*,*,#12569,.T.); -#22703=ORIENTED_EDGE('',*,*,#22702,.F.); -#22704=ORIENTED_EDGE('',*,*,#22676,.F.); -#22705=ORIENTED_EDGE('',*,*,#22689,.T.); -#22706=EDGE_LOOP('',(#22701,#22703,#22704,#22705)); -#22707=FACE_OUTER_BOUND('',#22706,.F.); -#22709=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,0.E0)); -#22710=DIRECTION('',(1.E0,0.E0,0.E0)); -#22711=DIRECTION('',(0.E0,1.E0,0.E0)); -#22712=AXIS2_PLACEMENT_3D('',#22709,#22710,#22711); -#22713=PLANE('',#22712); -#22714=ORIENTED_EDGE('',*,*,#12567,.T.); -#22715=ORIENTED_EDGE('',*,*,#22654,.F.); -#22716=ORIENTED_EDGE('',*,*,#22678,.F.); -#22717=ORIENTED_EDGE('',*,*,#22702,.T.); -#22718=EDGE_LOOP('',(#22714,#22715,#22716,#22717)); -#22719=FACE_OUTER_BOUND('',#22718,.F.); -#22721=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,0.E0)); -#22722=DIRECTION('',(1.E0,0.E0,0.E0)); -#22723=DIRECTION('',(0.E0,1.E0,0.E0)); -#22724=AXIS2_PLACEMENT_3D('',#22721,#22722,#22723); -#22725=PLANE('',#22724); -#22726=ORIENTED_EDGE('',*,*,#12563,.T.); -#22727=ORIENTED_EDGE('',*,*,#22614,.F.); -#22729=ORIENTED_EDGE('',*,*,#22728,.F.); -#22730=ORIENTED_EDGE('',*,*,#22657,.T.); -#22731=EDGE_LOOP('',(#22726,#22727,#22729,#22730)); -#22732=FACE_OUTER_BOUND('',#22731,.F.); -#22734=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#22735=DIRECTION('',(0.E0,0.E0,1.E0)); -#22736=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22737=AXIS2_PLACEMENT_3D('',#22734,#22735,#22736); -#22738=PLANE('',#22737); -#22739=ORIENTED_EDGE('',*,*,#22659,.T.); -#22740=ORIENTED_EDGE('',*,*,#22728,.T.); -#22741=ORIENTED_EDGE('',*,*,#22612,.T.); -#22742=ORIENTED_EDGE('',*,*,#22641,.T.); -#22743=EDGE_LOOP('',(#22739,#22740,#22741,#22742)); -#22744=FACE_OUTER_BOUND('',#22743,.F.); -#22746=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,0.E0)); -#22747=DIRECTION('',(1.E0,0.E0,0.E0)); -#22748=DIRECTION('',(0.E0,1.E0,0.E0)); -#22749=AXIS2_PLACEMENT_3D('',#22746,#22747,#22748); -#22750=PLANE('',#22749); -#22751=ORIENTED_EDGE('',*,*,#12585,.T.); -#22753=ORIENTED_EDGE('',*,*,#22752,.F.); -#22755=ORIENTED_EDGE('',*,*,#22754,.F.); -#22757=ORIENTED_EDGE('',*,*,#22756,.T.); -#22758=EDGE_LOOP('',(#22751,#22753,#22755,#22757)); -#22759=FACE_OUTER_BOUND('',#22758,.F.); -#22761=CARTESIAN_POINT('',(3.780621944340E0,1.9390419E1,0.E0)); -#22762=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22763=DIRECTION('',(1.E0,0.E0,0.E0)); -#22764=AXIS2_PLACEMENT_3D('',#22761,#22762,#22763); -#22765=PLANE('',#22764); -#22766=ORIENTED_EDGE('',*,*,#12615,.T.); -#22768=ORIENTED_EDGE('',*,*,#22767,.F.); -#22770=ORIENTED_EDGE('',*,*,#22769,.F.); -#22771=ORIENTED_EDGE('',*,*,#22752,.T.); -#22772=EDGE_LOOP('',(#22766,#22768,#22770,#22771)); -#22773=FACE_OUTER_BOUND('',#22772,.F.); -#22775=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,0.E0)); -#22776=DIRECTION('',(1.E0,0.E0,0.E0)); -#22777=DIRECTION('',(0.E0,1.E0,0.E0)); -#22778=AXIS2_PLACEMENT_3D('',#22775,#22776,#22777); -#22779=PLANE('',#22778); -#22781=ORIENTED_EDGE('',*,*,#22780,.T.); -#22783=ORIENTED_EDGE('',*,*,#22782,.T.); -#22784=ORIENTED_EDGE('',*,*,#12605,.T.); -#22786=ORIENTED_EDGE('',*,*,#22785,.F.); -#22788=ORIENTED_EDGE('',*,*,#22787,.F.); -#22790=ORIENTED_EDGE('',*,*,#22789,.T.); -#22791=ORIENTED_EDGE('',*,*,#12589,.T.); -#22793=ORIENTED_EDGE('',*,*,#22792,.F.); -#22795=ORIENTED_EDGE('',*,*,#22794,.T.); -#22796=ORIENTED_EDGE('',*,*,#22767,.T.); -#22797=ORIENTED_EDGE('',*,*,#12613,.T.); -#22799=ORIENTED_EDGE('',*,*,#22798,.F.); -#22800=EDGE_LOOP('',(#22781,#22783,#22784,#22786,#22788,#22790,#22791,#22793, -#22795,#22796,#22797,#22799)); -#22801=FACE_OUTER_BOUND('',#22800,.F.); -#22803=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#22804=DIRECTION('',(0.E0,0.E0,1.E0)); -#22805=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22806=AXIS2_PLACEMENT_3D('',#22803,#22804,#22805); -#22807=PLANE('',#22806); -#22808=ORIENTED_EDGE('',*,*,#22780,.F.); -#22810=ORIENTED_EDGE('',*,*,#22809,.T.); -#22812=ORIENTED_EDGE('',*,*,#22811,.T.); -#22814=ORIENTED_EDGE('',*,*,#22813,.T.); -#22815=EDGE_LOOP('',(#22808,#22810,#22812,#22814)); -#22816=FACE_OUTER_BOUND('',#22815,.F.); -#22818=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,0.E0)); -#22819=DIRECTION('',(0.E0,1.E0,0.E0)); -#22820=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22821=AXIS2_PLACEMENT_3D('',#22818,#22819,#22820); -#22822=PLANE('',#22821); -#22823=ORIENTED_EDGE('',*,*,#12611,.T.); -#22825=ORIENTED_EDGE('',*,*,#22824,.F.); -#22826=ORIENTED_EDGE('',*,*,#22809,.F.); -#22827=ORIENTED_EDGE('',*,*,#22798,.T.); -#22828=EDGE_LOOP('',(#22823,#22825,#22826,#22827)); -#22829=FACE_OUTER_BOUND('',#22828,.F.); -#22831=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,0.E0)); -#22832=DIRECTION('',(1.E0,0.E0,0.E0)); -#22833=DIRECTION('',(0.E0,1.E0,0.E0)); -#22834=AXIS2_PLACEMENT_3D('',#22831,#22832,#22833); -#22835=PLANE('',#22834); -#22836=ORIENTED_EDGE('',*,*,#12609,.T.); -#22838=ORIENTED_EDGE('',*,*,#22837,.F.); -#22839=ORIENTED_EDGE('',*,*,#22811,.F.); -#22840=ORIENTED_EDGE('',*,*,#22824,.T.); -#22841=EDGE_LOOP('',(#22836,#22838,#22839,#22840)); -#22842=FACE_OUTER_BOUND('',#22841,.F.); -#22844=CARTESIAN_POINT('',(3.780621944340E0,1.7522597E1,0.E0)); -#22845=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22846=DIRECTION('',(1.E0,0.E0,0.E0)); -#22847=AXIS2_PLACEMENT_3D('',#22844,#22845,#22846); -#22848=PLANE('',#22847); -#22849=ORIENTED_EDGE('',*,*,#12607,.T.); -#22850=ORIENTED_EDGE('',*,*,#22782,.F.); -#22851=ORIENTED_EDGE('',*,*,#22813,.F.); -#22852=ORIENTED_EDGE('',*,*,#22837,.T.); -#22853=EDGE_LOOP('',(#22849,#22850,#22851,#22852)); -#22854=FACE_OUTER_BOUND('',#22853,.F.); -#22856=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,0.E0)); -#22857=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22858=DIRECTION('',(1.E0,0.E0,0.E0)); -#22859=AXIS2_PLACEMENT_3D('',#22856,#22857,#22858); -#22860=PLANE('',#22859); -#22861=ORIENTED_EDGE('',*,*,#12603,.T.); -#22863=ORIENTED_EDGE('',*,*,#22862,.F.); -#22865=ORIENTED_EDGE('',*,*,#22864,.F.); -#22866=ORIENTED_EDGE('',*,*,#22785,.T.); -#22867=EDGE_LOOP('',(#22861,#22863,#22865,#22866)); -#22868=FACE_OUTER_BOUND('',#22867,.F.); -#22870=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,0.E0)); -#22871=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22872=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22873=AXIS2_PLACEMENT_3D('',#22870,#22871,#22872); -#22874=PLANE('',#22873); -#22876=ORIENTED_EDGE('',*,*,#22875,.T.); -#22878=ORIENTED_EDGE('',*,*,#22877,.T.); -#22879=ORIENTED_EDGE('',*,*,#12593,.T.); -#22881=ORIENTED_EDGE('',*,*,#22880,.F.); -#22883=ORIENTED_EDGE('',*,*,#22882,.F.); -#22884=ORIENTED_EDGE('',*,*,#22862,.T.); -#22885=ORIENTED_EDGE('',*,*,#12601,.T.); -#22887=ORIENTED_EDGE('',*,*,#22886,.F.); -#22888=EDGE_LOOP('',(#22876,#22878,#22879,#22881,#22883,#22884,#22885,#22887)); -#22889=FACE_OUTER_BOUND('',#22888,.F.); -#22891=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#22892=DIRECTION('',(0.E0,0.E0,1.E0)); -#22893=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22894=AXIS2_PLACEMENT_3D('',#22891,#22892,#22893); -#22895=PLANE('',#22894); -#22896=ORIENTED_EDGE('',*,*,#22875,.F.); -#22898=ORIENTED_EDGE('',*,*,#22897,.T.); -#22900=ORIENTED_EDGE('',*,*,#22899,.T.); -#22902=ORIENTED_EDGE('',*,*,#22901,.T.); -#22903=EDGE_LOOP('',(#22896,#22898,#22900,#22902)); -#22904=FACE_OUTER_BOUND('',#22903,.F.); -#22906=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,0.E0)); -#22907=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22908=DIRECTION('',(1.E0,0.E0,0.E0)); -#22909=AXIS2_PLACEMENT_3D('',#22906,#22907,#22908); -#22910=PLANE('',#22909); -#22911=ORIENTED_EDGE('',*,*,#12599,.T.); -#22913=ORIENTED_EDGE('',*,*,#22912,.F.); -#22914=ORIENTED_EDGE('',*,*,#22897,.F.); -#22915=ORIENTED_EDGE('',*,*,#22886,.T.); -#22916=EDGE_LOOP('',(#22911,#22913,#22914,#22915)); -#22917=FACE_OUTER_BOUND('',#22916,.F.); -#22919=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,0.E0)); -#22920=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22921=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22922=AXIS2_PLACEMENT_3D('',#22919,#22920,#22921); -#22923=PLANE('',#22922); -#22924=ORIENTED_EDGE('',*,*,#12597,.T.); -#22926=ORIENTED_EDGE('',*,*,#22925,.F.); -#22927=ORIENTED_EDGE('',*,*,#22899,.F.); -#22928=ORIENTED_EDGE('',*,*,#22912,.T.); -#22929=EDGE_LOOP('',(#22924,#22926,#22927,#22928)); -#22930=FACE_OUTER_BOUND('',#22929,.F.); -#22932=CARTESIAN_POINT('',(2.580621944340E0,1.9322608E1,0.E0)); -#22933=DIRECTION('',(0.E0,1.E0,0.E0)); -#22934=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22935=AXIS2_PLACEMENT_3D('',#22932,#22933,#22934); -#22936=PLANE('',#22935); -#22937=ORIENTED_EDGE('',*,*,#12595,.T.); -#22938=ORIENTED_EDGE('',*,*,#22877,.F.); -#22939=ORIENTED_EDGE('',*,*,#22901,.F.); -#22940=ORIENTED_EDGE('',*,*,#22925,.T.); -#22941=EDGE_LOOP('',(#22937,#22938,#22939,#22940)); -#22942=FACE_OUTER_BOUND('',#22941,.F.); -#22944=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,0.E0)); -#22945=DIRECTION('',(0.E0,1.E0,0.E0)); -#22946=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22947=AXIS2_PLACEMENT_3D('',#22944,#22945,#22946); -#22948=PLANE('',#22947); -#22949=ORIENTED_EDGE('',*,*,#12591,.T.); -#22950=ORIENTED_EDGE('',*,*,#22789,.F.); -#22952=ORIENTED_EDGE('',*,*,#22951,.F.); -#22953=ORIENTED_EDGE('',*,*,#22880,.T.); -#22954=EDGE_LOOP('',(#22949,#22950,#22952,#22953)); -#22955=FACE_OUTER_BOUND('',#22954,.F.); -#22957=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#22958=DIRECTION('',(0.E0,0.E0,1.E0)); -#22959=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22960=AXIS2_PLACEMENT_3D('',#22957,#22958,#22959); -#22961=PLANE('',#22960); -#22962=ORIENTED_EDGE('',*,*,#22951,.T.); -#22963=ORIENTED_EDGE('',*,*,#22787,.T.); -#22964=ORIENTED_EDGE('',*,*,#22864,.T.); -#22965=ORIENTED_EDGE('',*,*,#22882,.T.); -#22966=EDGE_LOOP('',(#22962,#22963,#22964,#22965)); -#22967=FACE_OUTER_BOUND('',#22966,.F.); -#22969=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,0.E0)); -#22970=DIRECTION('',(0.E0,1.E0,0.E0)); -#22971=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22972=AXIS2_PLACEMENT_3D('',#22969,#22970,#22971); -#22973=PLANE('',#22972); -#22974=ORIENTED_EDGE('',*,*,#12587,.T.); -#22975=ORIENTED_EDGE('',*,*,#22756,.F.); -#22977=ORIENTED_EDGE('',*,*,#22976,.F.); -#22978=ORIENTED_EDGE('',*,*,#22792,.T.); -#22979=EDGE_LOOP('',(#22974,#22975,#22977,#22978)); -#22980=FACE_OUTER_BOUND('',#22979,.F.); -#22982=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#22983=DIRECTION('',(0.E0,0.E0,1.E0)); -#22984=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22985=AXIS2_PLACEMENT_3D('',#22982,#22983,#22984); -#22986=PLANE('',#22985); -#22987=ORIENTED_EDGE('',*,*,#22794,.F.); -#22988=ORIENTED_EDGE('',*,*,#22976,.T.); -#22989=ORIENTED_EDGE('',*,*,#22754,.T.); -#22990=ORIENTED_EDGE('',*,*,#22769,.T.); -#22991=EDGE_LOOP('',(#22987,#22988,#22989,#22990)); -#22992=FACE_OUTER_BOUND('',#22991,.F.); -#22994=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,0.E0)); -#22995=DIRECTION('',(0.E0,1.E0,0.E0)); -#22996=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22997=AXIS2_PLACEMENT_3D('',#22994,#22995,#22996); -#22998=PLANE('',#22997); -#22999=ORIENTED_EDGE('',*,*,#12619,.T.); -#23001=ORIENTED_EDGE('',*,*,#23000,.F.); -#23003=ORIENTED_EDGE('',*,*,#23002,.F.); -#23005=ORIENTED_EDGE('',*,*,#23004,.T.); -#23006=EDGE_LOOP('',(#22999,#23001,#23003,#23005)); -#23007=FACE_OUTER_BOUND('',#23006,.F.); -#23009=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,0.E0)); -#23010=DIRECTION('',(1.E0,0.E0,0.E0)); -#23011=DIRECTION('',(0.E0,1.E0,0.E0)); -#23012=AXIS2_PLACEMENT_3D('',#23009,#23010,#23011); -#23013=PLANE('',#23012); -#23014=ORIENTED_EDGE('',*,*,#12649,.T.); -#23016=ORIENTED_EDGE('',*,*,#23015,.F.); -#23018=ORIENTED_EDGE('',*,*,#23017,.F.); -#23019=ORIENTED_EDGE('',*,*,#23000,.T.); -#23020=EDGE_LOOP('',(#23014,#23016,#23018,#23019)); -#23021=FACE_OUTER_BOUND('',#23020,.F.); -#23023=CARTESIAN_POINT('',(-2.093577055660E0,2.1727219E1,0.E0)); -#23024=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23025=DIRECTION('',(1.E0,0.E0,0.E0)); -#23026=AXIS2_PLACEMENT_3D('',#23023,#23024,#23025); -#23027=PLANE('',#23026); -#23028=ORIENTED_EDGE('',*,*,#12647,.T.); -#23030=ORIENTED_EDGE('',*,*,#23029,.F.); -#23032=ORIENTED_EDGE('',*,*,#23031,.F.); -#23033=ORIENTED_EDGE('',*,*,#23015,.T.); -#23034=EDGE_LOOP('',(#23028,#23030,#23032,#23033)); -#23035=FACE_OUTER_BOUND('',#23034,.F.); -#23037=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,0.E0)); -#23038=DIRECTION('',(1.E0,0.E0,0.E0)); -#23039=DIRECTION('',(0.E0,1.E0,0.E0)); -#23040=AXIS2_PLACEMENT_3D('',#23037,#23038,#23039); -#23041=PLANE('',#23040); -#23043=ORIENTED_EDGE('',*,*,#23042,.T.); -#23045=ORIENTED_EDGE('',*,*,#23044,.T.); -#23046=ORIENTED_EDGE('',*,*,#12637,.T.); -#23048=ORIENTED_EDGE('',*,*,#23047,.F.); -#23050=ORIENTED_EDGE('',*,*,#23049,.F.); -#23052=ORIENTED_EDGE('',*,*,#23051,.T.); -#23053=ORIENTED_EDGE('',*,*,#12621,.T.); -#23054=ORIENTED_EDGE('',*,*,#23004,.F.); -#23056=ORIENTED_EDGE('',*,*,#23055,.T.); -#23057=ORIENTED_EDGE('',*,*,#23029,.T.); -#23058=ORIENTED_EDGE('',*,*,#12645,.T.); -#23060=ORIENTED_EDGE('',*,*,#23059,.F.); -#23061=EDGE_LOOP('',(#23043,#23045,#23046,#23048,#23050,#23052,#23053,#23054, -#23056,#23057,#23058,#23060)); -#23062=FACE_OUTER_BOUND('',#23061,.F.); -#23064=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23065=DIRECTION('',(0.E0,0.E0,1.E0)); -#23066=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23067=AXIS2_PLACEMENT_3D('',#23064,#23065,#23066); -#23068=PLANE('',#23067); -#23069=ORIENTED_EDGE('',*,*,#23042,.F.); -#23071=ORIENTED_EDGE('',*,*,#23070,.T.); -#23073=ORIENTED_EDGE('',*,*,#23072,.T.); -#23075=ORIENTED_EDGE('',*,*,#23074,.T.); -#23076=EDGE_LOOP('',(#23069,#23071,#23073,#23075)); -#23077=FACE_OUTER_BOUND('',#23076,.F.); -#23079=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,0.E0)); -#23080=DIRECTION('',(0.E0,1.E0,0.E0)); -#23081=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23082=AXIS2_PLACEMENT_3D('',#23079,#23080,#23081); -#23083=PLANE('',#23082); -#23084=ORIENTED_EDGE('',*,*,#12643,.T.); -#23086=ORIENTED_EDGE('',*,*,#23085,.F.); -#23087=ORIENTED_EDGE('',*,*,#23070,.F.); -#23088=ORIENTED_EDGE('',*,*,#23059,.T.); -#23089=EDGE_LOOP('',(#23084,#23086,#23087,#23088)); -#23090=FACE_OUTER_BOUND('',#23089,.F.); -#23092=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,0.E0)); -#23093=DIRECTION('',(1.E0,0.E0,0.E0)); -#23094=DIRECTION('',(0.E0,1.E0,0.E0)); -#23095=AXIS2_PLACEMENT_3D('',#23092,#23093,#23094); -#23096=PLANE('',#23095); -#23097=ORIENTED_EDGE('',*,*,#12641,.T.); -#23099=ORIENTED_EDGE('',*,*,#23098,.F.); -#23100=ORIENTED_EDGE('',*,*,#23072,.F.); -#23101=ORIENTED_EDGE('',*,*,#23085,.T.); -#23102=EDGE_LOOP('',(#23097,#23099,#23100,#23101)); -#23103=FACE_OUTER_BOUND('',#23102,.F.); -#23105=CARTESIAN_POINT('',(-2.093577055660E0,1.9827197E1,0.E0)); -#23106=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23107=DIRECTION('',(1.E0,0.E0,0.E0)); -#23108=AXIS2_PLACEMENT_3D('',#23105,#23106,#23107); -#23109=PLANE('',#23108); -#23110=ORIENTED_EDGE('',*,*,#12639,.T.); -#23111=ORIENTED_EDGE('',*,*,#23044,.F.); -#23112=ORIENTED_EDGE('',*,*,#23074,.F.); -#23113=ORIENTED_EDGE('',*,*,#23098,.T.); -#23114=EDGE_LOOP('',(#23110,#23111,#23112,#23113)); -#23115=FACE_OUTER_BOUND('',#23114,.F.); -#23117=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,0.E0)); -#23118=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23119=DIRECTION('',(1.E0,0.E0,0.E0)); -#23120=AXIS2_PLACEMENT_3D('',#23117,#23118,#23119); -#23121=PLANE('',#23120); -#23122=ORIENTED_EDGE('',*,*,#12635,.T.); -#23124=ORIENTED_EDGE('',*,*,#23123,.F.); -#23126=ORIENTED_EDGE('',*,*,#23125,.F.); -#23127=ORIENTED_EDGE('',*,*,#23047,.T.); -#23128=EDGE_LOOP('',(#23122,#23124,#23126,#23127)); -#23129=FACE_OUTER_BOUND('',#23128,.F.); -#23131=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,0.E0)); -#23132=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23133=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23134=AXIS2_PLACEMENT_3D('',#23131,#23132,#23133); -#23135=PLANE('',#23134); -#23137=ORIENTED_EDGE('',*,*,#23136,.F.); -#23139=ORIENTED_EDGE('',*,*,#23138,.T.); -#23140=ORIENTED_EDGE('',*,*,#12625,.T.); -#23142=ORIENTED_EDGE('',*,*,#23141,.F.); -#23144=ORIENTED_EDGE('',*,*,#23143,.F.); -#23145=ORIENTED_EDGE('',*,*,#23123,.T.); -#23146=ORIENTED_EDGE('',*,*,#12633,.T.); -#23148=ORIENTED_EDGE('',*,*,#23147,.F.); -#23149=EDGE_LOOP('',(#23137,#23139,#23140,#23142,#23144,#23145,#23146,#23148)); -#23150=FACE_OUTER_BOUND('',#23149,.F.); -#23152=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23153=DIRECTION('',(0.E0,0.E0,1.E0)); -#23154=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23155=AXIS2_PLACEMENT_3D('',#23152,#23153,#23154); -#23156=PLANE('',#23155); -#23157=ORIENTED_EDGE('',*,*,#23136,.T.); -#23159=ORIENTED_EDGE('',*,*,#23158,.T.); -#23161=ORIENTED_EDGE('',*,*,#23160,.T.); -#23163=ORIENTED_EDGE('',*,*,#23162,.T.); -#23164=EDGE_LOOP('',(#23157,#23159,#23161,#23163)); -#23165=FACE_OUTER_BOUND('',#23164,.F.); -#23167=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,0.E0)); -#23168=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23169=DIRECTION('',(1.E0,0.E0,0.E0)); -#23170=AXIS2_PLACEMENT_3D('',#23167,#23168,#23169); -#23171=PLANE('',#23170); -#23172=ORIENTED_EDGE('',*,*,#12631,.T.); -#23174=ORIENTED_EDGE('',*,*,#23173,.F.); -#23175=ORIENTED_EDGE('',*,*,#23158,.F.); -#23176=ORIENTED_EDGE('',*,*,#23147,.T.); -#23177=EDGE_LOOP('',(#23172,#23174,#23175,#23176)); -#23178=FACE_OUTER_BOUND('',#23177,.F.); -#23180=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,0.E0)); -#23181=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23182=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23183=AXIS2_PLACEMENT_3D('',#23180,#23181,#23182); -#23184=PLANE('',#23183); -#23185=ORIENTED_EDGE('',*,*,#12629,.T.); -#23187=ORIENTED_EDGE('',*,*,#23186,.F.); -#23188=ORIENTED_EDGE('',*,*,#23160,.F.); -#23189=ORIENTED_EDGE('',*,*,#23173,.T.); -#23190=EDGE_LOOP('',(#23185,#23187,#23188,#23189)); -#23191=FACE_OUTER_BOUND('',#23190,.F.); -#23193=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,0.E0)); -#23194=DIRECTION('',(0.E0,1.E0,0.E0)); -#23195=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23196=AXIS2_PLACEMENT_3D('',#23193,#23194,#23195); -#23197=PLANE('',#23196); -#23198=ORIENTED_EDGE('',*,*,#12627,.T.); -#23199=ORIENTED_EDGE('',*,*,#23138,.F.); -#23200=ORIENTED_EDGE('',*,*,#23162,.F.); -#23201=ORIENTED_EDGE('',*,*,#23186,.T.); -#23202=EDGE_LOOP('',(#23198,#23199,#23200,#23201)); -#23203=FACE_OUTER_BOUND('',#23202,.F.); -#23205=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,0.E0)); -#23206=DIRECTION('',(0.E0,1.E0,0.E0)); -#23207=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23208=AXIS2_PLACEMENT_3D('',#23205,#23206,#23207); -#23209=PLANE('',#23208); -#23210=ORIENTED_EDGE('',*,*,#12623,.T.); -#23211=ORIENTED_EDGE('',*,*,#23051,.F.); -#23213=ORIENTED_EDGE('',*,*,#23212,.F.); -#23214=ORIENTED_EDGE('',*,*,#23141,.T.); -#23215=EDGE_LOOP('',(#23210,#23211,#23213,#23214)); -#23216=FACE_OUTER_BOUND('',#23215,.F.); -#23218=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#23219=DIRECTION('',(0.E0,0.E0,1.E0)); -#23220=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23221=AXIS2_PLACEMENT_3D('',#23218,#23219,#23220); -#23222=PLANE('',#23221); -#23223=ORIENTED_EDGE('',*,*,#23212,.T.); -#23224=ORIENTED_EDGE('',*,*,#23049,.T.); -#23225=ORIENTED_EDGE('',*,*,#23125,.T.); -#23226=ORIENTED_EDGE('',*,*,#23143,.T.); -#23227=EDGE_LOOP('',(#23223,#23224,#23225,#23226)); -#23228=FACE_OUTER_BOUND('',#23227,.F.); -#23230=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23231=DIRECTION('',(0.E0,0.E0,1.E0)); -#23232=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23233=AXIS2_PLACEMENT_3D('',#23230,#23231,#23232); -#23234=PLANE('',#23233); -#23235=ORIENTED_EDGE('',*,*,#23055,.F.); -#23236=ORIENTED_EDGE('',*,*,#23002,.T.); -#23237=ORIENTED_EDGE('',*,*,#23017,.T.); -#23238=ORIENTED_EDGE('',*,*,#23031,.T.); -#23239=EDGE_LOOP('',(#23235,#23236,#23237,#23238)); -#23240=FACE_OUTER_BOUND('',#23239,.F.); -#23242=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,0.E0)); -#23243=DIRECTION('',(0.E0,1.E0,0.E0)); -#23244=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23245=AXIS2_PLACEMENT_3D('',#23242,#23243,#23244); -#23246=PLANE('',#23245); -#23247=ORIENTED_EDGE('',*,*,#12653,.T.); -#23249=ORIENTED_EDGE('',*,*,#23248,.F.); -#23251=ORIENTED_EDGE('',*,*,#23250,.F.); -#23253=ORIENTED_EDGE('',*,*,#23252,.T.); -#23254=EDGE_LOOP('',(#23247,#23249,#23251,#23253)); -#23255=FACE_OUTER_BOUND('',#23254,.F.); -#23257=CARTESIAN_POINT('',(-3.820777055660E0,1.8243408E1,0.E0)); -#23258=DIRECTION('',(1.E0,0.E0,0.E0)); -#23259=DIRECTION('',(0.E0,1.E0,0.E0)); -#23260=AXIS2_PLACEMENT_3D('',#23257,#23258,#23259); -#23261=PLANE('',#23260); -#23262=ORIENTED_EDGE('',*,*,#12683,.T.); -#23264=ORIENTED_EDGE('',*,*,#23263,.F.); -#23266=ORIENTED_EDGE('',*,*,#23265,.F.); -#23267=ORIENTED_EDGE('',*,*,#23248,.T.); -#23268=EDGE_LOOP('',(#23262,#23264,#23266,#23267)); -#23269=FACE_OUTER_BOUND('',#23268,.F.); -#23271=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,0.E0)); -#23272=DIRECTION('',(0.E0,1.E0,0.E0)); -#23273=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23274=AXIS2_PLACEMENT_3D('',#23271,#23272,#23273); -#23275=PLANE('',#23274); -#23277=ORIENTED_EDGE('',*,*,#23276,.T.); -#23278=ORIENTED_EDGE('',*,*,#23263,.T.); -#23279=ORIENTED_EDGE('',*,*,#12681,.T.); -#23281=ORIENTED_EDGE('',*,*,#23280,.F.); -#23283=ORIENTED_EDGE('',*,*,#23282,.F.); -#23285=ORIENTED_EDGE('',*,*,#23284,.T.); -#23286=ORIENTED_EDGE('',*,*,#12657,.T.); -#23288=ORIENTED_EDGE('',*,*,#23287,.F.); -#23289=EDGE_LOOP('',(#23277,#23278,#23279,#23281,#23283,#23285,#23286,#23288)); -#23290=FACE_OUTER_BOUND('',#23289,.F.); -#23292=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23293=DIRECTION('',(0.E0,0.E0,1.E0)); -#23294=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23295=AXIS2_PLACEMENT_3D('',#23292,#23293,#23294); -#23296=PLANE('',#23295); -#23297=ORIENTED_EDGE('',*,*,#23276,.F.); -#23299=ORIENTED_EDGE('',*,*,#23298,.T.); -#23300=ORIENTED_EDGE('',*,*,#23250,.T.); -#23301=ORIENTED_EDGE('',*,*,#23265,.T.); -#23302=EDGE_LOOP('',(#23297,#23299,#23300,#23301)); -#23303=FACE_OUTER_BOUND('',#23302,.F.); -#23305=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,0.E0)); -#23306=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23307=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23308=AXIS2_PLACEMENT_3D('',#23305,#23306,#23307); -#23309=PLANE('',#23308); -#23310=ORIENTED_EDGE('',*,*,#12655,.T.); -#23311=ORIENTED_EDGE('',*,*,#23252,.F.); -#23312=ORIENTED_EDGE('',*,*,#23298,.F.); -#23313=ORIENTED_EDGE('',*,*,#23287,.T.); -#23314=EDGE_LOOP('',(#23310,#23311,#23312,#23313)); -#23315=FACE_OUTER_BOUND('',#23314,.F.); -#23317=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,0.E0)); -#23318=DIRECTION('',(1.E0,0.E0,0.E0)); -#23319=DIRECTION('',(0.E0,1.E0,0.E0)); -#23320=AXIS2_PLACEMENT_3D('',#23317,#23318,#23319); -#23321=PLANE('',#23320); -#23322=ORIENTED_EDGE('',*,*,#12679,.T.); -#23324=ORIENTED_EDGE('',*,*,#23323,.F.); -#23326=ORIENTED_EDGE('',*,*,#23325,.F.); -#23327=ORIENTED_EDGE('',*,*,#23280,.T.); -#23328=EDGE_LOOP('',(#23322,#23324,#23326,#23327)); -#23329=FACE_OUTER_BOUND('',#23328,.F.); -#23331=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,0.E0)); -#23332=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23333=DIRECTION('',(1.E0,0.E0,0.E0)); -#23334=AXIS2_PLACEMENT_3D('',#23331,#23332,#23333); -#23335=PLANE('',#23334); -#23337=ORIENTED_EDGE('',*,*,#23336,.F.); -#23339=ORIENTED_EDGE('',*,*,#23338,.T.); -#23340=ORIENTED_EDGE('',*,*,#12669,.T.); -#23342=ORIENTED_EDGE('',*,*,#23341,.F.); -#23344=ORIENTED_EDGE('',*,*,#23343,.F.); -#23346=ORIENTED_EDGE('',*,*,#23345,.T.); -#23347=ORIENTED_EDGE('',*,*,#12661,.T.); -#23349=ORIENTED_EDGE('',*,*,#23348,.F.); -#23351=ORIENTED_EDGE('',*,*,#23350,.F.); -#23352=ORIENTED_EDGE('',*,*,#23323,.T.); -#23353=ORIENTED_EDGE('',*,*,#12677,.T.); -#23355=ORIENTED_EDGE('',*,*,#23354,.F.); -#23356=EDGE_LOOP('',(#23337,#23339,#23340,#23342,#23344,#23346,#23347,#23349, -#23351,#23352,#23353,#23355)); -#23357=FACE_OUTER_BOUND('',#23356,.F.); -#23359=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23360=DIRECTION('',(0.E0,0.E0,1.E0)); -#23361=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23362=AXIS2_PLACEMENT_3D('',#23359,#23360,#23361); -#23363=PLANE('',#23362); -#23364=ORIENTED_EDGE('',*,*,#23336,.T.); -#23366=ORIENTED_EDGE('',*,*,#23365,.T.); -#23368=ORIENTED_EDGE('',*,*,#23367,.T.); -#23370=ORIENTED_EDGE('',*,*,#23369,.T.); -#23371=EDGE_LOOP('',(#23364,#23366,#23368,#23370)); -#23372=FACE_OUTER_BOUND('',#23371,.F.); -#23374=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,0.E0)); -#23375=DIRECTION('',(1.E0,0.E0,0.E0)); -#23376=DIRECTION('',(0.E0,1.E0,0.E0)); -#23377=AXIS2_PLACEMENT_3D('',#23374,#23375,#23376); -#23378=PLANE('',#23377); -#23379=ORIENTED_EDGE('',*,*,#12675,.T.); -#23381=ORIENTED_EDGE('',*,*,#23380,.F.); -#23382=ORIENTED_EDGE('',*,*,#23365,.F.); -#23383=ORIENTED_EDGE('',*,*,#23354,.T.); -#23384=EDGE_LOOP('',(#23379,#23381,#23382,#23383)); -#23385=FACE_OUTER_BOUND('',#23384,.F.); -#23387=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,0.E0)); -#23388=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23389=DIRECTION('',(1.E0,0.E0,0.E0)); -#23390=AXIS2_PLACEMENT_3D('',#23387,#23388,#23389); -#23391=PLANE('',#23390); -#23392=ORIENTED_EDGE('',*,*,#12673,.T.); -#23394=ORIENTED_EDGE('',*,*,#23393,.F.); -#23395=ORIENTED_EDGE('',*,*,#23367,.F.); -#23396=ORIENTED_EDGE('',*,*,#23380,.T.); -#23397=EDGE_LOOP('',(#23392,#23394,#23395,#23396)); -#23398=FACE_OUTER_BOUND('',#23397,.F.); -#23400=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,0.E0)); -#23401=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23402=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23403=AXIS2_PLACEMENT_3D('',#23400,#23401,#23402); -#23404=PLANE('',#23403); -#23405=ORIENTED_EDGE('',*,*,#12671,.T.); -#23406=ORIENTED_EDGE('',*,*,#23338,.F.); -#23407=ORIENTED_EDGE('',*,*,#23369,.F.); -#23408=ORIENTED_EDGE('',*,*,#23393,.T.); -#23409=EDGE_LOOP('',(#23405,#23406,#23407,#23408)); -#23410=FACE_OUTER_BOUND('',#23409,.F.); -#23412=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,0.E0)); -#23413=DIRECTION('',(1.E0,0.E0,0.E0)); -#23414=DIRECTION('',(0.E0,1.E0,0.E0)); -#23415=AXIS2_PLACEMENT_3D('',#23412,#23413,#23414); -#23416=PLANE('',#23415); -#23417=ORIENTED_EDGE('',*,*,#12667,.T.); -#23419=ORIENTED_EDGE('',*,*,#23418,.F.); -#23421=ORIENTED_EDGE('',*,*,#23420,.F.); -#23422=ORIENTED_EDGE('',*,*,#23341,.T.); -#23423=EDGE_LOOP('',(#23417,#23419,#23421,#23422)); -#23424=FACE_OUTER_BOUND('',#23423,.F.); -#23426=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,0.E0)); -#23427=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23428=DIRECTION('',(1.E0,0.E0,0.E0)); -#23429=AXIS2_PLACEMENT_3D('',#23426,#23427,#23428); -#23430=PLANE('',#23429); -#23431=ORIENTED_EDGE('',*,*,#12665,.T.); -#23433=ORIENTED_EDGE('',*,*,#23432,.F.); -#23435=ORIENTED_EDGE('',*,*,#23434,.F.); -#23436=ORIENTED_EDGE('',*,*,#23418,.T.); -#23437=EDGE_LOOP('',(#23431,#23433,#23435,#23436)); -#23438=FACE_OUTER_BOUND('',#23437,.F.); -#23440=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,0.E0)); -#23441=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23442=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23443=AXIS2_PLACEMENT_3D('',#23440,#23441,#23442); -#23444=PLANE('',#23443); -#23445=ORIENTED_EDGE('',*,*,#12663,.T.); -#23446=ORIENTED_EDGE('',*,*,#23345,.F.); -#23448=ORIENTED_EDGE('',*,*,#23447,.F.); -#23449=ORIENTED_EDGE('',*,*,#23432,.T.); -#23450=EDGE_LOOP('',(#23445,#23446,#23448,#23449)); -#23451=FACE_OUTER_BOUND('',#23450,.F.); -#23453=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23454=DIRECTION('',(0.E0,0.E0,1.E0)); -#23455=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23456=AXIS2_PLACEMENT_3D('',#23453,#23454,#23455); -#23457=PLANE('',#23456); -#23458=ORIENTED_EDGE('',*,*,#23343,.T.); -#23459=ORIENTED_EDGE('',*,*,#23420,.T.); -#23460=ORIENTED_EDGE('',*,*,#23434,.T.); -#23461=ORIENTED_EDGE('',*,*,#23447,.T.); -#23462=EDGE_LOOP('',(#23458,#23459,#23460,#23461)); -#23463=FACE_OUTER_BOUND('',#23462,.F.); -#23465=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,0.E0)); -#23466=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23467=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23468=AXIS2_PLACEMENT_3D('',#23465,#23466,#23467); -#23469=PLANE('',#23468); -#23470=ORIENTED_EDGE('',*,*,#12659,.T.); -#23471=ORIENTED_EDGE('',*,*,#23284,.F.); -#23473=ORIENTED_EDGE('',*,*,#23472,.F.); -#23474=ORIENTED_EDGE('',*,*,#23348,.T.); -#23475=EDGE_LOOP('',(#23470,#23471,#23473,#23474)); -#23476=FACE_OUTER_BOUND('',#23475,.F.); -#23478=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#23479=DIRECTION('',(0.E0,0.E0,1.E0)); -#23480=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23481=AXIS2_PLACEMENT_3D('',#23478,#23479,#23480); -#23482=PLANE('',#23481); -#23483=ORIENTED_EDGE('',*,*,#23325,.T.); -#23484=ORIENTED_EDGE('',*,*,#23350,.T.); -#23485=ORIENTED_EDGE('',*,*,#23472,.T.); -#23486=ORIENTED_EDGE('',*,*,#23282,.T.); -#23487=EDGE_LOOP('',(#23483,#23484,#23485,#23486)); -#23488=FACE_OUTER_BOUND('',#23487,.F.); -#23490=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,0.E0)); -#23491=DIRECTION('',(0.E0,1.E0,0.E0)); -#23492=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23493=AXIS2_PLACEMENT_3D('',#23490,#23491,#23492); -#23494=PLANE('',#23493); -#23495=ORIENTED_EDGE('',*,*,#12687,.T.); -#23497=ORIENTED_EDGE('',*,*,#23496,.F.); -#23499=ORIENTED_EDGE('',*,*,#23498,.F.); -#23501=ORIENTED_EDGE('',*,*,#23500,.T.); -#23502=EDGE_LOOP('',(#23495,#23497,#23499,#23501)); -#23503=FACE_OUTER_BOUND('',#23502,.F.); -#23505=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,0.E0)); -#23506=DIRECTION('',(1.E0,0.E0,0.E0)); -#23507=DIRECTION('',(0.E0,1.E0,0.E0)); -#23508=AXIS2_PLACEMENT_3D('',#23505,#23506,#23507); -#23509=PLANE('',#23508); -#23510=ORIENTED_EDGE('',*,*,#12717,.T.); -#23512=ORIENTED_EDGE('',*,*,#23511,.F.); -#23514=ORIENTED_EDGE('',*,*,#23513,.F.); -#23515=ORIENTED_EDGE('',*,*,#23496,.T.); -#23516=EDGE_LOOP('',(#23510,#23512,#23514,#23515)); -#23517=FACE_OUTER_BOUND('',#23516,.F.); -#23519=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,0.E0)); -#23520=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23521=DIRECTION('',(1.E0,0.E0,0.E0)); -#23522=AXIS2_PLACEMENT_3D('',#23519,#23520,#23521); -#23523=PLANE('',#23522); -#23524=ORIENTED_EDGE('',*,*,#12715,.T.); -#23526=ORIENTED_EDGE('',*,*,#23525,.F.); -#23528=ORIENTED_EDGE('',*,*,#23527,.F.); -#23529=ORIENTED_EDGE('',*,*,#23511,.T.); -#23530=EDGE_LOOP('',(#23524,#23526,#23528,#23529)); -#23531=FACE_OUTER_BOUND('',#23530,.F.); -#23533=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,0.E0)); -#23534=DIRECTION('',(1.E0,0.E0,0.E0)); -#23535=DIRECTION('',(0.E0,1.E0,0.E0)); -#23536=AXIS2_PLACEMENT_3D('',#23533,#23534,#23535); -#23537=PLANE('',#23536); -#23539=ORIENTED_EDGE('',*,*,#23538,.F.); -#23540=ORIENTED_EDGE('',*,*,#23525,.T.); -#23541=ORIENTED_EDGE('',*,*,#12713,.T.); -#23543=ORIENTED_EDGE('',*,*,#23542,.F.); -#23545=ORIENTED_EDGE('',*,*,#23544,.F.); -#23547=ORIENTED_EDGE('',*,*,#23546,.T.); -#23548=ORIENTED_EDGE('',*,*,#12689,.T.); -#23549=ORIENTED_EDGE('',*,*,#23500,.F.); -#23550=EDGE_LOOP('',(#23539,#23540,#23541,#23543,#23545,#23547,#23548,#23549)); -#23551=FACE_OUTER_BOUND('',#23550,.F.); -#23553=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23554=DIRECTION('',(0.E0,0.E0,1.E0)); -#23555=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23556=AXIS2_PLACEMENT_3D('',#23553,#23554,#23555); -#23557=PLANE('',#23556); -#23558=ORIENTED_EDGE('',*,*,#23538,.T.); -#23559=ORIENTED_EDGE('',*,*,#23498,.T.); -#23560=ORIENTED_EDGE('',*,*,#23513,.T.); -#23561=ORIENTED_EDGE('',*,*,#23527,.T.); -#23562=EDGE_LOOP('',(#23558,#23559,#23560,#23561)); -#23563=FACE_OUTER_BOUND('',#23562,.F.); -#23565=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,0.E0)); -#23566=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23567=DIRECTION('',(1.E0,0.E0,0.E0)); -#23568=AXIS2_PLACEMENT_3D('',#23565,#23566,#23567); -#23569=PLANE('',#23568); -#23570=ORIENTED_EDGE('',*,*,#12711,.T.); -#23572=ORIENTED_EDGE('',*,*,#23571,.F.); -#23574=ORIENTED_EDGE('',*,*,#23573,.F.); -#23575=ORIENTED_EDGE('',*,*,#23542,.T.); -#23576=EDGE_LOOP('',(#23570,#23572,#23574,#23575)); -#23577=FACE_OUTER_BOUND('',#23576,.F.); -#23579=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,0.E0)); -#23580=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23581=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23582=AXIS2_PLACEMENT_3D('',#23579,#23580,#23581); -#23583=PLANE('',#23582); -#23585=ORIENTED_EDGE('',*,*,#23584,.T.); -#23587=ORIENTED_EDGE('',*,*,#23586,.T.); -#23588=ORIENTED_EDGE('',*,*,#12693,.T.); -#23590=ORIENTED_EDGE('',*,*,#23589,.F.); -#23592=ORIENTED_EDGE('',*,*,#23591,.F.); -#23593=ORIENTED_EDGE('',*,*,#23571,.T.); -#23594=ORIENTED_EDGE('',*,*,#12709,.T.); -#23596=ORIENTED_EDGE('',*,*,#23595,.F.); -#23598=ORIENTED_EDGE('',*,*,#23597,.T.); -#23600=ORIENTED_EDGE('',*,*,#23599,.T.); -#23601=ORIENTED_EDGE('',*,*,#12701,.T.); -#23603=ORIENTED_EDGE('',*,*,#23602,.F.); -#23604=EDGE_LOOP('',(#23585,#23587,#23588,#23590,#23592,#23593,#23594,#23596, -#23598,#23600,#23601,#23603)); -#23605=FACE_OUTER_BOUND('',#23604,.F.); -#23607=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23608=DIRECTION('',(0.E0,0.E0,1.E0)); -#23609=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23610=AXIS2_PLACEMENT_3D('',#23607,#23608,#23609); -#23611=PLANE('',#23610); -#23612=ORIENTED_EDGE('',*,*,#23584,.F.); -#23614=ORIENTED_EDGE('',*,*,#23613,.T.); -#23616=ORIENTED_EDGE('',*,*,#23615,.T.); -#23618=ORIENTED_EDGE('',*,*,#23617,.T.); -#23619=EDGE_LOOP('',(#23612,#23614,#23616,#23618)); -#23620=FACE_OUTER_BOUND('',#23619,.F.); -#23622=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,0.E0)); -#23623=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23624=DIRECTION('',(1.E0,0.E0,0.E0)); -#23625=AXIS2_PLACEMENT_3D('',#23622,#23623,#23624); -#23626=PLANE('',#23625); -#23627=ORIENTED_EDGE('',*,*,#12699,.T.); -#23629=ORIENTED_EDGE('',*,*,#23628,.F.); -#23630=ORIENTED_EDGE('',*,*,#23613,.F.); -#23631=ORIENTED_EDGE('',*,*,#23602,.T.); -#23632=EDGE_LOOP('',(#23627,#23629,#23630,#23631)); -#23633=FACE_OUTER_BOUND('',#23632,.F.); -#23635=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,0.E0)); -#23636=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23637=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23638=AXIS2_PLACEMENT_3D('',#23635,#23636,#23637); -#23639=PLANE('',#23638); -#23640=ORIENTED_EDGE('',*,*,#12697,.T.); -#23642=ORIENTED_EDGE('',*,*,#23641,.F.); -#23643=ORIENTED_EDGE('',*,*,#23615,.F.); -#23644=ORIENTED_EDGE('',*,*,#23628,.T.); -#23645=EDGE_LOOP('',(#23640,#23642,#23643,#23644)); -#23646=FACE_OUTER_BOUND('',#23645,.F.); -#23648=CARTESIAN_POINT('',(-3.084177055660E0,1.5250219E1,0.E0)); -#23649=DIRECTION('',(0.E0,1.E0,0.E0)); -#23650=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23651=AXIS2_PLACEMENT_3D('',#23648,#23649,#23650); -#23652=PLANE('',#23651); -#23653=ORIENTED_EDGE('',*,*,#12695,.T.); -#23654=ORIENTED_EDGE('',*,*,#23586,.F.); -#23655=ORIENTED_EDGE('',*,*,#23617,.F.); -#23656=ORIENTED_EDGE('',*,*,#23641,.T.); -#23657=EDGE_LOOP('',(#23653,#23654,#23655,#23656)); -#23658=FACE_OUTER_BOUND('',#23657,.F.); -#23660=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,0.E0)); -#23661=DIRECTION('',(0.E0,1.E0,0.E0)); -#23662=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23663=AXIS2_PLACEMENT_3D('',#23660,#23661,#23662); -#23664=PLANE('',#23663); -#23665=ORIENTED_EDGE('',*,*,#12691,.T.); -#23666=ORIENTED_EDGE('',*,*,#23546,.F.); -#23668=ORIENTED_EDGE('',*,*,#23667,.F.); -#23669=ORIENTED_EDGE('',*,*,#23589,.T.); -#23670=EDGE_LOOP('',(#23665,#23666,#23668,#23669)); -#23671=FACE_OUTER_BOUND('',#23670,.F.); -#23673=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#23674=DIRECTION('',(0.E0,0.E0,1.E0)); -#23675=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23676=AXIS2_PLACEMENT_3D('',#23673,#23674,#23675); -#23677=PLANE('',#23676); -#23678=ORIENTED_EDGE('',*,*,#23591,.T.); -#23679=ORIENTED_EDGE('',*,*,#23667,.T.); -#23680=ORIENTED_EDGE('',*,*,#23544,.T.); -#23681=ORIENTED_EDGE('',*,*,#23573,.T.); -#23682=EDGE_LOOP('',(#23678,#23679,#23680,#23681)); -#23683=FACE_OUTER_BOUND('',#23682,.F.); -#23685=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,0.E0)); -#23686=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23687=DIRECTION('',(1.E0,0.E0,0.E0)); -#23688=AXIS2_PLACEMENT_3D('',#23685,#23686,#23687); -#23689=PLANE('',#23688); -#23690=ORIENTED_EDGE('',*,*,#12707,.T.); -#23692=ORIENTED_EDGE('',*,*,#23691,.F.); -#23694=ORIENTED_EDGE('',*,*,#23693,.F.); -#23695=ORIENTED_EDGE('',*,*,#23595,.T.); -#23696=EDGE_LOOP('',(#23690,#23692,#23694,#23695)); -#23697=FACE_OUTER_BOUND('',#23696,.F.); -#23699=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,0.E0)); -#23700=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23701=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23702=AXIS2_PLACEMENT_3D('',#23699,#23700,#23701); -#23703=PLANE('',#23702); -#23704=ORIENTED_EDGE('',*,*,#12705,.T.); -#23706=ORIENTED_EDGE('',*,*,#23705,.F.); -#23708=ORIENTED_EDGE('',*,*,#23707,.F.); -#23709=ORIENTED_EDGE('',*,*,#23691,.T.); -#23710=EDGE_LOOP('',(#23704,#23706,#23708,#23709)); -#23711=FACE_OUTER_BOUND('',#23710,.F.); -#23713=CARTESIAN_POINT('',(-3.084177055660E0,1.3350197E1,0.E0)); -#23714=DIRECTION('',(0.E0,1.E0,0.E0)); -#23715=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23716=AXIS2_PLACEMENT_3D('',#23713,#23714,#23715); -#23717=PLANE('',#23716); -#23718=ORIENTED_EDGE('',*,*,#12703,.T.); -#23719=ORIENTED_EDGE('',*,*,#23599,.F.); -#23721=ORIENTED_EDGE('',*,*,#23720,.F.); -#23722=ORIENTED_EDGE('',*,*,#23705,.T.); -#23723=EDGE_LOOP('',(#23718,#23719,#23721,#23722)); -#23724=FACE_OUTER_BOUND('',#23723,.F.); -#23726=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23727=DIRECTION('',(0.E0,0.E0,1.E0)); -#23728=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23729=AXIS2_PLACEMENT_3D('',#23726,#23727,#23728); -#23730=PLANE('',#23729); -#23731=ORIENTED_EDGE('',*,*,#23597,.F.); -#23732=ORIENTED_EDGE('',*,*,#23693,.T.); -#23733=ORIENTED_EDGE('',*,*,#23707,.T.); -#23734=ORIENTED_EDGE('',*,*,#23720,.T.); -#23735=EDGE_LOOP('',(#23731,#23732,#23733,#23734)); -#23736=FACE_OUTER_BOUND('',#23735,.F.); -#23738=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,0.E0)); -#23739=DIRECTION('',(0.E0,1.E0,0.E0)); -#23740=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23741=AXIS2_PLACEMENT_3D('',#23738,#23739,#23740); -#23742=PLANE('',#23741); -#23743=ORIENTED_EDGE('',*,*,#12721,.T.); -#23745=ORIENTED_EDGE('',*,*,#23744,.F.); -#23747=ORIENTED_EDGE('',*,*,#23746,.F.); -#23749=ORIENTED_EDGE('',*,*,#23748,.T.); -#23750=EDGE_LOOP('',(#23743,#23745,#23747,#23749)); -#23751=FACE_OUTER_BOUND('',#23750,.F.); -#23753=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,0.E0)); -#23754=DIRECTION('',(1.E0,0.E0,0.E0)); -#23755=DIRECTION('',(0.E0,1.E0,0.E0)); -#23756=AXIS2_PLACEMENT_3D('',#23753,#23754,#23755); -#23757=PLANE('',#23756); -#23758=ORIENTED_EDGE('',*,*,#12743,.T.); -#23760=ORIENTED_EDGE('',*,*,#23759,.F.); -#23762=ORIENTED_EDGE('',*,*,#23761,.F.); -#23763=ORIENTED_EDGE('',*,*,#23744,.T.); -#23764=EDGE_LOOP('',(#23758,#23760,#23762,#23763)); -#23765=FACE_OUTER_BOUND('',#23764,.F.); -#23767=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,0.E0)); -#23768=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23769=DIRECTION('',(1.E0,0.E0,0.E0)); -#23770=AXIS2_PLACEMENT_3D('',#23767,#23768,#23769); -#23771=PLANE('',#23770); -#23772=ORIENTED_EDGE('',*,*,#12741,.T.); -#23774=ORIENTED_EDGE('',*,*,#23773,.F.); -#23776=ORIENTED_EDGE('',*,*,#23775,.F.); -#23777=ORIENTED_EDGE('',*,*,#23759,.T.); -#23778=EDGE_LOOP('',(#23772,#23774,#23776,#23777)); -#23779=FACE_OUTER_BOUND('',#23778,.F.); -#23781=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,0.E0)); -#23782=DIRECTION('',(1.E0,0.E0,0.E0)); -#23783=DIRECTION('',(0.E0,1.E0,0.E0)); -#23784=AXIS2_PLACEMENT_3D('',#23781,#23782,#23783); -#23785=PLANE('',#23784); -#23787=ORIENTED_EDGE('',*,*,#23786,.F.); -#23788=ORIENTED_EDGE('',*,*,#23773,.T.); -#23789=ORIENTED_EDGE('',*,*,#12739,.T.); -#23791=ORIENTED_EDGE('',*,*,#23790,.F.); -#23793=ORIENTED_EDGE('',*,*,#23792,.F.); -#23795=ORIENTED_EDGE('',*,*,#23794,.T.); -#23796=ORIENTED_EDGE('',*,*,#12723,.T.); -#23797=ORIENTED_EDGE('',*,*,#23748,.F.); -#23798=EDGE_LOOP('',(#23787,#23788,#23789,#23791,#23793,#23795,#23796,#23797)); -#23799=FACE_OUTER_BOUND('',#23798,.F.); -#23801=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23802=DIRECTION('',(0.E0,0.E0,1.E0)); -#23803=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23804=AXIS2_PLACEMENT_3D('',#23801,#23802,#23803); -#23805=PLANE('',#23804); -#23806=ORIENTED_EDGE('',*,*,#23786,.T.); -#23807=ORIENTED_EDGE('',*,*,#23746,.T.); -#23808=ORIENTED_EDGE('',*,*,#23761,.T.); -#23809=ORIENTED_EDGE('',*,*,#23775,.T.); -#23810=EDGE_LOOP('',(#23806,#23807,#23808,#23809)); -#23811=FACE_OUTER_BOUND('',#23810,.F.); -#23813=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,0.E0)); -#23814=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23815=DIRECTION('',(1.E0,0.E0,0.E0)); -#23816=AXIS2_PLACEMENT_3D('',#23813,#23814,#23815); -#23817=PLANE('',#23816); -#23818=ORIENTED_EDGE('',*,*,#12737,.T.); -#23820=ORIENTED_EDGE('',*,*,#23819,.F.); -#23822=ORIENTED_EDGE('',*,*,#23821,.F.); -#23823=ORIENTED_EDGE('',*,*,#23790,.T.); -#23824=EDGE_LOOP('',(#23818,#23820,#23822,#23823)); -#23825=FACE_OUTER_BOUND('',#23824,.F.); -#23827=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,0.E0)); -#23828=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23829=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23830=AXIS2_PLACEMENT_3D('',#23827,#23828,#23829); -#23831=PLANE('',#23830); -#23833=ORIENTED_EDGE('',*,*,#23832,.T.); -#23835=ORIENTED_EDGE('',*,*,#23834,.T.); -#23836=ORIENTED_EDGE('',*,*,#12727,.T.); -#23838=ORIENTED_EDGE('',*,*,#23837,.F.); -#23840=ORIENTED_EDGE('',*,*,#23839,.F.); -#23841=ORIENTED_EDGE('',*,*,#23819,.T.); -#23842=ORIENTED_EDGE('',*,*,#12735,.T.); -#23844=ORIENTED_EDGE('',*,*,#23843,.F.); -#23845=EDGE_LOOP('',(#23833,#23835,#23836,#23838,#23840,#23841,#23842,#23844)); -#23846=FACE_OUTER_BOUND('',#23845,.F.); -#23848=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23849=DIRECTION('',(0.E0,0.E0,1.E0)); -#23850=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23851=AXIS2_PLACEMENT_3D('',#23848,#23849,#23850); -#23852=PLANE('',#23851); -#23853=ORIENTED_EDGE('',*,*,#23832,.F.); -#23855=ORIENTED_EDGE('',*,*,#23854,.T.); -#23857=ORIENTED_EDGE('',*,*,#23856,.T.); -#23859=ORIENTED_EDGE('',*,*,#23858,.T.); -#23860=EDGE_LOOP('',(#23853,#23855,#23857,#23859)); -#23861=FACE_OUTER_BOUND('',#23860,.F.); -#23863=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,0.E0)); -#23864=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23865=DIRECTION('',(1.E0,0.E0,0.E0)); -#23866=AXIS2_PLACEMENT_3D('',#23863,#23864,#23865); -#23867=PLANE('',#23866); -#23868=ORIENTED_EDGE('',*,*,#12733,.T.); -#23870=ORIENTED_EDGE('',*,*,#23869,.F.); -#23871=ORIENTED_EDGE('',*,*,#23854,.F.); -#23872=ORIENTED_EDGE('',*,*,#23843,.T.); -#23873=EDGE_LOOP('',(#23868,#23870,#23871,#23872)); -#23874=FACE_OUTER_BOUND('',#23873,.F.); -#23876=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,0.E0)); -#23877=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23878=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23879=AXIS2_PLACEMENT_3D('',#23876,#23877,#23878); -#23880=PLANE('',#23879); -#23881=ORIENTED_EDGE('',*,*,#12731,.T.); -#23883=ORIENTED_EDGE('',*,*,#23882,.F.); -#23884=ORIENTED_EDGE('',*,*,#23856,.F.); -#23885=ORIENTED_EDGE('',*,*,#23869,.T.); -#23886=EDGE_LOOP('',(#23881,#23883,#23884,#23885)); -#23887=FACE_OUTER_BOUND('',#23886,.F.); -#23889=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,0.E0)); -#23890=DIRECTION('',(0.E0,1.E0,0.E0)); -#23891=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23892=AXIS2_PLACEMENT_3D('',#23889,#23890,#23891); -#23893=PLANE('',#23892); -#23894=ORIENTED_EDGE('',*,*,#12729,.T.); -#23895=ORIENTED_EDGE('',*,*,#23834,.F.); -#23896=ORIENTED_EDGE('',*,*,#23858,.F.); -#23897=ORIENTED_EDGE('',*,*,#23882,.T.); -#23898=EDGE_LOOP('',(#23894,#23895,#23896,#23897)); -#23899=FACE_OUTER_BOUND('',#23898,.F.); -#23901=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,0.E0)); -#23902=DIRECTION('',(0.E0,1.E0,0.E0)); -#23903=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23904=AXIS2_PLACEMENT_3D('',#23901,#23902,#23903); -#23905=PLANE('',#23904); -#23906=ORIENTED_EDGE('',*,*,#12725,.T.); -#23907=ORIENTED_EDGE('',*,*,#23794,.F.); -#23909=ORIENTED_EDGE('',*,*,#23908,.F.); -#23910=ORIENTED_EDGE('',*,*,#23837,.T.); -#23911=EDGE_LOOP('',(#23906,#23907,#23909,#23910)); -#23912=FACE_OUTER_BOUND('',#23911,.F.); -#23914=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); -#23915=DIRECTION('',(0.E0,0.E0,1.E0)); -#23916=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23917=AXIS2_PLACEMENT_3D('',#23914,#23915,#23916); -#23918=PLANE('',#23917); -#23919=ORIENTED_EDGE('',*,*,#23908,.T.); -#23920=ORIENTED_EDGE('',*,*,#23792,.T.); -#23921=ORIENTED_EDGE('',*,*,#23821,.T.); -#23922=ORIENTED_EDGE('',*,*,#23839,.T.); -#23923=EDGE_LOOP('',(#23919,#23920,#23921,#23922)); -#23924=FACE_OUTER_BOUND('',#23923,.F.); -#23926=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,0.E0)); -#23927=DIRECTION('',(0.E0,1.E0,0.E0)); -#23928=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23929=AXIS2_PLACEMENT_3D('',#23926,#23927,#23928); -#23930=PLANE('',#23929); -#23931=ORIENTED_EDGE('',*,*,#12747,.T.); -#23933=ORIENTED_EDGE('',*,*,#23932,.F.); -#23935=ORIENTED_EDGE('',*,*,#23934,.F.); -#23937=ORIENTED_EDGE('',*,*,#23936,.T.); -#23938=EDGE_LOOP('',(#23931,#23933,#23935,#23937)); -#23939=FACE_OUTER_BOUND('',#23938,.F.); -#23941=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,0.E0)); -#23942=DIRECTION('',(1.E0,0.E0,0.E0)); -#23943=DIRECTION('',(0.E0,1.E0,0.E0)); -#23944=AXIS2_PLACEMENT_3D('',#23941,#23942,#23943); -#23945=PLANE('',#23944); -#23946=ORIENTED_EDGE('',*,*,#12793,.T.); -#23948=ORIENTED_EDGE('',*,*,#23947,.F.); -#23950=ORIENTED_EDGE('',*,*,#23949,.F.); -#23951=ORIENTED_EDGE('',*,*,#23932,.T.); -#23952=EDGE_LOOP('',(#23946,#23948,#23950,#23951)); -#23953=FACE_OUTER_BOUND('',#23952,.F.); -#23955=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,0.E0)); -#23956=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23957=DIRECTION('',(1.E0,0.E0,0.E0)); -#23958=AXIS2_PLACEMENT_3D('',#23955,#23956,#23957); -#23959=PLANE('',#23958); -#23960=ORIENTED_EDGE('',*,*,#12791,.T.); -#23962=ORIENTED_EDGE('',*,*,#23961,.F.); -#23964=ORIENTED_EDGE('',*,*,#23963,.F.); -#23965=ORIENTED_EDGE('',*,*,#23947,.T.); -#23966=EDGE_LOOP('',(#23960,#23962,#23964,#23965)); -#23967=FACE_OUTER_BOUND('',#23966,.F.); -#23969=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,0.E0)); -#23970=DIRECTION('',(1.E0,0.E0,0.E0)); -#23971=DIRECTION('',(0.E0,1.E0,0.E0)); -#23972=AXIS2_PLACEMENT_3D('',#23969,#23970,#23971); -#23973=PLANE('',#23972); -#23975=ORIENTED_EDGE('',*,*,#23974,.F.); -#23976=ORIENTED_EDGE('',*,*,#23961,.T.); -#23977=ORIENTED_EDGE('',*,*,#12789,.T.); -#23979=ORIENTED_EDGE('',*,*,#23978,.F.); -#23981=ORIENTED_EDGE('',*,*,#23980,.F.); -#23983=ORIENTED_EDGE('',*,*,#23982,.T.); -#23984=ORIENTED_EDGE('',*,*,#12781,.T.); -#23986=ORIENTED_EDGE('',*,*,#23985,.F.); -#23988=ORIENTED_EDGE('',*,*,#23987,.F.); -#23990=ORIENTED_EDGE('',*,*,#23989,.T.); -#23991=ORIENTED_EDGE('',*,*,#12749,.T.); -#23992=ORIENTED_EDGE('',*,*,#23936,.F.); -#23993=EDGE_LOOP('',(#23975,#23976,#23977,#23979,#23981,#23983,#23984,#23986, -#23988,#23990,#23991,#23992)); -#23994=FACE_OUTER_BOUND('',#23993,.F.); -#23996=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#23997=DIRECTION('',(0.E0,0.E0,1.E0)); -#23998=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23999=AXIS2_PLACEMENT_3D('',#23996,#23997,#23998); -#24000=PLANE('',#23999); -#24001=ORIENTED_EDGE('',*,*,#23974,.T.); -#24002=ORIENTED_EDGE('',*,*,#23934,.T.); -#24003=ORIENTED_EDGE('',*,*,#23949,.T.); -#24004=ORIENTED_EDGE('',*,*,#23963,.T.); -#24005=EDGE_LOOP('',(#24001,#24002,#24003,#24004)); -#24006=FACE_OUTER_BOUND('',#24005,.F.); -#24008=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,0.E0)); -#24009=DIRECTION('',(0.E0,1.E0,0.E0)); -#24010=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24011=AXIS2_PLACEMENT_3D('',#24008,#24009,#24010); -#24012=PLANE('',#24011); -#24013=ORIENTED_EDGE('',*,*,#12787,.T.); -#24015=ORIENTED_EDGE('',*,*,#24014,.F.); -#24017=ORIENTED_EDGE('',*,*,#24016,.F.); -#24018=ORIENTED_EDGE('',*,*,#23978,.T.); -#24019=EDGE_LOOP('',(#24013,#24015,#24017,#24018)); -#24020=FACE_OUTER_BOUND('',#24019,.F.); -#24022=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,0.E0)); -#24023=DIRECTION('',(1.E0,0.E0,0.E0)); -#24024=DIRECTION('',(0.E0,1.E0,0.E0)); -#24025=AXIS2_PLACEMENT_3D('',#24022,#24023,#24024); -#24026=PLANE('',#24025); -#24027=ORIENTED_EDGE('',*,*,#12785,.T.); -#24029=ORIENTED_EDGE('',*,*,#24028,.F.); -#24031=ORIENTED_EDGE('',*,*,#24030,.F.); -#24032=ORIENTED_EDGE('',*,*,#24014,.T.); -#24033=EDGE_LOOP('',(#24027,#24029,#24031,#24032)); -#24034=FACE_OUTER_BOUND('',#24033,.F.); -#24036=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,0.E0)); -#24037=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24038=DIRECTION('',(1.E0,0.E0,0.E0)); -#24039=AXIS2_PLACEMENT_3D('',#24036,#24037,#24038); -#24040=PLANE('',#24039); -#24041=ORIENTED_EDGE('',*,*,#12783,.T.); -#24042=ORIENTED_EDGE('',*,*,#23982,.F.); -#24044=ORIENTED_EDGE('',*,*,#24043,.F.); -#24045=ORIENTED_EDGE('',*,*,#24028,.T.); -#24046=EDGE_LOOP('',(#24041,#24042,#24044,#24045)); -#24047=FACE_OUTER_BOUND('',#24046,.F.); -#24049=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#24050=DIRECTION('',(0.E0,0.E0,1.E0)); -#24051=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24052=AXIS2_PLACEMENT_3D('',#24049,#24050,#24051); -#24053=PLANE('',#24052); -#24054=ORIENTED_EDGE('',*,*,#23980,.T.); -#24055=ORIENTED_EDGE('',*,*,#24016,.T.); -#24056=ORIENTED_EDGE('',*,*,#24030,.T.); -#24057=ORIENTED_EDGE('',*,*,#24043,.T.); -#24058=EDGE_LOOP('',(#24054,#24055,#24056,#24057)); -#24059=FACE_OUTER_BOUND('',#24058,.F.); -#24061=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,0.E0)); -#24062=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24063=DIRECTION('',(1.E0,0.E0,0.E0)); -#24064=AXIS2_PLACEMENT_3D('',#24061,#24062,#24063); -#24065=PLANE('',#24064); -#24066=ORIENTED_EDGE('',*,*,#12779,.T.); -#24068=ORIENTED_EDGE('',*,*,#24067,.F.); -#24070=ORIENTED_EDGE('',*,*,#24069,.F.); -#24071=ORIENTED_EDGE('',*,*,#23985,.T.); -#24072=EDGE_LOOP('',(#24066,#24068,#24070,#24071)); -#24073=FACE_OUTER_BOUND('',#24072,.F.); -#24075=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,0.E0)); -#24076=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24077=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24078=AXIS2_PLACEMENT_3D('',#24075,#24076,#24077); -#24079=PLANE('',#24078); -#24081=ORIENTED_EDGE('',*,*,#24080,.T.); -#24083=ORIENTED_EDGE('',*,*,#24082,.T.); -#24084=ORIENTED_EDGE('',*,*,#12753,.T.); -#24086=ORIENTED_EDGE('',*,*,#24085,.F.); -#24088=ORIENTED_EDGE('',*,*,#24087,.F.); -#24089=ORIENTED_EDGE('',*,*,#24067,.T.); -#24090=ORIENTED_EDGE('',*,*,#12777,.T.); -#24092=ORIENTED_EDGE('',*,*,#24091,.F.); -#24094=ORIENTED_EDGE('',*,*,#24093,.T.); -#24096=ORIENTED_EDGE('',*,*,#24095,.T.); -#24097=ORIENTED_EDGE('',*,*,#12769,.T.); -#24099=ORIENTED_EDGE('',*,*,#24098,.F.); -#24101=ORIENTED_EDGE('',*,*,#24100,.T.); -#24103=ORIENTED_EDGE('',*,*,#24102,.T.); -#24104=ORIENTED_EDGE('',*,*,#12761,.T.); -#24106=ORIENTED_EDGE('',*,*,#24105,.F.); -#24107=EDGE_LOOP('',(#24081,#24083,#24084,#24086,#24088,#24089,#24090,#24092, -#24094,#24096,#24097,#24099,#24101,#24103,#24104,#24106)); -#24108=FACE_OUTER_BOUND('',#24107,.F.); -#24110=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#24111=DIRECTION('',(0.E0,0.E0,1.E0)); -#24112=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24113=AXIS2_PLACEMENT_3D('',#24110,#24111,#24112); -#24114=PLANE('',#24113); -#24115=ORIENTED_EDGE('',*,*,#24080,.F.); -#24117=ORIENTED_EDGE('',*,*,#24116,.T.); -#24119=ORIENTED_EDGE('',*,*,#24118,.T.); -#24121=ORIENTED_EDGE('',*,*,#24120,.T.); -#24122=EDGE_LOOP('',(#24115,#24117,#24119,#24121)); -#24123=FACE_OUTER_BOUND('',#24122,.F.); -#24125=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,0.E0)); -#24126=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24127=DIRECTION('',(1.E0,0.E0,0.E0)); -#24128=AXIS2_PLACEMENT_3D('',#24125,#24126,#24127); -#24129=PLANE('',#24128); -#24130=ORIENTED_EDGE('',*,*,#12759,.T.); -#24132=ORIENTED_EDGE('',*,*,#24131,.F.); -#24133=ORIENTED_EDGE('',*,*,#24116,.F.); -#24134=ORIENTED_EDGE('',*,*,#24105,.T.); -#24135=EDGE_LOOP('',(#24130,#24132,#24133,#24134)); -#24136=FACE_OUTER_BOUND('',#24135,.F.); -#24138=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,0.E0)); -#24139=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24140=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24141=AXIS2_PLACEMENT_3D('',#24138,#24139,#24140); -#24142=PLANE('',#24141); -#24143=ORIENTED_EDGE('',*,*,#12757,.T.); -#24145=ORIENTED_EDGE('',*,*,#24144,.F.); -#24146=ORIENTED_EDGE('',*,*,#24118,.F.); -#24147=ORIENTED_EDGE('',*,*,#24131,.T.); -#24148=EDGE_LOOP('',(#24143,#24145,#24146,#24147)); -#24149=FACE_OUTER_BOUND('',#24148,.F.); -#24151=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,0.E0)); -#24152=DIRECTION('',(0.E0,1.E0,0.E0)); -#24153=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24154=AXIS2_PLACEMENT_3D('',#24151,#24152,#24153); -#24155=PLANE('',#24154); -#24156=ORIENTED_EDGE('',*,*,#12755,.T.); -#24157=ORIENTED_EDGE('',*,*,#24082,.F.); -#24158=ORIENTED_EDGE('',*,*,#24120,.F.); -#24159=ORIENTED_EDGE('',*,*,#24144,.T.); -#24160=EDGE_LOOP('',(#24156,#24157,#24158,#24159)); -#24161=FACE_OUTER_BOUND('',#24160,.F.); -#24163=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,0.E0)); -#24164=DIRECTION('',(0.E0,1.E0,0.E0)); -#24165=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24166=AXIS2_PLACEMENT_3D('',#24163,#24164,#24165); -#24167=PLANE('',#24166); -#24168=ORIENTED_EDGE('',*,*,#12751,.T.); -#24169=ORIENTED_EDGE('',*,*,#23989,.F.); -#24171=ORIENTED_EDGE('',*,*,#24170,.F.); -#24172=ORIENTED_EDGE('',*,*,#24085,.T.); -#24173=EDGE_LOOP('',(#24168,#24169,#24171,#24172)); -#24174=FACE_OUTER_BOUND('',#24173,.F.); -#24176=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); -#24177=DIRECTION('',(0.E0,0.E0,1.E0)); -#24178=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24179=AXIS2_PLACEMENT_3D('',#24176,#24177,#24178); -#24180=PLANE('',#24179); -#24181=ORIENTED_EDGE('',*,*,#24170,.T.); -#24182=ORIENTED_EDGE('',*,*,#23987,.T.); -#24183=ORIENTED_EDGE('',*,*,#24069,.T.); -#24184=ORIENTED_EDGE('',*,*,#24087,.T.); -#24185=EDGE_LOOP('',(#24181,#24182,#24183,#24184)); -#24186=FACE_OUTER_BOUND('',#24185,.F.); -#24188=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,0.E0)); -#24189=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24190=DIRECTION('',(1.E0,0.E0,0.E0)); -#24191=AXIS2_PLACEMENT_3D('',#24188,#24189,#24190); -#24192=PLANE('',#24191); -#24193=ORIENTED_EDGE('',*,*,#12775,.T.); -#24195=ORIENTED_EDGE('',*,*,#24194,.F.); -#24197=ORIENTED_EDGE('',*,*,#24196,.F.); -#24198=ORIENTED_EDGE('',*,*,#24091,.T.); -#24199=EDGE_LOOP('',(#24193,#24195,#24197,#24198)); -#24200=FACE_OUTER_BOUND('',#24199,.F.); -#24202=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,0.E0)); -#24203=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24204=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24205=AXIS2_PLACEMENT_3D('',#24202,#24203,#24204); -#24206=PLANE('',#24205); -#24207=ORIENTED_EDGE('',*,*,#12773,.T.); -#24209=ORIENTED_EDGE('',*,*,#24208,.F.); -#24211=ORIENTED_EDGE('',*,*,#24210,.F.); -#24212=ORIENTED_EDGE('',*,*,#24194,.T.); -#24213=EDGE_LOOP('',(#24207,#24209,#24211,#24212)); -#24214=FACE_OUTER_BOUND('',#24213,.F.); -#24216=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,0.E0)); -#24217=DIRECTION('',(0.E0,1.E0,0.E0)); -#24218=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24219=AXIS2_PLACEMENT_3D('',#24216,#24217,#24218); -#24220=PLANE('',#24219); -#24221=ORIENTED_EDGE('',*,*,#12771,.T.); -#24222=ORIENTED_EDGE('',*,*,#24095,.F.); -#24224=ORIENTED_EDGE('',*,*,#24223,.F.); -#24225=ORIENTED_EDGE('',*,*,#24208,.T.); -#24226=EDGE_LOOP('',(#24221,#24222,#24224,#24225)); -#24227=FACE_OUTER_BOUND('',#24226,.F.); -#24229=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#24230=DIRECTION('',(0.E0,0.E0,1.E0)); -#24231=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24232=AXIS2_PLACEMENT_3D('',#24229,#24230,#24231); -#24233=PLANE('',#24232); -#24234=ORIENTED_EDGE('',*,*,#24093,.F.); -#24235=ORIENTED_EDGE('',*,*,#24196,.T.); -#24236=ORIENTED_EDGE('',*,*,#24210,.T.); -#24237=ORIENTED_EDGE('',*,*,#24223,.T.); -#24238=EDGE_LOOP('',(#24234,#24235,#24236,#24237)); -#24239=FACE_OUTER_BOUND('',#24238,.F.); -#24241=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,0.E0)); -#24242=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24243=DIRECTION('',(1.E0,0.E0,0.E0)); -#24244=AXIS2_PLACEMENT_3D('',#24241,#24242,#24243); -#24245=PLANE('',#24244); -#24246=ORIENTED_EDGE('',*,*,#12767,.T.); -#24248=ORIENTED_EDGE('',*,*,#24247,.F.); -#24250=ORIENTED_EDGE('',*,*,#24249,.F.); -#24251=ORIENTED_EDGE('',*,*,#24098,.T.); -#24252=EDGE_LOOP('',(#24246,#24248,#24250,#24251)); -#24253=FACE_OUTER_BOUND('',#24252,.F.); -#24255=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,0.E0)); -#24256=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24257=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24258=AXIS2_PLACEMENT_3D('',#24255,#24256,#24257); -#24259=PLANE('',#24258); -#24260=ORIENTED_EDGE('',*,*,#12765,.T.); -#24262=ORIENTED_EDGE('',*,*,#24261,.F.); -#24264=ORIENTED_EDGE('',*,*,#24263,.F.); -#24265=ORIENTED_EDGE('',*,*,#24247,.T.); -#24266=EDGE_LOOP('',(#24260,#24262,#24264,#24265)); -#24267=FACE_OUTER_BOUND('',#24266,.F.); -#24269=CARTESIAN_POINT('',(3.914418944340E0,1.4905005E1,0.E0)); -#24270=DIRECTION('',(0.E0,1.E0,0.E0)); -#24271=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24272=AXIS2_PLACEMENT_3D('',#24269,#24270,#24271); -#24273=PLANE('',#24272); -#24274=ORIENTED_EDGE('',*,*,#12763,.T.); -#24275=ORIENTED_EDGE('',*,*,#24102,.F.); -#24277=ORIENTED_EDGE('',*,*,#24276,.F.); -#24278=ORIENTED_EDGE('',*,*,#24261,.T.); -#24279=EDGE_LOOP('',(#24274,#24275,#24277,#24278)); -#24280=FACE_OUTER_BOUND('',#24279,.F.); -#24282=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#24283=DIRECTION('',(0.E0,0.E0,1.E0)); -#24284=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24285=AXIS2_PLACEMENT_3D('',#24282,#24283,#24284); -#24286=PLANE('',#24285); -#24287=ORIENTED_EDGE('',*,*,#24100,.F.); -#24288=ORIENTED_EDGE('',*,*,#24249,.T.); -#24289=ORIENTED_EDGE('',*,*,#24263,.T.); -#24290=ORIENTED_EDGE('',*,*,#24276,.T.); -#24291=EDGE_LOOP('',(#24287,#24288,#24289,#24290)); -#24292=FACE_OUTER_BOUND('',#24291,.F.); -#24294=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,0.E0)); -#24295=DIRECTION('',(0.E0,1.E0,0.E0)); -#24296=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24297=AXIS2_PLACEMENT_3D('',#24294,#24295,#24296); -#24298=PLANE('',#24297); -#24299=ORIENTED_EDGE('',*,*,#12797,.T.); -#24301=ORIENTED_EDGE('',*,*,#24300,.F.); -#24303=ORIENTED_EDGE('',*,*,#24302,.F.); -#24305=ORIENTED_EDGE('',*,*,#24304,.T.); -#24306=EDGE_LOOP('',(#24299,#24301,#24303,#24305)); -#24307=FACE_OUTER_BOUND('',#24306,.F.); -#24309=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,0.E0)); -#24310=DIRECTION('',(1.E0,0.E0,0.E0)); -#24311=DIRECTION('',(0.E0,1.E0,0.E0)); -#24312=AXIS2_PLACEMENT_3D('',#24309,#24310,#24311); -#24313=PLANE('',#24312); -#24314=ORIENTED_EDGE('',*,*,#12803,.T.); -#24316=ORIENTED_EDGE('',*,*,#24315,.F.); -#24318=ORIENTED_EDGE('',*,*,#24317,.F.); -#24319=ORIENTED_EDGE('',*,*,#24300,.T.); -#24320=EDGE_LOOP('',(#24314,#24316,#24318,#24319)); -#24321=FACE_OUTER_BOUND('',#24320,.F.); -#24323=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,0.E0)); -#24324=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24325=DIRECTION('',(1.E0,0.E0,0.E0)); -#24326=AXIS2_PLACEMENT_3D('',#24323,#24324,#24325); -#24327=PLANE('',#24326); -#24328=ORIENTED_EDGE('',*,*,#12801,.T.); -#24330=ORIENTED_EDGE('',*,*,#24329,.F.); -#24332=ORIENTED_EDGE('',*,*,#24331,.F.); -#24333=ORIENTED_EDGE('',*,*,#24315,.T.); -#24334=EDGE_LOOP('',(#24328,#24330,#24332,#24333)); -#24335=FACE_OUTER_BOUND('',#24334,.F.); -#24337=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,0.E0)); -#24338=DIRECTION('',(-1.E0,1.890742003774E-14,0.E0)); -#24339=DIRECTION('',(-1.890742003774E-14,-1.E0,0.E0)); -#24340=AXIS2_PLACEMENT_3D('',#24337,#24338,#24339); -#24341=PLANE('',#24340); -#24342=ORIENTED_EDGE('',*,*,#12799,.T.); -#24343=ORIENTED_EDGE('',*,*,#24304,.F.); -#24345=ORIENTED_EDGE('',*,*,#24344,.F.); -#24346=ORIENTED_EDGE('',*,*,#24329,.T.); -#24347=EDGE_LOOP('',(#24342,#24343,#24345,#24346)); -#24348=FACE_OUTER_BOUND('',#24347,.F.); -#24350=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#24351=DIRECTION('',(0.E0,0.E0,1.E0)); -#24352=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24353=AXIS2_PLACEMENT_3D('',#24350,#24351,#24352); -#24354=PLANE('',#24353); -#24355=ORIENTED_EDGE('',*,*,#24302,.T.); -#24356=ORIENTED_EDGE('',*,*,#24317,.T.); -#24357=ORIENTED_EDGE('',*,*,#24331,.T.); -#24358=ORIENTED_EDGE('',*,*,#24344,.T.); -#24359=EDGE_LOOP('',(#24355,#24356,#24357,#24358)); -#24360=FACE_OUTER_BOUND('',#24359,.F.); -#24362=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,0.E0)); -#24363=DIRECTION('',(0.E0,1.E0,0.E0)); -#24364=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24365=AXIS2_PLACEMENT_3D('',#24362,#24363,#24364); -#24366=PLANE('',#24365); -#24367=ORIENTED_EDGE('',*,*,#12807,.T.); -#24369=ORIENTED_EDGE('',*,*,#24368,.F.); -#24371=ORIENTED_EDGE('',*,*,#24370,.F.); -#24373=ORIENTED_EDGE('',*,*,#24372,.T.); -#24374=EDGE_LOOP('',(#24367,#24369,#24371,#24373)); -#24375=FACE_OUTER_BOUND('',#24374,.F.); -#24377=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,0.E0)); -#24378=DIRECTION('',(1.E0,0.E0,0.E0)); -#24379=DIRECTION('',(0.E0,1.E0,0.E0)); -#24380=AXIS2_PLACEMENT_3D('',#24377,#24378,#24379); -#24381=PLANE('',#24380); -#24382=ORIENTED_EDGE('',*,*,#12813,.T.); -#24384=ORIENTED_EDGE('',*,*,#24383,.F.); -#24386=ORIENTED_EDGE('',*,*,#24385,.F.); -#24387=ORIENTED_EDGE('',*,*,#24368,.T.); -#24388=EDGE_LOOP('',(#24382,#24384,#24386,#24387)); -#24389=FACE_OUTER_BOUND('',#24388,.F.); -#24391=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,0.E0)); -#24392=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24393=DIRECTION('',(1.E0,0.E0,0.E0)); -#24394=AXIS2_PLACEMENT_3D('',#24391,#24392,#24393); -#24395=PLANE('',#24394); -#24396=ORIENTED_EDGE('',*,*,#12811,.T.); -#24398=ORIENTED_EDGE('',*,*,#24397,.F.); -#24400=ORIENTED_EDGE('',*,*,#24399,.F.); -#24401=ORIENTED_EDGE('',*,*,#24383,.T.); -#24402=EDGE_LOOP('',(#24396,#24398,#24400,#24401)); -#24403=FACE_OUTER_BOUND('',#24402,.F.); -#24405=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,0.E0)); -#24406=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24407=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24408=AXIS2_PLACEMENT_3D('',#24405,#24406,#24407); -#24409=PLANE('',#24408); -#24410=ORIENTED_EDGE('',*,*,#12809,.T.); -#24411=ORIENTED_EDGE('',*,*,#24372,.F.); -#24413=ORIENTED_EDGE('',*,*,#24412,.F.); -#24414=ORIENTED_EDGE('',*,*,#24397,.T.); -#24415=EDGE_LOOP('',(#24410,#24411,#24413,#24414)); -#24416=FACE_OUTER_BOUND('',#24415,.F.); -#24418=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#24419=DIRECTION('',(0.E0,0.E0,1.E0)); -#24420=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24421=AXIS2_PLACEMENT_3D('',#24418,#24419,#24420); -#24422=PLANE('',#24421); -#24423=ORIENTED_EDGE('',*,*,#24370,.T.); -#24424=ORIENTED_EDGE('',*,*,#24385,.T.); -#24425=ORIENTED_EDGE('',*,*,#24399,.T.); -#24426=ORIENTED_EDGE('',*,*,#24412,.T.); -#24427=EDGE_LOOP('',(#24423,#24424,#24425,#24426)); -#24428=FACE_OUTER_BOUND('',#24427,.F.); -#24430=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,0.E0)); -#24431=DIRECTION('',(0.E0,1.E0,0.E0)); -#24432=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24433=AXIS2_PLACEMENT_3D('',#24430,#24431,#24432); -#24434=PLANE('',#24433); -#24435=ORIENTED_EDGE('',*,*,#12817,.T.); -#24437=ORIENTED_EDGE('',*,*,#24436,.F.); -#24439=ORIENTED_EDGE('',*,*,#24438,.F.); -#24441=ORIENTED_EDGE('',*,*,#24440,.T.); -#24442=EDGE_LOOP('',(#24435,#24437,#24439,#24441)); -#24443=FACE_OUTER_BOUND('',#24442,.F.); -#24445=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,0.E0)); -#24446=DIRECTION('',(1.E0,0.E0,0.E0)); -#24447=DIRECTION('',(0.E0,1.E0,0.E0)); -#24448=AXIS2_PLACEMENT_3D('',#24445,#24446,#24447); -#24449=PLANE('',#24448); -#24450=ORIENTED_EDGE('',*,*,#12863,.T.); -#24452=ORIENTED_EDGE('',*,*,#24451,.F.); -#24454=ORIENTED_EDGE('',*,*,#24453,.F.); -#24455=ORIENTED_EDGE('',*,*,#24436,.T.); -#24456=EDGE_LOOP('',(#24450,#24452,#24454,#24455)); -#24457=FACE_OUTER_BOUND('',#24456,.F.); -#24459=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,0.E0)); -#24460=DIRECTION('',(0.E0,1.E0,0.E0)); -#24461=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24462=AXIS2_PLACEMENT_3D('',#24459,#24460,#24461); -#24463=PLANE('',#24462); -#24465=ORIENTED_EDGE('',*,*,#24464,.F.); -#24467=ORIENTED_EDGE('',*,*,#24466,.T.); -#24468=ORIENTED_EDGE('',*,*,#12821,.T.); -#24470=ORIENTED_EDGE('',*,*,#24469,.F.); -#24472=ORIENTED_EDGE('',*,*,#24471,.F.); -#24473=ORIENTED_EDGE('',*,*,#24451,.T.); -#24474=ORIENTED_EDGE('',*,*,#12861,.T.); -#24476=ORIENTED_EDGE('',*,*,#24475,.F.); -#24478=ORIENTED_EDGE('',*,*,#24477,.F.); -#24480=ORIENTED_EDGE('',*,*,#24479,.T.); -#24481=ORIENTED_EDGE('',*,*,#12829,.T.); -#24483=ORIENTED_EDGE('',*,*,#24482,.F.); -#24484=EDGE_LOOP('',(#24465,#24467,#24468,#24470,#24472,#24473,#24474,#24476, -#24478,#24480,#24481,#24483)); -#24485=FACE_OUTER_BOUND('',#24484,.F.); -#24487=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#24488=DIRECTION('',(0.E0,0.E0,1.E0)); -#24489=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24490=AXIS2_PLACEMENT_3D('',#24487,#24488,#24489); -#24491=PLANE('',#24490); -#24492=ORIENTED_EDGE('',*,*,#24464,.T.); -#24494=ORIENTED_EDGE('',*,*,#24493,.T.); -#24496=ORIENTED_EDGE('',*,*,#24495,.T.); -#24498=ORIENTED_EDGE('',*,*,#24497,.T.); -#24499=EDGE_LOOP('',(#24492,#24494,#24496,#24498)); -#24500=FACE_OUTER_BOUND('',#24499,.F.); -#24502=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,0.E0)); -#24503=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24504=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24505=AXIS2_PLACEMENT_3D('',#24502,#24503,#24504); -#24506=PLANE('',#24505); -#24507=ORIENTED_EDGE('',*,*,#12827,.T.); -#24509=ORIENTED_EDGE('',*,*,#24508,.F.); -#24510=ORIENTED_EDGE('',*,*,#24493,.F.); -#24511=ORIENTED_EDGE('',*,*,#24482,.T.); -#24512=EDGE_LOOP('',(#24507,#24509,#24510,#24511)); -#24513=FACE_OUTER_BOUND('',#24512,.F.); -#24515=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,0.E0)); -#24516=DIRECTION('',(0.E0,1.E0,0.E0)); -#24517=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24518=AXIS2_PLACEMENT_3D('',#24515,#24516,#24517); -#24519=PLANE('',#24518); -#24520=ORIENTED_EDGE('',*,*,#12825,.T.); -#24522=ORIENTED_EDGE('',*,*,#24521,.F.); -#24523=ORIENTED_EDGE('',*,*,#24495,.F.); -#24524=ORIENTED_EDGE('',*,*,#24508,.T.); -#24525=EDGE_LOOP('',(#24520,#24522,#24523,#24524)); -#24526=FACE_OUTER_BOUND('',#24525,.F.); -#24528=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,0.E0)); -#24529=DIRECTION('',(1.E0,0.E0,0.E0)); -#24530=DIRECTION('',(0.E0,1.E0,0.E0)); -#24531=AXIS2_PLACEMENT_3D('',#24528,#24529,#24530); -#24532=PLANE('',#24531); -#24533=ORIENTED_EDGE('',*,*,#12823,.T.); -#24534=ORIENTED_EDGE('',*,*,#24466,.F.); -#24535=ORIENTED_EDGE('',*,*,#24497,.F.); -#24536=ORIENTED_EDGE('',*,*,#24521,.T.); -#24537=EDGE_LOOP('',(#24533,#24534,#24535,#24536)); -#24538=FACE_OUTER_BOUND('',#24537,.F.); -#24540=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,0.E0)); -#24541=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24542=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24543=AXIS2_PLACEMENT_3D('',#24540,#24541,#24542); -#24544=PLANE('',#24543); -#24545=ORIENTED_EDGE('',*,*,#12819,.T.); -#24546=ORIENTED_EDGE('',*,*,#24440,.F.); -#24548=ORIENTED_EDGE('',*,*,#24547,.F.); -#24549=ORIENTED_EDGE('',*,*,#24469,.T.); -#24550=EDGE_LOOP('',(#24545,#24546,#24548,#24549)); -#24551=FACE_OUTER_BOUND('',#24550,.F.); -#24553=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#24554=DIRECTION('',(0.E0,0.E0,1.E0)); -#24555=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24556=AXIS2_PLACEMENT_3D('',#24553,#24554,#24555); -#24557=PLANE('',#24556); -#24558=ORIENTED_EDGE('',*,*,#24471,.T.); -#24559=ORIENTED_EDGE('',*,*,#24547,.T.); -#24560=ORIENTED_EDGE('',*,*,#24438,.T.); -#24561=ORIENTED_EDGE('',*,*,#24453,.T.); -#24562=EDGE_LOOP('',(#24558,#24559,#24560,#24561)); -#24563=FACE_OUTER_BOUND('',#24562,.F.); -#24565=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,0.E0)); -#24566=DIRECTION('',(1.E0,0.E0,0.E0)); -#24567=DIRECTION('',(0.E0,1.E0,0.E0)); -#24568=AXIS2_PLACEMENT_3D('',#24565,#24566,#24567); -#24569=PLANE('',#24568); -#24570=ORIENTED_EDGE('',*,*,#12859,.T.); -#24572=ORIENTED_EDGE('',*,*,#24571,.F.); -#24574=ORIENTED_EDGE('',*,*,#24573,.F.); -#24575=ORIENTED_EDGE('',*,*,#24475,.T.); -#24576=EDGE_LOOP('',(#24570,#24572,#24574,#24575)); -#24577=FACE_OUTER_BOUND('',#24576,.F.); -#24579=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,0.E0)); -#24580=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24581=DIRECTION('',(1.E0,0.E0,0.E0)); -#24582=AXIS2_PLACEMENT_3D('',#24579,#24580,#24581); -#24583=PLANE('',#24582); -#24585=ORIENTED_EDGE('',*,*,#24584,.T.); -#24587=ORIENTED_EDGE('',*,*,#24586,.T.); -#24588=ORIENTED_EDGE('',*,*,#12833,.T.); -#24590=ORIENTED_EDGE('',*,*,#24589,.F.); -#24592=ORIENTED_EDGE('',*,*,#24591,.F.); -#24593=ORIENTED_EDGE('',*,*,#24571,.T.); -#24594=ORIENTED_EDGE('',*,*,#12857,.T.); -#24596=ORIENTED_EDGE('',*,*,#24595,.F.); -#24598=ORIENTED_EDGE('',*,*,#24597,.T.); -#24600=ORIENTED_EDGE('',*,*,#24599,.T.); -#24601=ORIENTED_EDGE('',*,*,#12849,.T.); -#24603=ORIENTED_EDGE('',*,*,#24602,.F.); -#24605=ORIENTED_EDGE('',*,*,#24604,.T.); -#24607=ORIENTED_EDGE('',*,*,#24606,.T.); -#24608=ORIENTED_EDGE('',*,*,#12841,.T.); -#24610=ORIENTED_EDGE('',*,*,#24609,.F.); -#24611=EDGE_LOOP('',(#24585,#24587,#24588,#24590,#24592,#24593,#24594,#24596, -#24598,#24600,#24601,#24603,#24605,#24607,#24608,#24610)); -#24612=FACE_OUTER_BOUND('',#24611,.F.); -#24614=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#24615=DIRECTION('',(0.E0,0.E0,1.E0)); -#24616=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24617=AXIS2_PLACEMENT_3D('',#24614,#24615,#24616); -#24618=PLANE('',#24617); -#24619=ORIENTED_EDGE('',*,*,#24584,.F.); -#24621=ORIENTED_EDGE('',*,*,#24620,.T.); -#24623=ORIENTED_EDGE('',*,*,#24622,.T.); -#24625=ORIENTED_EDGE('',*,*,#24624,.T.); -#24626=EDGE_LOOP('',(#24619,#24621,#24623,#24625)); -#24627=FACE_OUTER_BOUND('',#24626,.F.); -#24629=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,0.E0)); -#24630=DIRECTION('',(1.E0,0.E0,0.E0)); -#24631=DIRECTION('',(0.E0,1.E0,0.E0)); -#24632=AXIS2_PLACEMENT_3D('',#24629,#24630,#24631); -#24633=PLANE('',#24632); -#24634=ORIENTED_EDGE('',*,*,#12839,.T.); -#24636=ORIENTED_EDGE('',*,*,#24635,.F.); -#24637=ORIENTED_EDGE('',*,*,#24620,.F.); -#24638=ORIENTED_EDGE('',*,*,#24609,.T.); -#24639=EDGE_LOOP('',(#24634,#24636,#24637,#24638)); -#24640=FACE_OUTER_BOUND('',#24639,.F.); -#24642=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,0.E0)); -#24643=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24644=DIRECTION('',(1.E0,0.E0,0.E0)); -#24645=AXIS2_PLACEMENT_3D('',#24642,#24643,#24644); -#24646=PLANE('',#24645); -#24647=ORIENTED_EDGE('',*,*,#12837,.T.); -#24649=ORIENTED_EDGE('',*,*,#24648,.F.); -#24650=ORIENTED_EDGE('',*,*,#24622,.F.); -#24651=ORIENTED_EDGE('',*,*,#24635,.T.); -#24652=EDGE_LOOP('',(#24647,#24649,#24650,#24651)); -#24653=FACE_OUTER_BOUND('',#24652,.F.); -#24655=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,0.E0)); -#24656=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24657=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24658=AXIS2_PLACEMENT_3D('',#24655,#24656,#24657); -#24659=PLANE('',#24658); -#24660=ORIENTED_EDGE('',*,*,#12835,.T.); -#24661=ORIENTED_EDGE('',*,*,#24586,.F.); -#24662=ORIENTED_EDGE('',*,*,#24624,.F.); -#24663=ORIENTED_EDGE('',*,*,#24648,.T.); -#24664=EDGE_LOOP('',(#24660,#24661,#24662,#24663)); -#24665=FACE_OUTER_BOUND('',#24664,.F.); -#24667=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,0.E0)); -#24668=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24669=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24670=AXIS2_PLACEMENT_3D('',#24667,#24668,#24669); -#24671=PLANE('',#24670); -#24672=ORIENTED_EDGE('',*,*,#12831,.T.); -#24673=ORIENTED_EDGE('',*,*,#24479,.F.); -#24675=ORIENTED_EDGE('',*,*,#24674,.F.); -#24676=ORIENTED_EDGE('',*,*,#24589,.T.); -#24677=EDGE_LOOP('',(#24672,#24673,#24675,#24676)); -#24678=FACE_OUTER_BOUND('',#24677,.F.); -#24680=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); -#24681=DIRECTION('',(0.E0,0.E0,1.E0)); -#24682=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24683=AXIS2_PLACEMENT_3D('',#24680,#24681,#24682); -#24684=PLANE('',#24683); -#24685=ORIENTED_EDGE('',*,*,#24477,.T.); -#24686=ORIENTED_EDGE('',*,*,#24573,.T.); -#24687=ORIENTED_EDGE('',*,*,#24591,.T.); -#24688=ORIENTED_EDGE('',*,*,#24674,.T.); -#24689=EDGE_LOOP('',(#24685,#24686,#24687,#24688)); -#24690=FACE_OUTER_BOUND('',#24689,.F.); -#24692=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,0.E0)); -#24693=DIRECTION('',(1.E0,0.E0,0.E0)); -#24694=DIRECTION('',(0.E0,1.E0,0.E0)); -#24695=AXIS2_PLACEMENT_3D('',#24692,#24693,#24694); -#24696=PLANE('',#24695); -#24697=ORIENTED_EDGE('',*,*,#12855,.T.); -#24699=ORIENTED_EDGE('',*,*,#24698,.F.); -#24701=ORIENTED_EDGE('',*,*,#24700,.F.); -#24702=ORIENTED_EDGE('',*,*,#24595,.T.); -#24703=EDGE_LOOP('',(#24697,#24699,#24701,#24702)); -#24704=FACE_OUTER_BOUND('',#24703,.F.); -#24706=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,0.E0)); -#24707=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24708=DIRECTION('',(1.E0,0.E0,0.E0)); -#24709=AXIS2_PLACEMENT_3D('',#24706,#24707,#24708); -#24710=PLANE('',#24709); -#24711=ORIENTED_EDGE('',*,*,#12853,.T.); -#24713=ORIENTED_EDGE('',*,*,#24712,.F.); -#24715=ORIENTED_EDGE('',*,*,#24714,.F.); -#24716=ORIENTED_EDGE('',*,*,#24698,.T.); -#24717=EDGE_LOOP('',(#24711,#24713,#24715,#24716)); -#24718=FACE_OUTER_BOUND('',#24717,.F.); -#24720=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,0.E0)); -#24721=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24722=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24723=AXIS2_PLACEMENT_3D('',#24720,#24721,#24722); -#24724=PLANE('',#24723); -#24725=ORIENTED_EDGE('',*,*,#12851,.T.); -#24726=ORIENTED_EDGE('',*,*,#24599,.F.); -#24728=ORIENTED_EDGE('',*,*,#24727,.F.); -#24729=ORIENTED_EDGE('',*,*,#24712,.T.); -#24730=EDGE_LOOP('',(#24725,#24726,#24728,#24729)); -#24731=FACE_OUTER_BOUND('',#24730,.F.); -#24733=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#24734=DIRECTION('',(0.E0,0.E0,1.E0)); -#24735=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24736=AXIS2_PLACEMENT_3D('',#24733,#24734,#24735); -#24737=PLANE('',#24736); -#24738=ORIENTED_EDGE('',*,*,#24597,.F.); -#24739=ORIENTED_EDGE('',*,*,#24700,.T.); -#24740=ORIENTED_EDGE('',*,*,#24714,.T.); -#24741=ORIENTED_EDGE('',*,*,#24727,.T.); -#24742=EDGE_LOOP('',(#24738,#24739,#24740,#24741)); -#24743=FACE_OUTER_BOUND('',#24742,.F.); -#24745=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,0.E0)); -#24746=DIRECTION('',(1.E0,0.E0,0.E0)); -#24747=DIRECTION('',(0.E0,1.E0,0.E0)); -#24748=AXIS2_PLACEMENT_3D('',#24745,#24746,#24747); -#24749=PLANE('',#24748); -#24750=ORIENTED_EDGE('',*,*,#12847,.T.); -#24752=ORIENTED_EDGE('',*,*,#24751,.F.); -#24754=ORIENTED_EDGE('',*,*,#24753,.F.); -#24755=ORIENTED_EDGE('',*,*,#24602,.T.); -#24756=EDGE_LOOP('',(#24750,#24752,#24754,#24755)); -#24757=FACE_OUTER_BOUND('',#24756,.F.); -#24759=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,0.E0)); -#24760=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24761=DIRECTION('',(1.E0,0.E0,0.E0)); -#24762=AXIS2_PLACEMENT_3D('',#24759,#24760,#24761); -#24763=PLANE('',#24762); -#24764=ORIENTED_EDGE('',*,*,#12845,.T.); -#24766=ORIENTED_EDGE('',*,*,#24765,.F.); -#24768=ORIENTED_EDGE('',*,*,#24767,.F.); -#24769=ORIENTED_EDGE('',*,*,#24751,.T.); -#24770=EDGE_LOOP('',(#24764,#24766,#24768,#24769)); -#24771=FACE_OUTER_BOUND('',#24770,.F.); -#24773=CARTESIAN_POINT('',(-8.946774055660E0,1.3654205E1,0.E0)); -#24774=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24775=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24776=AXIS2_PLACEMENT_3D('',#24773,#24774,#24775); -#24777=PLANE('',#24776); -#24778=ORIENTED_EDGE('',*,*,#12843,.T.); -#24779=ORIENTED_EDGE('',*,*,#24606,.F.); -#24781=ORIENTED_EDGE('',*,*,#24780,.F.); -#24782=ORIENTED_EDGE('',*,*,#24765,.T.); -#24783=EDGE_LOOP('',(#24778,#24779,#24781,#24782)); -#24784=FACE_OUTER_BOUND('',#24783,.F.); -#24786=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); -#24787=DIRECTION('',(0.E0,0.E0,1.E0)); -#24788=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24789=AXIS2_PLACEMENT_3D('',#24786,#24787,#24788); -#24790=PLANE('',#24789); -#24791=ORIENTED_EDGE('',*,*,#24604,.F.); -#24792=ORIENTED_EDGE('',*,*,#24753,.T.); -#24793=ORIENTED_EDGE('',*,*,#24767,.T.); -#24794=ORIENTED_EDGE('',*,*,#24780,.T.); -#24795=EDGE_LOOP('',(#24791,#24792,#24793,#24794)); -#24796=FACE_OUTER_BOUND('',#24795,.F.); -#24798=CLOSED_SHELL('',(#13011,#13033,#13281,#13303,#13319,#13331,#13349,#13364, -#13380,#13400,#13419,#13439,#13459,#13479,#13498,#13523,#13535,#13550,#13563, -#13586,#13600,#13638,#13651,#13664,#13677,#13689,#13704,#13718,#13732,#13746, -#13760,#13774,#13788,#13801,#13817,#13831,#13843,#13857,#13869,#13883,#13895, -#13909,#13921,#13935,#13947,#13961,#13973,#13987,#13999,#14013,#14025,#14039, -#14051,#14065,#14077,#14091,#14103,#14117,#14129,#14143,#14155,#14169,#14181, -#14195,#14207,#14221,#14233,#14247,#14259,#14273,#14285,#14299,#14311,#14325, -#14337,#14351,#14363,#14377,#14389,#14403,#14415,#14429,#14441,#14455,#14467, -#14482,#14496,#14510,#14538,#14561,#14590,#14602,#14616,#14630,#14643,#14655, -#14668,#14681,#14694,#14715,#14728,#14741,#14754,#14767,#14779,#14791,#14805, -#14817,#14832,#14857,#14870,#14883,#14895,#14912,#14928,#14943,#14957,#14970, -#14986,#15000,#15013,#15025,#15040,#15065,#15078,#15091,#15103,#15120,#15136, -#15151,#15165,#15178,#15194,#15208,#15221,#15233,#15248,#15262,#15304,#15319, -#15332,#15345,#15357,#15371,#15385,#15398,#15410,#15424,#15438,#15451,#15463, -#15476,#15488,#15502,#15542,#15555,#15567,#15582,#15596,#15610,#15624,#15638, -#15652,#15666,#15680,#15694,#15708,#15722,#15735,#15755,#15770,#15784,#15798, -#15833,#15850,#15864,#15877,#15889,#15909,#15924,#15941,#15961,#15978,#15992, -#16012,#16026,#16041,#16054,#16066,#16079,#16094,#16107,#16120,#16132,#16144, -#16158,#16172,#16185,#16197,#16218,#16250,#16267,#16284,#16300,#16328,#16341, -#16355,#16371,#16384,#16396,#16412,#16423,#16438,#16452,#16466,#16479,#16491, -#16506,#16560,#16574,#16627,#16639,#16654,#16675,#16690,#16703,#16722,#16734, -#16747,#16759,#16774,#16795,#16810,#16823,#16842,#16854,#16867,#16879,#16894, -#16915,#16930,#16943,#16962,#16974,#16987,#16999,#17014,#17035,#17050,#17063, -#17082,#17094,#17107,#17119,#17134,#17155,#17168,#17188,#17202,#17214,#17227, -#17239,#17254,#17275,#17288,#17308,#17322,#17334,#17347,#17359,#17374,#17395, -#17408,#17428,#17442,#17454,#17467,#17479,#17494,#17515,#17528,#17548,#17562, -#17574,#17587,#17599,#17614,#17628,#17642,#17662,#17674,#17695,#17710,#17723, -#17736,#17748,#17769,#17784,#17797,#17810,#17822,#17842,#17857,#17870,#17883, -#17895,#17907,#17922,#17936,#17957,#17970,#17982,#17996,#18017,#18032,#18045, -#18058,#18070,#18083,#18095,#18110,#18124,#18138,#18151,#18163,#18178,#18199, -#18213,#18226,#18238,#18254,#18275,#18290,#18303,#18316,#18328,#18348,#18363, -#18376,#18389,#18401,#18420,#18436,#18449,#18465,#18480,#18493,#18509,#18524, -#18535,#18547,#18562,#18576,#18590,#18603,#18615,#18637,#18651,#18665,#18678, -#18690,#18712,#18726,#18740,#18753,#18765,#18780,#18794,#18808,#18821,#18833, -#18848,#18862,#18876,#18889,#18901,#18916,#18930,#18944,#18957,#18969,#18984, -#18998,#19012,#19025,#19037,#19052,#19066,#19080,#19093,#19105,#19120,#19134, -#19148,#19161,#19173,#19188,#19202,#19216,#19229,#19241,#19256,#19270,#19284, -#19297,#19309,#19324,#19338,#19352,#19365,#19377,#19392,#19406,#19420,#19433, -#19445,#19460,#19474,#19488,#19501,#19513,#19528,#19542,#19556,#19569,#19581, -#19596,#19610,#19624,#19637,#19649,#19664,#19678,#19692,#19705,#19717,#19732, -#19746,#19760,#19773,#19785,#19800,#19814,#19828,#19841,#19853,#19868,#19882, -#19896,#19909,#19921,#19936,#19950,#19964,#19977,#19989,#20004,#20018,#20032, -#20045,#20057,#20072,#20086,#20100,#20113,#20125,#20140,#20154,#20168,#20181, -#20193,#20208,#20222,#20236,#20249,#20261,#20276,#20290,#20304,#20317,#20329, -#20344,#20358,#20372,#20385,#20397,#20412,#20426,#20440,#20453,#20465,#20480, -#20494,#20508,#20521,#20533,#20548,#20562,#20576,#20589,#20601,#20616,#20630, -#20644,#20657,#20669,#20684,#20698,#20712,#20725,#20737,#20752,#20766,#20780, -#20793,#20805,#20820,#20834,#20848,#20861,#20873,#20888,#20902,#20916,#20929, -#20941,#20956,#20970,#20984,#20997,#21009,#21024,#21038,#21052,#21065,#21077, -#21092,#21106,#21120,#21133,#21145,#21160,#21174,#21188,#21201,#21213,#21228, -#21242,#21256,#21269,#21281,#21296,#21310,#21324,#21337,#21349,#21364,#21378, -#21392,#21405,#21417,#21432,#21446,#21460,#21473,#21485,#21500,#21514,#21528, -#21541,#21553,#21568,#21582,#21596,#21609,#21621,#21636,#21650,#21664,#21677, -#21689,#21704,#21718,#21732,#21745,#21757,#21772,#21786,#21800,#21813,#21825, -#21840,#21854,#21868,#21881,#21893,#21908,#21922,#21936,#21949,#21961,#21976, -#21990,#22004,#22017,#22029,#22044,#22058,#22072,#22085,#22097,#22112,#22126, -#22140,#22153,#22165,#22180,#22194,#22208,#22221,#22233,#22248,#22262,#22276, -#22289,#22301,#22316,#22330,#22344,#22357,#22369,#22384,#22398,#22419,#22432, -#22444,#22458,#22479,#22494,#22507,#22520,#22532,#22545,#22557,#22572,#22586, -#22600,#22620,#22632,#22646,#22667,#22682,#22695,#22708,#22720,#22733,#22745, -#22760,#22774,#22802,#22817,#22830,#22843,#22855,#22869,#22890,#22905,#22918, -#22931,#22943,#22956,#22968,#22981,#22993,#23008,#23022,#23036,#23063,#23078, -#23091,#23104,#23116,#23130,#23151,#23166,#23179,#23192,#23204,#23217,#23229, -#23241,#23256,#23270,#23291,#23304,#23316,#23330,#23358,#23373,#23386,#23399, -#23411,#23425,#23439,#23452,#23464,#23477,#23489,#23504,#23518,#23532,#23552, -#23564,#23578,#23606,#23621,#23634,#23647,#23659,#23672,#23684,#23698,#23712, -#23725,#23737,#23752,#23766,#23780,#23800,#23812,#23826,#23847,#23862,#23875, -#23888,#23900,#23913,#23925,#23940,#23954,#23968,#23995,#24007,#24021,#24035, -#24048,#24060,#24074,#24109,#24124,#24137,#24150,#24162,#24175,#24187,#24201, -#24215,#24228,#24240,#24254,#24268,#24281,#24293,#24308,#24322,#24336,#24349, -#24361,#24376,#24390,#24404,#24417,#24429,#24444,#24458,#24486,#24501,#24514, -#24527,#24539,#24552,#24564,#24578,#24613,#24628,#24641,#24654,#24666,#24679, -#24691,#24705,#24719,#24732,#24744,#24758,#24772,#24785,#24797)); -#24799=MANIFOLD_SOLID_BREP('',#24798); -#24802=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), -#24801); -#24803=(CONVERSION_BASED_UNIT('DEGREE',#24802)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); -#24805=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(7.022676094188E-3),#24800, -'distance_accuracy_value', -'Maximum model space distance between geometric entities at asserted connectivities'); -#24807=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#24808=DIRECTION('',(0.E0,0.E0,1.E0)); -#24809=DIRECTION('',(1.E0,0.E0,0.E0)); -#24812=APPLICATION_CONTEXT('automotive_design'); -#24813=APPLICATION_PROTOCOL_DEFINITION('international standard', -'automotive_design',2001,#24812); -#24814=PRODUCT_DEFINITION_CONTEXT('part definition',#24812,'design'); -#24815=PRODUCT_CONTEXT('',#24812,'mechanical'); -#24816=PRODUCT('T_DISPLAY_S3','T_DISPLAY_S3','NOT SPECIFIED',(#24815)); -#24817=PRODUCT_DEFINITION_FORMATION('7','LAST_VERSION',#24816); -#24825=DERIVED_UNIT_ELEMENT(#24824,2.E0); -#24826=DERIVED_UNIT((#24825)); -#24827=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -4.558880410804E3),#24826); -#24832=DERIVED_UNIT_ELEMENT(#24831,3.E0); -#24833=DERIVED_UNIT((#24832)); -#24834=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( -2.537333682944E3),#24833); -#24838=CARTESIAN_POINT('centre point',(1.870309576073E-1,2.763245094463E1, --5.897820472738E-1)); -#24843=DERIVED_UNIT_ELEMENT(#24842,2.E0); -#24844=DERIVED_UNIT((#24843)); -#24845=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -4.558880410804E3),#24844); -#24850=DERIVED_UNIT_ELEMENT(#24849,3.E0); -#24851=DERIVED_UNIT((#24850)); -#24852=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( -2.537333682944E3),#24851); -#24856=CARTESIAN_POINT('centre point',(1.870309576073E-1,2.763245094463E1, --5.897820472738E-1)); -#24866=CARTESIAN_POINT('centre point',(1.870309576073E-1,2.763245094463E1, --5.897820472738E-1)); -#24870=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#24871=DIRECTION('',(0.E0,0.E0,1.E0)); -#24872=DIRECTION('',(1.E0,0.E0,0.E0)); -#24873=AXIS2_PLACEMENT_3D('',#24870,#24871,#24872); -#24874=ITEM_DEFINED_TRANSFORMATION('','',#24810,#24873); -#24875=(REPRESENTATION_RELATIONSHIP('','',#24811,#24863)REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#24874)SHAPE_REPRESENTATION_RELATIONSHIP()); -#24876=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#24875,#24865); -#24878=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24879=VECTOR('',#24878,4.987E1); -#24880=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,0.E0)); -#24881=LINE('',#24880,#24879); -#24882=DIRECTION('',(1.E0,0.E0,0.E0)); -#24883=VECTOR('',#24882,2.595E1); -#24884=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,0.E0)); -#24885=LINE('',#24884,#24883); -#24886=DIRECTION('',(0.E0,1.E0,0.E0)); -#24887=VECTOR('',#24886,4.987E1); -#24888=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,0.E0)); -#24889=LINE('',#24888,#24887); -#24890=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24891=VECTOR('',#24890,2.595E1); -#24892=CARTESIAN_POINT('',(1.2975E1,2.29875E1,0.E0)); -#24893=LINE('',#24892,#24891); -#24894=DIRECTION('',(0.E0,0.E0,1.E0)); -#24895=VECTOR('',#24894,1.53E0); -#24896=CARTESIAN_POINT('',(1.2975E1,2.29875E1,0.E0)); -#24897=LINE('',#24896,#24895); -#24898=DIRECTION('',(0.E0,0.E0,-1.E0)); -#24899=VECTOR('',#24898,1.53E0); -#24900=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,1.53E0)); -#24901=LINE('',#24900,#24899); -#24902=DIRECTION('',(0.E0,0.E0,1.E0)); -#24903=VECTOR('',#24902,1.53E0); -#24904=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,0.E0)); -#24905=LINE('',#24904,#24903); -#24906=DIRECTION('',(0.E0,0.E0,1.E0)); -#24907=VECTOR('',#24906,1.53E0); -#24908=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,0.E0)); -#24909=LINE('',#24908,#24907); -#24910=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24911=VECTOR('',#24910,4.987E1); -#24912=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,1.53E0)); -#24913=LINE('',#24912,#24911); -#24914=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24915=VECTOR('',#24914,2.595E1); -#24916=CARTESIAN_POINT('',(1.2975E1,2.29875E1,1.53E0)); -#24917=LINE('',#24916,#24915); -#24918=DIRECTION('',(0.E0,1.E0,0.E0)); -#24919=VECTOR('',#24918,4.987E1); -#24920=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,1.53E0)); -#24921=LINE('',#24920,#24919); -#24922=DIRECTION('',(1.E0,0.E0,0.E0)); -#24923=VECTOR('',#24922,2.595E1); -#24924=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,1.53E0)); -#24925=LINE('',#24924,#24923); -#24926=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24927=VECTOR('',#24926,4.272E1); -#24928=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.53E0)); -#24929=LINE('',#24928,#24927); -#24930=DIRECTION('',(1.E0,0.E0,0.E0)); -#24931=VECTOR('',#24930,2.2695E1); -#24932=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.53E0)); -#24933=LINE('',#24932,#24931); -#24934=DIRECTION('',(0.E0,1.E0,0.E0)); -#24935=VECTOR('',#24934,4.272E1); -#24936=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.53E0)); -#24937=LINE('',#24936,#24935); -#24938=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24939=VECTOR('',#24938,2.2695E1); -#24940=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.53E0)); -#24941=LINE('',#24940,#24939); -#24942=DIRECTION('',(0.E0,0.E0,-1.E0)); -#24943=VECTOR('',#24942,1.E-1); -#24944=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.53E0)); -#24945=LINE('',#24944,#24943); -#24946=DIRECTION('',(0.E0,0.E0,-1.E0)); -#24947=VECTOR('',#24946,1.E-1); -#24948=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.53E0)); -#24949=LINE('',#24948,#24947); -#24950=DIRECTION('',(0.E0,0.E0,-1.E0)); -#24951=VECTOR('',#24950,1.E-1); -#24952=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.53E0)); -#24953=LINE('',#24952,#24951); -#24954=DIRECTION('',(0.E0,0.E0,-1.E0)); -#24955=VECTOR('',#24954,1.E-1); -#24956=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.53E0)); -#24957=LINE('',#24956,#24955); -#24958=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24959=VECTOR('',#24958,4.272E1); -#24960=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.43E0)); -#24961=LINE('',#24960,#24959); -#24962=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24963=VECTOR('',#24962,2.2695E1); -#24964=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.43E0)); -#24965=LINE('',#24964,#24963); -#24966=DIRECTION('',(0.E0,1.E0,0.E0)); -#24967=VECTOR('',#24966,4.272E1); -#24968=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.43E0)); -#24969=LINE('',#24968,#24967); -#24970=DIRECTION('',(1.E0,0.E0,0.E0)); -#24971=VECTOR('',#24970,2.2695E1); -#24972=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.43E0)); -#24973=LINE('',#24972,#24971); -#24974=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.43E0)); -#24975=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.43E0)); -#24976=VERTEX_POINT('',#24974); -#24977=VERTEX_POINT('',#24975); -#24978=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.43E0)); -#24979=VERTEX_POINT('',#24978); -#24980=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.43E0)); -#24981=VERTEX_POINT('',#24980); -#24982=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.53E0)); -#24983=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.53E0)); -#24984=VERTEX_POINT('',#24982); -#24985=VERTEX_POINT('',#24983); -#24986=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.53E0)); -#24987=VERTEX_POINT('',#24986); -#24988=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.53E0)); -#24989=VERTEX_POINT('',#24988); -#24990=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,0.E0)); -#24991=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,0.E0)); -#24992=VERTEX_POINT('',#24990); -#24993=VERTEX_POINT('',#24991); -#24994=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,0.E0)); -#24995=VERTEX_POINT('',#24994); -#24996=CARTESIAN_POINT('',(1.2975E1,2.29875E1,0.E0)); -#24997=VERTEX_POINT('',#24996); -#24998=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,1.53E0)); -#24999=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,1.53E0)); -#25000=VERTEX_POINT('',#24998); -#25001=VERTEX_POINT('',#24999); -#25002=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,1.53E0)); -#25003=VERTEX_POINT('',#25002); -#25004=CARTESIAN_POINT('',(1.2975E1,2.29875E1,1.53E0)); -#25005=VERTEX_POINT('',#25004); -#25006=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#25007=DIRECTION('',(0.E0,0.E0,1.E0)); -#25008=DIRECTION('',(1.E0,0.E0,0.E0)); -#25009=AXIS2_PLACEMENT_3D('',#25006,#25007,#25008); -#25010=PLANE('',#25009); -#25012=ORIENTED_EDGE('',*,*,#25011,.T.); -#25014=ORIENTED_EDGE('',*,*,#25013,.T.); -#25016=ORIENTED_EDGE('',*,*,#25015,.T.); -#25018=ORIENTED_EDGE('',*,*,#25017,.T.); -#25019=EDGE_LOOP('',(#25012,#25014,#25016,#25018)); -#25020=FACE_OUTER_BOUND('',#25019,.F.); -#25022=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,1.43E0)); -#25023=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25024=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25025=AXIS2_PLACEMENT_3D('',#25022,#25023,#25024); -#25026=PLANE('',#25025); -#25028=ORIENTED_EDGE('',*,*,#25027,.F.); -#25030=ORIENTED_EDGE('',*,*,#25029,.T.); -#25032=ORIENTED_EDGE('',*,*,#25031,.F.); -#25033=ORIENTED_EDGE('',*,*,#25011,.F.); -#25034=EDGE_LOOP('',(#25028,#25030,#25032,#25033)); -#25035=FACE_OUTER_BOUND('',#25034,.F.); -#25037=CARTESIAN_POINT('',(1.2975E1,2.29875E1,1.43E0)); -#25038=DIRECTION('',(0.E0,1.E0,0.E0)); -#25039=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25040=AXIS2_PLACEMENT_3D('',#25037,#25038,#25039); -#25041=PLANE('',#25040); -#25043=ORIENTED_EDGE('',*,*,#25042,.T.); -#25045=ORIENTED_EDGE('',*,*,#25044,.T.); -#25046=ORIENTED_EDGE('',*,*,#25027,.T.); -#25047=ORIENTED_EDGE('',*,*,#25017,.F.); -#25048=EDGE_LOOP('',(#25043,#25045,#25046,#25047)); -#25049=FACE_OUTER_BOUND('',#25048,.F.); -#25051=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,1.43E0)); -#25052=DIRECTION('',(1.E0,0.E0,0.E0)); -#25053=DIRECTION('',(0.E0,1.E0,0.E0)); -#25054=AXIS2_PLACEMENT_3D('',#25051,#25052,#25053); -#25055=PLANE('',#25054); -#25057=ORIENTED_EDGE('',*,*,#25056,.T.); -#25059=ORIENTED_EDGE('',*,*,#25058,.T.); -#25060=ORIENTED_EDGE('',*,*,#25042,.F.); -#25061=ORIENTED_EDGE('',*,*,#25015,.F.); -#25062=EDGE_LOOP('',(#25057,#25059,#25060,#25061)); -#25063=FACE_OUTER_BOUND('',#25062,.F.); -#25065=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,1.43E0)); -#25066=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25067=DIRECTION('',(1.E0,0.E0,0.E0)); -#25068=AXIS2_PLACEMENT_3D('',#25065,#25066,#25067); -#25069=PLANE('',#25068); -#25070=ORIENTED_EDGE('',*,*,#25031,.T.); -#25072=ORIENTED_EDGE('',*,*,#25071,.T.); -#25073=ORIENTED_EDGE('',*,*,#25056,.F.); -#25074=ORIENTED_EDGE('',*,*,#25013,.F.); -#25075=EDGE_LOOP('',(#25070,#25072,#25073,#25074)); -#25076=FACE_OUTER_BOUND('',#25075,.F.); -#25078=CARTESIAN_POINT('',(0.E0,0.E0,1.53E0)); -#25079=DIRECTION('',(0.E0,0.E0,1.E0)); -#25080=DIRECTION('',(1.E0,0.E0,0.E0)); -#25081=AXIS2_PLACEMENT_3D('',#25078,#25079,#25080); -#25082=PLANE('',#25081); -#25083=ORIENTED_EDGE('',*,*,#25029,.F.); -#25084=ORIENTED_EDGE('',*,*,#25044,.F.); -#25085=ORIENTED_EDGE('',*,*,#25058,.F.); -#25086=ORIENTED_EDGE('',*,*,#25071,.F.); -#25087=EDGE_LOOP('',(#25083,#25084,#25085,#25086)); -#25088=FACE_OUTER_BOUND('',#25087,.F.); -#25090=ORIENTED_EDGE('',*,*,#25089,.T.); -#25092=ORIENTED_EDGE('',*,*,#25091,.T.); -#25094=ORIENTED_EDGE('',*,*,#25093,.T.); -#25096=ORIENTED_EDGE('',*,*,#25095,.T.); -#25097=EDGE_LOOP('',(#25090,#25092,#25094,#25096)); -#25098=FACE_BOUND('',#25097,.F.); -#25100=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.53E0)); -#25101=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25102=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25103=AXIS2_PLACEMENT_3D('',#25100,#25101,#25102); -#25104=PLANE('',#25103); -#25105=ORIENTED_EDGE('',*,*,#25089,.F.); -#25107=ORIENTED_EDGE('',*,*,#25106,.T.); -#25109=ORIENTED_EDGE('',*,*,#25108,.T.); -#25111=ORIENTED_EDGE('',*,*,#25110,.F.); -#25112=EDGE_LOOP('',(#25105,#25107,#25109,#25111)); -#25113=FACE_OUTER_BOUND('',#25112,.F.); -#25115=FILL_AREA_STYLE_COLOUR('',#16); -#25116=FILL_AREA_STYLE('',(#25115)); -#25117=SURFACE_STYLE_FILL_AREA(#25116); -#25118=SURFACE_SIDE_STYLE('',(#25117)); -#25119=SURFACE_STYLE_USAGE(.POSITIVE.,#25118); -#25120=PRESENTATION_STYLE_ASSIGNMENT((#25119)); -#25121=STYLED_ITEM('',(#25120),#25114); -#25122=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.53E0)); -#25123=DIRECTION('',(0.E0,1.E0,0.E0)); -#25124=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25125=AXIS2_PLACEMENT_3D('',#25122,#25123,#25124); -#25126=PLANE('',#25125); -#25127=ORIENTED_EDGE('',*,*,#25095,.F.); -#25129=ORIENTED_EDGE('',*,*,#25128,.T.); -#25131=ORIENTED_EDGE('',*,*,#25130,.T.); -#25132=ORIENTED_EDGE('',*,*,#25106,.F.); -#25133=EDGE_LOOP('',(#25127,#25129,#25131,#25132)); -#25134=FACE_OUTER_BOUND('',#25133,.F.); -#25136=FILL_AREA_STYLE_COLOUR('',#16); -#25137=FILL_AREA_STYLE('',(#25136)); -#25138=SURFACE_STYLE_FILL_AREA(#25137); -#25139=SURFACE_SIDE_STYLE('',(#25138)); -#25140=SURFACE_STYLE_USAGE(.POSITIVE.,#25139); -#25141=PRESENTATION_STYLE_ASSIGNMENT((#25140)); -#25142=STYLED_ITEM('',(#25141),#25135); -#25143=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.53E0)); -#25144=DIRECTION('',(1.E0,0.E0,0.E0)); -#25145=DIRECTION('',(0.E0,1.E0,0.E0)); -#25146=AXIS2_PLACEMENT_3D('',#25143,#25144,#25145); -#25147=PLANE('',#25146); -#25148=ORIENTED_EDGE('',*,*,#25093,.F.); -#25150=ORIENTED_EDGE('',*,*,#25149,.T.); -#25152=ORIENTED_EDGE('',*,*,#25151,.T.); -#25153=ORIENTED_EDGE('',*,*,#25128,.F.); -#25154=EDGE_LOOP('',(#25148,#25150,#25152,#25153)); -#25155=FACE_OUTER_BOUND('',#25154,.F.); -#25157=FILL_AREA_STYLE_COLOUR('',#16); -#25158=FILL_AREA_STYLE('',(#25157)); -#25159=SURFACE_STYLE_FILL_AREA(#25158); -#25160=SURFACE_SIDE_STYLE('',(#25159)); -#25161=SURFACE_STYLE_USAGE(.POSITIVE.,#25160); -#25162=PRESENTATION_STYLE_ASSIGNMENT((#25161)); -#25163=STYLED_ITEM('',(#25162),#25156); -#25164=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.53E0)); -#25165=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25166=DIRECTION('',(1.E0,0.E0,0.E0)); -#25167=AXIS2_PLACEMENT_3D('',#25164,#25165,#25166); -#25168=PLANE('',#25167); -#25169=ORIENTED_EDGE('',*,*,#25091,.F.); -#25170=ORIENTED_EDGE('',*,*,#25110,.T.); -#25172=ORIENTED_EDGE('',*,*,#25171,.T.); -#25173=ORIENTED_EDGE('',*,*,#25149,.F.); -#25174=EDGE_LOOP('',(#25169,#25170,#25172,#25173)); -#25175=FACE_OUTER_BOUND('',#25174,.F.); -#25177=FILL_AREA_STYLE_COLOUR('',#16); -#25178=FILL_AREA_STYLE('',(#25177)); -#25179=SURFACE_STYLE_FILL_AREA(#25178); -#25180=SURFACE_SIDE_STYLE('',(#25179)); -#25181=SURFACE_STYLE_USAGE(.POSITIVE.,#25180); -#25182=PRESENTATION_STYLE_ASSIGNMENT((#25181)); -#25183=STYLED_ITEM('',(#25182),#25176); -#25184=CARTESIAN_POINT('',(0.E0,0.E0,1.43E0)); -#25185=DIRECTION('',(0.E0,0.E0,1.E0)); -#25186=DIRECTION('',(1.E0,0.E0,0.E0)); -#25187=AXIS2_PLACEMENT_3D('',#25184,#25185,#25186); -#25188=PLANE('',#25187); -#25189=ORIENTED_EDGE('',*,*,#25108,.F.); -#25190=ORIENTED_EDGE('',*,*,#25130,.F.); -#25191=ORIENTED_EDGE('',*,*,#25151,.F.); -#25192=ORIENTED_EDGE('',*,*,#25171,.F.); -#25193=EDGE_LOOP('',(#25189,#25190,#25191,#25192)); -#25194=FACE_OUTER_BOUND('',#25193,.F.); -#25196=FILL_AREA_STYLE_COLOUR('',#16); -#25197=FILL_AREA_STYLE('',(#25196)); -#25198=SURFACE_STYLE_FILL_AREA(#25197); -#25199=SURFACE_SIDE_STYLE('',(#25198)); -#25200=SURFACE_STYLE_USAGE(.POSITIVE.,#25199); -#25201=PRESENTATION_STYLE_ASSIGNMENT((#25200)); -#25202=STYLED_ITEM('',(#25201),#25195); -#25203=CLOSED_SHELL('',(#25021,#25036,#25050,#25064,#25077,#25099,#25114,#25135, -#25156,#25176,#25195)); -#25204=MANIFOLD_SOLID_BREP('',#25203); -#25207=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), -#25206); -#25208=(CONVERSION_BASED_UNIT('DEGREE',#25207)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); -#25210=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.011955567581E-2),#25205, -'distance_accuracy_value', -'Maximum model space distance between geometric entities at asserted connectivities'); -#25212=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#25213=DIRECTION('',(0.E0,0.E0,1.E0)); -#25214=DIRECTION('',(1.E0,0.E0,0.E0)); -#25217=PRODUCT_CONTEXT('',#24812,'mechanical'); -#25218=PRODUCT('LCD-NFP190B','LCD-NFP190B','NOT SPECIFIED',(#25217)); -#25219=PRODUCT_DEFINITION_FORMATION('5','LAST_VERSION',#25218); -#25227=DERIVED_UNIT_ELEMENT(#25226,2.E0); -#25228=DERIVED_UNIT((#25227)); -#25229=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -2.8333452E3),#25228); -#25234=DERIVED_UNIT_ELEMENT(#25233,3.E0); -#25235=DERIVED_UNIT((#25234)); -#25236=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE -(1.883060505E3),#25235); -#25240=CARTESIAN_POINT('centre point',(0.E0,-2.047770832986E0, -7.281868315352E-1)); -#25245=DERIVED_UNIT_ELEMENT(#25244,2.E0); -#25246=DERIVED_UNIT((#25245)); -#25247=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -2.8333452E3),#25246); -#25252=DERIVED_UNIT_ELEMENT(#25251,3.E0); -#25253=DERIVED_UNIT((#25252)); -#25254=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE -(1.883060505E3),#25253); -#25258=CARTESIAN_POINT('centre point',(0.E0,-2.047770832986E0, -7.281868315352E-1)); -#25265=CARTESIAN_POINT('centre point',(0.E0,3.149693716701E1, --5.578186831535E0)); -#25269=CARTESIAN_POINT('',(0.E0,3.3544708E1,-4.85E0)); -#25270=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25271=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25272=AXIS2_PLACEMENT_3D('',#25269,#25270,#25271); -#25273=ITEM_DEFINED_TRANSFORMATION('','',#25215,#25272); -#25274=(REPRESENTATION_RELATIONSHIP('','',#25216,#24863)REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#25273)SHAPE_REPRESENTATION_RELATIONSHIP()); -#25275=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#25274,#25264); -#25277=CARTESIAN_POINT('',(1.15E0,6.1E1,-1.2E0)); -#25278=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25279=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25280=AXIS2_PLACEMENT_3D('',#25277,#25278,#25279); -#25282=DRAUGHTING_PRE_DEFINED_CURVE_FONT('continuous'); -#25283=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25284=PRESENTATION_STYLE_ASSIGNMENT((#25283)); -#25285=STYLED_ITEM('',(#25284),#25281); -#25286=CARTESIAN_POINT('',(2.465E1,6.1E1,-1.2E0)); -#25287=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25288=DIRECTION('',(0.E0,1.E0,0.E0)); -#25289=AXIS2_PLACEMENT_3D('',#25286,#25287,#25288); -#25291=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25292=PRESENTATION_STYLE_ASSIGNMENT((#25291)); -#25293=STYLED_ITEM('',(#25292),#25290); -#25294=CARTESIAN_POINT('',(2.28E1,5.45E1,-1.2E0)); -#25295=DIRECTION('',(0.E0,0.E0,1.E0)); -#25296=DIRECTION('',(0.E0,1.E0,0.E0)); -#25297=AXIS2_PLACEMENT_3D('',#25294,#25295,#25296); -#25299=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25300=PRESENTATION_STYLE_ASSIGNMENT((#25299)); -#25301=STYLED_ITEM('',(#25300),#25298); -#25302=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25303=VECTOR('',#25302,1.18E1); -#25304=CARTESIAN_POINT('',(2.18E1,5.45E1,-1.2E0)); -#25305=LINE('',#25304,#25303); -#25306=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25307=PRESENTATION_STYLE_ASSIGNMENT((#25306)); -#25308=STYLED_ITEM('',(#25307),#25305); -#25309=CARTESIAN_POINT('',(2.03E1,4.27E1,-1.2E0)); -#25310=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25311=DIRECTION('',(1.E0,0.E0,0.E0)); -#25312=AXIS2_PLACEMENT_3D('',#25309,#25310,#25311); -#25314=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25315=PRESENTATION_STYLE_ASSIGNMENT((#25314)); -#25316=STYLED_ITEM('',(#25315),#25313); -#25317=CARTESIAN_POINT('',(5.5E0,4.27E1,-1.2E0)); -#25318=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25319=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25320=AXIS2_PLACEMENT_3D('',#25317,#25318,#25319); -#25322=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25323=PRESENTATION_STYLE_ASSIGNMENT((#25322)); -#25324=STYLED_ITEM('',(#25323),#25321); -#25325=DIRECTION('',(0.E0,1.E0,0.E0)); -#25326=VECTOR('',#25325,1.18E1); -#25327=CARTESIAN_POINT('',(4.E0,4.27E1,-1.2E0)); -#25328=LINE('',#25327,#25326); -#25329=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25330=PRESENTATION_STYLE_ASSIGNMENT((#25329)); -#25331=STYLED_ITEM('',(#25330),#25328); -#25332=CARTESIAN_POINT('',(3.E0,5.45E1,-1.2E0)); -#25333=DIRECTION('',(0.E0,0.E0,1.E0)); -#25334=DIRECTION('',(1.E0,0.E0,0.E0)); -#25335=AXIS2_PLACEMENT_3D('',#25332,#25333,#25334); -#25337=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25338=PRESENTATION_STYLE_ASSIGNMENT((#25337)); -#25339=STYLED_ITEM('',(#25338),#25336); -#25340=CARTESIAN_POINT('',(2.2832E1,5.8858E1,-1.2E0)); -#25341=DIRECTION('',(0.E0,0.E0,1.E0)); -#25342=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25343=AXIS2_PLACEMENT_3D('',#25340,#25341,#25342); -#25345=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25346=PRESENTATION_STYLE_ASSIGNMENT((#25345)); -#25347=STYLED_ITEM('',(#25346),#25344); -#25348=CARTESIAN_POINT('',(2.2832E1,5.8858E1,-1.2E0)); -#25349=DIRECTION('',(0.E0,0.E0,1.E0)); -#25350=DIRECTION('',(0.E0,1.E0,0.E0)); -#25351=AXIS2_PLACEMENT_3D('',#25348,#25349,#25350); -#25353=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25354=PRESENTATION_STYLE_ASSIGNMENT((#25353)); -#25355=STYLED_ITEM('',(#25354),#25352); -#25356=CARTESIAN_POINT('',(2.821E0,5.8862E1,-1.2E0)); -#25357=DIRECTION('',(0.E0,0.E0,1.E0)); -#25358=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25359=AXIS2_PLACEMENT_3D('',#25356,#25357,#25358); -#25361=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25362=PRESENTATION_STYLE_ASSIGNMENT((#25361)); -#25363=STYLED_ITEM('',(#25362),#25360); -#25364=CARTESIAN_POINT('',(2.821E0,5.8862E1,-1.2E0)); -#25365=DIRECTION('',(0.E0,0.E0,1.E0)); -#25366=DIRECTION('',(0.E0,1.E0,0.E0)); -#25367=AXIS2_PLACEMENT_3D('',#25364,#25365,#25366); -#25369=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25370=PRESENTATION_STYLE_ASSIGNMENT((#25369)); -#25371=STYLED_ITEM('',(#25370),#25368); -#25372=DIRECTION('',(1.E0,0.E0,0.E0)); -#25373=VECTOR('',#25372,2.85E0); -#25374=CARTESIAN_POINT('',(1.5E-1,5.55E1,-1.2E0)); -#25375=LINE('',#25374,#25373); -#25376=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25377=PRESENTATION_STYLE_ASSIGNMENT((#25376)); -#25378=STYLED_ITEM('',(#25377),#25375); -#25379=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25380=VECTOR('',#25379,2.85E0); -#25381=CARTESIAN_POINT('',(3.E0,5.55E1,-3.3E0)); -#25382=LINE('',#25381,#25380); -#25383=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25384=PRESENTATION_STYLE_ASSIGNMENT((#25383)); -#25385=STYLED_ITEM('',(#25384),#25382); -#25386=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25387=VECTOR('',#25386,1.6E0); -#25388=CARTESIAN_POINT('',(1.5E-1,5.78E1,-4.4E0)); -#25389=LINE('',#25388,#25387); -#25390=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25391=PRESENTATION_STYLE_ASSIGNMENT((#25390)); -#25392=STYLED_ITEM('',(#25391),#25389); -#25393=DIRECTION('',(0.E0,1.E0,0.E0)); -#25394=VECTOR('',#25393,3.2E0); -#25395=CARTESIAN_POINT('',(1.5E-1,5.78E1,-6.E0)); -#25396=LINE('',#25395,#25394); -#25397=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25398=PRESENTATION_STYLE_ASSIGNMENT((#25397)); -#25399=STYLED_ITEM('',(#25398),#25396); -#25400=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25401=VECTOR('',#25400,5.5E0); -#25402=CARTESIAN_POINT('',(1.5E-1,6.1E1,-1.2E0)); -#25403=LINE('',#25402,#25401); -#25404=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25405=PRESENTATION_STYLE_ASSIGNMENT((#25404)); -#25406=STYLED_ITEM('',(#25405),#25403); -#25407=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25408=VECTOR('',#25407,2.1E0); -#25409=CARTESIAN_POINT('',(1.5E-1,5.55E1,-1.2E0)); -#25410=LINE('',#25409,#25408); -#25411=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25412=PRESENTATION_STYLE_ASSIGNMENT((#25411)); -#25413=STYLED_ITEM('',(#25412),#25410); -#25414=DIRECTION('',(0.E0,0.E0,1.E0)); -#25415=VECTOR('',#25414,2.1E0); -#25416=CARTESIAN_POINT('',(1.5E-1,2.3E1,-3.3E0)); -#25417=LINE('',#25416,#25415); -#25418=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25419=PRESENTATION_STYLE_ASSIGNMENT((#25418)); -#25420=STYLED_ITEM('',(#25419),#25417); -#25421=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25422=VECTOR('',#25421,1.62E1); -#25423=CARTESIAN_POINT('',(1.5E-1,2.3E1,-1.2E0)); -#25424=LINE('',#25423,#25422); -#25425=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25426=PRESENTATION_STYLE_ASSIGNMENT((#25425)); -#25427=STYLED_ITEM('',(#25426),#25424); -#25428=DIRECTION('',(0.E0,1.E0,0.E0)); -#25429=VECTOR('',#25428,8.E-1); -#25430=CARTESIAN_POINT('',(1.5E-1,6.8E0,-6.E0)); -#25431=LINE('',#25430,#25429); -#25432=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25433=PRESENTATION_STYLE_ASSIGNMENT((#25432)); -#25434=STYLED_ITEM('',(#25433),#25431); -#25435=DIRECTION('',(0.E0,1.E0,0.E0)); -#25436=VECTOR('',#25435,5.02E1); -#25437=CARTESIAN_POINT('',(1.5E-1,7.6E0,-4.4E0)); -#25438=LINE('',#25437,#25436); -#25439=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25440=PRESENTATION_STYLE_ASSIGNMENT((#25439)); -#25441=STYLED_ITEM('',(#25440),#25438); -#25442=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25443=VECTOR('',#25442,2.55E1); -#25444=CARTESIAN_POINT('',(2.565E1,5.78E1,-6.E0)); -#25445=LINE('',#25444,#25443); -#25446=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25447=PRESENTATION_STYLE_ASSIGNMENT((#25446)); -#25448=STYLED_ITEM('',(#25447),#25445); -#25449=DIRECTION('',(1.E0,0.E0,0.E0)); -#25450=VECTOR('',#25449,2.55E1); -#25451=CARTESIAN_POINT('',(1.5E-1,5.78E1,-4.4E0)); -#25452=LINE('',#25451,#25450); -#25453=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25454=PRESENTATION_STYLE_ASSIGNMENT((#25453)); -#25455=STYLED_ITEM('',(#25454),#25452); -#25456=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25457=VECTOR('',#25456,1.6E0); -#25458=CARTESIAN_POINT('',(2.565E1,5.78E1,-4.4E0)); -#25459=LINE('',#25458,#25457); -#25460=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25461=PRESENTATION_STYLE_ASSIGNMENT((#25460)); -#25462=STYLED_ITEM('',(#25461),#25459); -#25463=CARTESIAN_POINT('',(2.465E1,6.1E1,-6.E0)); -#25464=DIRECTION('',(0.E0,0.E0,1.E0)); -#25465=DIRECTION('',(1.E0,0.E0,0.E0)); -#25466=AXIS2_PLACEMENT_3D('',#25463,#25464,#25465); -#25468=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25469=PRESENTATION_STYLE_ASSIGNMENT((#25468)); -#25470=STYLED_ITEM('',(#25469),#25467); -#25471=CARTESIAN_POINT('',(1.15E0,6.1E1,-6.E0)); -#25472=DIRECTION('',(0.E0,0.E0,1.E0)); -#25473=DIRECTION('',(0.E0,1.E0,0.E0)); -#25474=AXIS2_PLACEMENT_3D('',#25471,#25472,#25473); -#25476=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25477=PRESENTATION_STYLE_ASSIGNMENT((#25476)); -#25478=STYLED_ITEM('',(#25477),#25475); -#25479=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25480=VECTOR('',#25479,3.2E0); -#25481=CARTESIAN_POINT('',(2.565E1,6.1E1,-6.E0)); -#25482=LINE('',#25481,#25480); -#25483=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25484=PRESENTATION_STYLE_ASSIGNMENT((#25483)); -#25485=STYLED_ITEM('',(#25484),#25482); -#25486=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25487=VECTOR('',#25486,8.E-1); -#25488=CARTESIAN_POINT('',(2.565E1,7.6E0,-6.E0)); -#25489=LINE('',#25488,#25487); -#25490=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25491=PRESENTATION_STYLE_ASSIGNMENT((#25490)); -#25492=STYLED_ITEM('',(#25491),#25489); -#25493=DIRECTION('',(0.E0,1.E0,0.E0)); -#25494=VECTOR('',#25493,1.62E1); -#25495=CARTESIAN_POINT('',(2.565E1,6.8E0,-1.2E0)); -#25496=LINE('',#25495,#25494); -#25497=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25498=PRESENTATION_STYLE_ASSIGNMENT((#25497)); -#25499=STYLED_ITEM('',(#25498),#25496); -#25500=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25501=VECTOR('',#25500,2.1E0); -#25502=CARTESIAN_POINT('',(2.565E1,2.3E1,-1.2E0)); -#25503=LINE('',#25502,#25501); -#25504=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25505=PRESENTATION_STYLE_ASSIGNMENT((#25504)); -#25506=STYLED_ITEM('',(#25505),#25503); -#25507=DIRECTION('',(0.E0,1.E0,0.E0)); -#25508=VECTOR('',#25507,3.25E1); -#25509=CARTESIAN_POINT('',(2.565E1,2.3E1,-3.3E0)); -#25510=LINE('',#25509,#25508); -#25511=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25512=PRESENTATION_STYLE_ASSIGNMENT((#25511)); -#25513=STYLED_ITEM('',(#25512),#25510); -#25514=DIRECTION('',(0.E0,1.E0,0.E0)); -#25515=VECTOR('',#25514,5.5E0); -#25516=CARTESIAN_POINT('',(2.565E1,5.55E1,-1.2E0)); -#25517=LINE('',#25516,#25515); -#25518=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25519=PRESENTATION_STYLE_ASSIGNMENT((#25518)); -#25520=STYLED_ITEM('',(#25519),#25517); -#25521=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25522=VECTOR('',#25521,4.8E0); -#25523=CARTESIAN_POINT('',(2.465E1,6.2E1,-1.2E0)); -#25524=LINE('',#25523,#25522); -#25525=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25526=PRESENTATION_STYLE_ASSIGNMENT((#25525)); -#25527=STYLED_ITEM('',(#25526),#25524); -#25528=DIRECTION('',(0.E0,0.E0,1.E0)); -#25529=VECTOR('',#25528,4.8E0); -#25530=CARTESIAN_POINT('',(2.565E1,6.1E1,-6.E0)); -#25531=LINE('',#25530,#25529); -#25532=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25533=PRESENTATION_STYLE_ASSIGNMENT((#25532)); -#25534=STYLED_ITEM('',(#25533),#25531); -#25535=DIRECTION('',(1.E0,0.E0,0.E0)); -#25536=VECTOR('',#25535,2.35E1); -#25537=CARTESIAN_POINT('',(1.15E0,6.2E1,-6.E0)); -#25538=LINE('',#25537,#25536); -#25539=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25540=PRESENTATION_STYLE_ASSIGNMENT((#25539)); -#25541=STYLED_ITEM('',(#25540),#25538); -#25542=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25543=VECTOR('',#25542,2.35E1); -#25544=CARTESIAN_POINT('',(2.465E1,6.2E1,-1.2E0)); -#25545=LINE('',#25544,#25543); -#25546=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25547=PRESENTATION_STYLE_ASSIGNMENT((#25546)); -#25548=STYLED_ITEM('',(#25547),#25545); -#25549=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25550=VECTOR('',#25549,4.8E0); -#25551=CARTESIAN_POINT('',(1.5E-1,6.1E1,-1.2E0)); -#25552=LINE('',#25551,#25550); -#25553=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25554=PRESENTATION_STYLE_ASSIGNMENT((#25553)); -#25555=STYLED_ITEM('',(#25554),#25552); -#25556=DIRECTION('',(0.E0,0.E0,1.E0)); -#25557=VECTOR('',#25556,4.8E0); -#25558=CARTESIAN_POINT('',(1.15E0,6.2E1,-6.E0)); -#25559=LINE('',#25558,#25557); -#25560=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25561=PRESENTATION_STYLE_ASSIGNMENT((#25560)); -#25562=STYLED_ITEM('',(#25561),#25559); -#25563=CARTESIAN_POINT('',(4.55E0,1.06E1,-4.4E0)); -#25564=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25565=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25566=AXIS2_PLACEMENT_3D('',#25563,#25564,#25565); -#25568=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25569=PRESENTATION_STYLE_ASSIGNMENT((#25568)); -#25570=STYLED_ITEM('',(#25569),#25567); -#25571=CARTESIAN_POINT('',(2.33E1,1.06E1,-4.4E0)); -#25572=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25573=DIRECTION('',(0.E0,1.E0,0.E0)); -#25574=AXIS2_PLACEMENT_3D('',#25571,#25572,#25573); -#25576=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25577=PRESENTATION_STYLE_ASSIGNMENT((#25576)); -#25578=STYLED_ITEM('',(#25577),#25575); -#25579=CARTESIAN_POINT('',(2.33E1,8.6E0,-4.4E0)); -#25580=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25581=DIRECTION('',(1.E0,0.E0,0.E0)); -#25582=AXIS2_PLACEMENT_3D('',#25579,#25580,#25581); -#25584=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25585=PRESENTATION_STYLE_ASSIGNMENT((#25584)); -#25586=STYLED_ITEM('',(#25585),#25583); -#25587=DIRECTION('',(1.E0,0.E0,0.E0)); -#25588=VECTOR('',#25587,2.35E0); -#25589=CARTESIAN_POINT('',(2.33E1,7.6E0,-4.4E0)); -#25590=LINE('',#25589,#25588); -#25591=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25592=PRESENTATION_STYLE_ASSIGNMENT((#25591)); -#25593=STYLED_ITEM('',(#25592),#25590); -#25594=DIRECTION('',(0.E0,1.E0,0.E0)); -#25595=VECTOR('',#25594,5.02E1); -#25596=CARTESIAN_POINT('',(2.565E1,7.6E0,-4.4E0)); -#25597=LINE('',#25596,#25595); -#25598=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25599=PRESENTATION_STYLE_ASSIGNMENT((#25598)); -#25600=STYLED_ITEM('',(#25599),#25597); -#25601=DIRECTION('',(1.E0,0.E0,0.E0)); -#25602=VECTOR('',#25601,4.4E0); -#25603=CARTESIAN_POINT('',(1.5E-1,7.6E0,-4.4E0)); -#25604=LINE('',#25603,#25602); -#25605=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25606=PRESENTATION_STYLE_ASSIGNMENT((#25605)); -#25607=STYLED_ITEM('',(#25606),#25604); -#25608=CARTESIAN_POINT('',(4.55E0,8.6E0,-4.4E0)); -#25609=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25610=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25611=AXIS2_PLACEMENT_3D('',#25608,#25609,#25610); -#25613=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25614=PRESENTATION_STYLE_ASSIGNMENT((#25613)); -#25615=STYLED_ITEM('',(#25614),#25612); -#25616=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25617=VECTOR('',#25616,2.E0); -#25618=CARTESIAN_POINT('',(3.55E0,1.06E1,-4.4E0)); -#25619=LINE('',#25618,#25617); -#25620=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25621=PRESENTATION_STYLE_ASSIGNMENT((#25620)); -#25622=STYLED_ITEM('',(#25621),#25619); -#25623=DIRECTION('',(0.E0,1.E0,0.E0)); -#25624=VECTOR('',#25623,8.051282473010E0); -#25625=CARTESIAN_POINT('',(3.55E0,8.6E0,-1.2E0)); -#25626=LINE('',#25625,#25624); -#25627=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25628=PRESENTATION_STYLE_ASSIGNMENT((#25627)); -#25629=STYLED_ITEM('',(#25628),#25626); -#25630=DIRECTION('',(0.E0,0.E0,1.E0)); -#25631=VECTOR('',#25630,1.1E0); -#25632=CARTESIAN_POINT('',(3.55E0,1.06E1,-4.4E0)); -#25633=LINE('',#25632,#25631); -#25634=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25635=PRESENTATION_STYLE_ASSIGNMENT((#25634)); -#25636=STYLED_ITEM('',(#25635),#25633); -#25637=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25638=VECTOR('',#25637,1.1E0); -#25639=CARTESIAN_POINT('',(4.55E0,1.16E1,-3.3E0)); -#25640=LINE('',#25639,#25638); -#25641=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25642=PRESENTATION_STYLE_ASSIGNMENT((#25641)); -#25643=STYLED_ITEM('',(#25642),#25640); -#25644=CARTESIAN_POINT('',(4.55E0,1.06E1,-3.3E0)); -#25645=DIRECTION('',(0.E0,0.E0,1.E0)); -#25646=DIRECTION('',(0.E0,1.E0,0.E0)); -#25647=AXIS2_PLACEMENT_3D('',#25644,#25645,#25646); -#25649=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25650=PRESENTATION_STYLE_ASSIGNMENT((#25649)); -#25651=STYLED_ITEM('',(#25650),#25648); -#25652=DIRECTION('',(0.E0,1.E0,0.E0)); -#25653=VECTOR('',#25652,6.051282473010E0); -#25654=CARTESIAN_POINT('',(3.55E0,1.06E1,-3.3E0)); -#25655=LINE('',#25654,#25653); -#25656=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25657=PRESENTATION_STYLE_ASSIGNMENT((#25656)); -#25658=STYLED_ITEM('',(#25657),#25655); -#25659=CARTESIAN_POINT('',(4.55E0,1.665128247301E1,-3.3E0)); -#25660=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25661=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25662=AXIS2_PLACEMENT_3D('',#25659,#25660,#25661); -#25664=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25665=PRESENTATION_STYLE_ASSIGNMENT((#25664)); -#25666=STYLED_ITEM('',(#25665),#25663); -#25667=CARTESIAN_POINT('',(4.1E0,1.86E1,-3.3E0)); -#25668=DIRECTION('',(0.E0,0.E0,1.E0)); -#25669=DIRECTION('',(2.250000130785E-1,-9.743587604752E-1,0.E0)); -#25670=AXIS2_PLACEMENT_3D('',#25667,#25668,#25669); -#25672=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25673=PRESENTATION_STYLE_ASSIGNMENT((#25672)); -#25674=STYLED_ITEM('',(#25673),#25671); -#25675=CARTESIAN_POINT('',(4.1E0,2.2E1,-3.3E0)); -#25676=DIRECTION('',(0.E0,0.E0,1.E0)); -#25677=DIRECTION('',(1.E0,0.E0,0.E0)); -#25678=AXIS2_PLACEMENT_3D('',#25675,#25676,#25677); -#25680=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25681=PRESENTATION_STYLE_ASSIGNMENT((#25680)); -#25682=STYLED_ITEM('',(#25681),#25679); -#25683=DIRECTION('',(0.E0,1.E0,0.E0)); -#25684=VECTOR('',#25683,3.25E1); -#25685=CARTESIAN_POINT('',(1.5E-1,2.3E1,-3.3E0)); -#25686=LINE('',#25685,#25684); -#25687=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25688=PRESENTATION_STYLE_ASSIGNMENT((#25687)); -#25689=STYLED_ITEM('',(#25688),#25686); -#25690=CARTESIAN_POINT('',(3.E0,5.45E1,-3.3E0)); -#25691=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25692=DIRECTION('',(0.E0,1.E0,0.E0)); -#25693=AXIS2_PLACEMENT_3D('',#25690,#25691,#25692); -#25695=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25696=PRESENTATION_STYLE_ASSIGNMENT((#25695)); -#25697=STYLED_ITEM('',(#25696),#25694); -#25698=CARTESIAN_POINT('',(5.5E0,4.27E1,-3.3E0)); -#25699=DIRECTION('',(0.E0,0.E0,1.E0)); -#25700=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25701=AXIS2_PLACEMENT_3D('',#25698,#25699,#25700); -#25703=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25704=PRESENTATION_STYLE_ASSIGNMENT((#25703)); -#25705=STYLED_ITEM('',(#25704),#25702); -#25706=DIRECTION('',(1.E0,0.E0,0.E0)); -#25707=VECTOR('',#25706,1.48E1); -#25708=CARTESIAN_POINT('',(5.5E0,4.12E1,-3.3E0)); -#25709=LINE('',#25708,#25707); -#25710=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25711=PRESENTATION_STYLE_ASSIGNMENT((#25710)); -#25712=STYLED_ITEM('',(#25711),#25709); -#25713=CARTESIAN_POINT('',(2.03E1,4.27E1,-3.3E0)); -#25714=DIRECTION('',(0.E0,0.E0,1.E0)); -#25715=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25716=AXIS2_PLACEMENT_3D('',#25713,#25714,#25715); -#25718=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25719=PRESENTATION_STYLE_ASSIGNMENT((#25718)); -#25720=STYLED_ITEM('',(#25719),#25717); -#25721=CARTESIAN_POINT('',(2.28E1,5.45E1,-3.3E0)); -#25722=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25723=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25724=AXIS2_PLACEMENT_3D('',#25721,#25722,#25723); -#25726=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25727=PRESENTATION_STYLE_ASSIGNMENT((#25726)); -#25728=STYLED_ITEM('',(#25727),#25725); -#25729=CARTESIAN_POINT('',(2.17E1,2.2E1,-3.3E0)); -#25730=DIRECTION('',(0.E0,0.E0,1.E0)); -#25731=DIRECTION('',(0.E0,1.E0,0.E0)); -#25732=AXIS2_PLACEMENT_3D('',#25729,#25730,#25731); -#25734=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25735=PRESENTATION_STYLE_ASSIGNMENT((#25734)); -#25736=STYLED_ITEM('',(#25735),#25733); -#25737=CARTESIAN_POINT('',(2.17E1,1.86E1,-3.3E0)); -#25738=DIRECTION('',(0.E0,0.E0,1.E0)); -#25739=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25740=AXIS2_PLACEMENT_3D('',#25737,#25738,#25739); -#25742=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25743=PRESENTATION_STYLE_ASSIGNMENT((#25742)); -#25744=STYLED_ITEM('',(#25743),#25741); -#25745=CARTESIAN_POINT('',(2.33E1,1.66E1,-3.3E0)); -#25746=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25747=DIRECTION('',(0.E0,1.E0,0.E0)); -#25748=AXIS2_PLACEMENT_3D('',#25745,#25746,#25747); -#25750=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25751=PRESENTATION_STYLE_ASSIGNMENT((#25750)); -#25752=STYLED_ITEM('',(#25751),#25749); -#25753=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25754=VECTOR('',#25753,6.E0); -#25755=CARTESIAN_POINT('',(2.43E1,1.66E1,-3.3E0)); -#25756=LINE('',#25755,#25754); -#25757=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25758=PRESENTATION_STYLE_ASSIGNMENT((#25757)); -#25759=STYLED_ITEM('',(#25758),#25756); -#25760=CARTESIAN_POINT('',(2.33E1,1.06E1,-3.3E0)); -#25761=DIRECTION('',(0.E0,0.E0,1.E0)); -#25762=DIRECTION('',(1.E0,0.E0,0.E0)); -#25763=AXIS2_PLACEMENT_3D('',#25760,#25761,#25762); -#25765=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25766=PRESENTATION_STYLE_ASSIGNMENT((#25765)); -#25767=STYLED_ITEM('',(#25766),#25764); -#25768=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25769=VECTOR('',#25768,1.875E1); -#25770=CARTESIAN_POINT('',(2.33E1,1.16E1,-4.4E0)); -#25771=LINE('',#25770,#25769); -#25772=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25773=PRESENTATION_STYLE_ASSIGNMENT((#25772)); -#25774=STYLED_ITEM('',(#25773),#25771); -#25775=DIRECTION('',(1.E0,0.E0,0.E0)); -#25776=VECTOR('',#25775,1.875E1); -#25777=CARTESIAN_POINT('',(4.55E0,1.16E1,-3.3E0)); -#25778=LINE('',#25777,#25776); -#25779=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25780=PRESENTATION_STYLE_ASSIGNMENT((#25779)); -#25781=STYLED_ITEM('',(#25780),#25778); -#25782=DIRECTION('',(0.E0,0.E0,1.E0)); -#25783=VECTOR('',#25782,1.1E0); -#25784=CARTESIAN_POINT('',(2.33E1,1.16E1,-4.4E0)); -#25785=LINE('',#25784,#25783); -#25786=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25787=PRESENTATION_STYLE_ASSIGNMENT((#25786)); -#25788=STYLED_ITEM('',(#25787),#25785); -#25789=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25790=VECTOR('',#25789,1.1E0); -#25791=CARTESIAN_POINT('',(2.43E1,1.06E1,-3.3E0)); -#25792=LINE('',#25791,#25790); -#25793=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25794=PRESENTATION_STYLE_ASSIGNMENT((#25793)); -#25795=STYLED_ITEM('',(#25794),#25792); -#25796=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25797=VECTOR('',#25796,8.E0); -#25798=CARTESIAN_POINT('',(2.43E1,1.66E1,-1.2E0)); -#25799=LINE('',#25798,#25797); -#25800=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25801=PRESENTATION_STYLE_ASSIGNMENT((#25800)); -#25802=STYLED_ITEM('',(#25801),#25799); -#25803=DIRECTION('',(0.E0,1.E0,0.E0)); -#25804=VECTOR('',#25803,2.E0); -#25805=CARTESIAN_POINT('',(2.43E1,8.6E0,-4.4E0)); -#25806=LINE('',#25805,#25804); -#25807=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25808=PRESENTATION_STYLE_ASSIGNMENT((#25807)); -#25809=STYLED_ITEM('',(#25808),#25806); -#25810=DIRECTION('',(0.E0,0.E0,1.E0)); -#25811=VECTOR('',#25810,2.1E0); -#25812=CARTESIAN_POINT('',(2.33E1,1.76E1,-3.3E0)); -#25813=LINE('',#25812,#25811); -#25814=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25815=PRESENTATION_STYLE_ASSIGNMENT((#25814)); -#25816=STYLED_ITEM('',(#25815),#25813); -#25817=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25818=VECTOR('',#25817,2.1E0); -#25819=CARTESIAN_POINT('',(2.43E1,1.66E1,-1.2E0)); -#25820=LINE('',#25819,#25818); -#25821=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25822=PRESENTATION_STYLE_ASSIGNMENT((#25821)); -#25823=STYLED_ITEM('',(#25822),#25820); -#25824=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25825=VECTOR('',#25824,1.6E0); -#25826=CARTESIAN_POINT('',(2.33E1,1.76E1,-3.3E0)); -#25827=LINE('',#25826,#25825); -#25828=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25829=PRESENTATION_STYLE_ASSIGNMENT((#25828)); -#25830=STYLED_ITEM('',(#25829),#25827); -#25831=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25832=VECTOR('',#25831,2.1E0); -#25833=CARTESIAN_POINT('',(2.17E1,1.76E1,-1.2E0)); -#25834=LINE('',#25833,#25832); -#25835=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25836=PRESENTATION_STYLE_ASSIGNMENT((#25835)); -#25837=STYLED_ITEM('',(#25836),#25834); -#25838=DIRECTION('',(0.E0,0.E0,1.E0)); -#25839=VECTOR('',#25838,2.1E0); -#25840=CARTESIAN_POINT('',(2.07E1,1.86E1,-3.3E0)); -#25841=LINE('',#25840,#25839); -#25842=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25843=PRESENTATION_STYLE_ASSIGNMENT((#25842)); -#25844=STYLED_ITEM('',(#25843),#25841); -#25845=DIRECTION('',(1.E0,0.E0,0.E0)); -#25846=VECTOR('',#25845,3.95E0); -#25847=CARTESIAN_POINT('',(2.17E1,2.3E1,-1.2E0)); -#25848=LINE('',#25847,#25846); -#25849=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25850=PRESENTATION_STYLE_ASSIGNMENT((#25849)); -#25851=STYLED_ITEM('',(#25850),#25848); -#25852=CARTESIAN_POINT('',(2.465E1,6.8E0,-1.2E0)); -#25853=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25854=DIRECTION('',(1.E0,0.E0,0.E0)); -#25855=AXIS2_PLACEMENT_3D('',#25852,#25853,#25854); -#25857=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25858=PRESENTATION_STYLE_ASSIGNMENT((#25857)); -#25859=STYLED_ITEM('',(#25858),#25856); -#25860=DIRECTION('',(0.E0,1.E0,0.E0)); -#25861=VECTOR('',#25860,1.8E0); -#25862=CARTESIAN_POINT('',(1.75E1,5.8E0,-1.2E0)); -#25863=LINE('',#25862,#25861); -#25864=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25865=PRESENTATION_STYLE_ASSIGNMENT((#25864)); -#25866=STYLED_ITEM('',(#25865),#25863); -#25867=CARTESIAN_POINT('',(2.33E1,8.6E0,-1.2E0)); -#25868=DIRECTION('',(0.E0,0.E0,1.E0)); -#25869=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25870=AXIS2_PLACEMENT_3D('',#25867,#25868,#25869); -#25872=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25873=PRESENTATION_STYLE_ASSIGNMENT((#25872)); -#25874=STYLED_ITEM('',(#25873),#25871); -#25875=CARTESIAN_POINT('',(2.33E1,1.66E1,-1.2E0)); -#25876=DIRECTION('',(0.E0,0.E0,1.E0)); -#25877=DIRECTION('',(1.E0,0.E0,0.E0)); -#25878=AXIS2_PLACEMENT_3D('',#25875,#25876,#25877); -#25880=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25881=PRESENTATION_STYLE_ASSIGNMENT((#25880)); -#25882=STYLED_ITEM('',(#25881),#25879); -#25883=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25884=VECTOR('',#25883,1.6E0); -#25885=CARTESIAN_POINT('',(2.33E1,1.76E1,-1.2E0)); -#25886=LINE('',#25885,#25884); -#25887=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25888=PRESENTATION_STYLE_ASSIGNMENT((#25887)); -#25889=STYLED_ITEM('',(#25888),#25886); -#25890=CARTESIAN_POINT('',(2.17E1,1.86E1,-1.2E0)); -#25891=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25892=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25893=AXIS2_PLACEMENT_3D('',#25890,#25891,#25892); -#25895=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25896=PRESENTATION_STYLE_ASSIGNMENT((#25895)); -#25897=STYLED_ITEM('',(#25896),#25894); -#25898=CARTESIAN_POINT('',(2.17E1,2.2E1,-1.2E0)); -#25899=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25900=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25901=AXIS2_PLACEMENT_3D('',#25898,#25899,#25900); -#25903=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25904=PRESENTATION_STYLE_ASSIGNMENT((#25903)); -#25905=STYLED_ITEM('',(#25904),#25902); -#25906=DIRECTION('',(1.E0,0.E0,0.E0)); -#25907=VECTOR('',#25906,3.95E0); -#25908=CARTESIAN_POINT('',(2.17E1,2.3E1,-3.3E0)); -#25909=LINE('',#25908,#25907); -#25910=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25911=PRESENTATION_STYLE_ASSIGNMENT((#25910)); -#25912=STYLED_ITEM('',(#25911),#25909); -#25913=DIRECTION('',(0.E0,0.E0,1.E0)); -#25914=VECTOR('',#25913,2.1E0); -#25915=CARTESIAN_POINT('',(2.17E1,2.3E1,-3.3E0)); -#25916=LINE('',#25915,#25914); -#25917=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25918=PRESENTATION_STYLE_ASSIGNMENT((#25917)); -#25919=STYLED_ITEM('',(#25918),#25916); -#25920=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25921=VECTOR('',#25920,2.1E0); -#25922=CARTESIAN_POINT('',(2.07E1,2.2E1,-1.2E0)); -#25923=LINE('',#25922,#25921); -#25924=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25925=PRESENTATION_STYLE_ASSIGNMENT((#25924)); -#25926=STYLED_ITEM('',(#25925),#25923); -#25927=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25928=VECTOR('',#25927,3.4E0); -#25929=CARTESIAN_POINT('',(2.07E1,2.2E1,-1.2E0)); -#25930=LINE('',#25929,#25928); -#25931=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25932=PRESENTATION_STYLE_ASSIGNMENT((#25931)); -#25933=STYLED_ITEM('',(#25932),#25930); -#25934=DIRECTION('',(0.E0,1.E0,0.E0)); -#25935=VECTOR('',#25934,3.4E0); -#25936=CARTESIAN_POINT('',(2.07E1,1.86E1,-3.3E0)); -#25937=LINE('',#25936,#25935); -#25938=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25939=PRESENTATION_STYLE_ASSIGNMENT((#25938)); -#25940=STYLED_ITEM('',(#25939),#25937); -#25941=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25942=VECTOR('',#25941,4.8E0); -#25943=CARTESIAN_POINT('',(2.565E1,6.8E0,-1.2E0)); -#25944=LINE('',#25943,#25942); -#25945=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25946=PRESENTATION_STYLE_ASSIGNMENT((#25945)); -#25947=STYLED_ITEM('',(#25946),#25944); -#25948=DIRECTION('',(0.E0,0.E0,1.E0)); -#25949=VECTOR('',#25948,4.8E0); -#25950=CARTESIAN_POINT('',(2.465E1,5.8E0,-6.E0)); -#25951=LINE('',#25950,#25949); -#25952=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25953=PRESENTATION_STYLE_ASSIGNMENT((#25952)); -#25954=STYLED_ITEM('',(#25953),#25951); -#25955=CARTESIAN_POINT('',(1.15E0,6.8E0,-6.E0)); -#25956=DIRECTION('',(0.E0,0.E0,1.E0)); -#25957=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25958=AXIS2_PLACEMENT_3D('',#25955,#25956,#25957); -#25960=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25961=PRESENTATION_STYLE_ASSIGNMENT((#25960)); -#25962=STYLED_ITEM('',(#25961),#25959); -#25963=CARTESIAN_POINT('',(2.465E1,6.8E0,-6.E0)); -#25964=DIRECTION('',(0.E0,0.E0,1.E0)); -#25965=DIRECTION('',(0.E0,-1.E0,0.E0)); -#25966=AXIS2_PLACEMENT_3D('',#25963,#25964,#25965); -#25968=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25969=PRESENTATION_STYLE_ASSIGNMENT((#25968)); -#25970=STYLED_ITEM('',(#25969),#25967); -#25971=DIRECTION('',(0.E0,0.E0,-1.E0)); -#25972=VECTOR('',#25971,1.6E0); -#25973=CARTESIAN_POINT('',(1.5E-1,7.6E0,-4.4E0)); -#25974=LINE('',#25973,#25972); -#25975=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25976=PRESENTATION_STYLE_ASSIGNMENT((#25975)); -#25977=STYLED_ITEM('',(#25976),#25974); -#25978=DIRECTION('',(1.E0,0.E0,0.E0)); -#25979=VECTOR('',#25978,2.55E1); -#25980=CARTESIAN_POINT('',(1.5E-1,7.6E0,-6.E0)); -#25981=LINE('',#25980,#25979); -#25982=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25983=PRESENTATION_STYLE_ASSIGNMENT((#25982)); -#25984=STYLED_ITEM('',(#25983),#25981); -#25985=DIRECTION('',(0.E0,0.E0,1.E0)); -#25986=VECTOR('',#25985,1.6E0); -#25987=CARTESIAN_POINT('',(2.565E1,7.6E0,-6.E0)); -#25988=LINE('',#25987,#25986); -#25989=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25990=PRESENTATION_STYLE_ASSIGNMENT((#25989)); -#25991=STYLED_ITEM('',(#25990),#25988); -#25992=DIRECTION('',(-1.E0,0.E0,0.E0)); -#25993=VECTOR('',#25992,5.8E0); -#25994=CARTESIAN_POINT('',(2.33E1,7.6E0,-1.2E0)); -#25995=LINE('',#25994,#25993); -#25996=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#25997=PRESENTATION_STYLE_ASSIGNMENT((#25996)); -#25998=STYLED_ITEM('',(#25997),#25995); -#25999=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26000=VECTOR('',#25999,2.7E0); -#26001=CARTESIAN_POINT('',(1.75E1,7.6E0,-1.2E0)); -#26002=LINE('',#26001,#26000); -#26003=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26004=PRESENTATION_STYLE_ASSIGNMENT((#26003)); -#26005=STYLED_ITEM('',(#26004),#26002); -#26006=CARTESIAN_POINT('',(1.7E1,7.6E0,-3.9E0)); -#26007=DIRECTION('',(0.E0,1.E0,0.E0)); -#26008=DIRECTION('',(1.E0,0.E0,0.E0)); -#26009=AXIS2_PLACEMENT_3D('',#26006,#26007,#26008); -#26011=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26012=PRESENTATION_STYLE_ASSIGNMENT((#26011)); -#26013=STYLED_ITEM('',(#26012),#26010); -#26014=DIRECTION('',(-1.E0,0.E0,0.E0)); -#26015=VECTOR('',#26014,8.5E0); -#26016=CARTESIAN_POINT('',(1.7E1,7.6E0,-4.4E0)); -#26017=LINE('',#26016,#26015); -#26018=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26019=PRESENTATION_STYLE_ASSIGNMENT((#26018)); -#26020=STYLED_ITEM('',(#26019),#26017); -#26021=CARTESIAN_POINT('',(8.5E0,7.6E0,-3.9E0)); -#26022=DIRECTION('',(0.E0,1.E0,0.E0)); -#26023=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26024=AXIS2_PLACEMENT_3D('',#26021,#26022,#26023); -#26026=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26027=PRESENTATION_STYLE_ASSIGNMENT((#26026)); -#26028=STYLED_ITEM('',(#26027),#26025); -#26029=DIRECTION('',(0.E0,0.E0,1.E0)); -#26030=VECTOR('',#26029,2.7E0); -#26031=CARTESIAN_POINT('',(8.E0,7.6E0,-3.9E0)); -#26032=LINE('',#26031,#26030); -#26033=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26034=PRESENTATION_STYLE_ASSIGNMENT((#26033)); -#26035=STYLED_ITEM('',(#26034),#26032); -#26036=DIRECTION('',(-1.E0,0.E0,0.E0)); -#26037=VECTOR('',#26036,3.45E0); -#26038=CARTESIAN_POINT('',(8.E0,7.6E0,-1.2E0)); -#26039=LINE('',#26038,#26037); -#26040=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26041=PRESENTATION_STYLE_ASSIGNMENT((#26040)); -#26042=STYLED_ITEM('',(#26041),#26039); -#26043=DIRECTION('',(0.E0,0.E0,1.E0)); -#26044=VECTOR('',#26043,3.2E0); -#26045=CARTESIAN_POINT('',(2.43E1,8.6E0,-4.4E0)); -#26046=LINE('',#26045,#26044); -#26047=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26048=PRESENTATION_STYLE_ASSIGNMENT((#26047)); -#26049=STYLED_ITEM('',(#26048),#26046); -#26050=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26051=VECTOR('',#26050,3.2E0); -#26052=CARTESIAN_POINT('',(2.33E1,7.6E0,-1.2E0)); -#26053=LINE('',#26052,#26051); -#26054=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26055=PRESENTATION_STYLE_ASSIGNMENT((#26054)); -#26056=STYLED_ITEM('',(#26055),#26053); -#26057=DIRECTION('',(-1.E0,0.E0,0.E0)); -#26058=VECTOR('',#26057,2.35E1); -#26059=CARTESIAN_POINT('',(2.465E1,5.8E0,-6.E0)); -#26060=LINE('',#26059,#26058); -#26061=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26062=PRESENTATION_STYLE_ASSIGNMENT((#26061)); -#26063=STYLED_ITEM('',(#26062),#26060); -#26064=DIRECTION('',(1.E0,0.E0,0.E0)); -#26065=VECTOR('',#26064,6.85E0); -#26066=CARTESIAN_POINT('',(1.15E0,5.8E0,-1.2E0)); -#26067=LINE('',#26066,#26065); -#26068=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26069=PRESENTATION_STYLE_ASSIGNMENT((#26068)); -#26070=STYLED_ITEM('',(#26069),#26067); -#26071=CARTESIAN_POINT('',(8.5E0,5.8E0,-3.9E0)); -#26072=DIRECTION('',(0.E0,-1.E0,0.E0)); -#26073=DIRECTION('',(-1.E0,0.E0,0.E0)); -#26074=AXIS2_PLACEMENT_3D('',#26071,#26072,#26073); -#26076=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26077=PRESENTATION_STYLE_ASSIGNMENT((#26076)); -#26078=STYLED_ITEM('',(#26077),#26075); -#26079=DIRECTION('',(1.E0,0.E0,0.E0)); -#26080=VECTOR('',#26079,8.5E0); -#26081=CARTESIAN_POINT('',(8.5E0,5.8E0,-4.4E0)); -#26082=LINE('',#26081,#26080); -#26083=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26084=PRESENTATION_STYLE_ASSIGNMENT((#26083)); -#26085=STYLED_ITEM('',(#26084),#26082); -#26086=CARTESIAN_POINT('',(1.7E1,5.8E0,-3.9E0)); -#26087=DIRECTION('',(0.E0,-1.E0,0.E0)); -#26088=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26089=AXIS2_PLACEMENT_3D('',#26086,#26087,#26088); -#26091=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26092=PRESENTATION_STYLE_ASSIGNMENT((#26091)); -#26093=STYLED_ITEM('',(#26092),#26090); -#26094=DIRECTION('',(0.E0,0.E0,1.E0)); -#26095=VECTOR('',#26094,2.7E0); -#26096=CARTESIAN_POINT('',(1.75E1,5.8E0,-3.9E0)); -#26097=LINE('',#26096,#26095); -#26098=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26099=PRESENTATION_STYLE_ASSIGNMENT((#26098)); -#26100=STYLED_ITEM('',(#26099),#26097); -#26101=DIRECTION('',(1.E0,0.E0,0.E0)); -#26102=VECTOR('',#26101,7.15E0); -#26103=CARTESIAN_POINT('',(1.75E1,5.8E0,-1.2E0)); -#26104=LINE('',#26103,#26102); -#26105=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26106=PRESENTATION_STYLE_ASSIGNMENT((#26105)); -#26107=STYLED_ITEM('',(#26106),#26104); -#26108=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26109=VECTOR('',#26108,4.8E0); -#26110=CARTESIAN_POINT('',(1.15E0,5.8E0,-1.2E0)); -#26111=LINE('',#26110,#26109); -#26112=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26113=PRESENTATION_STYLE_ASSIGNMENT((#26112)); -#26114=STYLED_ITEM('',(#26113),#26111); -#26115=DIRECTION('',(0.E0,0.E0,1.E0)); -#26116=VECTOR('',#26115,4.8E0); -#26117=CARTESIAN_POINT('',(1.5E-1,6.8E0,-6.E0)); -#26118=LINE('',#26117,#26116); -#26119=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26120=PRESENTATION_STYLE_ASSIGNMENT((#26119)); -#26121=STYLED_ITEM('',(#26120),#26118); -#26122=CARTESIAN_POINT('',(1.15E0,6.8E0,-1.2E0)); -#26123=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26124=DIRECTION('',(0.E0,-1.E0,0.E0)); -#26125=AXIS2_PLACEMENT_3D('',#26122,#26123,#26124); -#26127=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26128=PRESENTATION_STYLE_ASSIGNMENT((#26127)); -#26129=STYLED_ITEM('',(#26128),#26126); -#26130=DIRECTION('',(1.E0,0.E0,0.E0)); -#26131=VECTOR('',#26130,3.95E0); -#26132=CARTESIAN_POINT('',(1.5E-1,2.3E1,-1.2E0)); -#26133=LINE('',#26132,#26131); -#26134=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26135=PRESENTATION_STYLE_ASSIGNMENT((#26134)); -#26136=STYLED_ITEM('',(#26135),#26133); -#26137=CARTESIAN_POINT('',(4.1E0,2.2E1,-1.2E0)); -#26138=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26139=DIRECTION('',(0.E0,1.E0,0.E0)); -#26140=AXIS2_PLACEMENT_3D('',#26137,#26138,#26139); -#26142=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26143=PRESENTATION_STYLE_ASSIGNMENT((#26142)); -#26144=STYLED_ITEM('',(#26143),#26141); -#26145=CARTESIAN_POINT('',(4.1E0,1.86E1,-1.2E0)); -#26146=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26147=DIRECTION('',(1.E0,0.E0,0.E0)); -#26148=AXIS2_PLACEMENT_3D('',#26145,#26146,#26147); -#26150=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26151=PRESENTATION_STYLE_ASSIGNMENT((#26150)); -#26152=STYLED_ITEM('',(#26151),#26149); -#26153=CARTESIAN_POINT('',(4.55E0,1.665128247301E1,-1.2E0)); -#26154=DIRECTION('',(0.E0,0.E0,1.E0)); -#26155=DIRECTION('',(-2.250000887777E-1,9.743587429946E-1,0.E0)); -#26156=AXIS2_PLACEMENT_3D('',#26153,#26154,#26155); -#26158=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26159=PRESENTATION_STYLE_ASSIGNMENT((#26158)); -#26160=STYLED_ITEM('',(#26159),#26157); -#26161=CARTESIAN_POINT('',(4.55E0,8.6E0,-1.2E0)); -#26162=DIRECTION('',(0.E0,0.E0,1.E0)); -#26163=DIRECTION('',(-1.E0,0.E0,0.E0)); -#26164=AXIS2_PLACEMENT_3D('',#26161,#26162,#26163); -#26166=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26167=PRESENTATION_STYLE_ASSIGNMENT((#26166)); -#26168=STYLED_ITEM('',(#26167),#26165); -#26169=DIRECTION('',(0.E0,0.E0,1.E0)); -#26170=VECTOR('',#26169,2.7E0); -#26171=CARTESIAN_POINT('',(8.E0,5.8E0,-3.9E0)); -#26172=LINE('',#26171,#26170); -#26173=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26174=PRESENTATION_STYLE_ASSIGNMENT((#26173)); -#26175=STYLED_ITEM('',(#26174),#26172); -#26176=DIRECTION('',(0.E0,1.E0,0.E0)); -#26177=VECTOR('',#26176,1.8E0); -#26178=CARTESIAN_POINT('',(8.E0,5.8E0,-1.2E0)); -#26179=LINE('',#26178,#26177); -#26180=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26181=PRESENTATION_STYLE_ASSIGNMENT((#26180)); -#26182=STYLED_ITEM('',(#26181),#26179); -#26183=DIRECTION('',(0.E0,1.E0,0.E0)); -#26184=VECTOR('',#26183,1.8E0); -#26185=CARTESIAN_POINT('',(8.E0,5.8E0,-3.9E0)); -#26186=LINE('',#26185,#26184); -#26187=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26188=PRESENTATION_STYLE_ASSIGNMENT((#26187)); -#26189=STYLED_ITEM('',(#26188),#26186); -#26190=DIRECTION('',(0.E0,-1.E0,0.E0)); -#26191=VECTOR('',#26190,1.8E0); -#26192=CARTESIAN_POINT('',(8.5E0,7.6E0,-4.4E0)); -#26193=LINE('',#26192,#26191); -#26194=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26195=PRESENTATION_STYLE_ASSIGNMENT((#26194)); -#26196=STYLED_ITEM('',(#26195),#26193); -#26197=DIRECTION('',(0.E0,-1.E0,0.E0)); -#26198=VECTOR('',#26197,1.8E0); -#26199=CARTESIAN_POINT('',(1.75E1,7.6E0,-3.9E0)); -#26200=LINE('',#26199,#26198); -#26201=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26202=PRESENTATION_STYLE_ASSIGNMENT((#26201)); -#26203=STYLED_ITEM('',(#26202),#26200); -#26204=DIRECTION('',(0.E0,1.E0,0.E0)); -#26205=VECTOR('',#26204,1.8E0); -#26206=CARTESIAN_POINT('',(1.7E1,5.8E0,-4.4E0)); -#26207=LINE('',#26206,#26205); -#26208=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26209=PRESENTATION_STYLE_ASSIGNMENT((#26208)); -#26210=STYLED_ITEM('',(#26209),#26207); -#26211=DIRECTION('',(1.E0,0.E0,0.E0)); -#26212=VECTOR('',#26211,3.95E0); -#26213=CARTESIAN_POINT('',(1.5E-1,2.3E1,-3.3E0)); -#26214=LINE('',#26213,#26212); -#26215=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26216=PRESENTATION_STYLE_ASSIGNMENT((#26215)); -#26217=STYLED_ITEM('',(#26216),#26214); -#26218=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26219=VECTOR('',#26218,2.1E0); -#26220=CARTESIAN_POINT('',(4.1E0,2.3E1,-1.2E0)); -#26221=LINE('',#26220,#26219); -#26222=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26223=PRESENTATION_STYLE_ASSIGNMENT((#26222)); -#26224=STYLED_ITEM('',(#26223),#26221); -#26225=DIRECTION('',(0.E0,0.E0,1.E0)); -#26226=VECTOR('',#26225,2.1E0); -#26227=CARTESIAN_POINT('',(5.1E0,2.2E1,-3.3E0)); -#26228=LINE('',#26227,#26226); -#26229=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26230=PRESENTATION_STYLE_ASSIGNMENT((#26229)); -#26231=STYLED_ITEM('',(#26230),#26228); -#26232=DIRECTION('',(0.E0,-1.E0,0.E0)); -#26233=VECTOR('',#26232,3.4E0); -#26234=CARTESIAN_POINT('',(5.1E0,2.2E1,-3.3E0)); -#26235=LINE('',#26234,#26233); -#26236=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26237=PRESENTATION_STYLE_ASSIGNMENT((#26236)); -#26238=STYLED_ITEM('',(#26237),#26235); -#26239=DIRECTION('',(0.E0,1.E0,0.E0)); -#26240=VECTOR('',#26239,3.4E0); -#26241=CARTESIAN_POINT('',(5.1E0,1.86E1,-1.2E0)); -#26242=LINE('',#26241,#26240); -#26243=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26244=PRESENTATION_STYLE_ASSIGNMENT((#26243)); -#26245=STYLED_ITEM('',(#26244),#26242); -#26246=DIRECTION('',(-1.597494750239E-8,-4.567876235991E-9,1.E0)); -#26247=VECTOR('',#26246,2.1E0); -#26248=CARTESIAN_POINT('',(4.325000003035E0,1.762564123721E1,-3.3E0)); -#26249=LINE('',#26248,#26247); -#26250=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26251=PRESENTATION_STYLE_ASSIGNMENT((#26250)); -#26252=STYLED_ITEM('',(#26251),#26249); -#26253=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26254=VECTOR('',#26253,2.1E0); -#26255=CARTESIAN_POINT('',(5.1E0,1.86E1,-1.2E0)); -#26256=LINE('',#26255,#26254); -#26257=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26258=PRESENTATION_STYLE_ASSIGNMENT((#26257)); -#26259=STYLED_ITEM('',(#26258),#26256); -#26260=DIRECTION('',(0.E0,0.E0,1.E0)); -#26261=VECTOR('',#26260,2.1E0); -#26262=CARTESIAN_POINT('',(3.55E0,1.665128247301E1,-3.3E0)); -#26263=LINE('',#26262,#26261); -#26264=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26265=PRESENTATION_STYLE_ASSIGNMENT((#26264)); -#26266=STYLED_ITEM('',(#26265),#26263); -#26267=DIRECTION('',(0.E0,0.E0,1.E0)); -#26268=VECTOR('',#26267,3.2E0); -#26269=CARTESIAN_POINT('',(4.55E0,7.6E0,-4.4E0)); -#26270=LINE('',#26269,#26268); -#26271=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26272=PRESENTATION_STYLE_ASSIGNMENT((#26271)); -#26273=STYLED_ITEM('',(#26272),#26270); -#26274=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26275=VECTOR('',#26274,3.2E0); -#26276=CARTESIAN_POINT('',(3.55E0,8.6E0,-1.2E0)); -#26277=LINE('',#26276,#26275); -#26278=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26279=PRESENTATION_STYLE_ASSIGNMENT((#26278)); -#26280=STYLED_ITEM('',(#26279),#26277); -#26281=DIRECTION('',(0.E0,0.E0,1.E0)); -#26282=VECTOR('',#26281,2.1E0); -#26283=CARTESIAN_POINT('',(3.E0,5.55E1,-3.3E0)); -#26284=LINE('',#26283,#26282); -#26285=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26286=PRESENTATION_STYLE_ASSIGNMENT((#26285)); -#26287=STYLED_ITEM('',(#26286),#26284); -#26288=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26289=VECTOR('',#26288,2.1E0); -#26290=CARTESIAN_POINT('',(4.E0,5.45E1,-1.2E0)); -#26291=LINE('',#26290,#26289); -#26292=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26293=PRESENTATION_STYLE_ASSIGNMENT((#26292)); -#26294=STYLED_ITEM('',(#26293),#26291); -#26295=DIRECTION('',(0.E0,1.E0,0.E0)); -#26296=VECTOR('',#26295,1.18E1); -#26297=CARTESIAN_POINT('',(4.E0,4.27E1,-3.3E0)); -#26298=LINE('',#26297,#26296); -#26299=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26300=PRESENTATION_STYLE_ASSIGNMENT((#26299)); -#26301=STYLED_ITEM('',(#26300),#26298); -#26302=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26303=VECTOR('',#26302,2.1E0); -#26304=CARTESIAN_POINT('',(5.5E0,4.12E1,-1.2E0)); -#26305=LINE('',#26304,#26303); -#26306=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26307=PRESENTATION_STYLE_ASSIGNMENT((#26306)); -#26308=STYLED_ITEM('',(#26307),#26305); -#26309=DIRECTION('',(0.E0,0.E0,1.E0)); -#26310=VECTOR('',#26309,2.1E0); -#26311=CARTESIAN_POINT('',(4.E0,4.27E1,-3.3E0)); -#26312=LINE('',#26311,#26310); -#26313=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26314=PRESENTATION_STYLE_ASSIGNMENT((#26313)); -#26315=STYLED_ITEM('',(#26314),#26312); -#26316=DIRECTION('',(1.E0,0.E0,0.E0)); -#26317=VECTOR('',#26316,1.48E1); -#26318=CARTESIAN_POINT('',(5.5E0,4.12E1,-1.2E0)); -#26319=LINE('',#26318,#26317); -#26320=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26321=PRESENTATION_STYLE_ASSIGNMENT((#26320)); -#26322=STYLED_ITEM('',(#26321),#26319); -#26323=DIRECTION('',(0.E0,0.E0,1.E0)); -#26324=VECTOR('',#26323,2.1E0); -#26325=CARTESIAN_POINT('',(2.03E1,4.12E1,-3.3E0)); -#26326=LINE('',#26325,#26324); -#26327=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26328=PRESENTATION_STYLE_ASSIGNMENT((#26327)); -#26329=STYLED_ITEM('',(#26328),#26326); -#26330=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26331=VECTOR('',#26330,2.1E0); -#26332=CARTESIAN_POINT('',(2.18E1,4.27E1,-1.2E0)); -#26333=LINE('',#26332,#26331); -#26334=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26335=PRESENTATION_STYLE_ASSIGNMENT((#26334)); -#26336=STYLED_ITEM('',(#26335),#26333); -#26337=DIRECTION('',(0.E0,-1.E0,0.E0)); -#26338=VECTOR('',#26337,1.18E1); -#26339=CARTESIAN_POINT('',(2.18E1,5.45E1,-3.3E0)); -#26340=LINE('',#26339,#26338); -#26341=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26342=PRESENTATION_STYLE_ASSIGNMENT((#26341)); -#26343=STYLED_ITEM('',(#26342),#26340); -#26344=DIRECTION('',(0.E0,0.E0,1.E0)); -#26345=VECTOR('',#26344,2.1E0); -#26346=CARTESIAN_POINT('',(2.18E1,5.45E1,-3.3E0)); -#26347=LINE('',#26346,#26345); -#26348=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26349=PRESENTATION_STYLE_ASSIGNMENT((#26348)); -#26350=STYLED_ITEM('',(#26349),#26347); -#26351=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26352=VECTOR('',#26351,2.1E0); -#26353=CARTESIAN_POINT('',(2.28E1,5.55E1,-1.2E0)); -#26354=LINE('',#26353,#26352); -#26355=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26356=PRESENTATION_STYLE_ASSIGNMENT((#26355)); -#26357=STYLED_ITEM('',(#26356),#26354); -#26358=DIRECTION('',(1.E0,0.E0,0.E0)); -#26359=VECTOR('',#26358,2.85E0); -#26360=CARTESIAN_POINT('',(2.28E1,5.55E1,-1.2E0)); -#26361=LINE('',#26360,#26359); -#26362=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26363=PRESENTATION_STYLE_ASSIGNMENT((#26362)); -#26364=STYLED_ITEM('',(#26363),#26361); -#26365=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26366=VECTOR('',#26365,2.1E0); -#26367=CARTESIAN_POINT('',(2.565E1,5.55E1,-1.2E0)); -#26368=LINE('',#26367,#26366); -#26369=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26370=PRESENTATION_STYLE_ASSIGNMENT((#26369)); -#26371=STYLED_ITEM('',(#26370),#26368); -#26372=DIRECTION('',(-1.E0,0.E0,0.E0)); -#26373=VECTOR('',#26372,2.85E0); -#26374=CARTESIAN_POINT('',(2.565E1,5.55E1,-3.3E0)); -#26375=LINE('',#26374,#26373); -#26376=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26377=PRESENTATION_STYLE_ASSIGNMENT((#26376)); -#26378=STYLED_ITEM('',(#26377),#26375); -#26379=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26380=VECTOR('',#26379,3.E0); -#26381=CARTESIAN_POINT('',(2.2832E1,5.7808E1,-1.2E0)); -#26382=LINE('',#26381,#26380); -#26383=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26384=PRESENTATION_STYLE_ASSIGNMENT((#26383)); -#26385=STYLED_ITEM('',(#26384),#26382); -#26386=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26387=VECTOR('',#26386,3.E0); -#26388=CARTESIAN_POINT('',(2.2832E1,5.9908E1,-1.2E0)); -#26389=LINE('',#26388,#26387); -#26390=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26391=PRESENTATION_STYLE_ASSIGNMENT((#26390)); -#26392=STYLED_ITEM('',(#26391),#26389); -#26393=CARTESIAN_POINT('',(2.2832E1,5.8858E1,-4.2E0)); -#26394=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26395=DIRECTION('',(0.E0,1.E0,0.E0)); -#26396=AXIS2_PLACEMENT_3D('',#26393,#26394,#26395); -#26398=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26399=PRESENTATION_STYLE_ASSIGNMENT((#26398)); -#26400=STYLED_ITEM('',(#26399),#26397); -#26401=CARTESIAN_POINT('',(2.2832E1,5.8858E1,-4.2E0)); -#26402=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26403=DIRECTION('',(0.E0,-1.E0,0.E0)); -#26404=AXIS2_PLACEMENT_3D('',#26401,#26402,#26403); -#26406=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26407=PRESENTATION_STYLE_ASSIGNMENT((#26406)); -#26408=STYLED_ITEM('',(#26407),#26405); -#26409=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26410=VECTOR('',#26409,3.E0); -#26411=CARTESIAN_POINT('',(2.821E0,5.7812E1,-1.2E0)); -#26412=LINE('',#26411,#26410); -#26413=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26414=PRESENTATION_STYLE_ASSIGNMENT((#26413)); -#26415=STYLED_ITEM('',(#26414),#26412); -#26416=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26417=VECTOR('',#26416,3.E0); -#26418=CARTESIAN_POINT('',(2.821E0,5.9912E1,-1.2E0)); -#26419=LINE('',#26418,#26417); -#26420=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26421=PRESENTATION_STYLE_ASSIGNMENT((#26420)); -#26422=STYLED_ITEM('',(#26421),#26419); -#26423=CARTESIAN_POINT('',(2.821E0,5.8862E1,-4.2E0)); -#26424=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26425=DIRECTION('',(0.E0,1.E0,0.E0)); -#26426=AXIS2_PLACEMENT_3D('',#26423,#26424,#26425); -#26428=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26429=PRESENTATION_STYLE_ASSIGNMENT((#26428)); -#26430=STYLED_ITEM('',(#26429),#26427); -#26431=CARTESIAN_POINT('',(2.821E0,5.8862E1,-4.2E0)); -#26432=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26433=DIRECTION('',(0.E0,-1.E0,0.E0)); -#26434=AXIS2_PLACEMENT_3D('',#26431,#26432,#26433); -#26436=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); -#26437=PRESENTATION_STYLE_ASSIGNMENT((#26436)); -#26438=STYLED_ITEM('',(#26437),#26435); -#26439=CARTESIAN_POINT('',(1.5E-1,5.55E1,-1.2E0)); -#26440=CARTESIAN_POINT('',(3.E0,5.55E1,-1.2E0)); -#26441=VERTEX_POINT('',#26439); -#26442=VERTEX_POINT('',#26440); -#26443=CARTESIAN_POINT('',(1.5E-1,6.1E1,-1.2E0)); -#26444=VERTEX_POINT('',#26443); -#26445=CARTESIAN_POINT('',(1.15E0,6.2E1,-1.2E0)); -#26446=VERTEX_POINT('',#26445); -#26447=CARTESIAN_POINT('',(2.465E1,6.2E1,-1.2E0)); -#26448=VERTEX_POINT('',#26447); -#26449=CARTESIAN_POINT('',(2.565E1,6.1E1,-1.2E0)); -#26450=VERTEX_POINT('',#26449); -#26451=CARTESIAN_POINT('',(2.565E1,5.55E1,-1.2E0)); -#26452=VERTEX_POINT('',#26451); -#26453=CARTESIAN_POINT('',(2.28E1,5.55E1,-1.2E0)); -#26454=VERTEX_POINT('',#26453); -#26455=CARTESIAN_POINT('',(2.18E1,5.45E1,-1.2E0)); -#26456=VERTEX_POINT('',#26455); -#26457=CARTESIAN_POINT('',(2.18E1,4.27E1,-1.2E0)); -#26458=VERTEX_POINT('',#26457); -#26459=CARTESIAN_POINT('',(2.03E1,4.12E1,-1.2E0)); -#26460=VERTEX_POINT('',#26459); -#26461=CARTESIAN_POINT('',(5.5E0,4.12E1,-1.2E0)); -#26462=VERTEX_POINT('',#26461); -#26463=CARTESIAN_POINT('',(4.E0,4.27E1,-1.2E0)); -#26464=VERTEX_POINT('',#26463); -#26465=CARTESIAN_POINT('',(4.E0,5.45E1,-1.2E0)); -#26466=VERTEX_POINT('',#26465); -#26467=CARTESIAN_POINT('',(2.2832E1,5.7808E1,-1.2E0)); -#26468=CARTESIAN_POINT('',(2.2832E1,5.9908E1,-1.2E0)); -#26469=VERTEX_POINT('',#26467); -#26470=VERTEX_POINT('',#26468); -#26471=CARTESIAN_POINT('',(2.821E0,5.7812E1,-1.2E0)); -#26472=CARTESIAN_POINT('',(2.821E0,5.9912E1,-1.2E0)); -#26473=VERTEX_POINT('',#26471); -#26474=VERTEX_POINT('',#26472); -#26475=CARTESIAN_POINT('',(1.5E-1,5.55E1,-3.3E0)); -#26476=VERTEX_POINT('',#26475); -#26477=CARTESIAN_POINT('',(3.E0,5.55E1,-3.3E0)); -#26478=VERTEX_POINT('',#26477); -#26479=CARTESIAN_POINT('',(1.5E-1,5.78E1,-4.4E0)); -#26480=CARTESIAN_POINT('',(1.5E-1,5.78E1,-6.E0)); -#26481=VERTEX_POINT('',#26479); -#26482=VERTEX_POINT('',#26480); -#26483=CARTESIAN_POINT('',(1.5E-1,6.1E1,-6.E0)); -#26484=VERTEX_POINT('',#26483); -#26485=CARTESIAN_POINT('',(1.5E-1,2.3E1,-3.3E0)); -#26486=VERTEX_POINT('',#26485); -#26487=CARTESIAN_POINT('',(1.5E-1,2.3E1,-1.2E0)); -#26488=VERTEX_POINT('',#26487); -#26489=CARTESIAN_POINT('',(1.5E-1,6.8E0,-1.2E0)); -#26490=VERTEX_POINT('',#26489); -#26491=CARTESIAN_POINT('',(1.5E-1,6.8E0,-6.E0)); -#26492=VERTEX_POINT('',#26491); -#26493=CARTESIAN_POINT('',(1.5E-1,7.6E0,-6.E0)); -#26494=VERTEX_POINT('',#26493); -#26495=CARTESIAN_POINT('',(1.5E-1,7.6E0,-4.4E0)); -#26496=VERTEX_POINT('',#26495); -#26497=CARTESIAN_POINT('',(2.565E1,5.78E1,-6.E0)); -#26498=VERTEX_POINT('',#26497); -#26499=CARTESIAN_POINT('',(2.565E1,5.78E1,-4.4E0)); -#26500=VERTEX_POINT('',#26499); -#26501=CARTESIAN_POINT('',(2.565E1,6.1E1,-6.E0)); -#26502=VERTEX_POINT('',#26501); -#26503=CARTESIAN_POINT('',(2.465E1,6.2E1,-6.E0)); -#26504=VERTEX_POINT('',#26503); -#26505=CARTESIAN_POINT('',(1.15E0,6.2E1,-6.E0)); -#26506=VERTEX_POINT('',#26505); -#26507=CARTESIAN_POINT('',(2.565E1,7.6E0,-4.4E0)); -#26508=VERTEX_POINT('',#26507); -#26509=CARTESIAN_POINT('',(2.565E1,7.6E0,-6.E0)); -#26510=VERTEX_POINT('',#26509); -#26511=CARTESIAN_POINT('',(2.565E1,6.8E0,-6.E0)); -#26512=VERTEX_POINT('',#26511); -#26513=CARTESIAN_POINT('',(2.565E1,6.8E0,-1.2E0)); -#26514=VERTEX_POINT('',#26513); -#26515=CARTESIAN_POINT('',(2.565E1,2.3E1,-1.2E0)); -#26516=VERTEX_POINT('',#26515); -#26517=CARTESIAN_POINT('',(2.565E1,2.3E1,-3.3E0)); -#26518=VERTEX_POINT('',#26517); -#26519=CARTESIAN_POINT('',(2.565E1,5.55E1,-3.3E0)); -#26520=VERTEX_POINT('',#26519); -#26521=CARTESIAN_POINT('',(3.55E0,1.06E1,-4.4E0)); -#26522=CARTESIAN_POINT('',(3.55E0,8.6E0,-4.4E0)); -#26523=VERTEX_POINT('',#26521); -#26524=VERTEX_POINT('',#26522); -#26525=CARTESIAN_POINT('',(4.55E0,1.16E1,-4.4E0)); -#26526=VERTEX_POINT('',#26525); -#26527=CARTESIAN_POINT('',(2.33E1,1.16E1,-4.4E0)); -#26528=VERTEX_POINT('',#26527); -#26529=CARTESIAN_POINT('',(2.43E1,1.06E1,-4.4E0)); -#26530=VERTEX_POINT('',#26529); -#26531=CARTESIAN_POINT('',(2.43E1,8.6E0,-4.4E0)); -#26532=VERTEX_POINT('',#26531); -#26533=CARTESIAN_POINT('',(2.33E1,7.6E0,-4.4E0)); -#26534=VERTEX_POINT('',#26533); -#26535=CARTESIAN_POINT('',(4.55E0,7.6E0,-4.4E0)); -#26536=VERTEX_POINT('',#26535); -#26537=CARTESIAN_POINT('',(3.55E0,1.06E1,-3.3E0)); -#26538=VERTEX_POINT('',#26537); -#26539=CARTESIAN_POINT('',(3.55E0,8.6E0,-1.2E0)); -#26540=VERTEX_POINT('',#26539); -#26541=CARTESIAN_POINT('',(3.55E0,1.665128247301E1,-1.2E0)); -#26542=VERTEX_POINT('',#26541); -#26543=CARTESIAN_POINT('',(3.55E0,1.665128247301E1,-3.3E0)); -#26544=VERTEX_POINT('',#26543); -#26545=CARTESIAN_POINT('',(4.55E0,1.16E1,-3.3E0)); -#26546=VERTEX_POINT('',#26545); -#26547=CARTESIAN_POINT('',(2.33E1,1.16E1,-3.3E0)); -#26548=VERTEX_POINT('',#26547); -#26549=CARTESIAN_POINT('',(4.325000013078E0,1.762564123953E1,-3.3E0)); -#26550=VERTEX_POINT('',#26549); -#26551=CARTESIAN_POINT('',(5.1E0,1.86E1,-3.3E0)); -#26552=VERTEX_POINT('',#26551); -#26553=CARTESIAN_POINT('',(5.1E0,2.2E1,-3.3E0)); -#26554=VERTEX_POINT('',#26553); -#26555=CARTESIAN_POINT('',(4.1E0,2.3E1,-3.3E0)); -#26556=VERTEX_POINT('',#26555); -#26557=CARTESIAN_POINT('',(4.E0,5.45E1,-3.3E0)); -#26558=VERTEX_POINT('',#26557); -#26559=CARTESIAN_POINT('',(4.E0,4.27E1,-3.3E0)); -#26560=VERTEX_POINT('',#26559); -#26561=CARTESIAN_POINT('',(5.5E0,4.12E1,-3.3E0)); -#26562=VERTEX_POINT('',#26561); -#26563=CARTESIAN_POINT('',(2.03E1,4.12E1,-3.3E0)); -#26564=VERTEX_POINT('',#26563); -#26565=CARTESIAN_POINT('',(2.18E1,4.27E1,-3.3E0)); -#26566=VERTEX_POINT('',#26565); -#26567=CARTESIAN_POINT('',(2.18E1,5.45E1,-3.3E0)); -#26568=VERTEX_POINT('',#26567); -#26569=CARTESIAN_POINT('',(2.28E1,5.55E1,-3.3E0)); -#26570=VERTEX_POINT('',#26569); -#26571=CARTESIAN_POINT('',(2.17E1,2.3E1,-3.3E0)); -#26572=VERTEX_POINT('',#26571); -#26573=CARTESIAN_POINT('',(2.07E1,2.2E1,-3.3E0)); -#26574=VERTEX_POINT('',#26573); -#26575=CARTESIAN_POINT('',(2.07E1,1.86E1,-3.3E0)); -#26576=VERTEX_POINT('',#26575); -#26577=CARTESIAN_POINT('',(2.17E1,1.76E1,-3.3E0)); -#26578=VERTEX_POINT('',#26577); -#26579=CARTESIAN_POINT('',(2.33E1,1.76E1,-3.3E0)); -#26580=VERTEX_POINT('',#26579); -#26581=CARTESIAN_POINT('',(2.43E1,1.66E1,-3.3E0)); -#26582=VERTEX_POINT('',#26581); -#26583=CARTESIAN_POINT('',(2.43E1,1.06E1,-3.3E0)); -#26584=VERTEX_POINT('',#26583); -#26585=CARTESIAN_POINT('',(2.43E1,1.66E1,-1.2E0)); -#26586=VERTEX_POINT('',#26585); -#26587=CARTESIAN_POINT('',(2.43E1,8.6E0,-1.2E0)); -#26588=VERTEX_POINT('',#26587); -#26589=CARTESIAN_POINT('',(2.33E1,1.76E1,-1.2E0)); -#26590=VERTEX_POINT('',#26589); -#26591=CARTESIAN_POINT('',(2.17E1,1.76E1,-1.2E0)); -#26592=VERTEX_POINT('',#26591); -#26593=CARTESIAN_POINT('',(2.07E1,1.86E1,-1.2E0)); -#26594=VERTEX_POINT('',#26593); -#26595=CARTESIAN_POINT('',(2.17E1,2.3E1,-1.2E0)); -#26596=VERTEX_POINT('',#26595); -#26597=CARTESIAN_POINT('',(2.465E1,5.8E0,-1.2E0)); -#26598=VERTEX_POINT('',#26597); -#26599=CARTESIAN_POINT('',(1.75E1,5.8E0,-1.2E0)); -#26600=VERTEX_POINT('',#26599); -#26601=CARTESIAN_POINT('',(1.75E1,7.6E0,-1.2E0)); -#26602=VERTEX_POINT('',#26601); -#26603=CARTESIAN_POINT('',(2.33E1,7.6E0,-1.2E0)); -#26604=VERTEX_POINT('',#26603); -#26605=CARTESIAN_POINT('',(2.07E1,2.2E1,-1.2E0)); -#26606=VERTEX_POINT('',#26605); -#26607=CARTESIAN_POINT('',(2.465E1,5.8E0,-6.E0)); -#26608=VERTEX_POINT('',#26607); -#26609=CARTESIAN_POINT('',(1.15E0,5.8E0,-6.E0)); -#26610=VERTEX_POINT('',#26609); -#26611=CARTESIAN_POINT('',(1.75E1,7.6E0,-3.9E0)); -#26612=VERTEX_POINT('',#26611); -#26613=CARTESIAN_POINT('',(1.7E1,7.6E0,-4.4E0)); -#26614=VERTEX_POINT('',#26613); -#26615=CARTESIAN_POINT('',(8.5E0,7.6E0,-4.4E0)); -#26616=VERTEX_POINT('',#26615); -#26617=CARTESIAN_POINT('',(8.E0,7.6E0,-3.9E0)); -#26618=VERTEX_POINT('',#26617); -#26619=CARTESIAN_POINT('',(8.E0,7.6E0,-1.2E0)); -#26620=VERTEX_POINT('',#26619); -#26621=CARTESIAN_POINT('',(4.55E0,7.6E0,-1.2E0)); -#26622=VERTEX_POINT('',#26621); -#26623=CARTESIAN_POINT('',(1.75E1,5.8E0,-3.9E0)); -#26624=VERTEX_POINT('',#26623); -#26625=CARTESIAN_POINT('',(1.15E0,5.8E0,-1.2E0)); -#26626=VERTEX_POINT('',#26625); -#26627=CARTESIAN_POINT('',(8.E0,5.8E0,-1.2E0)); -#26628=VERTEX_POINT('',#26627); -#26629=CARTESIAN_POINT('',(8.E0,5.8E0,-3.9E0)); -#26630=VERTEX_POINT('',#26629); -#26631=CARTESIAN_POINT('',(8.5E0,5.8E0,-4.4E0)); -#26632=VERTEX_POINT('',#26631); -#26633=CARTESIAN_POINT('',(1.7E1,5.8E0,-4.4E0)); -#26634=VERTEX_POINT('',#26633); -#26635=CARTESIAN_POINT('',(4.1E0,2.3E1,-1.2E0)); -#26636=VERTEX_POINT('',#26635); -#26637=CARTESIAN_POINT('',(5.1E0,2.2E1,-1.2E0)); -#26638=VERTEX_POINT('',#26637); -#26639=CARTESIAN_POINT('',(5.1E0,1.86E1,-1.2E0)); -#26640=VERTEX_POINT('',#26639); -#26641=CARTESIAN_POINT('',(4.324999911222E0,1.762564121600E1,-1.2E0)); -#26642=VERTEX_POINT('',#26641); -#26643=CARTESIAN_POINT('',(2.2832E1,5.7808E1,-4.2E0)); -#26644=VERTEX_POINT('',#26643); -#26645=CARTESIAN_POINT('',(2.2832E1,5.9908E1,-4.2E0)); -#26646=VERTEX_POINT('',#26645); -#26647=CARTESIAN_POINT('',(2.821E0,5.7812E1,-4.2E0)); -#26648=VERTEX_POINT('',#26647); -#26649=CARTESIAN_POINT('',(2.821E0,5.9912E1,-4.2E0)); -#26650=VERTEX_POINT('',#26649); -#26651=CARTESIAN_POINT('',(0.E0,6.2E1,-1.2E0)); -#26652=DIRECTION('',(0.E0,0.E0,1.E0)); -#26653=DIRECTION('',(0.E0,-1.E0,0.E0)); -#26654=AXIS2_PLACEMENT_3D('',#26651,#26652,#26653); -#26655=PLANE('',#26654); -#26657=ORIENTED_EDGE('',*,*,#26656,.F.); -#26659=ORIENTED_EDGE('',*,*,#26658,.F.); -#26661=ORIENTED_EDGE('',*,*,#26660,.T.); -#26663=ORIENTED_EDGE('',*,*,#26662,.F.); -#26665=ORIENTED_EDGE('',*,*,#26664,.T.); -#26667=ORIENTED_EDGE('',*,*,#26666,.F.); -#26669=ORIENTED_EDGE('',*,*,#26668,.F.); -#26671=ORIENTED_EDGE('',*,*,#26670,.T.); -#26673=ORIENTED_EDGE('',*,*,#26672,.T.); -#26675=ORIENTED_EDGE('',*,*,#26674,.T.); -#26677=ORIENTED_EDGE('',*,*,#26676,.F.); -#26679=ORIENTED_EDGE('',*,*,#26678,.T.); -#26681=ORIENTED_EDGE('',*,*,#26680,.T.); -#26683=ORIENTED_EDGE('',*,*,#26682,.T.); -#26684=EDGE_LOOP('',(#26657,#26659,#26661,#26663,#26665,#26667,#26669,#26671, -#26673,#26675,#26677,#26679,#26681,#26683)); -#26685=FACE_OUTER_BOUND('',#26684,.F.); -#26687=ORIENTED_EDGE('',*,*,#26686,.T.); -#26689=ORIENTED_EDGE('',*,*,#26688,.T.); -#26690=EDGE_LOOP('',(#26687,#26689)); -#26691=FACE_BOUND('',#26690,.F.); -#26693=ORIENTED_EDGE('',*,*,#26692,.T.); -#26695=ORIENTED_EDGE('',*,*,#26694,.T.); -#26696=EDGE_LOOP('',(#26693,#26695)); -#26697=FACE_BOUND('',#26696,.F.); -#26699=CARTESIAN_POINT('',(4.E0,5.55E1,-1.2E0)); -#26700=DIRECTION('',(0.E0,1.E0,0.E0)); -#26701=DIRECTION('',(-1.E0,0.E0,0.E0)); -#26702=AXIS2_PLACEMENT_3D('',#26699,#26700,#26701); -#26703=PLANE('',#26702); -#26705=ORIENTED_EDGE('',*,*,#26704,.F.); -#26706=ORIENTED_EDGE('',*,*,#26656,.T.); -#26708=ORIENTED_EDGE('',*,*,#26707,.F.); -#26710=ORIENTED_EDGE('',*,*,#26709,.T.); -#26711=EDGE_LOOP('',(#26705,#26706,#26708,#26710)); -#26712=FACE_OUTER_BOUND('',#26711,.F.); -#26714=CARTESIAN_POINT('',(1.5E-1,0.E0,0.E0)); -#26715=DIRECTION('',(1.E0,0.E0,0.E0)); -#26716=DIRECTION('',(0.E0,1.E0,0.E0)); -#26717=AXIS2_PLACEMENT_3D('',#26714,#26715,#26716); -#26718=PLANE('',#26717); -#26720=ORIENTED_EDGE('',*,*,#26719,.T.); -#26722=ORIENTED_EDGE('',*,*,#26721,.T.); -#26724=ORIENTED_EDGE('',*,*,#26723,.F.); -#26725=ORIENTED_EDGE('',*,*,#26658,.T.); -#26726=ORIENTED_EDGE('',*,*,#26704,.T.); -#26728=ORIENTED_EDGE('',*,*,#26727,.F.); -#26730=ORIENTED_EDGE('',*,*,#26729,.T.); -#26732=ORIENTED_EDGE('',*,*,#26731,.T.); -#26734=ORIENTED_EDGE('',*,*,#26733,.F.); -#26736=ORIENTED_EDGE('',*,*,#26735,.T.); -#26738=ORIENTED_EDGE('',*,*,#26737,.F.); -#26740=ORIENTED_EDGE('',*,*,#26739,.T.); -#26741=EDGE_LOOP('',(#26720,#26722,#26724,#26725,#26726,#26728,#26730,#26732, -#26734,#26736,#26738,#26740)); -#26742=FACE_OUTER_BOUND('',#26741,.F.); -#26744=CARTESIAN_POINT('',(0.E0,5.78E1,-5.5E0)); -#26745=DIRECTION('',(0.E0,1.E0,0.E0)); -#26746=DIRECTION('',(1.E0,0.E0,0.E0)); -#26747=AXIS2_PLACEMENT_3D('',#26744,#26745,#26746); -#26748=PLANE('',#26747); -#26750=ORIENTED_EDGE('',*,*,#26749,.T.); -#26751=ORIENTED_EDGE('',*,*,#26719,.F.); -#26753=ORIENTED_EDGE('',*,*,#26752,.T.); -#26755=ORIENTED_EDGE('',*,*,#26754,.T.); -#26756=EDGE_LOOP('',(#26750,#26751,#26753,#26755)); -#26757=FACE_OUTER_BOUND('',#26756,.F.); -#26759=CARTESIAN_POINT('',(0.E0,6.3E0,-6.E0)); -#26760=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26761=DIRECTION('',(0.E0,1.E0,0.E0)); -#26762=AXIS2_PLACEMENT_3D('',#26759,#26760,#26761); -#26763=PLANE('',#26762); -#26764=ORIENTED_EDGE('',*,*,#26749,.F.); -#26766=ORIENTED_EDGE('',*,*,#26765,.F.); -#26768=ORIENTED_EDGE('',*,*,#26767,.T.); -#26770=ORIENTED_EDGE('',*,*,#26769,.F.); -#26772=ORIENTED_EDGE('',*,*,#26771,.T.); -#26773=ORIENTED_EDGE('',*,*,#26721,.F.); -#26774=EDGE_LOOP('',(#26764,#26766,#26768,#26770,#26772,#26773)); -#26775=FACE_OUTER_BOUND('',#26774,.F.); -#26777=CARTESIAN_POINT('',(2.565E1,0.E0,0.E0)); -#26778=DIRECTION('',(1.E0,0.E0,0.E0)); -#26779=DIRECTION('',(0.E0,1.E0,0.E0)); -#26780=AXIS2_PLACEMENT_3D('',#26777,#26778,#26779); -#26781=PLANE('',#26780); -#26783=ORIENTED_EDGE('',*,*,#26782,.F.); -#26784=ORIENTED_EDGE('',*,*,#26765,.T.); -#26785=ORIENTED_EDGE('',*,*,#26754,.F.); -#26787=ORIENTED_EDGE('',*,*,#26786,.F.); -#26789=ORIENTED_EDGE('',*,*,#26788,.F.); -#26791=ORIENTED_EDGE('',*,*,#26790,.T.); -#26793=ORIENTED_EDGE('',*,*,#26792,.F.); -#26795=ORIENTED_EDGE('',*,*,#26794,.T.); -#26797=ORIENTED_EDGE('',*,*,#26796,.T.); -#26799=ORIENTED_EDGE('',*,*,#26798,.T.); -#26801=ORIENTED_EDGE('',*,*,#26800,.F.); -#26802=ORIENTED_EDGE('',*,*,#26666,.T.); -#26803=EDGE_LOOP('',(#26783,#26784,#26785,#26787,#26789,#26791,#26793,#26795, -#26797,#26799,#26801,#26802)); -#26804=FACE_OUTER_BOUND('',#26803,.F.); -#26806=CARTESIAN_POINT('',(2.465E1,6.1E1,-1.15662E1)); -#26807=DIRECTION('',(0.E0,0.E0,1.E0)); -#26808=DIRECTION('',(1.E0,0.E0,0.E0)); -#26809=AXIS2_PLACEMENT_3D('',#26806,#26807,#26808); -#26810=CYLINDRICAL_SURFACE('',#26809,1.E0); -#26812=ORIENTED_EDGE('',*,*,#26811,.T.); -#26813=ORIENTED_EDGE('',*,*,#26767,.F.); -#26814=ORIENTED_EDGE('',*,*,#26782,.T.); -#26815=ORIENTED_EDGE('',*,*,#26664,.F.); -#26816=EDGE_LOOP('',(#26812,#26813,#26814,#26815)); -#26817=FACE_OUTER_BOUND('',#26816,.F.); -#26819=CARTESIAN_POINT('',(0.E0,6.2E1,-5.5E0)); -#26820=DIRECTION('',(0.E0,1.E0,0.E0)); -#26821=DIRECTION('',(0.E0,0.E0,1.E0)); -#26822=AXIS2_PLACEMENT_3D('',#26819,#26820,#26821); -#26823=PLANE('',#26822); -#26825=ORIENTED_EDGE('',*,*,#26824,.F.); -#26826=ORIENTED_EDGE('',*,*,#26769,.T.); -#26827=ORIENTED_EDGE('',*,*,#26811,.F.); -#26828=ORIENTED_EDGE('',*,*,#26662,.T.); -#26829=EDGE_LOOP('',(#26825,#26826,#26827,#26828)); -#26830=FACE_OUTER_BOUND('',#26829,.F.); -#26832=CARTESIAN_POINT('',(1.15E0,6.1E1,4.6662E0)); -#26833=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26834=DIRECTION('',(-1.E0,0.E0,0.E0)); -#26835=AXIS2_PLACEMENT_3D('',#26832,#26833,#26834); -#26836=CYLINDRICAL_SURFACE('',#26835,1.E0); -#26837=ORIENTED_EDGE('',*,*,#26723,.T.); -#26838=ORIENTED_EDGE('',*,*,#26771,.F.); -#26839=ORIENTED_EDGE('',*,*,#26824,.T.); -#26840=ORIENTED_EDGE('',*,*,#26660,.F.); -#26841=EDGE_LOOP('',(#26837,#26838,#26839,#26840)); -#26842=FACE_OUTER_BOUND('',#26841,.F.); -#26844=CARTESIAN_POINT('',(0.E0,0.E0,-4.4E0)); -#26845=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26846=DIRECTION('',(0.E0,1.E0,0.E0)); -#26847=AXIS2_PLACEMENT_3D('',#26844,#26845,#26846); -#26848=PLANE('',#26847); -#26850=ORIENTED_EDGE('',*,*,#26849,.F.); -#26852=ORIENTED_EDGE('',*,*,#26851,.T.); -#26854=ORIENTED_EDGE('',*,*,#26853,.F.); -#26856=ORIENTED_EDGE('',*,*,#26855,.T.); -#26858=ORIENTED_EDGE('',*,*,#26857,.F.); -#26860=ORIENTED_EDGE('',*,*,#26859,.T.); -#26862=ORIENTED_EDGE('',*,*,#26861,.T.); -#26863=ORIENTED_EDGE('',*,*,#26786,.T.); -#26864=ORIENTED_EDGE('',*,*,#26752,.F.); -#26865=ORIENTED_EDGE('',*,*,#26739,.F.); -#26867=ORIENTED_EDGE('',*,*,#26866,.T.); -#26869=ORIENTED_EDGE('',*,*,#26868,.T.); -#26870=EDGE_LOOP('',(#26850,#26852,#26854,#26856,#26858,#26860,#26862,#26863, -#26864,#26865,#26867,#26869)); -#26871=FACE_OUTER_BOUND('',#26870,.F.); -#26873=CARTESIAN_POINT('',(3.55E0,7.7E0,-4.E0)); -#26874=DIRECTION('',(-1.E0,0.E0,0.E0)); -#26875=DIRECTION('',(0.E0,1.E0,0.E0)); -#26876=AXIS2_PLACEMENT_3D('',#26873,#26874,#26875); -#26877=PLANE('',#26876); -#26879=ORIENTED_EDGE('',*,*,#26878,.F.); -#26880=ORIENTED_EDGE('',*,*,#26849,.T.); -#26882=ORIENTED_EDGE('',*,*,#26881,.F.); -#26884=ORIENTED_EDGE('',*,*,#26883,.T.); -#26886=ORIENTED_EDGE('',*,*,#26885,.F.); -#26888=ORIENTED_EDGE('',*,*,#26887,.F.); -#26889=EDGE_LOOP('',(#26879,#26880,#26882,#26884,#26886,#26888)); -#26890=FACE_OUTER_BOUND('',#26889,.F.); -#26892=CARTESIAN_POINT('',(4.55E0,1.06E1,5.057280026938E0)); -#26893=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26894=DIRECTION('',(-1.E0,0.E0,0.E0)); -#26895=AXIS2_PLACEMENT_3D('',#26892,#26893,#26894); -#26896=CYLINDRICAL_SURFACE('',#26895,1.E0); -#26897=ORIENTED_EDGE('',*,*,#26878,.T.); -#26899=ORIENTED_EDGE('',*,*,#26898,.F.); -#26901=ORIENTED_EDGE('',*,*,#26900,.T.); -#26902=ORIENTED_EDGE('',*,*,#26851,.F.); -#26903=EDGE_LOOP('',(#26897,#26899,#26901,#26902)); -#26904=FACE_OUTER_BOUND('',#26903,.F.); -#26906=CARTESIAN_POINT('',(0.E0,0.E0,-3.3E0)); -#26907=DIRECTION('',(0.E0,0.E0,1.E0)); -#26908=DIRECTION('',(0.E0,1.E0,0.E0)); -#26909=AXIS2_PLACEMENT_3D('',#26906,#26907,#26908); -#26910=PLANE('',#26909); -#26912=ORIENTED_EDGE('',*,*,#26911,.F.); -#26913=ORIENTED_EDGE('',*,*,#26898,.T.); -#26914=ORIENTED_EDGE('',*,*,#26887,.T.); -#26916=ORIENTED_EDGE('',*,*,#26915,.T.); -#26918=ORIENTED_EDGE('',*,*,#26917,.T.); -#26920=ORIENTED_EDGE('',*,*,#26919,.F.); -#26922=ORIENTED_EDGE('',*,*,#26921,.T.); -#26924=ORIENTED_EDGE('',*,*,#26923,.F.); -#26925=ORIENTED_EDGE('',*,*,#26727,.T.); -#26926=ORIENTED_EDGE('',*,*,#26709,.F.); -#26928=ORIENTED_EDGE('',*,*,#26927,.T.); -#26930=ORIENTED_EDGE('',*,*,#26929,.F.); -#26932=ORIENTED_EDGE('',*,*,#26931,.T.); -#26934=ORIENTED_EDGE('',*,*,#26933,.T.); -#26936=ORIENTED_EDGE('',*,*,#26935,.T.); -#26938=ORIENTED_EDGE('',*,*,#26937,.F.); -#26940=ORIENTED_EDGE('',*,*,#26939,.T.); -#26942=ORIENTED_EDGE('',*,*,#26941,.F.); -#26943=ORIENTED_EDGE('',*,*,#26798,.F.); -#26945=ORIENTED_EDGE('',*,*,#26944,.F.); -#26947=ORIENTED_EDGE('',*,*,#26946,.T.); -#26949=ORIENTED_EDGE('',*,*,#26948,.F.); -#26951=ORIENTED_EDGE('',*,*,#26950,.T.); -#26953=ORIENTED_EDGE('',*,*,#26952,.F.); -#26955=ORIENTED_EDGE('',*,*,#26954,.T.); -#26957=ORIENTED_EDGE('',*,*,#26956,.T.); -#26959=ORIENTED_EDGE('',*,*,#26958,.T.); -#26960=EDGE_LOOP('',(#26912,#26913,#26914,#26916,#26918,#26920,#26922,#26924, -#26925,#26926,#26928,#26930,#26932,#26934,#26936,#26938,#26940,#26942,#26943, -#26945,#26947,#26949,#26951,#26953,#26955,#26957,#26959)); -#26961=FACE_OUTER_BOUND('',#26960,.F.); -#26963=CARTESIAN_POINT('',(1.5E0,1.16E1,-3.8E0)); -#26964=DIRECTION('',(0.E0,1.E0,0.E0)); -#26965=DIRECTION('',(1.E0,0.E0,0.E0)); -#26966=AXIS2_PLACEMENT_3D('',#26963,#26964,#26965); -#26967=PLANE('',#26966); -#26969=ORIENTED_EDGE('',*,*,#26968,.F.); -#26970=ORIENTED_EDGE('',*,*,#26853,.T.); -#26971=ORIENTED_EDGE('',*,*,#26900,.F.); -#26972=ORIENTED_EDGE('',*,*,#26911,.T.); -#26973=EDGE_LOOP('',(#26969,#26970,#26971,#26972)); -#26974=FACE_OUTER_BOUND('',#26973,.F.); -#26976=CARTESIAN_POINT('',(2.33E1,1.06E1,5.057280026938E0)); -#26977=DIRECTION('',(0.E0,0.E0,-1.E0)); -#26978=DIRECTION('',(0.E0,1.E0,0.E0)); -#26979=AXIS2_PLACEMENT_3D('',#26976,#26977,#26978); -#26980=CYLINDRICAL_SURFACE('',#26979,1.E0); -#26981=ORIENTED_EDGE('',*,*,#26968,.T.); -#26982=ORIENTED_EDGE('',*,*,#26958,.F.); -#26984=ORIENTED_EDGE('',*,*,#26983,.T.); -#26985=ORIENTED_EDGE('',*,*,#26855,.F.); -#26986=EDGE_LOOP('',(#26981,#26982,#26984,#26985)); -#26987=FACE_OUTER_BOUND('',#26986,.F.); -#26989=CARTESIAN_POINT('',(2.43E1,1.77E1,-4.E0)); -#26990=DIRECTION('',(1.E0,0.E0,0.E0)); -#26991=DIRECTION('',(0.E0,-1.E0,0.E0)); -#26992=AXIS2_PLACEMENT_3D('',#26989,#26990,#26991); -#26993=PLANE('',#26992); -#26994=ORIENTED_EDGE('',*,*,#26983,.F.); -#26995=ORIENTED_EDGE('',*,*,#26956,.F.); -#26997=ORIENTED_EDGE('',*,*,#26996,.F.); -#26999=ORIENTED_EDGE('',*,*,#26998,.T.); -#27001=ORIENTED_EDGE('',*,*,#27000,.F.); -#27002=ORIENTED_EDGE('',*,*,#26857,.T.); -#27003=EDGE_LOOP('',(#26994,#26995,#26997,#26999,#27001,#27002)); -#27004=FACE_OUTER_BOUND('',#27003,.F.); -#27006=CARTESIAN_POINT('',(2.33E1,1.66E1,5.057280026938E0)); -#27007=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27008=DIRECTION('',(0.E0,1.E0,0.E0)); -#27009=AXIS2_PLACEMENT_3D('',#27006,#27007,#27008); -#27010=CYLINDRICAL_SURFACE('',#27009,1.E0); -#27011=ORIENTED_EDGE('',*,*,#26954,.F.); -#27013=ORIENTED_EDGE('',*,*,#27012,.T.); -#27015=ORIENTED_EDGE('',*,*,#27014,.F.); -#27016=ORIENTED_EDGE('',*,*,#26996,.T.); -#27017=EDGE_LOOP('',(#27011,#27013,#27015,#27016)); -#27018=FACE_OUTER_BOUND('',#27017,.F.); -#27020=CARTESIAN_POINT('',(2.43E1,1.76E1,-3.3E0)); -#27021=DIRECTION('',(0.E0,1.E0,0.E0)); -#27022=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27023=AXIS2_PLACEMENT_3D('',#27020,#27021,#27022); -#27024=PLANE('',#27023); -#27026=ORIENTED_EDGE('',*,*,#27025,.F.); -#27028=ORIENTED_EDGE('',*,*,#27027,.F.); -#27029=ORIENTED_EDGE('',*,*,#27012,.F.); -#27030=ORIENTED_EDGE('',*,*,#26952,.T.); -#27031=EDGE_LOOP('',(#27026,#27028,#27029,#27030)); -#27032=FACE_OUTER_BOUND('',#27031,.F.); -#27034=CARTESIAN_POINT('',(2.17E1,1.86E1,-1.225728002694E1)); -#27035=DIRECTION('',(0.E0,0.E0,1.E0)); -#27036=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27037=AXIS2_PLACEMENT_3D('',#27034,#27035,#27036); -#27038=CYLINDRICAL_SURFACE('',#27037,1.E0); -#27040=ORIENTED_EDGE('',*,*,#27039,.F.); -#27041=ORIENTED_EDGE('',*,*,#27025,.T.); -#27042=ORIENTED_EDGE('',*,*,#26950,.F.); -#27044=ORIENTED_EDGE('',*,*,#27043,.T.); -#27045=EDGE_LOOP('',(#27040,#27041,#27042,#27044)); -#27046=FACE_OUTER_BOUND('',#27045,.F.); -#27048=CARTESIAN_POINT('',(0.E0,6.2E1,-1.2E0)); -#27049=DIRECTION('',(0.E0,0.E0,1.E0)); -#27050=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27051=AXIS2_PLACEMENT_3D('',#27048,#27049,#27050); -#27052=PLANE('',#27051); -#27054=ORIENTED_EDGE('',*,*,#27053,.T.); -#27055=ORIENTED_EDGE('',*,*,#26794,.F.); -#27057=ORIENTED_EDGE('',*,*,#27056,.T.); -#27059=ORIENTED_EDGE('',*,*,#27058,.F.); -#27061=ORIENTED_EDGE('',*,*,#27060,.T.); -#27063=ORIENTED_EDGE('',*,*,#27062,.F.); -#27065=ORIENTED_EDGE('',*,*,#27064,.T.); -#27066=ORIENTED_EDGE('',*,*,#26998,.F.); -#27067=ORIENTED_EDGE('',*,*,#27014,.T.); -#27068=ORIENTED_EDGE('',*,*,#27027,.T.); -#27069=ORIENTED_EDGE('',*,*,#27039,.T.); -#27071=ORIENTED_EDGE('',*,*,#27070,.F.); -#27073=ORIENTED_EDGE('',*,*,#27072,.T.); -#27074=EDGE_LOOP('',(#27054,#27055,#27057,#27059,#27061,#27063,#27065,#27066, -#27067,#27068,#27069,#27071,#27073)); -#27075=FACE_OUTER_BOUND('',#27074,.F.); -#27077=CARTESIAN_POINT('',(0.E0,2.3E1,-1.2E0)); -#27078=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27079=DIRECTION('',(1.E0,0.E0,0.E0)); -#27080=AXIS2_PLACEMENT_3D('',#27077,#27078,#27079); -#27081=PLANE('',#27080); -#27082=ORIENTED_EDGE('',*,*,#26796,.F.); -#27083=ORIENTED_EDGE('',*,*,#27053,.F.); -#27085=ORIENTED_EDGE('',*,*,#27084,.F.); -#27086=ORIENTED_EDGE('',*,*,#26944,.T.); -#27087=EDGE_LOOP('',(#27082,#27083,#27085,#27086)); -#27088=FACE_OUTER_BOUND('',#27087,.F.); -#27090=CARTESIAN_POINT('',(2.17E1,2.2E1,5.057280026938E0)); -#27091=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27092=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27093=AXIS2_PLACEMENT_3D('',#27090,#27091,#27092); -#27094=CYLINDRICAL_SURFACE('',#27093,1.E0); -#27095=ORIENTED_EDGE('',*,*,#27084,.T.); -#27096=ORIENTED_EDGE('',*,*,#27072,.F.); -#27098=ORIENTED_EDGE('',*,*,#27097,.T.); -#27099=ORIENTED_EDGE('',*,*,#26946,.F.); -#27100=EDGE_LOOP('',(#27095,#27096,#27098,#27099)); -#27101=FACE_OUTER_BOUND('',#27100,.F.); -#27103=CARTESIAN_POINT('',(2.07E1,2.37E1,-1.2E0)); -#27104=DIRECTION('',(1.E0,0.E0,0.E0)); -#27105=DIRECTION('',(0.E0,1.E0,0.E0)); -#27106=AXIS2_PLACEMENT_3D('',#27103,#27104,#27105); -#27107=PLANE('',#27106); -#27108=ORIENTED_EDGE('',*,*,#27097,.F.); -#27109=ORIENTED_EDGE('',*,*,#27070,.T.); -#27110=ORIENTED_EDGE('',*,*,#27043,.F.); -#27111=ORIENTED_EDGE('',*,*,#26948,.T.); -#27112=EDGE_LOOP('',(#27108,#27109,#27110,#27111)); -#27113=FACE_OUTER_BOUND('',#27112,.F.); -#27115=CARTESIAN_POINT('',(2.465E1,6.8E0,4.6662E0)); -#27116=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27117=DIRECTION('',(1.E0,0.E0,0.E0)); -#27118=AXIS2_PLACEMENT_3D('',#27115,#27116,#27117); -#27119=CYLINDRICAL_SURFACE('',#27118,1.E0); -#27120=ORIENTED_EDGE('',*,*,#26792,.T.); -#27122=ORIENTED_EDGE('',*,*,#27121,.F.); -#27124=ORIENTED_EDGE('',*,*,#27123,.T.); -#27125=ORIENTED_EDGE('',*,*,#27056,.F.); -#27126=EDGE_LOOP('',(#27120,#27122,#27124,#27125)); -#27127=FACE_OUTER_BOUND('',#27126,.F.); -#27129=CARTESIAN_POINT('',(0.E0,6.3E0,-6.E0)); -#27130=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27131=DIRECTION('',(0.E0,1.E0,0.E0)); -#27132=AXIS2_PLACEMENT_3D('',#27129,#27130,#27131); -#27133=PLANE('',#27132); -#27135=ORIENTED_EDGE('',*,*,#27134,.F.); -#27136=ORIENTED_EDGE('',*,*,#26735,.F.); -#27138=ORIENTED_EDGE('',*,*,#27137,.T.); -#27140=ORIENTED_EDGE('',*,*,#27139,.F.); -#27141=ORIENTED_EDGE('',*,*,#27121,.T.); -#27142=ORIENTED_EDGE('',*,*,#26790,.F.); -#27143=EDGE_LOOP('',(#27135,#27136,#27138,#27140,#27141,#27142)); -#27144=FACE_OUTER_BOUND('',#27143,.F.); -#27146=CARTESIAN_POINT('',(2.58E1,7.6E0,-5.5E0)); -#27147=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27148=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27149=AXIS2_PLACEMENT_3D('',#27146,#27147,#27148); -#27150=PLANE('',#27149); -#27151=ORIENTED_EDGE('',*,*,#26737,.T.); -#27152=ORIENTED_EDGE('',*,*,#27134,.T.); -#27153=ORIENTED_EDGE('',*,*,#26788,.T.); -#27154=ORIENTED_EDGE('',*,*,#26861,.F.); -#27156=ORIENTED_EDGE('',*,*,#27155,.F.); -#27157=ORIENTED_EDGE('',*,*,#27062,.T.); -#27159=ORIENTED_EDGE('',*,*,#27158,.T.); -#27161=ORIENTED_EDGE('',*,*,#27160,.T.); -#27163=ORIENTED_EDGE('',*,*,#27162,.T.); -#27165=ORIENTED_EDGE('',*,*,#27164,.T.); -#27167=ORIENTED_EDGE('',*,*,#27166,.T.); -#27169=ORIENTED_EDGE('',*,*,#27168,.T.); -#27171=ORIENTED_EDGE('',*,*,#27170,.F.); -#27172=ORIENTED_EDGE('',*,*,#26866,.F.); -#27173=EDGE_LOOP('',(#27151,#27152,#27153,#27154,#27156,#27157,#27159,#27161, -#27163,#27165,#27167,#27169,#27171,#27172)); -#27174=FACE_OUTER_BOUND('',#27173,.F.); -#27176=CARTESIAN_POINT('',(2.33E1,8.6E0,-1.15662E1)); -#27177=DIRECTION('',(0.E0,0.E0,1.E0)); -#27178=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27179=AXIS2_PLACEMENT_3D('',#27176,#27177,#27178); -#27180=CYLINDRICAL_SURFACE('',#27179,1.E0); -#27181=ORIENTED_EDGE('',*,*,#27000,.T.); -#27182=ORIENTED_EDGE('',*,*,#27064,.F.); -#27183=ORIENTED_EDGE('',*,*,#27155,.T.); -#27184=ORIENTED_EDGE('',*,*,#26859,.F.); -#27185=EDGE_LOOP('',(#27181,#27182,#27183,#27184)); -#27186=FACE_OUTER_BOUND('',#27185,.F.); -#27188=CARTESIAN_POINT('',(1.75E1,6.3E0,-4.5E0)); -#27189=DIRECTION('',(1.E0,0.E0,0.E0)); -#27190=DIRECTION('',(0.E0,0.E0,1.E0)); -#27191=AXIS2_PLACEMENT_3D('',#27188,#27189,#27190); -#27192=PLANE('',#27191); -#27193=ORIENTED_EDGE('',*,*,#27060,.F.); -#27195=ORIENTED_EDGE('',*,*,#27194,.F.); -#27197=ORIENTED_EDGE('',*,*,#27196,.F.); -#27198=ORIENTED_EDGE('',*,*,#27158,.F.); -#27199=EDGE_LOOP('',(#27193,#27195,#27197,#27198)); -#27200=FACE_OUTER_BOUND('',#27199,.F.); -#27202=CARTESIAN_POINT('',(0.E0,5.8E0,-1.2E0)); -#27203=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27204=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27205=AXIS2_PLACEMENT_3D('',#27202,#27203,#27204); -#27206=PLANE('',#27205); -#27207=ORIENTED_EDGE('',*,*,#27123,.F.); -#27208=ORIENTED_EDGE('',*,*,#27139,.T.); -#27210=ORIENTED_EDGE('',*,*,#27209,.F.); -#27212=ORIENTED_EDGE('',*,*,#27211,.T.); -#27214=ORIENTED_EDGE('',*,*,#27213,.F.); -#27216=ORIENTED_EDGE('',*,*,#27215,.T.); -#27218=ORIENTED_EDGE('',*,*,#27217,.T.); -#27220=ORIENTED_EDGE('',*,*,#27219,.T.); -#27221=ORIENTED_EDGE('',*,*,#27194,.T.); -#27222=ORIENTED_EDGE('',*,*,#27058,.T.); -#27223=EDGE_LOOP('',(#27207,#27208,#27210,#27212,#27214,#27216,#27218,#27220, -#27221,#27222)); -#27224=FACE_OUTER_BOUND('',#27223,.F.); -#27226=CARTESIAN_POINT('',(1.15E0,6.8E0,-1.15662E1)); -#27227=DIRECTION('',(0.E0,0.E0,1.E0)); -#27228=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27229=AXIS2_PLACEMENT_3D('',#27226,#27227,#27228); -#27230=CYLINDRICAL_SURFACE('',#27229,1.E0); -#27231=ORIENTED_EDGE('',*,*,#27209,.T.); -#27232=ORIENTED_EDGE('',*,*,#27137,.F.); -#27233=ORIENTED_EDGE('',*,*,#26733,.T.); -#27235=ORIENTED_EDGE('',*,*,#27234,.F.); -#27236=EDGE_LOOP('',(#27231,#27232,#27233,#27235)); -#27237=FACE_OUTER_BOUND('',#27236,.F.); -#27239=CARTESIAN_POINT('',(0.E0,6.2E1,-1.2E0)); -#27240=DIRECTION('',(0.E0,0.E0,1.E0)); -#27241=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27242=AXIS2_PLACEMENT_3D('',#27239,#27240,#27241); -#27243=PLANE('',#27242); -#27245=ORIENTED_EDGE('',*,*,#27244,.F.); -#27246=ORIENTED_EDGE('',*,*,#27211,.F.); -#27247=ORIENTED_EDGE('',*,*,#27234,.T.); -#27248=ORIENTED_EDGE('',*,*,#26731,.F.); -#27250=ORIENTED_EDGE('',*,*,#27249,.T.); -#27252=ORIENTED_EDGE('',*,*,#27251,.T.); -#27254=ORIENTED_EDGE('',*,*,#27253,.F.); -#27256=ORIENTED_EDGE('',*,*,#27255,.T.); -#27258=ORIENTED_EDGE('',*,*,#27257,.T.); -#27259=ORIENTED_EDGE('',*,*,#26883,.F.); -#27261=ORIENTED_EDGE('',*,*,#27260,.T.); -#27262=ORIENTED_EDGE('',*,*,#27168,.F.); -#27263=EDGE_LOOP('',(#27245,#27246,#27247,#27248,#27250,#27252,#27254,#27256, -#27258,#27259,#27261,#27262)); -#27264=FACE_OUTER_BOUND('',#27263,.F.); -#27266=CARTESIAN_POINT('',(8.E0,6.3E0,-1.2E0)); -#27267=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27268=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27269=AXIS2_PLACEMENT_3D('',#27266,#27267,#27268); -#27270=PLANE('',#27269); -#27271=ORIENTED_EDGE('',*,*,#27213,.T.); -#27272=ORIENTED_EDGE('',*,*,#27244,.T.); -#27273=ORIENTED_EDGE('',*,*,#27166,.F.); -#27275=ORIENTED_EDGE('',*,*,#27274,.F.); -#27276=EDGE_LOOP('',(#27271,#27272,#27273,#27275)); -#27277=FACE_OUTER_BOUND('',#27276,.F.); -#27279=CARTESIAN_POINT('',(8.5E0,1.259423010557E2,-3.9E0)); -#27280=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27281=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27282=AXIS2_PLACEMENT_3D('',#27279,#27280,#27281); -#27283=CYLINDRICAL_SURFACE('',#27282,5.E-1); -#27284=ORIENTED_EDGE('',*,*,#27274,.T.); -#27285=ORIENTED_EDGE('',*,*,#27164,.F.); -#27287=ORIENTED_EDGE('',*,*,#27286,.T.); -#27288=ORIENTED_EDGE('',*,*,#27215,.F.); -#27289=EDGE_LOOP('',(#27284,#27285,#27287,#27288)); -#27290=FACE_OUTER_BOUND('',#27289,.F.); -#27292=CARTESIAN_POINT('',(8.E0,6.3E0,-4.4E0)); -#27293=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27294=DIRECTION('',(1.E0,0.E0,0.E0)); -#27295=AXIS2_PLACEMENT_3D('',#27292,#27293,#27294); -#27296=PLANE('',#27295); -#27297=ORIENTED_EDGE('',*,*,#27286,.F.); -#27298=ORIENTED_EDGE('',*,*,#27162,.F.); -#27300=ORIENTED_EDGE('',*,*,#27299,.F.); -#27301=ORIENTED_EDGE('',*,*,#27217,.F.); -#27302=EDGE_LOOP('',(#27297,#27298,#27300,#27301)); -#27303=FACE_OUTER_BOUND('',#27302,.F.); -#27305=CARTESIAN_POINT('',(1.7E1,-6.746232E1,-3.9E0)); -#27306=DIRECTION('',(0.E0,1.E0,0.E0)); -#27307=DIRECTION('',(1.E0,0.E0,0.E0)); -#27308=AXIS2_PLACEMENT_3D('',#27305,#27306,#27307); -#27309=CYLINDRICAL_SURFACE('',#27308,5.E-1); -#27310=ORIENTED_EDGE('',*,*,#27196,.T.); -#27311=ORIENTED_EDGE('',*,*,#27219,.F.); -#27312=ORIENTED_EDGE('',*,*,#27299,.T.); -#27313=ORIENTED_EDGE('',*,*,#27160,.F.); -#27314=EDGE_LOOP('',(#27310,#27311,#27312,#27313)); -#27315=FACE_OUTER_BOUND('',#27314,.F.); -#27317=CARTESIAN_POINT('',(0.E0,2.3E1,-1.2E0)); -#27318=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27319=DIRECTION('',(1.E0,0.E0,0.E0)); -#27320=AXIS2_PLACEMENT_3D('',#27317,#27318,#27319); -#27321=PLANE('',#27320); -#27322=ORIENTED_EDGE('',*,*,#27249,.F.); -#27323=ORIENTED_EDGE('',*,*,#26729,.F.); -#27324=ORIENTED_EDGE('',*,*,#26923,.T.); -#27326=ORIENTED_EDGE('',*,*,#27325,.F.); -#27327=EDGE_LOOP('',(#27322,#27323,#27324,#27326)); -#27328=FACE_OUTER_BOUND('',#27327,.F.); -#27330=CARTESIAN_POINT('',(4.1E0,2.2E1,-1.225728002694E1)); -#27331=DIRECTION('',(0.E0,0.E0,1.E0)); -#27332=DIRECTION('',(1.E0,0.E0,0.E0)); -#27333=AXIS2_PLACEMENT_3D('',#27330,#27331,#27332); -#27334=CYLINDRICAL_SURFACE('',#27333,1.E0); -#27335=ORIENTED_EDGE('',*,*,#27251,.F.); -#27336=ORIENTED_EDGE('',*,*,#27325,.T.); -#27337=ORIENTED_EDGE('',*,*,#26921,.F.); -#27339=ORIENTED_EDGE('',*,*,#27338,.T.); -#27340=EDGE_LOOP('',(#27335,#27336,#27337,#27339)); -#27341=FACE_OUTER_BOUND('',#27340,.F.); -#27343=CARTESIAN_POINT('',(5.1E0,3.37E1,-1.2E0)); -#27344=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27345=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27346=AXIS2_PLACEMENT_3D('',#27343,#27344,#27345); -#27347=PLANE('',#27346); -#27348=ORIENTED_EDGE('',*,*,#27338,.F.); -#27349=ORIENTED_EDGE('',*,*,#26919,.T.); -#27351=ORIENTED_EDGE('',*,*,#27350,.F.); -#27352=ORIENTED_EDGE('',*,*,#27253,.T.); -#27353=EDGE_LOOP('',(#27348,#27349,#27351,#27352)); -#27354=FACE_OUTER_BOUND('',#27353,.F.); -#27356=CARTESIAN_POINT('',(4.1E0,1.86E1,5.057280026938E0)); -#27357=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27358=DIRECTION('',(1.E0,0.E0,0.E0)); -#27359=AXIS2_PLACEMENT_3D('',#27356,#27357,#27358); -#27360=CYLINDRICAL_SURFACE('',#27359,1.E0); -#27362=ORIENTED_EDGE('',*,*,#27361,.T.); -#27363=ORIENTED_EDGE('',*,*,#27255,.F.); -#27364=ORIENTED_EDGE('',*,*,#27350,.T.); -#27365=ORIENTED_EDGE('',*,*,#26917,.F.); -#27366=EDGE_LOOP('',(#27362,#27363,#27364,#27365)); -#27367=FACE_OUTER_BOUND('',#27366,.F.); -#27369=CARTESIAN_POINT('',(4.55E0,1.665128247301E1,-1.225728002694E1)); -#27370=DIRECTION('',(0.E0,0.E0,1.E0)); -#27371=DIRECTION('',(-2.25E-1,9.743587634953E-1,0.E0)); -#27372=AXIS2_PLACEMENT_3D('',#27369,#27370,#27371); -#27373=CYLINDRICAL_SURFACE('',#27372,1.E0); -#27374=ORIENTED_EDGE('',*,*,#27361,.F.); -#27375=ORIENTED_EDGE('',*,*,#26915,.F.); -#27376=ORIENTED_EDGE('',*,*,#26885,.T.); -#27377=ORIENTED_EDGE('',*,*,#27257,.F.); -#27378=EDGE_LOOP('',(#27374,#27375,#27376,#27377)); -#27379=FACE_OUTER_BOUND('',#27378,.F.); -#27381=CARTESIAN_POINT('',(4.55E0,8.6E0,5.057280026938E0)); -#27382=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27383=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27384=AXIS2_PLACEMENT_3D('',#27381,#27382,#27383); -#27385=CYLINDRICAL_SURFACE('',#27384,1.E0); -#27386=ORIENTED_EDGE('',*,*,#27170,.T.); -#27387=ORIENTED_EDGE('',*,*,#27260,.F.); -#27388=ORIENTED_EDGE('',*,*,#26881,.T.); -#27389=ORIENTED_EDGE('',*,*,#26868,.F.); -#27390=EDGE_LOOP('',(#27386,#27387,#27388,#27389)); -#27391=FACE_OUTER_BOUND('',#27390,.F.); -#27393=CARTESIAN_POINT('',(3.E0,5.45E1,6.709080009368E0)); -#27394=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27395=DIRECTION('',(0.E0,1.E0,0.E0)); -#27396=AXIS2_PLACEMENT_3D('',#27393,#27394,#27395); -#27397=CYLINDRICAL_SURFACE('',#27396,1.E0); -#27398=ORIENTED_EDGE('',*,*,#26927,.F.); -#27399=ORIENTED_EDGE('',*,*,#26707,.T.); -#27400=ORIENTED_EDGE('',*,*,#26682,.F.); -#27402=ORIENTED_EDGE('',*,*,#27401,.T.); -#27403=EDGE_LOOP('',(#27398,#27399,#27400,#27402)); -#27404=FACE_OUTER_BOUND('',#27403,.F.); -#27406=CARTESIAN_POINT('',(4.E0,2.3E1,-1.2E0)); -#27407=DIRECTION('',(1.E0,0.E0,0.E0)); -#27408=DIRECTION('',(0.E0,1.E0,0.E0)); -#27409=AXIS2_PLACEMENT_3D('',#27406,#27407,#27408); -#27410=PLANE('',#27409); -#27412=ORIENTED_EDGE('',*,*,#27411,.F.); -#27413=ORIENTED_EDGE('',*,*,#26929,.T.); -#27414=ORIENTED_EDGE('',*,*,#27401,.F.); -#27415=ORIENTED_EDGE('',*,*,#26680,.F.); -#27416=EDGE_LOOP('',(#27412,#27413,#27414,#27415)); -#27417=FACE_OUTER_BOUND('',#27416,.F.); -#27419=CARTESIAN_POINT('',(5.5E0,4.27E1,-1.240908000530E1)); -#27420=DIRECTION('',(0.E0,0.E0,1.E0)); -#27421=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27422=AXIS2_PLACEMENT_3D('',#27419,#27420,#27421); -#27423=CYLINDRICAL_SURFACE('',#27422,1.5E0); -#27424=ORIENTED_EDGE('',*,*,#26678,.F.); -#27426=ORIENTED_EDGE('',*,*,#27425,.T.); -#27427=ORIENTED_EDGE('',*,*,#26931,.F.); -#27428=ORIENTED_EDGE('',*,*,#27411,.T.); -#27429=EDGE_LOOP('',(#27424,#27426,#27427,#27428)); -#27430=FACE_OUTER_BOUND('',#27429,.F.); -#27432=CARTESIAN_POINT('',(0.E0,4.12E1,-3.3E0)); -#27433=DIRECTION('',(0.E0,1.E0,0.E0)); -#27434=DIRECTION('',(0.E0,0.E0,1.E0)); -#27435=AXIS2_PLACEMENT_3D('',#27432,#27433,#27434); -#27436=PLANE('',#27435); -#27437=ORIENTED_EDGE('',*,*,#27425,.F.); -#27438=ORIENTED_EDGE('',*,*,#26676,.T.); -#27440=ORIENTED_EDGE('',*,*,#27439,.F.); -#27441=ORIENTED_EDGE('',*,*,#26933,.F.); -#27442=EDGE_LOOP('',(#27437,#27438,#27440,#27441)); -#27443=FACE_OUTER_BOUND('',#27442,.F.); -#27445=CARTESIAN_POINT('',(2.03E1,4.27E1,6.709080009368E0)); -#27446=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27447=DIRECTION('',(1.E0,0.E0,0.E0)); -#27448=AXIS2_PLACEMENT_3D('',#27445,#27446,#27447); -#27449=CYLINDRICAL_SURFACE('',#27448,1.5E0); -#27450=ORIENTED_EDGE('',*,*,#27439,.T.); -#27451=ORIENTED_EDGE('',*,*,#26674,.F.); -#27453=ORIENTED_EDGE('',*,*,#27452,.T.); -#27454=ORIENTED_EDGE('',*,*,#26935,.F.); -#27455=EDGE_LOOP('',(#27450,#27451,#27453,#27454)); -#27456=FACE_OUTER_BOUND('',#27455,.F.); -#27458=CARTESIAN_POINT('',(2.18E1,5.55E1,-1.2E0)); -#27459=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27460=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27461=AXIS2_PLACEMENT_3D('',#27458,#27459,#27460); -#27462=PLANE('',#27461); -#27463=ORIENTED_EDGE('',*,*,#27452,.F.); -#27464=ORIENTED_EDGE('',*,*,#26672,.F.); -#27466=ORIENTED_EDGE('',*,*,#27465,.F.); -#27467=ORIENTED_EDGE('',*,*,#26937,.T.); -#27468=EDGE_LOOP('',(#27463,#27464,#27466,#27467)); -#27469=FACE_OUTER_BOUND('',#27468,.F.); -#27471=CARTESIAN_POINT('',(2.28E1,5.45E1,6.709080009368E0)); -#27472=DIRECTION('',(0.E0,0.E0,-1.E0)); -#27473=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27474=AXIS2_PLACEMENT_3D('',#27471,#27472,#27473); -#27475=CYLINDRICAL_SURFACE('',#27474,1.E0); -#27476=ORIENTED_EDGE('',*,*,#26939,.F.); -#27477=ORIENTED_EDGE('',*,*,#27465,.T.); -#27478=ORIENTED_EDGE('',*,*,#26670,.F.); -#27480=ORIENTED_EDGE('',*,*,#27479,.T.); -#27481=EDGE_LOOP('',(#27476,#27477,#27478,#27480)); -#27482=FACE_OUTER_BOUND('',#27481,.F.); -#27484=CARTESIAN_POINT('',(2.58E1,5.55E1,-1.2E0)); -#27485=DIRECTION('',(0.E0,1.E0,0.E0)); -#27486=DIRECTION('',(-1.E0,0.E0,0.E0)); -#27487=AXIS2_PLACEMENT_3D('',#27484,#27485,#27486); -#27488=PLANE('',#27487); -#27489=ORIENTED_EDGE('',*,*,#26668,.T.); -#27490=ORIENTED_EDGE('',*,*,#26800,.T.); -#27491=ORIENTED_EDGE('',*,*,#26941,.T.); -#27492=ORIENTED_EDGE('',*,*,#27479,.F.); -#27493=EDGE_LOOP('',(#27489,#27490,#27491,#27492)); -#27494=FACE_OUTER_BOUND('',#27493,.F.); -#27496=CARTESIAN_POINT('',(2.2832E1,5.8858E1,1.0808E1)); -#27497=DIRECTION('',(0.E0,0.E0,1.E0)); -#27498=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27499=AXIS2_PLACEMENT_3D('',#27496,#27497,#27498); -#27500=CYLINDRICAL_SURFACE('',#27499,1.05E0); -#27501=ORIENTED_EDGE('',*,*,#26686,.F.); -#27503=ORIENTED_EDGE('',*,*,#27502,.T.); -#27505=ORIENTED_EDGE('',*,*,#27504,.F.); -#27507=ORIENTED_EDGE('',*,*,#27506,.F.); -#27508=EDGE_LOOP('',(#27501,#27503,#27505,#27507)); -#27509=FACE_OUTER_BOUND('',#27508,.F.); -#27511=CARTESIAN_POINT('',(2.2832E1,5.8858E1,1.0808E1)); -#27512=DIRECTION('',(0.E0,0.E0,1.E0)); -#27513=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27514=AXIS2_PLACEMENT_3D('',#27511,#27512,#27513); -#27515=CYLINDRICAL_SURFACE('',#27514,1.05E0); -#27516=ORIENTED_EDGE('',*,*,#26688,.F.); -#27517=ORIENTED_EDGE('',*,*,#27506,.T.); -#27519=ORIENTED_EDGE('',*,*,#27518,.F.); -#27520=ORIENTED_EDGE('',*,*,#27502,.F.); -#27521=EDGE_LOOP('',(#27516,#27517,#27519,#27520)); -#27522=FACE_OUTER_BOUND('',#27521,.F.); -#27524=CARTESIAN_POINT('',(0.E0,0.E0,-4.2E0)); -#27525=DIRECTION('',(0.E0,0.E0,1.E0)); -#27526=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27527=AXIS2_PLACEMENT_3D('',#27524,#27525,#27526); -#27528=PLANE('',#27527); -#27529=ORIENTED_EDGE('',*,*,#27504,.T.); -#27530=ORIENTED_EDGE('',*,*,#27518,.T.); -#27531=EDGE_LOOP('',(#27529,#27530)); -#27532=FACE_OUTER_BOUND('',#27531,.F.); -#27534=CARTESIAN_POINT('',(2.821E0,5.8862E1,1.0808E1)); -#27535=DIRECTION('',(0.E0,0.E0,1.E0)); -#27536=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27537=AXIS2_PLACEMENT_3D('',#27534,#27535,#27536); -#27538=CYLINDRICAL_SURFACE('',#27537,1.05E0); -#27539=ORIENTED_EDGE('',*,*,#26692,.F.); -#27541=ORIENTED_EDGE('',*,*,#27540,.T.); -#27543=ORIENTED_EDGE('',*,*,#27542,.F.); -#27545=ORIENTED_EDGE('',*,*,#27544,.F.); -#27546=EDGE_LOOP('',(#27539,#27541,#27543,#27545)); -#27547=FACE_OUTER_BOUND('',#27546,.F.); -#27549=CARTESIAN_POINT('',(2.821E0,5.8862E1,1.0808E1)); -#27550=DIRECTION('',(0.E0,0.E0,1.E0)); -#27551=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27552=AXIS2_PLACEMENT_3D('',#27549,#27550,#27551); -#27553=CYLINDRICAL_SURFACE('',#27552,1.05E0); -#27554=ORIENTED_EDGE('',*,*,#26694,.F.); -#27555=ORIENTED_EDGE('',*,*,#27544,.T.); -#27557=ORIENTED_EDGE('',*,*,#27556,.F.); -#27558=ORIENTED_EDGE('',*,*,#27540,.F.); -#27559=EDGE_LOOP('',(#27554,#27555,#27557,#27558)); -#27560=FACE_OUTER_BOUND('',#27559,.F.); -#27562=CARTESIAN_POINT('',(0.E0,0.E0,-4.2E0)); -#27563=DIRECTION('',(0.E0,0.E0,1.E0)); -#27564=DIRECTION('',(0.E0,-1.E0,0.E0)); -#27565=AXIS2_PLACEMENT_3D('',#27562,#27563,#27564); -#27566=PLANE('',#27565); -#27567=ORIENTED_EDGE('',*,*,#27542,.T.); -#27568=ORIENTED_EDGE('',*,*,#27556,.T.); -#27569=EDGE_LOOP('',(#27567,#27568)); -#27570=FACE_OUTER_BOUND('',#27569,.F.); -#27572=CLOSED_SHELL('',(#26698,#26713,#26743,#26758,#26776,#26805,#26818,#26831, -#26843,#26872,#26891,#26905,#26962,#26975,#26988,#27005,#27019,#27033,#27047, -#27076,#27089,#27102,#27114,#27128,#27145,#27175,#27187,#27201,#27225,#27238, -#27265,#27278,#27291,#27304,#27316,#27329,#27342,#27355,#27368,#27380,#27392, -#27405,#27418,#27431,#27444,#27457,#27470,#27483,#27495,#27510,#27523,#27533, -#27548,#27561,#27571)); -#27573=MANIFOLD_SOLID_BREP('',#27572); -#27574=FILL_AREA_STYLE_COLOUR('',#31); -#27575=FILL_AREA_STYLE('',(#27574)); -#27576=SURFACE_STYLE_FILL_AREA(#27575); -#27577=SURFACE_SIDE_STYLE('',(#27576)); -#27578=SURFACE_STYLE_USAGE(.BOTH.,#27577); -#27579=PRESENTATION_STYLE_ASSIGNMENT((#27578)); -#25276=STYLED_ITEM('',(#27579),#27573); -#27582=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), -#27581); -#27583=(CONVERSION_BASED_UNIT('DEGREE',#27582)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); -#27585=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(6.189849325897E-3),#27580, -'distance_accuracy_value', -'Maximum model space distance between geometric entities at asserted connectivities'); -#27587=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#27588=DIRECTION('',(0.E0,0.E0,1.E0)); -#27589=DIRECTION('',(1.E0,0.E0,0.E0)); -#27592=PRODUCT_DEFINITION_CONTEXT('part definition',#24812,'design'); -#27593=PRODUCT_CONTEXT('',#24812,'mechanical'); -#27594=PRODUCT('K20430001','K20430001','NOT SPECIFIED',(#27593)); -#27595=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#27594); -#27603=DERIVED_UNIT_ELEMENT(#27602,2.E0); -#27604=DERIVED_UNIT((#27603)); -#27605=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -3.544899168049E3),#27604); -#27610=DERIVED_UNIT_ELEMENT(#27609,3.E0); -#27611=DERIVED_UNIT((#27610)); -#27612=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( -2.841230278204E3),#27611); -#27616=CARTESIAN_POINT('centre point',(1.271453240454E1,3.942912658827E1, --3.325319480950E0)); -#27621=DERIVED_UNIT_ELEMENT(#27620,2.E0); -#27622=DERIVED_UNIT((#27621)); -#27623=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -3.544899168049E3),#27622); -#27628=DERIVED_UNIT_ELEMENT(#27627,3.E0); -#27629=DERIVED_UNIT((#27628)); -#27630=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( -2.841230278204E3),#27629); -#27634=CARTESIAN_POINT('centre point',(1.271453240454E1,3.942912658827E1, --3.325319480950E0)); -#27641=CARTESIAN_POINT('centre point',(-1.854675954621E-1,3.821133458827E1, --3.675319480950E0)); -#27645=CARTESIAN_POINT('',(-1.29E1,-1.217792E0,-3.5E-1)); -#27646=DIRECTION('',(0.E0,0.E0,1.E0)); -#27647=DIRECTION('',(1.E0,0.E0,0.E0)); -#27648=AXIS2_PLACEMENT_3D('',#27645,#27646,#27647); -#27649=ITEM_DEFINED_TRANSFORMATION('','',#27590,#27648); -#27650=(REPRESENTATION_RELATIONSHIP('','',#27591,#24863)REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#27649)SHAPE_REPRESENTATION_RELATIONSHIP()); -#27651=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#27650,#27640); -#27654=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), -#27653); -#27655=(CONVERSION_BASED_UNIT('DEGREE',#27654)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); -#27657=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.190639195330E-2),#27652, -'distance_accuracy_value', -'Maximum model space distance between geometric entities at asserted connectivities'); -#27659=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); -#27660=DIRECTION('',(0.E0,0.E0,1.E0)); -#27661=DIRECTION('',(1.E0,0.E0,0.E0)); -#27663=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#13018, -#13040,#13288,#13387,#13407,#13426,#13446,#13466,#13486,#13505,#18622,#18697, -#25121,#25142,#25163,#25183,#25202,#25285,#25293,#25301,#25308,#25316,#25324, -#25331,#25339,#25347,#25355,#25363,#25371,#25378,#25385,#25392,#25399,#25406, -#25413,#25420,#25427,#25434,#25441,#25448,#25455,#25462,#25470,#25478,#25485, -#25492,#25499,#25506,#25513,#25520,#25527,#25534,#25541,#25548,#25555,#25562, -#25570,#25578,#25586,#25593,#25600,#25607,#25615,#25622,#25629,#25636,#25643, -#25651,#25658,#25666,#25674,#25682,#25689,#25697,#25705,#25712,#25720,#25728, -#25736,#25744,#25752,#25759,#25767,#25774,#25781,#25788,#25795,#25802,#25809, -#25816,#25823,#25830,#25837,#25844,#25851,#25859,#25866,#25874,#25882,#25889, -#25897,#25905,#25912,#25919,#25926,#25933,#25940,#25947,#25954,#25962,#25970, -#25977,#25984,#25991,#25998,#26005,#26013,#26020,#26028,#26035,#26042,#26049, -#26056,#26063,#26070,#26078,#26085,#26093,#26100,#26107,#26114,#26121,#26129, -#26136,#26144,#26152,#26160,#26168,#26175,#26182,#26189,#26196,#26203,#26210, -#26217,#26224,#26231,#26238,#26245,#26252,#26259,#26266,#26273,#26280,#26287, -#26294,#26301,#26308,#26315,#26322,#26329,#26336,#26343,#26350,#26357,#26364, -#26371,#26378,#26385,#26392,#26400,#26408,#26415,#26422,#26430,#26438,#25276), -#27658); -#27664=PRODUCT_CONTEXT('',#24812,'mechanical'); -#27665=PRODUCT('S3-SHELL-0926_ASM','S3-SHELL-0926_ASM','NOT SPECIFIED', -(#27664)); -#27666=PRODUCT_DEFINITION_FORMATION('162','LAST_VERSION',#27665); -#27669=DERIVED_UNIT_ELEMENT(#27668,2.E0); -#27670=DERIVED_UNIT((#27669)); -#27671=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -1.093712477885E4),#27670); -#27676=DERIVED_UNIT_ELEMENT(#27675,3.E0); -#27677=DERIVED_UNIT((#27676)); -#27678=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( -7.261624466148E3),#27677); -#27682=CARTESIAN_POINT('centre point',(-7.215492842756E-3,3.277373938077E1, --3.090626107435E0)); -#27686=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#27665,#24816,#25218, -#27594)); -#1=COLOUR_RGB('',0.E0,3.137254901961E-2,3.411764705882E-1); -#2=COLOUR_RGB('',0.E0,2.509803921569E-1,5.019607843137E-1); -#3=COLOUR_RGB('',0.E0,8.078431372549E-1,8.196078431373E-1); -#4=DRAUGHTING_PRE_DEFINED_COLOUR('green'); -#5=COLOUR_RGB('',0.E0,1.E0,4.980392156863E-1); -#6=DRAUGHTING_PRE_DEFINED_COLOUR('cyan'); -#7=COLOUR_RGB('',1.1E-2,1.2E-2,1.E0); -#8=COLOUR_RGB('',1.960784313725E-2,6.352941176471E-1,6.352941176471E-1); -#9=COLOUR_RGB('',7.843137254902E-2,7.843137254902E-2,7.843137254902E-2); -#10=COLOUR_RGB('',9.803921568627E-2,9.803921568627E-2,4.392156862745E-1); -#11=COLOUR_RGB('',1.686274509804E-1,1.686274509804E-1,1.803921568627E-1); -#12=COLOUR_RGB('',1.843137254902E-1,3.098039215686E-1,3.098039215686E-1); -#13=COLOUR_RGB('',2.117647058824E-1,5.137254901961E-1,4.156862745098E-1); -#14=COLOUR_RGB('',2.45E-1,5.18E-1,9.48E-1); -#15=COLOUR_RGB('',2.45E-1,5.18E-1,9.48E-1); -#16=COLOUR_RGB('',2.509803921569E-1,2.509803921569E-1,2.509803921569E-1); -#17=COLOUR_RGB('',2.745098039216E-1,5.098039215686E-1,7.058823529412E-1); -#18=COLOUR_RGB('',3.372549019608E-1,2.549019607843E-1,1.960784313725E-2); -#19=COLOUR_RGB('',3.450980392157E-1,3.647058823529E-1,3.725490196078E-1); -#20=COLOUR_RGB('',3.921568627451E-1,1.176470588235E-2,1.176470588235E-2); -#21=COLOUR_RGB('',3.921568627451E-1,5.843137254902E-1,9.294117647059E-1); -#22=COLOUR_RGB('',4.E-1,1.294117647059E-1,0.E0); -#23=COLOUR_RGB('',4.117647058824E-1,0.E0,2.196078431373E-1); -#24=COLOUR_RGB('',4.1E-1,2.61589E-1,1.12848E-1); -#25=COLOUR_RGB('',4.156862745098E-1,3.529411764706E-1,8.039215686275E-1); -#26=COLOUR_RGB('',4.196078431373E-1,4.196078431373E-1,4.196078431373E-1); -#27=COLOUR_RGB('',4.980392156863E-1,4.941176470588E-1,5.529411764706E-1); -#28=COLOUR_RGB('',5.04854E-1,1.5455E-2,1.5455E-2); -#29=COLOUR_RGB('',5.294117647059E-1,8.078431372549E-1,9.215686274510E-1); -#30=COLOUR_RGB('',5.803921568627E-1,0.E0,8.274509803922E-1); -#31=COLOUR_RGB('',6.E-1,6.E-1,1.E0); -#32=COLOUR_RGB('',6.117647058824E-1,0.E0,5.882352941176E-2); -#33=COLOUR_RGB('',6.35294E-1,6.86275E-1,7.4902E-1); -#34=COLOUR_RGB('',6.40777E-1,6.40777E-1,6.40777E-1); -#35=COLOUR_RGB('',6.69062E-1,6.69903E-1,6.55217E-1); -#36=COLOUR_RGB('',7.490196078431E-1,7.215686274510E-1,0.E0); -#37=COLOUR_RGB('',8.235294117647E-1,4.117647058824E-1,1.176470588235E-1); -#38=COLOUR_RGB('',8.392156862745E-1,8.392156862745E-1,8.509803921569E-1); -#39=COLOUR_RGB('',8.549019607843E-1,4.392156862745E-1,8.392156862745E-1); -#40=COLOUR_RGB('',8.784313725490E-1,9.490196078431E-1,1.E0); -#41=COLOUR_RGB('',9.21569E-1,7.05882E-1,4.70588E-1); -#42=COLOUR_RGB('',9.803921568627E-1,6.274509803922E-1,0.E0); -#43=DRAUGHTING_PRE_DEFINED_COLOUR('red'); -#44=DRAUGHTING_PRE_DEFINED_COLOUR('magenta'); -#45=COLOUR_RGB('',1.E0,7.843137254902E-2,5.764705882353E-1); -#46=COLOUR_RGB('',1.E0,7.529411764706E-1,7.960784313725E-1); -#47=COLOUR_RGB('',1.E0,7.686274509804E-1,5.882352941176E-2); -#48=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); -#49=COLOUR_RGB('',1.E0,1.E0,5.3E-1); -#50=COLOUR_RGB('',1.E0,1.E0,9.49E-1); -#51=DRAUGHTING_PRE_DEFINED_COLOUR('white'); -#56=CIRCLE('',#55,1.E0); -#65=CIRCLE('',#64,1.E0); -#74=CIRCLE('',#73,1.E0); -#83=CIRCLE('',#82,1.E0); -#92=CIRCLE('',#91,9.748495E-1); -#97=CIRCLE('',#96,9.748495E-1); -#102=CIRCLE('',#101,9.41174E-1); -#107=CIRCLE('',#106,9.41174E-1); -#128=CIRCLE('',#127,6.01599E-1); -#133=CIRCLE('',#132,6.01599E-1); -#138=CIRCLE('',#137,6.01599E-1); -#143=CIRCLE('',#142,5.516E-1); -#148=CIRCLE('',#147,5.516E-1); -#153=CIRCLE('',#152,5.516005E-1); -#158=CIRCLE('',#157,5.516005E-1); -#163=CIRCLE('',#162,6.01599E-1); -#168=CIRCLE('',#167,6.01599E-1); -#173=CIRCLE('',#172,6.01599E-1); -#242=CIRCLE('',#241,7.01599E-1); -#247=CIRCLE('',#246,7.01599E-1); -#252=CIRCLE('',#251,7.01599E-1); -#257=CIRCLE('',#256,7.01599E-1); -#262=CIRCLE('',#261,7.01599E-1); -#267=CIRCLE('',#266,7.01599E-1); -#272=CIRCLE('',#271,7.01599E-1); -#277=CIRCLE('',#276,7.01599E-1); -#282=CIRCLE('',#281,7.01599E-1); -#287=CIRCLE('',#286,7.01599E-1); -#292=CIRCLE('',#291,7.01599E-1); -#297=CIRCLE('',#296,7.01599E-1); -#302=CIRCLE('',#301,8.01599E-1); -#307=CIRCLE('',#306,8.01599E-1); -#312=CIRCLE('',#311,8.01599E-1); -#317=CIRCLE('',#316,8.01599E-1); -#322=CIRCLE('',#321,8.01599E-1); -#327=CIRCLE('',#326,8.01599E-1); -#332=CIRCLE('',#331,8.01599E-1); -#337=CIRCLE('',#336,8.01599E-1); -#342=CIRCLE('',#341,8.01599E-1); -#347=CIRCLE('',#346,8.01599E-1); -#352=CIRCLE('',#351,8.01599E-1); -#357=CIRCLE('',#356,8.01599E-1); -#362=CIRCLE('',#361,8.01599E-1); -#367=CIRCLE('',#366,8.01599E-1); -#372=CIRCLE('',#371,7.01599E-1); -#377=CIRCLE('',#376,7.01599E-1); -#382=CIRCLE('',#381,7.01599E-1); -#387=CIRCLE('',#386,7.01599E-1); -#392=CIRCLE('',#391,7.01599E-1); -#397=CIRCLE('',#396,7.01599E-1); -#402=CIRCLE('',#401,7.01599E-1); -#407=CIRCLE('',#406,7.01599E-1); -#412=CIRCLE('',#411,7.01599E-1); -#417=CIRCLE('',#416,7.01599E-1); -#422=CIRCLE('',#421,7.01599E-1); -#427=CIRCLE('',#426,7.01599E-1); -#432=CIRCLE('',#431,7.01599E-1); -#437=CIRCLE('',#436,7.01599E-1); -#442=CIRCLE('',#441,7.01599E-1); -#447=CIRCLE('',#446,7.01599E-1); -#452=CIRCLE('',#451,7.01599E-1); -#457=CIRCLE('',#456,7.01599E-1); -#462=CIRCLE('',#461,7.01599E-1); -#467=CIRCLE('',#466,7.01599E-1); -#472=CIRCLE('',#471,7.01599E-1); -#477=CIRCLE('',#476,7.01599E-1); -#490=CIRCLE('',#489,1.E0); -#495=CIRCLE('',#494,1.E0); -#500=CIRCLE('',#499,1.E0); -#509=CIRCLE('',#508,1.E0); -#514=CIRCLE('',#513,9.41174E-1); -#519=CIRCLE('',#518,9.41174E-1); -#524=CIRCLE('',#523,7.01599E-1); -#529=CIRCLE('',#528,7.01599E-1); -#534=CIRCLE('',#533,7.01599E-1); -#539=CIRCLE('',#538,7.01599E-1); -#544=CIRCLE('',#543,7.01599E-1); -#549=CIRCLE('',#548,7.01599E-1); -#554=CIRCLE('',#553,7.01599E-1); -#559=CIRCLE('',#558,7.01599E-1); -#564=CIRCLE('',#563,7.01599E-1); -#569=CIRCLE('',#568,7.01599E-1); -#574=CIRCLE('',#573,7.01599E-1); -#579=CIRCLE('',#578,7.01599E-1); -#584=CIRCLE('',#583,8.01599E-1); -#589=CIRCLE('',#588,8.01599E-1); -#594=CIRCLE('',#593,8.01599E-1); -#599=CIRCLE('',#598,8.01599E-1); -#604=CIRCLE('',#603,8.01599E-1); -#609=CIRCLE('',#608,8.01599E-1); -#614=CIRCLE('',#613,8.01599E-1); -#619=CIRCLE('',#618,8.01599E-1); -#624=CIRCLE('',#623,8.01599E-1); -#629=CIRCLE('',#628,8.01599E-1); -#634=CIRCLE('',#633,8.01599E-1); -#639=CIRCLE('',#638,8.01599E-1); -#644=CIRCLE('',#643,8.01599E-1); -#649=CIRCLE('',#648,8.01599E-1); -#654=CIRCLE('',#653,7.01599E-1); -#659=CIRCLE('',#658,7.01599E-1); -#664=CIRCLE('',#663,7.01599E-1); -#669=CIRCLE('',#668,7.01599E-1); -#674=CIRCLE('',#673,7.01599E-1); -#679=CIRCLE('',#678,7.01599E-1); -#684=CIRCLE('',#683,7.01599E-1); -#689=CIRCLE('',#688,7.01599E-1); -#694=CIRCLE('',#693,7.01599E-1); -#699=CIRCLE('',#698,7.01599E-1); -#704=CIRCLE('',#703,7.01599E-1); -#709=CIRCLE('',#708,7.01599E-1); -#714=CIRCLE('',#713,7.01599E-1); -#719=CIRCLE('',#718,7.01599E-1); -#724=CIRCLE('',#723,7.01599E-1); -#729=CIRCLE('',#728,7.01599E-1); -#734=CIRCLE('',#733,7.01599E-1); -#739=CIRCLE('',#738,7.01599E-1); -#744=CIRCLE('',#743,7.01599E-1); -#749=CIRCLE('',#748,7.01599E-1); -#754=CIRCLE('',#753,7.01599E-1); -#759=CIRCLE('',#758,7.01599E-1); -#764=CIRCLE('',#763,9.748495E-1); -#769=CIRCLE('',#768,9.748495E-1); -#794=CIRCLE('',#793,1.3E0); -#879=CIRCLE('',#878,1.3E0); -#916=CIRCLE('',#915,1.3E0); -#921=CIRCLE('',#920,1.3E0); -#926=CIRCLE('',#925,1.3E0); -#935=CIRCLE('',#934,1.3E0); -#948=CIRCLE('',#947,1.3E0); -#953=CIRCLE('',#952,1.3E0); -#958=CIRCLE('',#957,1.3E0); -#963=CIRCLE('',#962,1.3E0); -#968=CIRCLE('',#967,1.E0); -#977=CIRCLE('',#976,1.E0); -#986=CIRCLE('',#985,1.E0); -#995=CIRCLE('',#994,1.E0); -#1072=CIRCLE('',#1071,1.E0); -#1081=CIRCLE('',#1080,1.E0); -#1090=CIRCLE('',#1089,1.E0); -#1095=CIRCLE('',#1094,1.E0); -#1572=CIRCLE('',#1571,1.05E0); -#1581=CIRCLE('',#1580,1.05E0); -#1622=CIRCLE('',#1621,3.E-1); -#1627=CIRCLE('',#1626,1.05E0); -#1632=CIRCLE('',#1631,1.05E0); -#1637=CIRCLE('',#1636,3.E-1); -#1642=CIRCLE('',#1641,7.5E-1); -#1647=CIRCLE('',#1646,7.5E-1); -#1668=CIRCLE('',#1667,3.E-1); -#1673=CIRCLE('',#1672,1.05E0); -#1678=CIRCLE('',#1677,1.05E0); -#1683=CIRCLE('',#1682,3.E-1); -#1720=CIRCLE('',#1719,1.05E0); -#1729=CIRCLE('',#1728,1.05E0); -#1770=CIRCLE('',#1769,3.E-1); -#1775=CIRCLE('',#1774,1.05E0); -#1780=CIRCLE('',#1779,1.05E0); -#1785=CIRCLE('',#1784,3.E-1); -#1790=CIRCLE('',#1789,7.5E-1); -#1795=CIRCLE('',#1794,7.5E-1); -#1816=CIRCLE('',#1815,3.E-1); -#1821=CIRCLE('',#1820,1.05E0); -#1826=CIRCLE('',#1825,1.05E0); -#1831=CIRCLE('',#1830,3.E-1); -#2564=CIRCLE('',#2563,6.01599E-1); -#2569=CIRCLE('',#2568,6.01599E-1); -#2574=CIRCLE('',#2573,6.01599E-1); -#2623=CIRCLE('',#2622,5.516E-1); -#2628=CIRCLE('',#2627,5.516E-1); -#2653=CIRCLE('',#2652,6.01599E-1); -#2658=CIRCLE('',#2657,6.01599E-1); -#2663=CIRCLE('',#2662,6.01599E-1); -#2692=CIRCLE('',#2691,5.516005E-1); -#2697=CIRCLE('',#2696,5.516005E-1); -#4110=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4106,#4107,#4108,#4109),.UNSPECIFIED., -.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); -#4127=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4123,#4124,#4125,#4126),.UNSPECIFIED., -.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); -#4132=CIRCLE('',#4131,1.E0); -#4137=CIRCLE('',#4136,1.E0); -#4142=CIRCLE('',#4141,1.E0); -#4155=CIRCLE('',#4154,1.E0); -#4160=CIRCLE('',#4159,1.E0); -#4165=CIRCLE('',#4164,8.E-1); -#4170=CIRCLE('',#4169,8.E-1); -#4183=CIRCLE('',#4182,8.E-1); -#4188=CIRCLE('',#4187,8.E-1); -#4193=CIRCLE('',#4192,2.5E-1); -#4198=CIRCLE('',#4197,2.5E-1); -#4207=CIRCLE('',#4206,2.5E-1); -#4212=CIRCLE('',#4211,2.5E-1); -#11627=EDGE_CURVE('',#8779,#8780,#56,.T.); -#11629=EDGE_CURVE('',#8780,#8782,#60,.T.); -#11631=EDGE_CURVE('',#8782,#8784,#65,.T.); -#11633=EDGE_CURVE('',#8784,#8786,#69,.T.); -#11635=EDGE_CURVE('',#8786,#8788,#74,.T.); -#11637=EDGE_CURVE('',#8788,#8790,#78,.T.); -#11639=EDGE_CURVE('',#8790,#8792,#83,.T.); -#11641=EDGE_CURVE('',#8792,#8779,#87,.T.); -#11645=EDGE_CURVE('',#8795,#8796,#92,.T.); -#11647=EDGE_CURVE('',#8796,#8795,#97,.T.); -#11651=EDGE_CURVE('',#8799,#8800,#102,.T.); -#11653=EDGE_CURVE('',#8800,#8799,#107,.T.); -#11657=EDGE_CURVE('',#8803,#8804,#1843,.T.); -#11659=EDGE_CURVE('',#8806,#8803,#2019,.T.); -#11661=EDGE_CURVE('',#8808,#8806,#1879,.T.); -#11663=EDGE_CURVE('',#8810,#8808,#1995,.T.); -#11665=EDGE_CURVE('',#8812,#8810,#1987,.T.); -#11667=EDGE_CURVE('',#8814,#8812,#1979,.T.); -#11669=EDGE_CURVE('',#8816,#8814,#1871,.T.); -#11671=EDGE_CURVE('',#8818,#8816,#1955,.T.); -#11673=EDGE_CURVE('',#8820,#8818,#1947,.T.); -#11675=EDGE_CURVE('',#8822,#8820,#1939,.T.); -#11677=EDGE_CURVE('',#8824,#8822,#1863,.T.); -#11679=EDGE_CURVE('',#8826,#8824,#1931,.T.); -#11681=EDGE_CURVE('',#8828,#8826,#1923,.T.); -#11683=EDGE_CURVE('',#8830,#8828,#1915,.T.); -#11685=EDGE_CURVE('',#8832,#8830,#1895,.T.); -#11687=EDGE_CURVE('',#8834,#8832,#2059,.T.); -#11689=EDGE_CURVE('',#8836,#8834,#2051,.T.); -#11691=EDGE_CURVE('',#8838,#8836,#2043,.T.); -#11693=EDGE_CURVE('',#8840,#8838,#1887,.T.); -#11695=EDGE_CURVE('',#8804,#8840,#1851,.T.); -#11699=EDGE_CURVE('',#8843,#8844,#2227,.T.); -#11701=EDGE_CURVE('',#8843,#8846,#111,.T.); -#11703=EDGE_CURVE('',#8846,#8848,#115,.T.); -#11705=EDGE_CURVE('',#8850,#8848,#2431,.T.); -#11707=EDGE_CURVE('',#8852,#8850,#2403,.T.); -#11709=EDGE_CURVE('',#8854,#8852,#2391,.T.); -#11711=EDGE_CURVE('',#8856,#8854,#2359,.T.); -#11713=EDGE_CURVE('',#8858,#8856,#2327,.T.); -#11715=EDGE_CURVE('',#8860,#8858,#2303,.T.); -#11717=EDGE_CURVE('',#8862,#8860,#2311,.T.); -#11719=EDGE_CURVE('',#8862,#8864,#119,.T.); -#11721=EDGE_CURVE('',#8864,#8866,#123,.T.); -#11723=EDGE_CURVE('',#8868,#8866,#2519,.T.); -#11725=EDGE_CURVE('',#8870,#8868,#2511,.T.); -#11727=EDGE_CURVE('',#8872,#8870,#2503,.T.); -#11729=EDGE_CURVE('',#8874,#8872,#2267,.T.); -#11731=EDGE_CURVE('',#8876,#8874,#2243,.T.); -#11733=EDGE_CURVE('',#8844,#8876,#2235,.T.); -#11737=EDGE_CURVE('',#8879,#8880,#128,.T.); -#11739=EDGE_CURVE('',#8880,#8882,#133,.T.); -#11741=EDGE_CURVE('',#8882,#8884,#138,.T.); -#11743=EDGE_CURVE('',#8886,#8884,#2648,.T.); -#11745=EDGE_CURVE('',#8888,#8886,#2602,.T.); -#11747=EDGE_CURVE('',#8888,#8890,#143,.T.); -#11749=EDGE_CURVE('',#8890,#8892,#148,.T.); -#11751=EDGE_CURVE('',#8894,#8892,#2618,.T.); -#11753=EDGE_CURVE('',#8894,#8896,#153,.T.); -#11755=EDGE_CURVE('',#8896,#8898,#158,.T.); -#11757=EDGE_CURVE('',#8900,#8898,#2610,.T.); -#11759=EDGE_CURVE('',#8902,#8900,#2582,.T.); -#11761=EDGE_CURVE('',#8902,#8904,#163,.T.); -#11763=EDGE_CURVE('',#8904,#8906,#168,.T.); -#11765=EDGE_CURVE('',#8906,#8908,#173,.T.); -#11767=EDGE_CURVE('',#8879,#8908,#2559,.T.); -#11771=EDGE_CURVE('',#8911,#8912,#2749,.T.); -#11773=EDGE_CURVE('',#8914,#8911,#2805,.T.); -#11775=EDGE_CURVE('',#8916,#8914,#2797,.T.); -#11777=EDGE_CURVE('',#8912,#8916,#2757,.T.); -#11781=EDGE_CURVE('',#8919,#8920,#177,.T.); -#11783=EDGE_CURVE('',#8922,#8920,#2917,.T.); -#11785=EDGE_CURVE('',#8922,#8924,#181,.T.); -#11787=EDGE_CURVE('',#8919,#8924,#2921,.T.); -#11791=EDGE_CURVE('',#8927,#8928,#185,.T.); -#11793=EDGE_CURVE('',#8930,#8928,#2997,.T.); -#11795=EDGE_CURVE('',#8930,#8932,#189,.T.); -#11797=EDGE_CURVE('',#8927,#8932,#3001,.T.); -#11801=EDGE_CURVE('',#8935,#8936,#193,.T.); -#11803=EDGE_CURVE('',#8938,#8936,#3077,.T.); -#11805=EDGE_CURVE('',#8938,#8940,#197,.T.); -#11807=EDGE_CURVE('',#8935,#8940,#3081,.T.); -#11811=EDGE_CURVE('',#8943,#8944,#201,.T.); -#11813=EDGE_CURVE('',#8946,#8944,#3153,.T.); -#11815=EDGE_CURVE('',#8946,#8948,#205,.T.); -#11817=EDGE_CURVE('',#8943,#8948,#3157,.T.); -#11821=EDGE_CURVE('',#8951,#8952,#209,.T.); -#11823=EDGE_CURVE('',#8954,#8952,#3201,.T.); -#11825=EDGE_CURVE('',#8954,#8956,#213,.T.); -#11827=EDGE_CURVE('',#8951,#8956,#3253,.T.); -#11831=EDGE_CURVE('',#8959,#8960,#217,.T.); -#11833=EDGE_CURVE('',#8962,#8960,#3277,.T.); -#11835=EDGE_CURVE('',#8962,#8964,#221,.T.); -#11837=EDGE_CURVE('',#8959,#8964,#3333,.T.); -#11841=EDGE_CURVE('',#8967,#8968,#225,.T.); -#11843=EDGE_CURVE('',#8970,#8968,#3361,.T.); -#11845=EDGE_CURVE('',#8970,#8972,#229,.T.); -#11847=EDGE_CURVE('',#8967,#8972,#3413,.T.); -#11851=EDGE_CURVE('',#8975,#8976,#233,.T.); -#11853=EDGE_CURVE('',#8978,#8976,#3437,.T.); -#11855=EDGE_CURVE('',#8978,#8980,#237,.T.); -#11857=EDGE_CURVE('',#8975,#8980,#3493,.T.); -#11861=EDGE_CURVE('',#8983,#8984,#3501,.T.); -#11863=EDGE_CURVE('',#8986,#8983,#3541,.T.); -#11865=EDGE_CURVE('',#8988,#8986,#3677,.T.); -#11867=EDGE_CURVE('',#8990,#8988,#3717,.T.); -#11869=EDGE_CURVE('',#8992,#8990,#3709,.T.); -#11871=EDGE_CURVE('',#8994,#8992,#3701,.T.); -#11873=EDGE_CURVE('',#8996,#8994,#3685,.T.); -#11875=EDGE_CURVE('',#8998,#8996,#3621,.T.); -#11877=EDGE_CURVE('',#9000,#8998,#3661,.T.); -#11879=EDGE_CURVE('',#9002,#9000,#3653,.T.); -#11881=EDGE_CURVE('',#9004,#9002,#3645,.T.); -#11883=EDGE_CURVE('',#9006,#9004,#3629,.T.); -#11885=EDGE_CURVE('',#9008,#9006,#3565,.T.); -#11887=EDGE_CURVE('',#9010,#9008,#3605,.T.); -#11889=EDGE_CURVE('',#9012,#9010,#3597,.T.); -#11891=EDGE_CURVE('',#9014,#9012,#3589,.T.); -#11893=EDGE_CURVE('',#9016,#9014,#3573,.T.); -#11895=EDGE_CURVE('',#9018,#9016,#3533,.T.); -#11897=EDGE_CURVE('',#9020,#9018,#3517,.T.); -#11899=EDGE_CURVE('',#8984,#9020,#3509,.T.); -#11903=EDGE_CURVE('',#9023,#9024,#3741,.T.); -#11905=EDGE_CURVE('',#9026,#9023,#3789,.T.); -#11907=EDGE_CURVE('',#9028,#9026,#3769,.T.); -#11909=EDGE_CURVE('',#9030,#9028,#3861,.T.); -#11911=EDGE_CURVE('',#9032,#9030,#3813,.T.); -#11913=EDGE_CURVE('',#9034,#9032,#3853,.T.); -#11915=EDGE_CURVE('',#9036,#9034,#3845,.T.); -#11917=EDGE_CURVE('',#9038,#9036,#3837,.T.); -#11919=EDGE_CURVE('',#9040,#9038,#3821,.T.); -#11921=EDGE_CURVE('',#9042,#9040,#3797,.T.); -#11923=EDGE_CURVE('',#9044,#9042,#3761,.T.); -#11925=EDGE_CURVE('',#9024,#9044,#3749,.T.); -#11929=EDGE_CURVE('',#9047,#9048,#3885,.T.); -#11931=EDGE_CURVE('',#9050,#9047,#3909,.T.); -#11933=EDGE_CURVE('',#9052,#9050,#3901,.T.); -#11935=EDGE_CURVE('',#9048,#9052,#3893,.T.); -#11939=EDGE_CURVE('',#9055,#9056,#3933,.T.); -#11941=EDGE_CURVE('',#9058,#9055,#3981,.T.); -#11943=EDGE_CURVE('',#9060,#9058,#3973,.T.); -#11945=EDGE_CURVE('',#9062,#9060,#3953,.T.); -#11947=EDGE_CURVE('',#9064,#9062,#4061,.T.); -#11949=EDGE_CURVE('',#9066,#9064,#4101,.T.); -#11951=EDGE_CURVE('',#9068,#9066,#4093,.T.); -#11953=EDGE_CURVE('',#9070,#9068,#4085,.T.); -#11955=EDGE_CURVE('',#9072,#9070,#4069,.T.); -#11957=EDGE_CURVE('',#9074,#9072,#4005,.T.); -#11959=EDGE_CURVE('',#9076,#9074,#4045,.T.); -#11961=EDGE_CURVE('',#9078,#9076,#4037,.T.); -#11963=EDGE_CURVE('',#9080,#9078,#4029,.T.); -#11965=EDGE_CURVE('',#9082,#9080,#4013,.T.); -#11967=EDGE_CURVE('',#9084,#9082,#3989,.T.); -#11969=EDGE_CURVE('',#9056,#9084,#3945,.T.); -#11973=EDGE_CURVE('',#9087,#9088,#4220,.T.); -#11975=EDGE_CURVE('',#9090,#9087,#4244,.T.); -#11977=EDGE_CURVE('',#9092,#9090,#4236,.T.); -#11979=EDGE_CURVE('',#9088,#9092,#4228,.T.); -#11983=EDGE_CURVE('',#9095,#9096,#4268,.T.); -#11985=EDGE_CURVE('',#9098,#9095,#4292,.T.); -#11987=EDGE_CURVE('',#9100,#9098,#4284,.T.); -#11989=EDGE_CURVE('',#9096,#9100,#4276,.T.); -#11993=EDGE_CURVE('',#9103,#9104,#4316,.T.); -#11995=EDGE_CURVE('',#9106,#9103,#4340,.T.); -#11997=EDGE_CURVE('',#9108,#9106,#4332,.T.); -#11999=EDGE_CURVE('',#9104,#9108,#4324,.T.); -#12003=EDGE_CURVE('',#9111,#9112,#4364,.T.); -#12005=EDGE_CURVE('',#9114,#9111,#4388,.T.); -#12007=EDGE_CURVE('',#9116,#9114,#4380,.T.); -#12009=EDGE_CURVE('',#9112,#9116,#4372,.T.); -#12013=EDGE_CURVE('',#9119,#9120,#4412,.T.); -#12015=EDGE_CURVE('',#9122,#9119,#4436,.T.); -#12017=EDGE_CURVE('',#9124,#9122,#4428,.T.); -#12019=EDGE_CURVE('',#9120,#9124,#4420,.T.); -#12023=EDGE_CURVE('',#9127,#9128,#4460,.T.); -#12025=EDGE_CURVE('',#9130,#9127,#4484,.T.); -#12027=EDGE_CURVE('',#9132,#9130,#4476,.T.); -#12029=EDGE_CURVE('',#9128,#9132,#4468,.T.); -#12033=EDGE_CURVE('',#9135,#9136,#4508,.T.); -#12035=EDGE_CURVE('',#9138,#9135,#4532,.T.); -#12037=EDGE_CURVE('',#9140,#9138,#4524,.T.); -#12039=EDGE_CURVE('',#9136,#9140,#4516,.T.); -#12043=EDGE_CURVE('',#9143,#9144,#4556,.T.); -#12045=EDGE_CURVE('',#9146,#9143,#4580,.T.); -#12047=EDGE_CURVE('',#9148,#9146,#4572,.T.); -#12049=EDGE_CURVE('',#9144,#9148,#4564,.T.); -#12053=EDGE_CURVE('',#9151,#9152,#4604,.T.); -#12055=EDGE_CURVE('',#9154,#9151,#4628,.T.); -#12057=EDGE_CURVE('',#9156,#9154,#4620,.T.); -#12059=EDGE_CURVE('',#9152,#9156,#4612,.T.); -#12063=EDGE_CURVE('',#9159,#9160,#4652,.T.); -#12065=EDGE_CURVE('',#9162,#9159,#4676,.T.); -#12067=EDGE_CURVE('',#9164,#9162,#4668,.T.); -#12069=EDGE_CURVE('',#9160,#9164,#4660,.T.); -#12073=EDGE_CURVE('',#9167,#9168,#4700,.T.); -#12075=EDGE_CURVE('',#9170,#9167,#4724,.T.); -#12077=EDGE_CURVE('',#9172,#9170,#4716,.T.); -#12079=EDGE_CURVE('',#9168,#9172,#4708,.T.); -#12083=EDGE_CURVE('',#9175,#9176,#4748,.T.); -#12085=EDGE_CURVE('',#9178,#9175,#4772,.T.); -#12087=EDGE_CURVE('',#9180,#9178,#4764,.T.); -#12089=EDGE_CURVE('',#9176,#9180,#4756,.T.); -#12093=EDGE_CURVE('',#9183,#9184,#4796,.T.); -#12095=EDGE_CURVE('',#9186,#9183,#4820,.T.); -#12097=EDGE_CURVE('',#9188,#9186,#4812,.T.); -#12099=EDGE_CURVE('',#9184,#9188,#4804,.T.); -#12103=EDGE_CURVE('',#9191,#9192,#4844,.T.); -#12105=EDGE_CURVE('',#9194,#9191,#4868,.T.); -#12107=EDGE_CURVE('',#9196,#9194,#4860,.T.); -#12109=EDGE_CURVE('',#9192,#9196,#4852,.T.); -#12113=EDGE_CURVE('',#9199,#9200,#4892,.T.); -#12115=EDGE_CURVE('',#9202,#9199,#4916,.T.); -#12117=EDGE_CURVE('',#9204,#9202,#4908,.T.); -#12119=EDGE_CURVE('',#9200,#9204,#4900,.T.); -#12123=EDGE_CURVE('',#9207,#9208,#4940,.T.); -#12125=EDGE_CURVE('',#9210,#9207,#4964,.T.); -#12127=EDGE_CURVE('',#9212,#9210,#4956,.T.); -#12129=EDGE_CURVE('',#9208,#9212,#4948,.T.); -#12133=EDGE_CURVE('',#9215,#9216,#4988,.T.); -#12135=EDGE_CURVE('',#9218,#9215,#5012,.T.); -#12137=EDGE_CURVE('',#9220,#9218,#5004,.T.); -#12139=EDGE_CURVE('',#9216,#9220,#4996,.T.); -#12143=EDGE_CURVE('',#9223,#9224,#5036,.T.); -#12145=EDGE_CURVE('',#9226,#9223,#5060,.T.); -#12147=EDGE_CURVE('',#9228,#9226,#5052,.T.); -#12149=EDGE_CURVE('',#9224,#9228,#5044,.T.); -#12153=EDGE_CURVE('',#9231,#9232,#5084,.T.); -#12155=EDGE_CURVE('',#9234,#9231,#5108,.T.); -#12157=EDGE_CURVE('',#9236,#9234,#5100,.T.); -#12159=EDGE_CURVE('',#9232,#9236,#5092,.T.); -#12163=EDGE_CURVE('',#9239,#9240,#5132,.T.); -#12165=EDGE_CURVE('',#9242,#9239,#5156,.T.); -#12167=EDGE_CURVE('',#9244,#9242,#5148,.T.); -#12169=EDGE_CURVE('',#9240,#9244,#5140,.T.); -#12173=EDGE_CURVE('',#9247,#9248,#5180,.T.); -#12175=EDGE_CURVE('',#9250,#9247,#5204,.T.); -#12177=EDGE_CURVE('',#9252,#9250,#5196,.T.); -#12179=EDGE_CURVE('',#9248,#9252,#5188,.T.); -#12183=EDGE_CURVE('',#9255,#9256,#5228,.T.); -#12185=EDGE_CURVE('',#9258,#9255,#5252,.T.); -#12187=EDGE_CURVE('',#9260,#9258,#5244,.T.); -#12189=EDGE_CURVE('',#9256,#9260,#5236,.T.); -#12193=EDGE_CURVE('',#9263,#9264,#5276,.T.); -#12195=EDGE_CURVE('',#9266,#9263,#5300,.T.); -#12197=EDGE_CURVE('',#9268,#9266,#5292,.T.); -#12199=EDGE_CURVE('',#9264,#9268,#5284,.T.); -#12203=EDGE_CURVE('',#9271,#9272,#5324,.T.); -#12205=EDGE_CURVE('',#9274,#9271,#5348,.T.); -#12207=EDGE_CURVE('',#9276,#9274,#5340,.T.); -#12209=EDGE_CURVE('',#9272,#9276,#5332,.T.); -#12213=EDGE_CURVE('',#9279,#9280,#5372,.T.); -#12215=EDGE_CURVE('',#9282,#9279,#5396,.T.); -#12217=EDGE_CURVE('',#9284,#9282,#5388,.T.); -#12219=EDGE_CURVE('',#9280,#9284,#5380,.T.); -#12223=EDGE_CURVE('',#9287,#9288,#5420,.T.); -#12225=EDGE_CURVE('',#9290,#9287,#5444,.T.); -#12227=EDGE_CURVE('',#9292,#9290,#5436,.T.); -#12229=EDGE_CURVE('',#9288,#9292,#5428,.T.); -#12233=EDGE_CURVE('',#9295,#9296,#5468,.T.); -#12235=EDGE_CURVE('',#9298,#9295,#5492,.T.); -#12237=EDGE_CURVE('',#9300,#9298,#5484,.T.); -#12239=EDGE_CURVE('',#9296,#9300,#5476,.T.); -#12243=EDGE_CURVE('',#9303,#9304,#5516,.T.); -#12245=EDGE_CURVE('',#9306,#9303,#5540,.T.); -#12247=EDGE_CURVE('',#9308,#9306,#5532,.T.); -#12249=EDGE_CURVE('',#9304,#9308,#5524,.T.); -#12253=EDGE_CURVE('',#9311,#9312,#5564,.T.); -#12255=EDGE_CURVE('',#9314,#9311,#5588,.T.); -#12257=EDGE_CURVE('',#9316,#9314,#5580,.T.); -#12259=EDGE_CURVE('',#9312,#9316,#5572,.T.); -#12263=EDGE_CURVE('',#9319,#9320,#5612,.T.); -#12265=EDGE_CURVE('',#9322,#9319,#5636,.T.); -#12267=EDGE_CURVE('',#9324,#9322,#5628,.T.); -#12269=EDGE_CURVE('',#9320,#9324,#5620,.T.); -#12273=EDGE_CURVE('',#9327,#9328,#5660,.T.); -#12275=EDGE_CURVE('',#9330,#9327,#5684,.T.); -#12277=EDGE_CURVE('',#9332,#9330,#5676,.T.); -#12279=EDGE_CURVE('',#9328,#9332,#5668,.T.); -#12283=EDGE_CURVE('',#9335,#9336,#5708,.T.); -#12285=EDGE_CURVE('',#9338,#9335,#5732,.T.); -#12287=EDGE_CURVE('',#9340,#9338,#5724,.T.); -#12289=EDGE_CURVE('',#9336,#9340,#5716,.T.); -#12293=EDGE_CURVE('',#9343,#9344,#5756,.T.); -#12295=EDGE_CURVE('',#9346,#9343,#5780,.T.); -#12297=EDGE_CURVE('',#9348,#9346,#5772,.T.); -#12299=EDGE_CURVE('',#9344,#9348,#5764,.T.); -#12303=EDGE_CURVE('',#9351,#9352,#5804,.T.); -#12305=EDGE_CURVE('',#9354,#9351,#5828,.T.); -#12307=EDGE_CURVE('',#9356,#9354,#5820,.T.); -#12309=EDGE_CURVE('',#9352,#9356,#5812,.T.); -#12313=EDGE_CURVE('',#9359,#9360,#5852,.T.); -#12315=EDGE_CURVE('',#9362,#9359,#5876,.T.); -#12317=EDGE_CURVE('',#9364,#9362,#5868,.T.); -#12319=EDGE_CURVE('',#9360,#9364,#5860,.T.); -#12323=EDGE_CURVE('',#9367,#9368,#5900,.T.); -#12325=EDGE_CURVE('',#9370,#9367,#5924,.T.); -#12327=EDGE_CURVE('',#9372,#9370,#5916,.T.); -#12329=EDGE_CURVE('',#9368,#9372,#5908,.T.); -#12333=EDGE_CURVE('',#9375,#9376,#5948,.T.); -#12335=EDGE_CURVE('',#9378,#9375,#5972,.T.); -#12337=EDGE_CURVE('',#9380,#9378,#5964,.T.); -#12339=EDGE_CURVE('',#9376,#9380,#5956,.T.); -#12343=EDGE_CURVE('',#9383,#9384,#5996,.T.); -#12345=EDGE_CURVE('',#9386,#9383,#6020,.T.); -#12347=EDGE_CURVE('',#9388,#9386,#6012,.T.); -#12349=EDGE_CURVE('',#9384,#9388,#6004,.T.); -#12353=EDGE_CURVE('',#9391,#9392,#6044,.T.); -#12355=EDGE_CURVE('',#9394,#9391,#6068,.T.); -#12357=EDGE_CURVE('',#9396,#9394,#6060,.T.); -#12359=EDGE_CURVE('',#9392,#9396,#6052,.T.); -#12363=EDGE_CURVE('',#9399,#9400,#6092,.T.); -#12365=EDGE_CURVE('',#9402,#9399,#6116,.T.); -#12367=EDGE_CURVE('',#9404,#9402,#6108,.T.); -#12369=EDGE_CURVE('',#9400,#9404,#6100,.T.); -#12373=EDGE_CURVE('',#9407,#9408,#6140,.T.); -#12375=EDGE_CURVE('',#9410,#9407,#6164,.T.); -#12377=EDGE_CURVE('',#9412,#9410,#6156,.T.); -#12379=EDGE_CURVE('',#9408,#9412,#6148,.T.); -#12383=EDGE_CURVE('',#9415,#9416,#6188,.T.); -#12385=EDGE_CURVE('',#9418,#9415,#6212,.T.); -#12387=EDGE_CURVE('',#9420,#9418,#6204,.T.); -#12389=EDGE_CURVE('',#9416,#9420,#6196,.T.); -#12393=EDGE_CURVE('',#9423,#9424,#6236,.T.); -#12395=EDGE_CURVE('',#9426,#9423,#6260,.T.); -#12397=EDGE_CURVE('',#9428,#9426,#6252,.T.); -#12399=EDGE_CURVE('',#9424,#9428,#6244,.T.); -#12403=EDGE_CURVE('',#9431,#9432,#6284,.T.); -#12405=EDGE_CURVE('',#9434,#9431,#6308,.T.); -#12407=EDGE_CURVE('',#9436,#9434,#6300,.T.); -#12409=EDGE_CURVE('',#9432,#9436,#6292,.T.); -#12413=EDGE_CURVE('',#9439,#9440,#6332,.T.); -#12415=EDGE_CURVE('',#9442,#9439,#6356,.T.); -#12417=EDGE_CURVE('',#9444,#9442,#6348,.T.); -#12419=EDGE_CURVE('',#9440,#9444,#6340,.T.); -#12423=EDGE_CURVE('',#9447,#9448,#6380,.T.); -#12425=EDGE_CURVE('',#9450,#9447,#6404,.T.); -#12427=EDGE_CURVE('',#9452,#9450,#6396,.T.); -#12429=EDGE_CURVE('',#9448,#9452,#6388,.T.); -#12433=EDGE_CURVE('',#9455,#9456,#6428,.T.); -#12435=EDGE_CURVE('',#9458,#9455,#6452,.T.); -#12437=EDGE_CURVE('',#9460,#9458,#6444,.T.); -#12439=EDGE_CURVE('',#9456,#9460,#6436,.T.); -#12443=EDGE_CURVE('',#9463,#9464,#6476,.T.); -#12445=EDGE_CURVE('',#9466,#9463,#6500,.T.); -#12447=EDGE_CURVE('',#9468,#9466,#6492,.T.); -#12449=EDGE_CURVE('',#9464,#9468,#6484,.T.); -#12453=EDGE_CURVE('',#9471,#9472,#6524,.T.); -#12455=EDGE_CURVE('',#9474,#9471,#6548,.T.); -#12457=EDGE_CURVE('',#9476,#9474,#6540,.T.); -#12459=EDGE_CURVE('',#9472,#9476,#6532,.T.); -#12463=EDGE_CURVE('',#9479,#9480,#6572,.T.); -#12465=EDGE_CURVE('',#9482,#9479,#6596,.T.); -#12467=EDGE_CURVE('',#9484,#9482,#6588,.T.); -#12469=EDGE_CURVE('',#9480,#9484,#6580,.T.); -#12473=EDGE_CURVE('',#9487,#9488,#6620,.T.); -#12475=EDGE_CURVE('',#9490,#9487,#6644,.T.); -#12477=EDGE_CURVE('',#9492,#9490,#6636,.T.); -#12479=EDGE_CURVE('',#9488,#9492,#6628,.T.); -#12483=EDGE_CURVE('',#9495,#9496,#6668,.T.); -#12485=EDGE_CURVE('',#9498,#9495,#6692,.T.); -#12487=EDGE_CURVE('',#9500,#9498,#6684,.T.); -#12489=EDGE_CURVE('',#9496,#9500,#6676,.T.); -#12493=EDGE_CURVE('',#9503,#9504,#6716,.T.); -#12495=EDGE_CURVE('',#9506,#9503,#6740,.T.); -#12497=EDGE_CURVE('',#9508,#9506,#6732,.T.); -#12499=EDGE_CURVE('',#9504,#9508,#6724,.T.); -#12503=EDGE_CURVE('',#9511,#9512,#6764,.T.); -#12505=EDGE_CURVE('',#9514,#9511,#6788,.T.); -#12507=EDGE_CURVE('',#9516,#9514,#6780,.T.); -#12509=EDGE_CURVE('',#9512,#9516,#6772,.T.); -#12513=EDGE_CURVE('',#9519,#9520,#6812,.T.); -#12515=EDGE_CURVE('',#9522,#9519,#6836,.T.); -#12517=EDGE_CURVE('',#9524,#9522,#6828,.T.); -#12519=EDGE_CURVE('',#9520,#9524,#6820,.T.); -#12523=EDGE_CURVE('',#9527,#9528,#6860,.T.); -#12525=EDGE_CURVE('',#9530,#9527,#6884,.T.); -#12527=EDGE_CURVE('',#9532,#9530,#6876,.T.); -#12529=EDGE_CURVE('',#9528,#9532,#6868,.T.); -#12533=EDGE_CURVE('',#9535,#9536,#6908,.T.); -#12535=EDGE_CURVE('',#9538,#9535,#6956,.T.); -#12537=EDGE_CURVE('',#9540,#9538,#6936,.T.); -#12539=EDGE_CURVE('',#9542,#9540,#7028,.T.); -#12541=EDGE_CURVE('',#9544,#9542,#6980,.T.); -#12543=EDGE_CURVE('',#9546,#9544,#7020,.T.); -#12545=EDGE_CURVE('',#9548,#9546,#7012,.T.); -#12547=EDGE_CURVE('',#9550,#9548,#7004,.T.); -#12549=EDGE_CURVE('',#9552,#9550,#6988,.T.); -#12551=EDGE_CURVE('',#9554,#9552,#6964,.T.); -#12553=EDGE_CURVE('',#9556,#9554,#6928,.T.); -#12555=EDGE_CURVE('',#9536,#9556,#6916,.T.); -#12559=EDGE_CURVE('',#9559,#9560,#7052,.T.); -#12561=EDGE_CURVE('',#9562,#9559,#7088,.T.); -#12563=EDGE_CURVE('',#9564,#9562,#7172,.T.); -#12565=EDGE_CURVE('',#9566,#9564,#7124,.T.); -#12567=EDGE_CURVE('',#9568,#9566,#7164,.T.); -#12569=EDGE_CURVE('',#9570,#9568,#7156,.T.); -#12571=EDGE_CURVE('',#9572,#9570,#7148,.T.); -#12573=EDGE_CURVE('',#9574,#9572,#7132,.T.); -#12575=EDGE_CURVE('',#9576,#9574,#7108,.T.); -#12577=EDGE_CURVE('',#9578,#9576,#7080,.T.); -#12579=EDGE_CURVE('',#9580,#9578,#7068,.T.); -#12581=EDGE_CURVE('',#9560,#9580,#7060,.T.); -#12585=EDGE_CURVE('',#9583,#9584,#7196,.T.); -#12587=EDGE_CURVE('',#9586,#9583,#7368,.T.); -#12589=EDGE_CURVE('',#9588,#9586,#7228,.T.); -#12591=EDGE_CURVE('',#9590,#9588,#7344,.T.); -#12593=EDGE_CURVE('',#9592,#9590,#7296,.T.); -#12595=EDGE_CURVE('',#9594,#9592,#7336,.T.); -#12597=EDGE_CURVE('',#9596,#9594,#7328,.T.); -#12599=EDGE_CURVE('',#9598,#9596,#7320,.T.); -#12601=EDGE_CURVE('',#9600,#9598,#7304,.T.); -#12603=EDGE_CURVE('',#9602,#9600,#7280,.T.); -#12605=EDGE_CURVE('',#9604,#9602,#7220,.T.); -#12607=EDGE_CURVE('',#9606,#9604,#7272,.T.); -#12609=EDGE_CURVE('',#9608,#9606,#7264,.T.); -#12611=EDGE_CURVE('',#9610,#9608,#7256,.T.); -#12613=EDGE_CURVE('',#9612,#9610,#7240,.T.); -#12615=EDGE_CURVE('',#9584,#9612,#7204,.T.); -#12619=EDGE_CURVE('',#9615,#9616,#7388,.T.); -#12621=EDGE_CURVE('',#9618,#9615,#7428,.T.); -#12623=EDGE_CURVE('',#9620,#9618,#7544,.T.); -#12625=EDGE_CURVE('',#9622,#9620,#7492,.T.); -#12627=EDGE_CURVE('',#9624,#9622,#7536,.T.); -#12629=EDGE_CURVE('',#9626,#9624,#7528,.T.); -#12631=EDGE_CURVE('',#9628,#9626,#7520,.T.); -#12633=EDGE_CURVE('',#9630,#9628,#7500,.T.); -#12635=EDGE_CURVE('',#9632,#9630,#7480,.T.); -#12637=EDGE_CURVE('',#9634,#9632,#7420,.T.); -#12639=EDGE_CURVE('',#9636,#9634,#7472,.T.); -#12641=EDGE_CURVE('',#9638,#9636,#7464,.T.); -#12643=EDGE_CURVE('',#9640,#9638,#7456,.T.); -#12645=EDGE_CURVE('',#9642,#9640,#7440,.T.); -#12647=EDGE_CURVE('',#9644,#9642,#7404,.T.); -#12649=EDGE_CURVE('',#9616,#9644,#7396,.T.); -#12653=EDGE_CURVE('',#9647,#9648,#7580,.T.); -#12655=EDGE_CURVE('',#9650,#9647,#7628,.T.); -#12657=EDGE_CURVE('',#9652,#9650,#7612,.T.); -#12659=EDGE_CURVE('',#9654,#9652,#7748,.T.); -#12661=EDGE_CURVE('',#9656,#9654,#7656,.T.); -#12663=EDGE_CURVE('',#9658,#9656,#7724,.T.); -#12665=EDGE_CURVE('',#9660,#9658,#7716,.T.); -#12667=EDGE_CURVE('',#9662,#9660,#7708,.T.); -#12669=EDGE_CURVE('',#9664,#9662,#7648,.T.); -#12671=EDGE_CURVE('',#9666,#9664,#7700,.T.); -#12673=EDGE_CURVE('',#9668,#9666,#7692,.T.); -#12675=EDGE_CURVE('',#9670,#9668,#7684,.T.); -#12677=EDGE_CURVE('',#9672,#9670,#7664,.T.); -#12679=EDGE_CURVE('',#9674,#9672,#7636,.T.); -#12681=EDGE_CURVE('',#9676,#9674,#7604,.T.); -#12683=EDGE_CURVE('',#9648,#9676,#7588,.T.); -#12687=EDGE_CURVE('',#9679,#9680,#7772,.T.); -#12689=EDGE_CURVE('',#9682,#9679,#7808,.T.); -#12691=EDGE_CURVE('',#9684,#9682,#7904,.T.); -#12693=EDGE_CURVE('',#9686,#9684,#7844,.T.); -#12695=EDGE_CURVE('',#9688,#9686,#7896,.T.); -#12697=EDGE_CURVE('',#9690,#9688,#7888,.T.); -#12699=EDGE_CURVE('',#9692,#9690,#7880,.T.); -#12701=EDGE_CURVE('',#9694,#9692,#7864,.T.); -#12703=EDGE_CURVE('',#9696,#9694,#7944,.T.); -#12705=EDGE_CURVE('',#9698,#9696,#7936,.T.); -#12707=EDGE_CURVE('',#9700,#9698,#7928,.T.); -#12709=EDGE_CURVE('',#9702,#9700,#7852,.T.); -#12711=EDGE_CURVE('',#9704,#9702,#7828,.T.); -#12713=EDGE_CURVE('',#9706,#9704,#7800,.T.); -#12715=EDGE_CURVE('',#9708,#9706,#7788,.T.); -#12717=EDGE_CURVE('',#9680,#9708,#7780,.T.); -#12721=EDGE_CURVE('',#9711,#9712,#7964,.T.); -#12723=EDGE_CURVE('',#9714,#9711,#8000,.T.); -#12725=EDGE_CURVE('',#9716,#9714,#8084,.T.); -#12727=EDGE_CURVE('',#9718,#9716,#8036,.T.); -#12729=EDGE_CURVE('',#9720,#9718,#8076,.T.); -#12731=EDGE_CURVE('',#9722,#9720,#8068,.T.); -#12733=EDGE_CURVE('',#9724,#9722,#8060,.T.); -#12735=EDGE_CURVE('',#9726,#9724,#8044,.T.); -#12737=EDGE_CURVE('',#9728,#9726,#8020,.T.); -#12739=EDGE_CURVE('',#9730,#9728,#7992,.T.); -#12741=EDGE_CURVE('',#9732,#9730,#7980,.T.); -#12743=EDGE_CURVE('',#9712,#9732,#7972,.T.); -#12747=EDGE_CURVE('',#9735,#9736,#8108,.T.); -#12749=EDGE_CURVE('',#9738,#9735,#8152,.T.); -#12751=EDGE_CURVE('',#9740,#9738,#8300,.T.); -#12753=EDGE_CURVE('',#9742,#9740,#8228,.T.); -#12755=EDGE_CURVE('',#9744,#9742,#8292,.T.); -#12757=EDGE_CURVE('',#9746,#9744,#8284,.T.); -#12759=EDGE_CURVE('',#9748,#9746,#8276,.T.); -#12761=EDGE_CURVE('',#9750,#9748,#8260,.T.); -#12763=EDGE_CURVE('',#9752,#9750,#8376,.T.); -#12765=EDGE_CURVE('',#9754,#9752,#8368,.T.); -#12767=EDGE_CURVE('',#9756,#9754,#8360,.T.); -#12769=EDGE_CURVE('',#9758,#9756,#8248,.T.); -#12771=EDGE_CURVE('',#9760,#9758,#8340,.T.); -#12773=EDGE_CURVE('',#9762,#9760,#8332,.T.); -#12775=EDGE_CURVE('',#9764,#9762,#8324,.T.); -#12777=EDGE_CURVE('',#9766,#9764,#8236,.T.); -#12779=EDGE_CURVE('',#9768,#9766,#8212,.T.); -#12781=EDGE_CURVE('',#9770,#9768,#8144,.T.); -#12783=EDGE_CURVE('',#9772,#9770,#8188,.T.); -#12785=EDGE_CURVE('',#9774,#9772,#8180,.T.); -#12787=EDGE_CURVE('',#9776,#9774,#8172,.T.); -#12789=EDGE_CURVE('',#9778,#9776,#8136,.T.); -#12791=EDGE_CURVE('',#9780,#9778,#8124,.T.); -#12793=EDGE_CURVE('',#9736,#9780,#8116,.T.); -#12797=EDGE_CURVE('',#9783,#9784,#8396,.T.); -#12799=EDGE_CURVE('',#9786,#9783,#8420,.T.); -#12801=EDGE_CURVE('',#9788,#9786,#8412,.T.); -#12803=EDGE_CURVE('',#9784,#9788,#8404,.T.); -#12807=EDGE_CURVE('',#9791,#9792,#8444,.T.); -#12809=EDGE_CURVE('',#9794,#9791,#8468,.T.); -#12811=EDGE_CURVE('',#9796,#9794,#8460,.T.); -#12813=EDGE_CURVE('',#9792,#9796,#8452,.T.); -#12817=EDGE_CURVE('',#9799,#9800,#8492,.T.); -#12819=EDGE_CURVE('',#9802,#9799,#8572,.T.); -#12821=EDGE_CURVE('',#9804,#9802,#8512,.T.); -#12823=EDGE_CURVE('',#9806,#9804,#8564,.T.); -#12825=EDGE_CURVE('',#9808,#9806,#8556,.T.); -#12827=EDGE_CURVE('',#9810,#9808,#8548,.T.); -#12829=EDGE_CURVE('',#9812,#9810,#8528,.T.); -#12831=EDGE_CURVE('',#9814,#9812,#8684,.T.); -#12833=EDGE_CURVE('',#9816,#9814,#8612,.T.); -#12835=EDGE_CURVE('',#9818,#9816,#8676,.T.); -#12837=EDGE_CURVE('',#9820,#9818,#8668,.T.); -#12839=EDGE_CURVE('',#9822,#9820,#8660,.T.); -#12841=EDGE_CURVE('',#9824,#9822,#8644,.T.); -#12843=EDGE_CURVE('',#9826,#9824,#8760,.T.); -#12845=EDGE_CURVE('',#9828,#9826,#8752,.T.); -#12847=EDGE_CURVE('',#9830,#9828,#8744,.T.); -#12849=EDGE_CURVE('',#9832,#9830,#8632,.T.); -#12851=EDGE_CURVE('',#9834,#9832,#8724,.T.); -#12853=EDGE_CURVE('',#9836,#9834,#8716,.T.); -#12855=EDGE_CURVE('',#9838,#9836,#8708,.T.); -#12857=EDGE_CURVE('',#9840,#9838,#8620,.T.); -#12859=EDGE_CURVE('',#9842,#9840,#8596,.T.); -#12861=EDGE_CURVE('',#9844,#9842,#8520,.T.); -#12863=EDGE_CURVE('',#9800,#9844,#8500,.T.); -#12867=EDGE_CURVE('',#9847,#9848,#242,.T.); -#12869=EDGE_CURVE('',#9848,#9847,#247,.T.); -#12873=EDGE_CURVE('',#9851,#9852,#252,.T.); -#12875=EDGE_CURVE('',#9852,#9851,#257,.T.); -#12879=EDGE_CURVE('',#9855,#9856,#262,.T.); -#12881=EDGE_CURVE('',#9856,#9855,#267,.T.); -#12885=EDGE_CURVE('',#9859,#9860,#272,.T.); -#12887=EDGE_CURVE('',#9860,#9859,#277,.T.); -#12891=EDGE_CURVE('',#9863,#9864,#282,.T.); -#12893=EDGE_CURVE('',#9864,#9863,#287,.T.); -#12897=EDGE_CURVE('',#9867,#9868,#292,.T.); -#12899=EDGE_CURVE('',#9868,#9867,#297,.T.); -#12903=EDGE_CURVE('',#9871,#9872,#302,.T.); -#12905=EDGE_CURVE('',#9872,#9871,#307,.T.); -#12909=EDGE_CURVE('',#9875,#9876,#312,.T.); -#12911=EDGE_CURVE('',#9876,#9875,#317,.T.); -#12915=EDGE_CURVE('',#9879,#9880,#322,.T.); -#12917=EDGE_CURVE('',#9880,#9879,#327,.T.); -#12921=EDGE_CURVE('',#9883,#9884,#332,.T.); -#12923=EDGE_CURVE('',#9884,#9883,#337,.T.); -#12927=EDGE_CURVE('',#9887,#9888,#342,.T.); -#12929=EDGE_CURVE('',#9888,#9887,#347,.T.); -#12933=EDGE_CURVE('',#9891,#9892,#352,.T.); -#12935=EDGE_CURVE('',#9892,#9891,#357,.T.); -#12939=EDGE_CURVE('',#9895,#9896,#362,.T.); -#12941=EDGE_CURVE('',#9896,#9895,#367,.T.); -#12945=EDGE_CURVE('',#9899,#9900,#372,.T.); -#12947=EDGE_CURVE('',#9900,#9899,#377,.T.); -#12951=EDGE_CURVE('',#9903,#9904,#382,.T.); -#12953=EDGE_CURVE('',#9904,#9903,#387,.T.); -#12957=EDGE_CURVE('',#9907,#9908,#392,.T.); -#12959=EDGE_CURVE('',#9908,#9907,#397,.T.); -#12963=EDGE_CURVE('',#9911,#9912,#402,.T.); -#12965=EDGE_CURVE('',#9912,#9911,#407,.T.); -#12969=EDGE_CURVE('',#9915,#9916,#412,.T.); -#12971=EDGE_CURVE('',#9916,#9915,#417,.T.); -#12975=EDGE_CURVE('',#9919,#9920,#422,.T.); -#12977=EDGE_CURVE('',#9920,#9919,#427,.T.); -#12981=EDGE_CURVE('',#9923,#9924,#432,.T.); -#12983=EDGE_CURVE('',#9924,#9923,#437,.T.); -#12987=EDGE_CURVE('',#9927,#9928,#442,.T.); -#12989=EDGE_CURVE('',#9928,#9927,#447,.T.); -#12993=EDGE_CURVE('',#9931,#9932,#452,.T.); -#12995=EDGE_CURVE('',#9932,#9931,#457,.T.); -#12999=EDGE_CURVE('',#9935,#9936,#462,.T.); -#13001=EDGE_CURVE('',#9936,#9935,#467,.T.); -#13005=EDGE_CURVE('',#9939,#9940,#472,.T.); -#13007=EDGE_CURVE('',#9940,#9939,#477,.T.); -#13011=ADVANCED_FACE('',(#11644,#11650,#11656,#11698,#11736,#11770,#11780, -#11790,#11800,#11810,#11820,#11830,#11840,#11850,#11860,#11902,#11928,#11938, -#11972,#11982,#11992,#12002,#12012,#12022,#12032,#12042,#12052,#12062,#12072, -#12082,#12092,#12102,#12112,#12122,#12132,#12142,#12152,#12162,#12172,#12182, -#12192,#12202,#12212,#12222,#12232,#12242,#12252,#12262,#12272,#12282,#12292, -#12302,#12312,#12322,#12332,#12342,#12352,#12362,#12372,#12382,#12392,#12402, -#12412,#12422,#12432,#12442,#12452,#12462,#12472,#12482,#12492,#12502,#12512, -#12522,#12532,#12558,#12584,#12618,#12652,#12686,#12720,#12746,#12796,#12806, -#12816,#12866,#12872,#12878,#12884,#12890,#12896,#12902,#12908,#12914,#12920, -#12926,#12932,#12938,#12944,#12950,#12956,#12962,#12968,#12974,#12980,#12986, -#12992,#12998,#13004,#13010),#11626,.T.); -#13024=EDGE_CURVE('',#9943,#9944,#500,.T.); -#13026=EDGE_CURVE('',#9943,#8780,#481,.T.); -#13029=EDGE_CURVE('',#8779,#9944,#485,.T.); -#13033=ADVANCED_FACE('',(#13032),#13023,.T.); -#13046=EDGE_CURVE('',#9947,#9948,#773,.T.); -#13048=EDGE_CURVE('',#9950,#9947,#883,.T.); -#13050=EDGE_CURVE('',#9952,#9950,#874,.T.); -#13052=EDGE_CURVE('',#9954,#9952,#870,.T.); -#13054=EDGE_CURVE('',#9956,#9954,#826,.T.); -#13056=EDGE_CURVE('',#9956,#9958,#490,.T.); -#13058=EDGE_CURVE('',#9960,#9958,#846,.T.); -#13060=EDGE_CURVE('',#9960,#9962,#495,.T.); -#13062=EDGE_CURVE('',#9943,#9962,#858,.T.); -#13065=EDGE_CURVE('',#9944,#9964,#504,.T.); -#13067=EDGE_CURVE('',#9964,#9966,#509,.T.); -#13069=EDGE_CURVE('',#9968,#9966,#822,.T.); -#13071=EDGE_CURVE('',#9970,#9968,#802,.T.); -#13073=EDGE_CURVE('',#9972,#9970,#789,.T.); -#13075=EDGE_CURVE('',#9948,#9972,#781,.T.); -#13079=EDGE_CURVE('',#9975,#9976,#514,.T.); -#13081=EDGE_CURVE('',#9976,#9975,#519,.T.); -#13085=EDGE_CURVE('',#9979,#9980,#524,.T.); -#13087=EDGE_CURVE('',#9980,#9979,#529,.T.); -#13091=EDGE_CURVE('',#9983,#9984,#534,.T.); -#13093=EDGE_CURVE('',#9984,#9983,#539,.T.); -#13097=EDGE_CURVE('',#9987,#9988,#544,.T.); -#13099=EDGE_CURVE('',#9988,#9987,#549,.T.); -#13103=EDGE_CURVE('',#9991,#9992,#554,.T.); -#13105=EDGE_CURVE('',#9992,#9991,#559,.T.); -#13109=EDGE_CURVE('',#9995,#9996,#564,.T.); -#13111=EDGE_CURVE('',#9996,#9995,#569,.T.); -#13115=EDGE_CURVE('',#9999,#10000,#574,.T.); -#13117=EDGE_CURVE('',#10000,#9999,#579,.T.); -#13121=EDGE_CURVE('',#10003,#10004,#584,.T.); -#13123=EDGE_CURVE('',#10004,#10003,#589,.T.); -#13127=EDGE_CURVE('',#10007,#10008,#594,.T.); -#13129=EDGE_CURVE('',#10008,#10007,#599,.T.); -#13133=EDGE_CURVE('',#10011,#10012,#604,.T.); -#13135=EDGE_CURVE('',#10012,#10011,#609,.T.); -#13139=EDGE_CURVE('',#10015,#10016,#614,.T.); -#13141=EDGE_CURVE('',#10016,#10015,#619,.T.); -#13145=EDGE_CURVE('',#10019,#10020,#624,.T.); -#13147=EDGE_CURVE('',#10020,#10019,#629,.T.); -#13151=EDGE_CURVE('',#10023,#10024,#634,.T.); -#13153=EDGE_CURVE('',#10024,#10023,#639,.T.); -#13157=EDGE_CURVE('',#10027,#10028,#644,.T.); -#13159=EDGE_CURVE('',#10028,#10027,#649,.T.); -#13163=EDGE_CURVE('',#10031,#10032,#654,.T.); -#13165=EDGE_CURVE('',#10032,#10031,#659,.T.); -#13169=EDGE_CURVE('',#10035,#10036,#664,.T.); -#13171=EDGE_CURVE('',#10036,#10035,#669,.T.); -#13175=EDGE_CURVE('',#10039,#10040,#674,.T.); -#13177=EDGE_CURVE('',#10040,#10039,#679,.T.); -#13181=EDGE_CURVE('',#10043,#10044,#684,.T.); -#13183=EDGE_CURVE('',#10044,#10043,#689,.T.); -#13187=EDGE_CURVE('',#10047,#10048,#694,.T.); -#13189=EDGE_CURVE('',#10048,#10047,#699,.T.); -#13193=EDGE_CURVE('',#10051,#10052,#704,.T.); -#13195=EDGE_CURVE('',#10052,#10051,#709,.T.); -#13199=EDGE_CURVE('',#10055,#10056,#714,.T.); -#13201=EDGE_CURVE('',#10056,#10055,#719,.T.); -#13205=EDGE_CURVE('',#10059,#10060,#724,.T.); -#13207=EDGE_CURVE('',#10060,#10059,#729,.T.); -#13211=EDGE_CURVE('',#10063,#10064,#734,.T.); -#13213=EDGE_CURVE('',#10064,#10063,#739,.T.); -#13217=EDGE_CURVE('',#10067,#10068,#744,.T.); -#13219=EDGE_CURVE('',#10068,#10067,#749,.T.); -#13223=EDGE_CURVE('',#10071,#10072,#754,.T.); -#13225=EDGE_CURVE('',#10072,#10071,#759,.T.); -#13229=EDGE_CURVE('',#10075,#10076,#1299,.T.); -#13231=EDGE_CURVE('',#10078,#10075,#1331,.T.); -#13233=EDGE_CURVE('',#10080,#10078,#1431,.T.); -#13235=EDGE_CURVE('',#10082,#10080,#1371,.T.); -#13237=EDGE_CURVE('',#10084,#10082,#1407,.T.); -#13239=EDGE_CURVE('',#10086,#10084,#1399,.T.); -#13241=EDGE_CURVE('',#10088,#10086,#1391,.T.); -#13243=EDGE_CURVE('',#10090,#10088,#1363,.T.); -#13245=EDGE_CURVE('',#10092,#10090,#1387,.T.); -#13247=EDGE_CURVE('',#10094,#10092,#1343,.T.); -#13249=EDGE_CURVE('',#10096,#10094,#1315,.T.); -#13251=EDGE_CURVE('',#10076,#10096,#1307,.T.); -#13255=EDGE_CURVE('',#10099,#10100,#764,.T.); -#13257=EDGE_CURVE('',#10100,#10099,#769,.T.); -#13261=EDGE_CURVE('',#10103,#10104,#1551,.T.); -#13263=EDGE_CURVE('',#10106,#10103,#1609,.T.); -#13265=EDGE_CURVE('',#10108,#10106,#1601,.T.); -#13267=EDGE_CURVE('',#10104,#10108,#1593,.T.); -#13271=EDGE_CURVE('',#10111,#10112,#1699,.T.); -#13273=EDGE_CURVE('',#10114,#10111,#1757,.T.); -#13275=EDGE_CURVE('',#10116,#10114,#1749,.T.); -#13277=EDGE_CURVE('',#10112,#10116,#1741,.T.); -#13281=ADVANCED_FACE('',(#13078,#13084,#13090,#13096,#13102,#13108,#13114, -#13120,#13126,#13132,#13138,#13144,#13150,#13156,#13162,#13168,#13174,#13180, -#13186,#13192,#13198,#13204,#13210,#13216,#13222,#13228,#13254,#13260,#13270, -#13280),#13045,.F.); -#13295=EDGE_CURVE('',#10118,#9948,#785,.T.); -#13297=EDGE_CURVE('',#10120,#10118,#903,.T.); -#13299=EDGE_CURVE('',#10120,#9947,#777,.T.); -#13303=ADVANCED_FACE('',(#13302),#13293,.T.); -#13310=EDGE_CURVE('',#10122,#9972,#798,.T.); -#13312=EDGE_CURVE('',#10124,#10122,#911,.T.); -#13314=EDGE_CURVE('',#10118,#10124,#907,.T.); -#13319=ADVANCED_FACE('',(#13318),#13308,.T.); -#13326=EDGE_CURVE('',#9970,#10122,#794,.T.); -#13331=ADVANCED_FACE('',(#13330),#13324,.T.); -#13339=EDGE_CURVE('',#9968,#10126,#806,.T.); -#13341=EDGE_CURVE('',#10128,#10126,#953,.T.); -#13343=EDGE_CURVE('',#10128,#10130,#810,.T.); -#13345=EDGE_CURVE('',#10122,#10130,#916,.T.); -#13349=ADVANCED_FACE('',(#13348),#13336,.T.); -#13355=EDGE_CURVE('',#9954,#9968,#818,.T.); -#13357=EDGE_CURVE('',#10132,#9954,#866,.T.); -#13359=EDGE_CURVE('',#10132,#10126,#814,.T.); -#13364=ADVANCED_FACE('',(#13363),#13354,.F.); -#13372=EDGE_CURVE('',#8790,#9966,#834,.T.); -#13375=EDGE_CURVE('',#9956,#8788,#838,.T.); -#13380=ADVANCED_FACE('',(#13379),#13369,.T.); -#13394=EDGE_CURVE('',#9964,#8792,#830,.T.); -#13400=ADVANCED_FACE('',(#13399),#13392,.T.); -#13419=ADVANCED_FACE('',(#13418),#13412,.T.); -#13435=EDGE_CURVE('',#8786,#9958,#842,.T.); -#13439=ADVANCED_FACE('',(#13438),#13431,.T.); -#13452=EDGE_CURVE('',#9960,#8784,#850,.T.); -#13459=ADVANCED_FACE('',(#13458),#13451,.T.); -#13475=EDGE_CURVE('',#8782,#9962,#854,.T.); -#13479=ADVANCED_FACE('',(#13478),#13471,.T.); -#13498=ADVANCED_FACE('',(#13497),#13491,.T.); -#13511=EDGE_CURVE('',#10134,#9952,#879,.T.); -#13513=EDGE_CURVE('',#10136,#10134,#935,.T.); -#13515=EDGE_CURVE('',#10136,#10138,#862,.T.); -#13517=EDGE_CURVE('',#10132,#10138,#958,.T.); -#13523=ADVANCED_FACE('',(#13522),#13510,.T.); -#13530=EDGE_CURVE('',#10134,#9950,#891,.T.); -#13535=ADVANCED_FACE('',(#13534),#13528,.T.); -#13543=EDGE_CURVE('',#10140,#10120,#899,.T.); -#13545=EDGE_CURVE('',#10140,#10134,#887,.T.); -#13550=ADVANCED_FACE('',(#13549),#13540,.T.); -#13556=EDGE_CURVE('',#10124,#10140,#895,.T.); -#13563=ADVANCED_FACE('',(#13562),#13555,.T.); -#13572=EDGE_CURVE('',#10142,#10130,#943,.T.); -#13574=EDGE_CURVE('',#10142,#10144,#921,.T.); -#13576=EDGE_CURVE('',#10146,#10144,#1015,.T.); -#13578=EDGE_CURVE('',#10146,#10148,#926,.T.); -#13580=EDGE_CURVE('',#10148,#10136,#930,.T.); -#13586=ADVANCED_FACE('',(#13585),#13568,.T.); -#13592=EDGE_CURVE('',#10128,#10150,#939,.T.); -#13594=EDGE_CURVE('',#10142,#10150,#1007,.T.); -#13600=ADVANCED_FACE('',(#13599),#13591,.T.); -#13606=EDGE_CURVE('',#10152,#10150,#948,.T.); -#13612=EDGE_CURVE('',#10154,#10138,#1027,.T.); -#13614=EDGE_CURVE('',#10154,#10156,#963,.T.); -#13616=EDGE_CURVE('',#10152,#10156,#1011,.T.); -#13620=EDGE_CURVE('',#10159,#10160,#968,.T.); -#13622=EDGE_CURVE('',#10160,#10162,#972,.T.); -#13624=EDGE_CURVE('',#10162,#10164,#977,.T.); -#13626=EDGE_CURVE('',#10164,#10166,#981,.T.); -#13628=EDGE_CURVE('',#10166,#10168,#986,.T.); -#13630=EDGE_CURVE('',#10168,#10170,#990,.T.); -#13632=EDGE_CURVE('',#10170,#10172,#995,.T.); -#13634=EDGE_CURVE('',#10172,#10159,#999,.T.); -#13638=ADVANCED_FACE('',(#13619,#13637),#13605,.T.); -#13645=EDGE_CURVE('',#10152,#10144,#1003,.T.); -#13651=ADVANCED_FACE('',(#13650),#13643,.T.); -#13658=EDGE_CURVE('',#10146,#10156,#1023,.T.); -#13664=ADVANCED_FACE('',(#13663),#13656,.T.); -#13671=EDGE_CURVE('',#10154,#10148,#1019,.T.); -#13677=ADVANCED_FACE('',(#13676),#13669,.T.); -#13689=ADVANCED_FACE('',(#13688),#13682,.T.); -#13696=EDGE_CURVE('',#10159,#10174,#1031,.T.); -#13698=EDGE_CURVE('',#10176,#10174,#1090,.T.); -#13700=EDGE_CURVE('',#10176,#10160,#1035,.T.); -#13704=ADVANCED_FACE('',(#13703),#13694,.F.); -#13711=EDGE_CURVE('',#10178,#10172,#1047,.T.); -#13713=EDGE_CURVE('',#10178,#10174,#1039,.T.); -#13718=ADVANCED_FACE('',(#13717),#13709,.T.); -#13725=EDGE_CURVE('',#10170,#10180,#1043,.T.); -#13727=EDGE_CURVE('',#10178,#10180,#1095,.T.); -#13732=ADVANCED_FACE('',(#13731),#13723,.F.); -#13739=EDGE_CURVE('',#10182,#10168,#1059,.T.); -#13741=EDGE_CURVE('',#10182,#10180,#1051,.T.); -#13746=ADVANCED_FACE('',(#13745),#13737,.F.); -#13753=EDGE_CURVE('',#10166,#10184,#1055,.T.); -#13755=EDGE_CURVE('',#10182,#10184,#1072,.T.); -#13760=ADVANCED_FACE('',(#13759),#13751,.F.); -#13767=EDGE_CURVE('',#10186,#10164,#1067,.T.); -#13769=EDGE_CURVE('',#10184,#10186,#1076,.T.); -#13774=ADVANCED_FACE('',(#13773),#13765,.F.); -#13781=EDGE_CURVE('',#10162,#10188,#1063,.T.); -#13783=EDGE_CURVE('',#10186,#10188,#1081,.T.); -#13788=ADVANCED_FACE('',(#13787),#13779,.F.); -#13796=EDGE_CURVE('',#10188,#10176,#1085,.T.); -#13801=ADVANCED_FACE('',(#13800),#13793,.F.); -#13817=ADVANCED_FACE('',(#13816),#13806,.F.); -#13824=EDGE_CURVE('',#9976,#8799,#1103,.T.); -#13827=EDGE_CURVE('',#9975,#8800,#1099,.T.); -#13831=ADVANCED_FACE('',(#13830),#13822,.F.); -#13843=ADVANCED_FACE('',(#13842),#13836,.F.); -#13850=EDGE_CURVE('',#9847,#9980,#1107,.T.); -#13853=EDGE_CURVE('',#9848,#9979,#1111,.T.); -#13857=ADVANCED_FACE('',(#13856),#13848,.F.); -#13869=ADVANCED_FACE('',(#13868),#13862,.F.); -#13876=EDGE_CURVE('',#9851,#9984,#1115,.T.); -#13879=EDGE_CURVE('',#9852,#9983,#1119,.T.); -#13883=ADVANCED_FACE('',(#13882),#13874,.F.); -#13895=ADVANCED_FACE('',(#13894),#13888,.F.); -#13902=EDGE_CURVE('',#9855,#9988,#1123,.T.); -#13905=EDGE_CURVE('',#9856,#9987,#1127,.T.); -#13909=ADVANCED_FACE('',(#13908),#13900,.F.); -#13921=ADVANCED_FACE('',(#13920),#13914,.F.); -#13928=EDGE_CURVE('',#9859,#9992,#1131,.T.); -#13931=EDGE_CURVE('',#9860,#9991,#1135,.T.); -#13935=ADVANCED_FACE('',(#13934),#13926,.F.); -#13947=ADVANCED_FACE('',(#13946),#13940,.F.); -#13954=EDGE_CURVE('',#9863,#9996,#1139,.T.); -#13957=EDGE_CURVE('',#9864,#9995,#1143,.T.); -#13961=ADVANCED_FACE('',(#13960),#13952,.F.); -#13973=ADVANCED_FACE('',(#13972),#13966,.F.); -#13980=EDGE_CURVE('',#9867,#10000,#1147,.T.); -#13983=EDGE_CURVE('',#9868,#9999,#1151,.T.); -#13987=ADVANCED_FACE('',(#13986),#13978,.F.); -#13999=ADVANCED_FACE('',(#13998),#13992,.F.); -#14006=EDGE_CURVE('',#9871,#10004,#1155,.T.); -#14009=EDGE_CURVE('',#9872,#10003,#1159,.T.); -#14013=ADVANCED_FACE('',(#14012),#14004,.F.); -#14025=ADVANCED_FACE('',(#14024),#14018,.F.); -#14032=EDGE_CURVE('',#9875,#10008,#1163,.T.); -#14035=EDGE_CURVE('',#9876,#10007,#1167,.T.); -#14039=ADVANCED_FACE('',(#14038),#14030,.F.); -#14051=ADVANCED_FACE('',(#14050),#14044,.F.); -#14058=EDGE_CURVE('',#9879,#10012,#1171,.T.); -#14061=EDGE_CURVE('',#9880,#10011,#1175,.T.); -#14065=ADVANCED_FACE('',(#14064),#14056,.F.); -#14077=ADVANCED_FACE('',(#14076),#14070,.F.); -#14084=EDGE_CURVE('',#9883,#10016,#1179,.T.); -#14087=EDGE_CURVE('',#9884,#10015,#1183,.T.); -#14091=ADVANCED_FACE('',(#14090),#14082,.F.); -#14103=ADVANCED_FACE('',(#14102),#14096,.F.); -#14110=EDGE_CURVE('',#9887,#10020,#1187,.T.); -#14113=EDGE_CURVE('',#9888,#10019,#1191,.T.); -#14117=ADVANCED_FACE('',(#14116),#14108,.F.); -#14129=ADVANCED_FACE('',(#14128),#14122,.F.); -#14136=EDGE_CURVE('',#9891,#10024,#1195,.T.); -#14139=EDGE_CURVE('',#9892,#10023,#1199,.T.); -#14143=ADVANCED_FACE('',(#14142),#14134,.F.); -#14155=ADVANCED_FACE('',(#14154),#14148,.F.); -#14162=EDGE_CURVE('',#9895,#10028,#1203,.T.); -#14165=EDGE_CURVE('',#9896,#10027,#1207,.T.); -#14169=ADVANCED_FACE('',(#14168),#14160,.F.); -#14181=ADVANCED_FACE('',(#14180),#14174,.F.); -#14188=EDGE_CURVE('',#9899,#10032,#1211,.T.); -#14191=EDGE_CURVE('',#9900,#10031,#1215,.T.); -#14195=ADVANCED_FACE('',(#14194),#14186,.F.); -#14207=ADVANCED_FACE('',(#14206),#14200,.F.); -#14214=EDGE_CURVE('',#9903,#10036,#1219,.T.); -#14217=EDGE_CURVE('',#9904,#10035,#1223,.T.); -#14221=ADVANCED_FACE('',(#14220),#14212,.F.); -#14233=ADVANCED_FACE('',(#14232),#14226,.F.); -#14240=EDGE_CURVE('',#9907,#10040,#1227,.T.); -#14243=EDGE_CURVE('',#9908,#10039,#1231,.T.); -#14247=ADVANCED_FACE('',(#14246),#14238,.F.); -#14259=ADVANCED_FACE('',(#14258),#14252,.F.); -#14266=EDGE_CURVE('',#9911,#10044,#1235,.T.); -#14269=EDGE_CURVE('',#9912,#10043,#1239,.T.); -#14273=ADVANCED_FACE('',(#14272),#14264,.F.); -#14285=ADVANCED_FACE('',(#14284),#14278,.F.); -#14292=EDGE_CURVE('',#9915,#10048,#1243,.T.); -#14295=EDGE_CURVE('',#9916,#10047,#1247,.T.); -#14299=ADVANCED_FACE('',(#14298),#14290,.F.); -#14311=ADVANCED_FACE('',(#14310),#14304,.F.); -#14318=EDGE_CURVE('',#9919,#10052,#1251,.T.); -#14321=EDGE_CURVE('',#9920,#10051,#1255,.T.); -#14325=ADVANCED_FACE('',(#14324),#14316,.F.); -#14337=ADVANCED_FACE('',(#14336),#14330,.F.); -#14344=EDGE_CURVE('',#9923,#10056,#1259,.T.); -#14347=EDGE_CURVE('',#9924,#10055,#1263,.T.); -#14351=ADVANCED_FACE('',(#14350),#14342,.F.); -#14363=ADVANCED_FACE('',(#14362),#14356,.F.); -#14370=EDGE_CURVE('',#9927,#10060,#1267,.T.); -#14373=EDGE_CURVE('',#9928,#10059,#1271,.T.); -#14377=ADVANCED_FACE('',(#14376),#14368,.F.); -#14389=ADVANCED_FACE('',(#14388),#14382,.F.); -#14396=EDGE_CURVE('',#9931,#10064,#1275,.T.); -#14399=EDGE_CURVE('',#9932,#10063,#1279,.T.); -#14403=ADVANCED_FACE('',(#14402),#14394,.F.); -#14415=ADVANCED_FACE('',(#14414),#14408,.F.); -#14422=EDGE_CURVE('',#9935,#10068,#1283,.T.); -#14425=EDGE_CURVE('',#9936,#10067,#1287,.T.); -#14429=ADVANCED_FACE('',(#14428),#14420,.F.); -#14441=ADVANCED_FACE('',(#14440),#14434,.F.); -#14448=EDGE_CURVE('',#9939,#10072,#1291,.T.); -#14451=EDGE_CURVE('',#9940,#10071,#1295,.T.); -#14455=ADVANCED_FACE('',(#14454),#14446,.F.); -#14467=ADVANCED_FACE('',(#14466),#14460,.F.); -#14474=EDGE_CURVE('',#10190,#10076,#1311,.T.); -#14476=EDGE_CURVE('',#10192,#10190,#1527,.T.); -#14478=EDGE_CURVE('',#10192,#10075,#1303,.T.); -#14482=ADVANCED_FACE('',(#14481),#14472,.T.); -#14489=EDGE_CURVE('',#10194,#10096,#1319,.T.); -#14491=EDGE_CURVE('',#10190,#10194,#1531,.T.); -#14496=ADVANCED_FACE('',(#14495),#14487,.T.); -#14503=EDGE_CURVE('',#10196,#10094,#1339,.T.); -#14505=EDGE_CURVE('',#10194,#10196,#1535,.T.); -#14510=ADVANCED_FACE('',(#14509),#14501,.T.); -#14516=EDGE_CURVE('',#10199,#10200,#1347,.T.); -#14518=EDGE_CURVE('',#10202,#10199,#1487,.T.); -#14520=EDGE_CURVE('',#10202,#10204,#1323,.T.); -#14522=EDGE_CURVE('',#10206,#10204,#1459,.T.); -#14524=EDGE_CURVE('',#10208,#10206,#1447,.T.); -#14526=EDGE_CURVE('',#10208,#10078,#1327,.T.); -#14530=EDGE_CURVE('',#10192,#10196,#1335,.T.); -#14534=EDGE_CURVE('',#10200,#10092,#1383,.T.); -#14538=ADVANCED_FACE('',(#14537),#14515,.T.); -#14544=EDGE_CURVE('',#10211,#10212,#1355,.T.); -#14546=EDGE_CURVE('',#10214,#10211,#1523,.T.); -#14548=EDGE_CURVE('',#10216,#10214,#1511,.T.); -#14550=EDGE_CURVE('',#10218,#10216,#1503,.T.); -#14552=EDGE_CURVE('',#10220,#10218,#1495,.T.); -#14554=EDGE_CURVE('',#10199,#10220,#1491,.T.); -#14557=EDGE_CURVE('',#10200,#10212,#1351,.T.); -#14561=ADVANCED_FACE('',(#14560),#14543,.F.); -#14568=EDGE_CURVE('',#10212,#10090,#1359,.T.); -#14571=EDGE_CURVE('',#10222,#10088,#1395,.T.); -#14573=EDGE_CURVE('',#10224,#10222,#1415,.T.); -#14575=EDGE_CURVE('',#10224,#10082,#1367,.T.); -#14578=EDGE_CURVE('',#10226,#10080,#1435,.T.); -#14580=EDGE_CURVE('',#10228,#10226,#1439,.T.); -#14582=EDGE_CURVE('',#10228,#10230,#1375,.T.); -#14584=EDGE_CURVE('',#10232,#10230,#1463,.T.); -#14586=EDGE_CURVE('',#10232,#10211,#1379,.T.); -#14590=ADVANCED_FACE('',(#14589),#14566,.T.); -#14602=ADVANCED_FACE('',(#14601),#14595,.T.); -#14609=EDGE_CURVE('',#10234,#10086,#1403,.T.); -#14611=EDGE_CURVE('',#10222,#10234,#1419,.T.); -#14616=ADVANCED_FACE('',(#14615),#14607,.T.); -#14623=EDGE_CURVE('',#10236,#10084,#1411,.T.); -#14625=EDGE_CURVE('',#10234,#10236,#1423,.T.); -#14630=ADVANCED_FACE('',(#14629),#14621,.T.); -#14638=EDGE_CURVE('',#10236,#10224,#1427,.T.); -#14643=ADVANCED_FACE('',(#14642),#14635,.T.); -#14655=ADVANCED_FACE('',(#14654),#14648,.T.); -#14663=EDGE_CURVE('',#10226,#10208,#1443,.T.); -#14668=ADVANCED_FACE('',(#14667),#14660,.T.); -#14677=EDGE_CURVE('',#10206,#10228,#1451,.T.); -#14681=ADVANCED_FACE('',(#14680),#14673,.T.); -#14687=EDGE_CURVE('',#10204,#10230,#1455,.T.); -#14694=ADVANCED_FACE('',(#14693),#14686,.T.); -#14703=EDGE_CURVE('',#10202,#10238,#1467,.T.); -#14705=EDGE_CURVE('',#10238,#10240,#1471,.T.); -#14707=EDGE_CURVE('',#10240,#10242,#1475,.T.); -#14709=EDGE_CURVE('',#10242,#10244,#1479,.T.); -#14711=EDGE_CURVE('',#10244,#10232,#1483,.T.); -#14715=ADVANCED_FACE('',(#14714),#14699,.T.); -#14723=EDGE_CURVE('',#10238,#10220,#1499,.T.); -#14728=ADVANCED_FACE('',(#14727),#14720,.T.); -#14735=EDGE_CURVE('',#10240,#10218,#1507,.T.); -#14741=ADVANCED_FACE('',(#14740),#14733,.T.); -#14748=EDGE_CURVE('',#10242,#10216,#1515,.T.); -#14754=ADVANCED_FACE('',(#14753),#14746,.T.); -#14761=EDGE_CURVE('',#10244,#10214,#1519,.T.); -#14767=ADVANCED_FACE('',(#14766),#14759,.T.); -#14779=ADVANCED_FACE('',(#14778),#14772,.T.); -#14791=ADVANCED_FACE('',(#14790),#14784,.T.); -#14798=EDGE_CURVE('',#10100,#8795,#1543,.T.); -#14801=EDGE_CURVE('',#10099,#8796,#1539,.T.); -#14805=ADVANCED_FACE('',(#14804),#14796,.F.); -#14817=ADVANCED_FACE('',(#14816),#14810,.F.); -#14823=EDGE_CURVE('',#10247,#10248,#1555,.T.); -#14825=EDGE_CURVE('',#10247,#10103,#1547,.T.); -#14828=EDGE_CURVE('',#10248,#10104,#1589,.T.); -#14832=ADVANCED_FACE('',(#14831),#14822,.T.); -#14839=EDGE_CURVE('',#10248,#10250,#1559,.T.); -#14841=EDGE_CURVE('',#10250,#10252,#1563,.T.); -#14843=EDGE_CURVE('',#10252,#10247,#1567,.T.); -#14847=EDGE_CURVE('',#10255,#10256,#1572,.T.); -#14849=EDGE_CURVE('',#10256,#10258,#1576,.T.); -#14851=EDGE_CURVE('',#10258,#10260,#1581,.T.); -#14853=EDGE_CURVE('',#10260,#10255,#1585,.T.); -#14857=ADVANCED_FACE('',(#14846,#14856),#14837,.T.); -#14866=EDGE_CURVE('',#10250,#10108,#1597,.T.); -#14870=ADVANCED_FACE('',(#14869),#14862,.T.); -#14879=EDGE_CURVE('',#10252,#10106,#1605,.T.); -#14883=ADVANCED_FACE('',(#14882),#14875,.T.); -#14895=ADVANCED_FACE('',(#14894),#14888,.T.); -#14901=EDGE_CURVE('',#10263,#10264,#1632,.T.); -#14903=EDGE_CURVE('',#10266,#10263,#1627,.T.); -#14905=EDGE_CURVE('',#10266,#10256,#1613,.T.); -#14908=EDGE_CURVE('',#10255,#10264,#1617,.T.); -#14912=ADVANCED_FACE('',(#14911),#14900,.T.); -#14918=EDGE_CURVE('',#10269,#10270,#1647,.T.); -#14920=EDGE_CURVE('',#10269,#10266,#1622,.T.); -#14924=EDGE_CURVE('',#10264,#10270,#1637,.T.); -#14928=ADVANCED_FACE('',(#14927),#14917,.T.); -#14934=EDGE_CURVE('',#10272,#10270,#1651,.T.); -#14936=EDGE_CURVE('',#10272,#10274,#1642,.T.); -#14938=EDGE_CURVE('',#10269,#10274,#1687,.T.); -#14943=ADVANCED_FACE('',(#14942),#14933,.T.); -#14951=EDGE_CURVE('',#10264,#10276,#1655,.T.); -#14953=EDGE_CURVE('',#10272,#10276,#1668,.T.); -#14957=ADVANCED_FACE('',(#14956),#14948,.T.); -#14966=EDGE_CURVE('',#10276,#10260,#1659,.T.); -#14970=ADVANCED_FACE('',(#14969),#14962,.F.); -#14976=EDGE_CURVE('',#10279,#10280,#1678,.T.); -#14978=EDGE_CURVE('',#10276,#10279,#1673,.T.); -#14982=EDGE_CURVE('',#10258,#10280,#1663,.T.); -#14986=ADVANCED_FACE('',(#14985),#14975,.T.); -#14996=EDGE_CURVE('',#10280,#10274,#1683,.T.); -#15000=ADVANCED_FACE('',(#14999),#14991,.T.); -#15008=EDGE_CURVE('',#10280,#10266,#1691,.T.); -#15013=ADVANCED_FACE('',(#15012),#15005,.T.); -#15025=ADVANCED_FACE('',(#15024),#15018,.F.); -#15031=EDGE_CURVE('',#10283,#10284,#1703,.T.); -#15033=EDGE_CURVE('',#10283,#10111,#1695,.T.); -#15036=EDGE_CURVE('',#10284,#10112,#1737,.T.); -#15040=ADVANCED_FACE('',(#15039),#15030,.T.); -#15047=EDGE_CURVE('',#10284,#10286,#1707,.T.); -#15049=EDGE_CURVE('',#10286,#10288,#1711,.T.); -#15051=EDGE_CURVE('',#10288,#10283,#1715,.T.); -#15055=EDGE_CURVE('',#10291,#10292,#1720,.T.); -#15057=EDGE_CURVE('',#10292,#10294,#1724,.T.); -#15059=EDGE_CURVE('',#10294,#10296,#1729,.T.); -#15061=EDGE_CURVE('',#10296,#10291,#1733,.T.); -#15065=ADVANCED_FACE('',(#15054,#15064),#15045,.T.); -#15074=EDGE_CURVE('',#10286,#10116,#1745,.T.); -#15078=ADVANCED_FACE('',(#15077),#15070,.T.); -#15087=EDGE_CURVE('',#10288,#10114,#1753,.T.); -#15091=ADVANCED_FACE('',(#15090),#15083,.T.); -#15103=ADVANCED_FACE('',(#15102),#15096,.T.); -#15109=EDGE_CURVE('',#10299,#10300,#1780,.T.); -#15111=EDGE_CURVE('',#10302,#10299,#1775,.T.); -#15113=EDGE_CURVE('',#10302,#10292,#1761,.T.); -#15116=EDGE_CURVE('',#10291,#10300,#1765,.T.); -#15120=ADVANCED_FACE('',(#15119),#15108,.T.); -#15126=EDGE_CURVE('',#10305,#10306,#1795,.T.); -#15128=EDGE_CURVE('',#10305,#10302,#1770,.T.); -#15132=EDGE_CURVE('',#10300,#10306,#1785,.T.); -#15136=ADVANCED_FACE('',(#15135),#15125,.T.); -#15142=EDGE_CURVE('',#10308,#10306,#1799,.T.); -#15144=EDGE_CURVE('',#10308,#10310,#1790,.T.); -#15146=EDGE_CURVE('',#10305,#10310,#1835,.T.); -#15151=ADVANCED_FACE('',(#15150),#15141,.T.); -#15159=EDGE_CURVE('',#10300,#10312,#1803,.T.); -#15161=EDGE_CURVE('',#10308,#10312,#1816,.T.); -#15165=ADVANCED_FACE('',(#15164),#15156,.T.); -#15174=EDGE_CURVE('',#10312,#10296,#1807,.T.); -#15178=ADVANCED_FACE('',(#15177),#15170,.F.); -#15184=EDGE_CURVE('',#10315,#10316,#1826,.T.); -#15186=EDGE_CURVE('',#10312,#10315,#1821,.T.); -#15190=EDGE_CURVE('',#10294,#10316,#1811,.T.); -#15194=ADVANCED_FACE('',(#15193),#15183,.T.); -#15204=EDGE_CURVE('',#10316,#10310,#1831,.T.); -#15208=ADVANCED_FACE('',(#15207),#15199,.T.); -#15216=EDGE_CURVE('',#10316,#10302,#1839,.T.); -#15221=ADVANCED_FACE('',(#15220),#15213,.T.); -#15233=ADVANCED_FACE('',(#15232),#15226,.F.); -#15240=EDGE_CURVE('',#10318,#8804,#1855,.T.); -#15242=EDGE_CURVE('',#10320,#10318,#2035,.T.); -#15244=EDGE_CURVE('',#10320,#8803,#1847,.T.); -#15248=ADVANCED_FACE('',(#15247),#15238,.T.); -#15255=EDGE_CURVE('',#10322,#8840,#1883,.T.); -#15257=EDGE_CURVE('',#10318,#10322,#2039,.T.); -#15262=ADVANCED_FACE('',(#15261),#15253,.T.); -#15268=EDGE_CURVE('',#10325,#10326,#1899,.T.); -#15270=EDGE_CURVE('',#10325,#8824,#1859,.T.); -#15273=EDGE_CURVE('',#10328,#8822,#1943,.T.); -#15275=EDGE_CURVE('',#10330,#10328,#1963,.T.); -#15277=EDGE_CURVE('',#10330,#8816,#1867,.T.); -#15280=EDGE_CURVE('',#10332,#8814,#1983,.T.); -#15282=EDGE_CURVE('',#10334,#10332,#2003,.T.); -#15284=EDGE_CURVE('',#10334,#8808,#1875,.T.); -#15287=EDGE_CURVE('',#10336,#8806,#2023,.T.); -#15289=EDGE_CURVE('',#10322,#10336,#2027,.T.); -#15293=EDGE_CURVE('',#10338,#8838,#2047,.T.); -#15295=EDGE_CURVE('',#10340,#10338,#2067,.T.); -#15297=EDGE_CURVE('',#10340,#8832,#1891,.T.); -#15300=EDGE_CURVE('',#10326,#8830,#1919,.T.); -#15304=ADVANCED_FACE('',(#15303),#15267,.T.); -#15311=EDGE_CURVE('',#10326,#10342,#1903,.T.); -#15313=EDGE_CURVE('',#10342,#10344,#1907,.T.); -#15315=EDGE_CURVE('',#10344,#10325,#1911,.T.); -#15319=ADVANCED_FACE('',(#15318),#15309,.T.); -#15326=EDGE_CURVE('',#10342,#8828,#1927,.T.); -#15332=ADVANCED_FACE('',(#15331),#15324,.T.); -#15339=EDGE_CURVE('',#10344,#8826,#1935,.T.); -#15345=ADVANCED_FACE('',(#15344),#15337,.T.); -#15357=ADVANCED_FACE('',(#15356),#15350,.T.); -#15364=EDGE_CURVE('',#10346,#8820,#1951,.T.); -#15366=EDGE_CURVE('',#10328,#10346,#1967,.T.); -#15371=ADVANCED_FACE('',(#15370),#15362,.T.); -#15378=EDGE_CURVE('',#10348,#8818,#1959,.T.); -#15380=EDGE_CURVE('',#10346,#10348,#1971,.T.); -#15385=ADVANCED_FACE('',(#15384),#15376,.T.); -#15393=EDGE_CURVE('',#10348,#10330,#1975,.T.); -#15398=ADVANCED_FACE('',(#15397),#15390,.T.); -#15410=ADVANCED_FACE('',(#15409),#15403,.T.); -#15417=EDGE_CURVE('',#10350,#8812,#1991,.T.); -#15419=EDGE_CURVE('',#10332,#10350,#2007,.T.); -#15424=ADVANCED_FACE('',(#15423),#15415,.T.); -#15431=EDGE_CURVE('',#10352,#8810,#1999,.T.); -#15433=EDGE_CURVE('',#10350,#10352,#2011,.T.); -#15438=ADVANCED_FACE('',(#15437),#15429,.T.); -#15446=EDGE_CURVE('',#10352,#10334,#2015,.T.); -#15451=ADVANCED_FACE('',(#15450),#15443,.T.); -#15463=ADVANCED_FACE('',(#15462),#15456,.T.); -#15471=EDGE_CURVE('',#10336,#10320,#2031,.T.); -#15476=ADVANCED_FACE('',(#15475),#15468,.T.); -#15488=ADVANCED_FACE('',(#15487),#15481,.T.); -#15495=EDGE_CURVE('',#10354,#8836,#2055,.T.); -#15497=EDGE_CURVE('',#10338,#10354,#2071,.T.); -#15502=ADVANCED_FACE('',(#15501),#15493,.T.); -#15509=EDGE_CURVE('',#10356,#8834,#2063,.T.); -#15511=EDGE_CURVE('',#10354,#10356,#2075,.T.); -#15516=EDGE_CURVE('',#10359,#10360,#2083,.T.); -#15518=EDGE_CURVE('',#10362,#10359,#2171,.T.); -#15520=EDGE_CURVE('',#10364,#10362,#2167,.T.); -#15522=EDGE_CURVE('',#10366,#10364,#2151,.T.); -#15524=EDGE_CURVE('',#10368,#10366,#2147,.T.); -#15526=EDGE_CURVE('',#10370,#10368,#2139,.T.); -#15528=EDGE_CURVE('',#10372,#10370,#2131,.T.); -#15530=EDGE_CURVE('',#10374,#10372,#2123,.T.); -#15532=EDGE_CURVE('',#10376,#10374,#2119,.T.); -#15534=EDGE_CURVE('',#10378,#10376,#2103,.T.); -#15536=EDGE_CURVE('',#10380,#10378,#2099,.T.); -#15538=EDGE_CURVE('',#10360,#10380,#2091,.T.); -#15542=ADVANCED_FACE('',(#15515,#15541),#15507,.T.); -#15550=EDGE_CURVE('',#10356,#10340,#2079,.T.); -#15555=ADVANCED_FACE('',(#15554),#15547,.T.); -#15567=ADVANCED_FACE('',(#15566),#15560,.T.); -#15574=EDGE_CURVE('',#10360,#10382,#2087,.T.); -#15576=EDGE_CURVE('',#10384,#10382,#2211,.T.); -#15578=EDGE_CURVE('',#10359,#10384,#2175,.T.); -#15582=ADVANCED_FACE('',(#15581),#15572,.F.); -#15589=EDGE_CURVE('',#10380,#10386,#2095,.T.); -#15591=EDGE_CURVE('',#10382,#10386,#2215,.T.); -#15596=ADVANCED_FACE('',(#15595),#15587,.F.); -#15604=EDGE_CURVE('',#10388,#10378,#2107,.T.); -#15606=EDGE_CURVE('',#10386,#10388,#2219,.T.); -#15610=ADVANCED_FACE('',(#15609),#15601,.F.); -#15617=EDGE_CURVE('',#10390,#10376,#2115,.T.); -#15619=EDGE_CURVE('',#10388,#10390,#2223,.T.); -#15624=ADVANCED_FACE('',(#15623),#15615,.F.); -#15630=EDGE_CURVE('',#10374,#10392,#2111,.T.); -#15632=EDGE_CURVE('',#10390,#10392,#2179,.T.); -#15638=ADVANCED_FACE('',(#15637),#15629,.F.); -#15645=EDGE_CURVE('',#10372,#10394,#2127,.T.); -#15647=EDGE_CURVE('',#10392,#10394,#2183,.T.); -#15652=ADVANCED_FACE('',(#15651),#15643,.F.); -#15659=EDGE_CURVE('',#10370,#10396,#2135,.T.); -#15661=EDGE_CURVE('',#10394,#10396,#2187,.T.); -#15666=ADVANCED_FACE('',(#15665),#15657,.F.); -#15673=EDGE_CURVE('',#10368,#10398,#2143,.T.); -#15675=EDGE_CURVE('',#10396,#10398,#2191,.T.); -#15680=ADVANCED_FACE('',(#15679),#15671,.F.); -#15688=EDGE_CURVE('',#10400,#10366,#2155,.T.); -#15690=EDGE_CURVE('',#10398,#10400,#2195,.T.); -#15694=ADVANCED_FACE('',(#15693),#15685,.F.); -#15701=EDGE_CURVE('',#10402,#10364,#2163,.T.); -#15703=EDGE_CURVE('',#10400,#10402,#2199,.T.); -#15708=ADVANCED_FACE('',(#15707),#15699,.F.); -#15714=EDGE_CURVE('',#10362,#10404,#2159,.T.); -#15716=EDGE_CURVE('',#10402,#10404,#2203,.T.); -#15722=ADVANCED_FACE('',(#15721),#15713,.F.); -#15730=EDGE_CURVE('',#10404,#10384,#2207,.T.); -#15735=ADVANCED_FACE('',(#15734),#15727,.F.); -#15755=ADVANCED_FACE('',(#15754),#15740,.T.); -#15762=EDGE_CURVE('',#10406,#8844,#2239,.T.); -#15764=EDGE_CURVE('',#10408,#10406,#2491,.T.); -#15766=EDGE_CURVE('',#10408,#8843,#2231,.T.); -#15770=ADVANCED_FACE('',(#15769),#15760,.T.); -#15777=EDGE_CURVE('',#10410,#8876,#2247,.T.); -#15779=EDGE_CURVE('',#10406,#10410,#2495,.T.); -#15784=ADVANCED_FACE('',(#15783),#15775,.T.); -#15791=EDGE_CURVE('',#10412,#8874,#2263,.T.); -#15793=EDGE_CURVE('',#10410,#10412,#2499,.T.); -#15798=ADVANCED_FACE('',(#15797),#15789,.T.); -#15804=EDGE_CURVE('',#10415,#10416,#2287,.T.); -#15806=EDGE_CURVE('',#10415,#10418,#2251,.T.); -#15808=EDGE_CURVE('',#10420,#10418,#2443,.T.); -#15810=EDGE_CURVE('',#10422,#10420,#2395,.T.); -#15812=EDGE_CURVE('',#10424,#10422,#2407,.T.); -#15814=EDGE_CURVE('',#10424,#8846,#2255,.T.); -#15818=EDGE_CURVE('',#10408,#10412,#2259,.T.); -#15822=EDGE_CURVE('',#10426,#8872,#2507,.T.); -#15824=EDGE_CURVE('',#10426,#10428,#2271,.T.); -#15826=EDGE_CURVE('',#10428,#8866,#2275,.T.); -#15829=EDGE_CURVE('',#10416,#8864,#2315,.T.); -#15833=ADVANCED_FACE('',(#15832),#15803,.T.); -#15839=EDGE_CURVE('',#10431,#10432,#2279,.T.); -#15841=EDGE_CURVE('',#10432,#10415,#2283,.T.); -#15844=EDGE_CURVE('',#10416,#10434,#2291,.T.); -#15846=EDGE_CURVE('',#10434,#10431,#2295,.T.); -#15850=ADVANCED_FACE('',(#15849),#15838,.T.); -#15857=EDGE_CURVE('',#10431,#8860,#2299,.T.); -#15860=EDGE_CURVE('',#10432,#8858,#2323,.T.); -#15864=ADVANCED_FACE('',(#15863),#15855,.T.); -#15871=EDGE_CURVE('',#10434,#8862,#2307,.T.); -#15877=ADVANCED_FACE('',(#15876),#15869,.T.); -#15889=ADVANCED_FACE('',(#15888),#15882,.T.); -#15895=EDGE_CURVE('',#10437,#10438,#2335,.T.); -#15897=EDGE_CURVE('',#10437,#10440,#2319,.T.); -#15899=EDGE_CURVE('',#10418,#10440,#2447,.T.); -#15905=EDGE_CURVE('',#10438,#8856,#2355,.T.); -#15909=ADVANCED_FACE('',(#15908),#15894,.T.); -#15915=EDGE_CURVE('',#10443,#10444,#2347,.T.); -#15917=EDGE_CURVE('',#10443,#10437,#2331,.T.); -#15920=EDGE_CURVE('',#10438,#10444,#2339,.T.); -#15924=ADVANCED_FACE('',(#15923),#15914,.T.); -#15930=EDGE_CURVE('',#10446,#10444,#2351,.T.); -#15932=EDGE_CURVE('',#10448,#10446,#2487,.T.); -#15934=EDGE_CURVE('',#10450,#10448,#2475,.T.); -#15936=EDGE_CURVE('',#10450,#10443,#2343,.T.); -#15941=ADVANCED_FACE('',(#15940),#15929,.F.); -#15947=EDGE_CURVE('',#10453,#10454,#2363,.T.); -#15949=EDGE_CURVE('',#10446,#10453,#2483,.T.); -#15955=EDGE_CURVE('',#10456,#8854,#2387,.T.); -#15957=EDGE_CURVE('',#10454,#10456,#2375,.T.); -#15961=ADVANCED_FACE('',(#15960),#15946,.T.); -#15968=EDGE_CURVE('',#10458,#10454,#2371,.T.); -#15970=EDGE_CURVE('',#10460,#10458,#2463,.T.); -#15972=EDGE_CURVE('',#10462,#10460,#2467,.T.); -#15974=EDGE_CURVE('',#10462,#10453,#2367,.T.); -#15978=ADVANCED_FACE('',(#15977),#15966,.F.); -#15986=EDGE_CURVE('',#10464,#10456,#2383,.T.); -#15988=EDGE_CURVE('',#10464,#10458,#2379,.T.); -#15992=ADVANCED_FACE('',(#15991),#15983,.T.); -#16001=EDGE_CURVE('',#10466,#8852,#2399,.T.); -#16003=EDGE_CURVE('',#10422,#10466,#2411,.T.); -#16006=EDGE_CURVE('',#10468,#10420,#2439,.T.); -#16008=EDGE_CURVE('',#10464,#10468,#2451,.T.); -#16012=ADVANCED_FACE('',(#16011),#15997,.T.); -#16018=EDGE_CURVE('',#10466,#10470,#2415,.T.); -#16022=EDGE_CURVE('',#10470,#8850,#2427,.T.); -#16026=ADVANCED_FACE('',(#16025),#16017,.T.); -#16035=EDGE_CURVE('',#10470,#10472,#2419,.T.); -#16037=EDGE_CURVE('',#10472,#10424,#2423,.T.); -#16041=ADVANCED_FACE('',(#16040),#16031,.T.); -#16050=EDGE_CURVE('',#10472,#8848,#2435,.T.); -#16054=ADVANCED_FACE('',(#16053),#16046,.T.); -#16066=ADVANCED_FACE('',(#16065),#16059,.T.); -#16072=EDGE_CURVE('',#10468,#10440,#2455,.T.); -#16079=ADVANCED_FACE('',(#16078),#16071,.T.); -#16085=EDGE_CURVE('',#10458,#10443,#2459,.T.); -#16094=ADVANCED_FACE('',(#16093),#16084,.F.); -#16102=EDGE_CURVE('',#10460,#10450,#2471,.T.); -#16107=ADVANCED_FACE('',(#16106),#16099,.F.); -#16116=EDGE_CURVE('',#10448,#10462,#2479,.T.); -#16120=ADVANCED_FACE('',(#16119),#16112,.T.); -#16132=ADVANCED_FACE('',(#16131),#16125,.F.); -#16144=ADVANCED_FACE('',(#16143),#16137,.T.); -#16151=EDGE_CURVE('',#10474,#8870,#2515,.T.); -#16153=EDGE_CURVE('',#10426,#10474,#2527,.T.); -#16158=ADVANCED_FACE('',(#16157),#16149,.T.); -#16165=EDGE_CURVE('',#10476,#8868,#2523,.T.); -#16167=EDGE_CURVE('',#10474,#10476,#2531,.T.); -#16172=ADVANCED_FACE('',(#16171),#16163,.T.); -#16180=EDGE_CURVE('',#10476,#10428,#2535,.T.); -#16185=ADVANCED_FACE('',(#16184),#16177,.T.); -#16197=ADVANCED_FACE('',(#16196),#16190,.T.); -#16206=EDGE_CURVE('',#8879,#10478,#2539,.T.); -#16208=EDGE_CURVE('',#10480,#10478,#2663,.T.); -#16210=EDGE_CURVE('',#10482,#10480,#2658,.T.); -#16212=EDGE_CURVE('',#10484,#10482,#2653,.T.); -#16214=EDGE_CURVE('',#10484,#8884,#2543,.T.); -#16218=ADVANCED_FACE('',(#16217),#16202,.T.); -#16224=EDGE_CURVE('',#10487,#10488,#2547,.T.); -#16226=EDGE_CURVE('',#10490,#10488,#2586,.T.); -#16228=EDGE_CURVE('',#10492,#10490,#2679,.T.); -#16230=EDGE_CURVE('',#10492,#10494,#2551,.T.); -#16232=EDGE_CURVE('',#10494,#10478,#2555,.T.); -#16236=EDGE_CURVE('',#10487,#8908,#2594,.T.); -#16240=EDGE_CURVE('',#10497,#10498,#2701,.T.); -#16242=EDGE_CURVE('',#10500,#10497,#2725,.T.); -#16244=EDGE_CURVE('',#10502,#10500,#2717,.T.); -#16246=EDGE_CURVE('',#10498,#10502,#2709,.T.); -#16250=ADVANCED_FACE('',(#16239,#16249),#16223,.T.); -#16256=EDGE_CURVE('',#10488,#10504,#2578,.T.); -#16259=EDGE_CURVE('',#10487,#10506,#2564,.T.); -#16261=EDGE_CURVE('',#10506,#10508,#2569,.T.); -#16263=EDGE_CURVE('',#10508,#10504,#2574,.T.); -#16267=ADVANCED_FACE('',(#16266),#16255,.T.); -#16274=EDGE_CURVE('',#8902,#10504,#2590,.T.); -#16277=EDGE_CURVE('',#10510,#8900,#2606,.T.); -#16279=EDGE_CURVE('',#10490,#10510,#2667,.T.); -#16284=ADVANCED_FACE('',(#16283),#16272,.T.); -#16300=ADVANCED_FACE('',(#16299),#16289,.T.); -#16306=EDGE_CURVE('',#10513,#10514,#2598,.T.); -#16308=EDGE_CURVE('',#8888,#10514,#2636,.T.); -#16311=EDGE_CURVE('',#10516,#8886,#2644,.T.); -#16313=EDGE_CURVE('',#10510,#10516,#2671,.T.); -#16317=EDGE_CURVE('',#10518,#8898,#2683,.T.); -#16319=EDGE_CURVE('',#10518,#10520,#2614,.T.); -#16321=EDGE_CURVE('',#8894,#10520,#2687,.T.); -#16324=EDGE_CURVE('',#10513,#8892,#2632,.T.); -#16328=ADVANCED_FACE('',(#16327),#16305,.T.); -#16335=EDGE_CURVE('',#10513,#10522,#2623,.T.); -#16337=EDGE_CURVE('',#10522,#10514,#2628,.T.); -#16341=ADVANCED_FACE('',(#16340),#16333,.T.); -#16355=ADVANCED_FACE('',(#16354),#16346,.T.); -#16361=EDGE_CURVE('',#10484,#10494,#2640,.T.); -#16364=EDGE_CURVE('',#10516,#10492,#2675,.T.); -#16371=ADVANCED_FACE('',(#16370),#16360,.T.); -#16384=ADVANCED_FACE('',(#16383),#16376,.T.); -#16396=ADVANCED_FACE('',(#16395),#16389,.T.); -#16402=EDGE_CURVE('',#10524,#10520,#2697,.T.); -#16404=EDGE_CURVE('',#10518,#10524,#2692,.T.); -#16412=ADVANCED_FACE('',(#16411),#16401,.T.); -#16423=ADVANCED_FACE('',(#16422),#16417,.T.); -#16430=EDGE_CURVE('',#10526,#10498,#2713,.T.); -#16432=EDGE_CURVE('',#10528,#10526,#2733,.T.); -#16434=EDGE_CURVE('',#10528,#10497,#2705,.T.); -#16438=ADVANCED_FACE('',(#16437),#16428,.T.); -#16445=EDGE_CURVE('',#10530,#10502,#2721,.T.); -#16447=EDGE_CURVE('',#10526,#10530,#2737,.T.); -#16452=ADVANCED_FACE('',(#16451),#16443,.T.); -#16459=EDGE_CURVE('',#10532,#10500,#2729,.T.); -#16461=EDGE_CURVE('',#10530,#10532,#2741,.T.); -#16466=ADVANCED_FACE('',(#16465),#16457,.T.); -#16474=EDGE_CURVE('',#10532,#10528,#2745,.T.); -#16479=ADVANCED_FACE('',(#16478),#16471,.T.); -#16491=ADVANCED_FACE('',(#16490),#16484,.T.); -#16498=EDGE_CURVE('',#10534,#8912,#2761,.T.); -#16500=EDGE_CURVE('',#10536,#10534,#2845,.T.); -#16502=EDGE_CURVE('',#10536,#8911,#2753,.T.); -#16506=ADVANCED_FACE('',(#16505),#16496,.T.); -#16513=EDGE_CURVE('',#10538,#8916,#2801,.T.); -#16515=EDGE_CURVE('',#10534,#10538,#2849,.T.); -#16520=EDGE_CURVE('',#10541,#10542,#2765,.T.); -#16522=EDGE_CURVE('',#10544,#10542,#3205,.T.); -#16524=EDGE_CURVE('',#10546,#10544,#3221,.T.); -#16526=EDGE_CURVE('',#10546,#10541,#2769,.T.); -#16530=EDGE_CURVE('',#10549,#10550,#2773,.T.); -#16532=EDGE_CURVE('',#10552,#10550,#3281,.T.); -#16534=EDGE_CURVE('',#10554,#10552,#3305,.T.); -#16536=EDGE_CURVE('',#10554,#10549,#2777,.T.); -#16540=EDGE_CURVE('',#10557,#10558,#2781,.T.); -#16542=EDGE_CURVE('',#10560,#10558,#3365,.T.); -#16544=EDGE_CURVE('',#10562,#10560,#3385,.T.); -#16546=EDGE_CURVE('',#10562,#10557,#2785,.T.); -#16550=EDGE_CURVE('',#10565,#10566,#2789,.T.); -#16552=EDGE_CURVE('',#10568,#10566,#3441,.T.); -#16554=EDGE_CURVE('',#10570,#10568,#3465,.T.); -#16556=EDGE_CURVE('',#10570,#10565,#2793,.T.); -#16560=ADVANCED_FACE('',(#16519,#16529,#16539,#16549,#16559),#16511,.T.); -#16567=EDGE_CURVE('',#10572,#8914,#2809,.T.); -#16569=EDGE_CURVE('',#10538,#10572,#2853,.T.); -#16574=ADVANCED_FACE('',(#16573),#16565,.T.); -#16582=EDGE_CURVE('',#10572,#10536,#2857,.T.); -#16587=EDGE_CURVE('',#10575,#10576,#2861,.T.); -#16589=EDGE_CURVE('',#10578,#10575,#2909,.T.); -#16591=EDGE_CURVE('',#10578,#10580,#2813,.T.); -#16593=EDGE_CURVE('',#10580,#10576,#2817,.T.); -#16597=EDGE_CURVE('',#10583,#10584,#2941,.T.); -#16599=EDGE_CURVE('',#10586,#10583,#2989,.T.); -#16601=EDGE_CURVE('',#10586,#10588,#2821,.T.); -#16603=EDGE_CURVE('',#10588,#10584,#2825,.T.); -#16607=EDGE_CURVE('',#10591,#10592,#3021,.T.); -#16609=EDGE_CURVE('',#10594,#10591,#3069,.T.); -#16611=EDGE_CURVE('',#10594,#10596,#2829,.T.); -#16613=EDGE_CURVE('',#10596,#10592,#2833,.T.); -#16617=EDGE_CURVE('',#10599,#10600,#3101,.T.); -#16619=EDGE_CURVE('',#10602,#10599,#3145,.T.); -#16621=EDGE_CURVE('',#10602,#10604,#2837,.T.); -#16623=EDGE_CURVE('',#10604,#10600,#2841,.T.); -#16627=ADVANCED_FACE('',(#16586,#16596,#16606,#16616,#16626),#16579,.T.); -#16639=ADVANCED_FACE('',(#16638),#16632,.T.); -#16646=EDGE_CURVE('',#10606,#10576,#2877,.T.); -#16648=EDGE_CURVE('',#10606,#10608,#2865,.T.); -#16650=EDGE_CURVE('',#10575,#10608,#2897,.T.); -#16654=ADVANCED_FACE('',(#16653),#16644,.F.); -#16660=EDGE_CURVE('',#10611,#10612,#2869,.T.); -#16662=EDGE_CURVE('',#10614,#10612,#2937,.T.); -#16664=EDGE_CURVE('',#10614,#8924,#2873,.T.); -#16667=EDGE_CURVE('',#10606,#8922,#2913,.T.); -#16671=EDGE_CURVE('',#10611,#10580,#2893,.T.); -#16675=ADVANCED_FACE('',(#16674),#16659,.T.); -#16682=EDGE_CURVE('',#10616,#10611,#2889,.T.); -#16684=EDGE_CURVE('',#10618,#10616,#2905,.T.); -#16686=EDGE_CURVE('',#10618,#10612,#2881,.T.); -#16690=ADVANCED_FACE('',(#16689),#16680,.T.); -#16697=EDGE_CURVE('',#10578,#10616,#2885,.T.); -#16703=ADVANCED_FACE('',(#16702),#16695,.T.); -#16710=EDGE_CURVE('',#10608,#8920,#2901,.T.); -#16713=EDGE_CURVE('',#10620,#8919,#2925,.T.); -#16715=EDGE_CURVE('',#10618,#10620,#2929,.T.); -#16722=ADVANCED_FACE('',(#16721),#16708,.T.); -#16734=ADVANCED_FACE('',(#16733),#16727,.T.); -#16742=EDGE_CURVE('',#10620,#10614,#2933,.T.); -#16747=ADVANCED_FACE('',(#16746),#16739,.T.); -#16759=ADVANCED_FACE('',(#16758),#16752,.T.); -#16766=EDGE_CURVE('',#10622,#10584,#2957,.T.); -#16768=EDGE_CURVE('',#10622,#10624,#2945,.T.); -#16770=EDGE_CURVE('',#10583,#10624,#2981,.T.); -#16774=ADVANCED_FACE('',(#16773),#16764,.F.); -#16780=EDGE_CURVE('',#10627,#10628,#2949,.T.); -#16782=EDGE_CURVE('',#10630,#10628,#3017,.T.); -#16784=EDGE_CURVE('',#10630,#8932,#2953,.T.); -#16787=EDGE_CURVE('',#10622,#8930,#2993,.T.); -#16791=EDGE_CURVE('',#10627,#10588,#2977,.T.); -#16795=ADVANCED_FACE('',(#16794),#16779,.T.); -#16802=EDGE_CURVE('',#10632,#10627,#2973,.T.); -#16804=EDGE_CURVE('',#10632,#10634,#2961,.T.); -#16806=EDGE_CURVE('',#10634,#10628,#2965,.T.); -#16810=ADVANCED_FACE('',(#16809),#16800,.T.); -#16817=EDGE_CURVE('',#10586,#10632,#2969,.T.); -#16823=ADVANCED_FACE('',(#16822),#16815,.T.); -#16830=EDGE_CURVE('',#10624,#8928,#2985,.T.); -#16833=EDGE_CURVE('',#10636,#8927,#3005,.T.); -#16835=EDGE_CURVE('',#10634,#10636,#3009,.T.); -#16842=ADVANCED_FACE('',(#16841),#16828,.T.); -#16854=ADVANCED_FACE('',(#16853),#16847,.T.); -#16862=EDGE_CURVE('',#10636,#10630,#3013,.T.); -#16867=ADVANCED_FACE('',(#16866),#16859,.T.); -#16879=ADVANCED_FACE('',(#16878),#16872,.T.); -#16886=EDGE_CURVE('',#10638,#10592,#3037,.T.); -#16888=EDGE_CURVE('',#10638,#10640,#3025,.T.); -#16890=EDGE_CURVE('',#10591,#10640,#3057,.T.); -#16894=ADVANCED_FACE('',(#16893),#16884,.F.); -#16900=EDGE_CURVE('',#10643,#10644,#3029,.T.); -#16902=EDGE_CURVE('',#10646,#10644,#3097,.T.); -#16904=EDGE_CURVE('',#10646,#8940,#3033,.T.); -#16907=EDGE_CURVE('',#10638,#8938,#3073,.T.); -#16911=EDGE_CURVE('',#10643,#10596,#3053,.T.); -#16915=ADVANCED_FACE('',(#16914),#16899,.T.); -#16922=EDGE_CURVE('',#10648,#10643,#3049,.T.); -#16924=EDGE_CURVE('',#10650,#10648,#3065,.T.); -#16926=EDGE_CURVE('',#10650,#10644,#3041,.T.); -#16930=ADVANCED_FACE('',(#16929),#16920,.T.); -#16937=EDGE_CURVE('',#10594,#10648,#3045,.T.); -#16943=ADVANCED_FACE('',(#16942),#16935,.T.); -#16950=EDGE_CURVE('',#10640,#8936,#3061,.T.); -#16953=EDGE_CURVE('',#10652,#8935,#3085,.T.); -#16955=EDGE_CURVE('',#10650,#10652,#3089,.T.); -#16962=ADVANCED_FACE('',(#16961),#16948,.T.); -#16974=ADVANCED_FACE('',(#16973),#16967,.T.); -#16982=EDGE_CURVE('',#10652,#10646,#3093,.T.); -#16987=ADVANCED_FACE('',(#16986),#16979,.T.); -#16999=ADVANCED_FACE('',(#16998),#16992,.T.); -#17006=EDGE_CURVE('',#10654,#10600,#3117,.T.); -#17008=EDGE_CURVE('',#10654,#10656,#3105,.T.); -#17010=EDGE_CURVE('',#10599,#10656,#3137,.T.); -#17014=ADVANCED_FACE('',(#17013),#17004,.F.); -#17020=EDGE_CURVE('',#10659,#10660,#3109,.T.); -#17022=EDGE_CURVE('',#10662,#10660,#3177,.T.); -#17024=EDGE_CURVE('',#10662,#8948,#3113,.T.); -#17027=EDGE_CURVE('',#10654,#8946,#3149,.T.); -#17031=EDGE_CURVE('',#10659,#10604,#3133,.T.); -#17035=ADVANCED_FACE('',(#17034),#17019,.T.); -#17042=EDGE_CURVE('',#10664,#10659,#3129,.T.); -#17044=EDGE_CURVE('',#10664,#10666,#3121,.T.); -#17046=EDGE_CURVE('',#10660,#10666,#3165,.T.); -#17050=ADVANCED_FACE('',(#17049),#17040,.T.); -#17057=EDGE_CURVE('',#10602,#10664,#3125,.T.); -#17063=ADVANCED_FACE('',(#17062),#17055,.T.); -#17070=EDGE_CURVE('',#10656,#8944,#3141,.T.); -#17073=EDGE_CURVE('',#10668,#8943,#3161,.T.); -#17075=EDGE_CURVE('',#10666,#10668,#3169,.T.); -#17082=ADVANCED_FACE('',(#17081),#17068,.T.); -#17094=ADVANCED_FACE('',(#17093),#17087,.T.); -#17102=EDGE_CURVE('',#10668,#10662,#3173,.T.); -#17107=ADVANCED_FACE('',(#17106),#17099,.T.); -#17119=ADVANCED_FACE('',(#17118),#17112,.T.); -#17126=EDGE_CURVE('',#10670,#10541,#3185,.T.); -#17128=EDGE_CURVE('',#10670,#10672,#3181,.T.); -#17130=EDGE_CURVE('',#10542,#10672,#3209,.T.); -#17134=ADVANCED_FACE('',(#17133),#17124,.F.); -#17140=EDGE_CURVE('',#10670,#8954,#3197,.T.); -#17144=EDGE_CURVE('',#10674,#10546,#3233,.T.); -#17146=EDGE_CURVE('',#10674,#10676,#3189,.T.); -#17148=EDGE_CURVE('',#10678,#10676,#3249,.T.); -#17150=EDGE_CURVE('',#10678,#8956,#3193,.T.); -#17155=ADVANCED_FACE('',(#17154),#17139,.T.); -#17164=EDGE_CURVE('',#10672,#8952,#3213,.T.); -#17168=ADVANCED_FACE('',(#17167),#17160,.T.); -#17174=EDGE_CURVE('',#10681,#10682,#3217,.T.); -#17176=EDGE_CURVE('',#10544,#10681,#3225,.T.); -#17182=EDGE_CURVE('',#10684,#8951,#3257,.T.); -#17184=EDGE_CURVE('',#10682,#10684,#3241,.T.); -#17188=ADVANCED_FACE('',(#17187),#17173,.T.); -#17195=EDGE_CURVE('',#10681,#10674,#3229,.T.); -#17198=EDGE_CURVE('',#10676,#10682,#3237,.T.); -#17202=ADVANCED_FACE('',(#17201),#17193,.T.); -#17214=ADVANCED_FACE('',(#17213),#17207,.T.); -#17222=EDGE_CURVE('',#10684,#10678,#3245,.T.); -#17227=ADVANCED_FACE('',(#17226),#17219,.T.); -#17239=ADVANCED_FACE('',(#17238),#17232,.T.); -#17246=EDGE_CURVE('',#10686,#10549,#3265,.T.); -#17248=EDGE_CURVE('',#10686,#10688,#3261,.T.); -#17250=EDGE_CURVE('',#10550,#10688,#3285,.T.); -#17254=ADVANCED_FACE('',(#17253),#17244,.F.); -#17260=EDGE_CURVE('',#10686,#8962,#3273,.T.); -#17264=EDGE_CURVE('',#10690,#10554,#3317,.T.); -#17266=EDGE_CURVE('',#10692,#10690,#3293,.T.); -#17268=EDGE_CURVE('',#10694,#10692,#3329,.T.); -#17270=EDGE_CURVE('',#10694,#8964,#3269,.T.); -#17275=ADVANCED_FACE('',(#17274),#17259,.T.); -#17284=EDGE_CURVE('',#10688,#8960,#3289,.T.); -#17288=ADVANCED_FACE('',(#17287),#17280,.T.); -#17294=EDGE_CURVE('',#10697,#10698,#3297,.T.); -#17296=EDGE_CURVE('',#10552,#10697,#3309,.T.); -#17302=EDGE_CURVE('',#10700,#8959,#3337,.T.); -#17304=EDGE_CURVE('',#10698,#10700,#3321,.T.); -#17308=ADVANCED_FACE('',(#17307),#17293,.T.); -#17315=EDGE_CURVE('',#10697,#10690,#3313,.T.); -#17318=EDGE_CURVE('',#10698,#10692,#3301,.T.); -#17322=ADVANCED_FACE('',(#17321),#17313,.T.); -#17334=ADVANCED_FACE('',(#17333),#17327,.T.); -#17342=EDGE_CURVE('',#10700,#10694,#3325,.T.); -#17347=ADVANCED_FACE('',(#17346),#17339,.T.); -#17359=ADVANCED_FACE('',(#17358),#17352,.T.); -#17366=EDGE_CURVE('',#10702,#10557,#3345,.T.); -#17368=EDGE_CURVE('',#10702,#10704,#3341,.T.); -#17370=EDGE_CURVE('',#10558,#10704,#3369,.T.); -#17374=ADVANCED_FACE('',(#17373),#17364,.F.); -#17380=EDGE_CURVE('',#10702,#8970,#3357,.T.); -#17384=EDGE_CURVE('',#10706,#10562,#3397,.T.); -#17386=EDGE_CURVE('',#10706,#10708,#3349,.T.); -#17388=EDGE_CURVE('',#10710,#10708,#3409,.T.); -#17390=EDGE_CURVE('',#10710,#8972,#3353,.T.); -#17395=ADVANCED_FACE('',(#17394),#17379,.T.); -#17404=EDGE_CURVE('',#10704,#8968,#3373,.T.); -#17408=ADVANCED_FACE('',(#17407),#17400,.T.); -#17414=EDGE_CURVE('',#10713,#10714,#3377,.T.); -#17416=EDGE_CURVE('',#10560,#10713,#3389,.T.); -#17422=EDGE_CURVE('',#10716,#8967,#3417,.T.); -#17424=EDGE_CURVE('',#10714,#10716,#3401,.T.); -#17428=ADVANCED_FACE('',(#17427),#17413,.T.); -#17435=EDGE_CURVE('',#10713,#10706,#3393,.T.); -#17438=EDGE_CURVE('',#10714,#10708,#3381,.T.); -#17442=ADVANCED_FACE('',(#17441),#17433,.T.); -#17454=ADVANCED_FACE('',(#17453),#17447,.T.); -#17462=EDGE_CURVE('',#10716,#10710,#3405,.T.); -#17467=ADVANCED_FACE('',(#17466),#17459,.T.); -#17479=ADVANCED_FACE('',(#17478),#17472,.T.); -#17486=EDGE_CURVE('',#10718,#10565,#3425,.T.); -#17488=EDGE_CURVE('',#10718,#10720,#3421,.T.); -#17490=EDGE_CURVE('',#10566,#10720,#3445,.T.); -#17494=ADVANCED_FACE('',(#17493),#17484,.F.); -#17500=EDGE_CURVE('',#10718,#8978,#3433,.T.); -#17504=EDGE_CURVE('',#10722,#10570,#3477,.T.); -#17506=EDGE_CURVE('',#10724,#10722,#3453,.T.); -#17508=EDGE_CURVE('',#10726,#10724,#3489,.T.); -#17510=EDGE_CURVE('',#10726,#8980,#3429,.T.); -#17515=ADVANCED_FACE('',(#17514),#17499,.T.); -#17524=EDGE_CURVE('',#10720,#8976,#3449,.T.); -#17528=ADVANCED_FACE('',(#17527),#17520,.T.); -#17534=EDGE_CURVE('',#10729,#10730,#3457,.T.); -#17536=EDGE_CURVE('',#10568,#10729,#3469,.T.); -#17542=EDGE_CURVE('',#10732,#8975,#3497,.T.); -#17544=EDGE_CURVE('',#10730,#10732,#3481,.T.); -#17548=ADVANCED_FACE('',(#17547),#17533,.T.); -#17555=EDGE_CURVE('',#10729,#10722,#3473,.T.); -#17558=EDGE_CURVE('',#10730,#10724,#3461,.T.); -#17562=ADVANCED_FACE('',(#17561),#17553,.T.); -#17574=ADVANCED_FACE('',(#17573),#17567,.T.); -#17582=EDGE_CURVE('',#10732,#10726,#3485,.T.); -#17587=ADVANCED_FACE('',(#17586),#17579,.T.); -#17599=ADVANCED_FACE('',(#17598),#17592,.T.); -#17606=EDGE_CURVE('',#10734,#8984,#3513,.T.); -#17608=EDGE_CURVE('',#10736,#10734,#3545,.T.); -#17610=EDGE_CURVE('',#10736,#8983,#3505,.T.); -#17614=ADVANCED_FACE('',(#17613),#17604,.T.); -#17621=EDGE_CURVE('',#10738,#9020,#3521,.T.); -#17623=EDGE_CURVE('',#10734,#10738,#3549,.T.); -#17628=ADVANCED_FACE('',(#17627),#17619,.T.); -#17635=EDGE_CURVE('',#10740,#9018,#3529,.T.); -#17637=EDGE_CURVE('',#10738,#10740,#3553,.T.); -#17642=ADVANCED_FACE('',(#17641),#17633,.T.); -#17648=EDGE_CURVE('',#10736,#10740,#3525,.T.); -#17652=EDGE_CURVE('',#10742,#9016,#3569,.T.); -#17654=EDGE_CURVE('',#10744,#10742,#3733,.T.); -#17656=EDGE_CURVE('',#10744,#8986,#3537,.T.); -#17662=ADVANCED_FACE('',(#17661),#17647,.T.); -#17674=ADVANCED_FACE('',(#17673),#17667,.T.); -#17680=EDGE_CURVE('',#10747,#10748,#3557,.T.); -#17682=EDGE_CURVE('',#10748,#9008,#3561,.T.); -#17685=EDGE_CURVE('',#10750,#9006,#3625,.T.); -#17687=EDGE_CURVE('',#10742,#10750,#3737,.T.); -#17691=EDGE_CURVE('',#10747,#9014,#3593,.T.); -#17695=ADVANCED_FACE('',(#17694),#17679,.T.); -#17702=EDGE_CURVE('',#10747,#10752,#3577,.T.); -#17704=EDGE_CURVE('',#10752,#10754,#3581,.T.); -#17706=EDGE_CURVE('',#10754,#10748,#3585,.T.); -#17710=ADVANCED_FACE('',(#17709),#17700,.T.); -#17717=EDGE_CURVE('',#10752,#9012,#3601,.T.); -#17723=ADVANCED_FACE('',(#17722),#17715,.T.); -#17730=EDGE_CURVE('',#10754,#9010,#3609,.T.); -#17736=ADVANCED_FACE('',(#17735),#17728,.T.); -#17748=ADVANCED_FACE('',(#17747),#17741,.T.); -#17754=EDGE_CURVE('',#10757,#10758,#3613,.T.); -#17756=EDGE_CURVE('',#10758,#8998,#3617,.T.); -#17759=EDGE_CURVE('',#10760,#8996,#3681,.T.); -#17761=EDGE_CURVE('',#10750,#10760,#3725,.T.); -#17765=EDGE_CURVE('',#10757,#9004,#3649,.T.); -#17769=ADVANCED_FACE('',(#17768),#17753,.T.); -#17776=EDGE_CURVE('',#10757,#10762,#3633,.T.); -#17778=EDGE_CURVE('',#10762,#10764,#3637,.T.); -#17780=EDGE_CURVE('',#10764,#10758,#3641,.T.); -#17784=ADVANCED_FACE('',(#17783),#17774,.T.); -#17791=EDGE_CURVE('',#10762,#9002,#3657,.T.); -#17797=ADVANCED_FACE('',(#17796),#17789,.T.); -#17804=EDGE_CURVE('',#10764,#9000,#3665,.T.); -#17810=ADVANCED_FACE('',(#17809),#17802,.T.); -#17822=ADVANCED_FACE('',(#17821),#17815,.T.); -#17828=EDGE_CURVE('',#10767,#10768,#3669,.T.); -#17830=EDGE_CURVE('',#10768,#8988,#3673,.T.); -#17834=EDGE_CURVE('',#10760,#10744,#3729,.T.); -#17838=EDGE_CURVE('',#10767,#8994,#3705,.T.); -#17842=ADVANCED_FACE('',(#17841),#17827,.T.); -#17849=EDGE_CURVE('',#10767,#10770,#3689,.T.); -#17851=EDGE_CURVE('',#10770,#10772,#3693,.T.); -#17853=EDGE_CURVE('',#10772,#10768,#3697,.T.); -#17857=ADVANCED_FACE('',(#17856),#17847,.T.); -#17864=EDGE_CURVE('',#10770,#8992,#3713,.T.); -#17870=ADVANCED_FACE('',(#17869),#17862,.T.); -#17877=EDGE_CURVE('',#10772,#8990,#3721,.T.); -#17883=ADVANCED_FACE('',(#17882),#17875,.T.); -#17895=ADVANCED_FACE('',(#17894),#17888,.T.); -#17907=ADVANCED_FACE('',(#17906),#17900,.T.); -#17914=EDGE_CURVE('',#10774,#9024,#3753,.T.); -#17916=EDGE_CURVE('',#10776,#10774,#3781,.T.); -#17918=EDGE_CURVE('',#10776,#9023,#3745,.T.); -#17922=ADVANCED_FACE('',(#17921),#17912,.T.); -#17929=EDGE_CURVE('',#10778,#9044,#3757,.T.); -#17931=EDGE_CURVE('',#10774,#10778,#3785,.T.); -#17936=ADVANCED_FACE('',(#17935),#17927,.T.); -#17942=EDGE_CURVE('',#10778,#10780,#3773,.T.); -#17946=EDGE_CURVE('',#10782,#9042,#3801,.T.); -#17948=EDGE_CURVE('',#10784,#10782,#3869,.T.); -#17950=EDGE_CURVE('',#10784,#9028,#3765,.T.); -#17953=EDGE_CURVE('',#10780,#9026,#3793,.T.); -#17957=ADVANCED_FACE('',(#17956),#17941,.T.); -#17964=EDGE_CURVE('',#10780,#10776,#3777,.T.); -#17970=ADVANCED_FACE('',(#17969),#17962,.T.); -#17982=ADVANCED_FACE('',(#17981),#17975,.T.); -#17989=EDGE_CURVE('',#10786,#9040,#3817,.T.); -#17991=EDGE_CURVE('',#10782,#10786,#3873,.T.); -#17996=ADVANCED_FACE('',(#17995),#17987,.T.); -#18002=EDGE_CURVE('',#10789,#10790,#3805,.T.); -#18004=EDGE_CURVE('',#10790,#9032,#3809,.T.); -#18007=EDGE_CURVE('',#10792,#9030,#3865,.T.); -#18009=EDGE_CURVE('',#10786,#10792,#3877,.T.); -#18013=EDGE_CURVE('',#10789,#9038,#3841,.T.); -#18017=ADVANCED_FACE('',(#18016),#18001,.T.); -#18024=EDGE_CURVE('',#10789,#10794,#3825,.T.); -#18026=EDGE_CURVE('',#10794,#10796,#3829,.T.); -#18028=EDGE_CURVE('',#10796,#10790,#3833,.T.); -#18032=ADVANCED_FACE('',(#18031),#18022,.T.); -#18039=EDGE_CURVE('',#10794,#9036,#3849,.T.); -#18045=ADVANCED_FACE('',(#18044),#18037,.T.); -#18052=EDGE_CURVE('',#10796,#9034,#3857,.T.); -#18058=ADVANCED_FACE('',(#18057),#18050,.T.); -#18070=ADVANCED_FACE('',(#18069),#18063,.T.); -#18078=EDGE_CURVE('',#10792,#10784,#3881,.T.); -#18083=ADVANCED_FACE('',(#18082),#18075,.T.); -#18095=ADVANCED_FACE('',(#18094),#18088,.T.); -#18102=EDGE_CURVE('',#10798,#9048,#3897,.T.); -#18104=EDGE_CURVE('',#10800,#10798,#3917,.T.); -#18106=EDGE_CURVE('',#10800,#9047,#3889,.T.); -#18110=ADVANCED_FACE('',(#18109),#18100,.T.); -#18117=EDGE_CURVE('',#10802,#9052,#3905,.T.); -#18119=EDGE_CURVE('',#10798,#10802,#3921,.T.); -#18124=ADVANCED_FACE('',(#18123),#18115,.T.); -#18131=EDGE_CURVE('',#10804,#9050,#3913,.T.); -#18133=EDGE_CURVE('',#10802,#10804,#3925,.T.); -#18138=ADVANCED_FACE('',(#18137),#18129,.T.); -#18146=EDGE_CURVE('',#10804,#10800,#3929,.T.); -#18151=ADVANCED_FACE('',(#18150),#18143,.T.); -#18163=ADVANCED_FACE('',(#18162),#18156,.T.); -#18170=EDGE_CURVE('',#10806,#9056,#3941,.T.); -#18172=EDGE_CURVE('',#10808,#10806,#3969,.T.); -#18174=EDGE_CURVE('',#10808,#9055,#3937,.T.); -#18178=ADVANCED_FACE('',(#18177),#18168,.T.); -#18184=EDGE_CURVE('',#10806,#10810,#3957,.T.); -#18188=EDGE_CURVE('',#10812,#9084,#3993,.T.); -#18190=EDGE_CURVE('',#10814,#10812,#4122,.T.); -#18192=EDGE_CURVE('',#10814,#9062,#3949,.T.); -#18195=EDGE_CURVE('',#10810,#9060,#3977,.T.); -#18199=ADVANCED_FACE('',(#18198),#18183,.T.); -#18206=EDGE_CURVE('',#10810,#10816,#3961,.T.); -#18208=EDGE_CURVE('',#10816,#10808,#3965,.T.); -#18213=ADVANCED_FACE('',(#18212),#18204,.T.); -#18220=EDGE_CURVE('',#10816,#9058,#3985,.T.); -#18226=ADVANCED_FACE('',(#18225),#18218,.T.); -#18238=ADVANCED_FACE('',(#18237),#18231,.T.); -#18245=EDGE_CURVE('',#10818,#9082,#4009,.T.); -#18247=EDGE_CURVE('',#10819,#10818,#4110,.T.); -#18249=EDGE_CURVE('',#10812,#10819,#4127,.T.); -#18254=ADVANCED_FACE('',(#18253),#18243,.T.); -#18260=EDGE_CURVE('',#10822,#10823,#3997,.T.); -#18262=EDGE_CURVE('',#10823,#9074,#4001,.T.); -#18265=EDGE_CURVE('',#10825,#9072,#4065,.T.); -#18267=EDGE_CURVE('',#10818,#10825,#4114,.T.); -#18271=EDGE_CURVE('',#10822,#9080,#4033,.T.); -#18275=ADVANCED_FACE('',(#18274),#18259,.T.); -#18282=EDGE_CURVE('',#10822,#10827,#4017,.T.); -#18284=EDGE_CURVE('',#10827,#10829,#4021,.T.); -#18286=EDGE_CURVE('',#10829,#10823,#4025,.T.); -#18290=ADVANCED_FACE('',(#18289),#18280,.T.); -#18297=EDGE_CURVE('',#10827,#9078,#4041,.T.); -#18303=ADVANCED_FACE('',(#18302),#18295,.T.); -#18310=EDGE_CURVE('',#10829,#9076,#4049,.T.); -#18316=ADVANCED_FACE('',(#18315),#18308,.T.); -#18328=ADVANCED_FACE('',(#18327),#18321,.T.); -#18334=EDGE_CURVE('',#10832,#10833,#4053,.T.); -#18336=EDGE_CURVE('',#10833,#9064,#4057,.T.); -#18340=EDGE_CURVE('',#10825,#10814,#4118,.T.); -#18344=EDGE_CURVE('',#10832,#9070,#4089,.T.); -#18348=ADVANCED_FACE('',(#18347),#18333,.T.); -#18355=EDGE_CURVE('',#10832,#10835,#4073,.T.); -#18357=EDGE_CURVE('',#10835,#10837,#4077,.T.); -#18359=EDGE_CURVE('',#10837,#10833,#4081,.T.); -#18363=ADVANCED_FACE('',(#18362),#18353,.T.); -#18370=EDGE_CURVE('',#10835,#9068,#4097,.T.); -#18376=ADVANCED_FACE('',(#18375),#18368,.T.); -#18383=EDGE_CURVE('',#10837,#9066,#4105,.T.); -#18389=ADVANCED_FACE('',(#18388),#18381,.T.); -#18401=ADVANCED_FACE('',(#18400),#18394,.T.); -#18412=EDGE_CURVE('',#10819,#10839,#4132,.T.); -#18414=EDGE_CURVE('',#10839,#10841,#4137,.T.); -#18416=EDGE_CURVE('',#10841,#10819,#4142,.T.); -#18420=ADVANCED_FACE('',(#18419),#18406,.T.); -#18427=EDGE_CURVE('',#10843,#10841,#4150,.T.); -#18429=EDGE_CURVE('',#10845,#10843,#4155,.T.); -#18431=EDGE_CURVE('',#10845,#10839,#4146,.T.); -#18436=ADVANCED_FACE('',(#18435),#18425,.T.); -#18444=EDGE_CURVE('',#10843,#10845,#4160,.T.); -#18449=ADVANCED_FACE('',(#18448),#18441,.T.); -#18459=EDGE_CURVE('',#10848,#10849,#4165,.T.); -#18461=EDGE_CURVE('',#10849,#10848,#4170,.T.); -#18465=ADVANCED_FACE('',(#18458,#18464),#18454,.T.); -#18472=EDGE_CURVE('',#10848,#10851,#4174,.T.); -#18474=EDGE_CURVE('',#10853,#10851,#4183,.T.); -#18476=EDGE_CURVE('',#10849,#10853,#4178,.T.); -#18480=ADVANCED_FACE('',(#18479),#18470,.F.); -#18488=EDGE_CURVE('',#10851,#10853,#4188,.T.); -#18493=ADVANCED_FACE('',(#18492),#18485,.F.); -#18503=EDGE_CURVE('',#10856,#10857,#4193,.T.); -#18505=EDGE_CURVE('',#10857,#10856,#4198,.T.); -#18509=ADVANCED_FACE('',(#18502,#18508),#18498,.T.); -#18515=EDGE_CURVE('',#10860,#10861,#4207,.T.); -#18517=EDGE_CURVE('',#10860,#10857,#4202,.T.); -#18520=EDGE_CURVE('',#10861,#10856,#4216,.T.); -#18524=ADVANCED_FACE('',(#18523),#18514,.T.); -#18531=EDGE_CURVE('',#10861,#10860,#4212,.T.); -#18535=ADVANCED_FACE('',(#18534),#18529,.T.); -#18547=ADVANCED_FACE('',(#18546),#18540,.T.); -#18554=EDGE_CURVE('',#10863,#9088,#4232,.T.); -#18556=EDGE_CURVE('',#10865,#10863,#4252,.T.); -#18558=EDGE_CURVE('',#10865,#9087,#4224,.T.); -#18562=ADVANCED_FACE('',(#18561),#18552,.T.); -#18569=EDGE_CURVE('',#10867,#9092,#4240,.T.); -#18571=EDGE_CURVE('',#10863,#10867,#4256,.T.); -#18576=ADVANCED_FACE('',(#18575),#18567,.T.); -#18583=EDGE_CURVE('',#10869,#9090,#4248,.T.); -#18585=EDGE_CURVE('',#10867,#10869,#4260,.T.); -#18590=ADVANCED_FACE('',(#18589),#18581,.T.); -#18598=EDGE_CURVE('',#10869,#10865,#4264,.T.); -#18603=ADVANCED_FACE('',(#18602),#18595,.T.); -#18615=ADVANCED_FACE('',(#18614),#18608,.T.); -#18629=EDGE_CURVE('',#10871,#9096,#4280,.T.); -#18631=EDGE_CURVE('',#10873,#10871,#4300,.T.); -#18633=EDGE_CURVE('',#10873,#9095,#4272,.T.); -#18637=ADVANCED_FACE('',(#18636),#18627,.T.); -#18644=EDGE_CURVE('',#10875,#9100,#4288,.T.); -#18646=EDGE_CURVE('',#10871,#10875,#4304,.T.); -#18651=ADVANCED_FACE('',(#18650),#18642,.T.); -#18658=EDGE_CURVE('',#10877,#9098,#4296,.T.); -#18660=EDGE_CURVE('',#10875,#10877,#4308,.T.); -#18665=ADVANCED_FACE('',(#18664),#18656,.T.); -#18673=EDGE_CURVE('',#10877,#10873,#4312,.T.); -#18678=ADVANCED_FACE('',(#18677),#18670,.T.); -#18690=ADVANCED_FACE('',(#18689),#18683,.T.); -#18704=EDGE_CURVE('',#10879,#9104,#4328,.T.); -#18706=EDGE_CURVE('',#10881,#10879,#4348,.T.); -#18708=EDGE_CURVE('',#10881,#9103,#4320,.T.); -#18712=ADVANCED_FACE('',(#18711),#18702,.T.); -#18719=EDGE_CURVE('',#10883,#9108,#4336,.T.); -#18721=EDGE_CURVE('',#10879,#10883,#4352,.T.); -#18726=ADVANCED_FACE('',(#18725),#18717,.T.); -#18733=EDGE_CURVE('',#10885,#9106,#4344,.T.); -#18735=EDGE_CURVE('',#10883,#10885,#4356,.T.); -#18740=ADVANCED_FACE('',(#18739),#18731,.T.); -#18748=EDGE_CURVE('',#10885,#10881,#4360,.T.); -#18753=ADVANCED_FACE('',(#18752),#18745,.T.); -#18765=ADVANCED_FACE('',(#18764),#18758,.T.); -#18772=EDGE_CURVE('',#10887,#9112,#4376,.T.); -#18774=EDGE_CURVE('',#10889,#10887,#4396,.T.); -#18776=EDGE_CURVE('',#10889,#9111,#4368,.T.); -#18780=ADVANCED_FACE('',(#18779),#18770,.T.); -#18787=EDGE_CURVE('',#10891,#9116,#4384,.T.); -#18789=EDGE_CURVE('',#10887,#10891,#4400,.T.); -#18794=ADVANCED_FACE('',(#18793),#18785,.T.); -#18801=EDGE_CURVE('',#10893,#9114,#4392,.T.); -#18803=EDGE_CURVE('',#10891,#10893,#4404,.T.); -#18808=ADVANCED_FACE('',(#18807),#18799,.T.); -#18816=EDGE_CURVE('',#10893,#10889,#4408,.T.); -#18821=ADVANCED_FACE('',(#18820),#18813,.T.); -#18833=ADVANCED_FACE('',(#18832),#18826,.T.); -#18840=EDGE_CURVE('',#10895,#9120,#4424,.T.); -#18842=EDGE_CURVE('',#10897,#10895,#4444,.T.); -#18844=EDGE_CURVE('',#10897,#9119,#4416,.T.); -#18848=ADVANCED_FACE('',(#18847),#18838,.T.); -#18855=EDGE_CURVE('',#10899,#9124,#4432,.T.); -#18857=EDGE_CURVE('',#10895,#10899,#4448,.T.); -#18862=ADVANCED_FACE('',(#18861),#18853,.T.); -#18869=EDGE_CURVE('',#10901,#9122,#4440,.T.); -#18871=EDGE_CURVE('',#10899,#10901,#4452,.T.); -#18876=ADVANCED_FACE('',(#18875),#18867,.T.); -#18884=EDGE_CURVE('',#10901,#10897,#4456,.T.); -#18889=ADVANCED_FACE('',(#18888),#18881,.T.); -#18901=ADVANCED_FACE('',(#18900),#18894,.T.); -#18908=EDGE_CURVE('',#10903,#9128,#4472,.T.); -#18910=EDGE_CURVE('',#10905,#10903,#4492,.T.); -#18912=EDGE_CURVE('',#10905,#9127,#4464,.T.); -#18916=ADVANCED_FACE('',(#18915),#18906,.T.); -#18923=EDGE_CURVE('',#10907,#9132,#4480,.T.); -#18925=EDGE_CURVE('',#10903,#10907,#4496,.T.); -#18930=ADVANCED_FACE('',(#18929),#18921,.T.); -#18937=EDGE_CURVE('',#10909,#9130,#4488,.T.); -#18939=EDGE_CURVE('',#10907,#10909,#4500,.T.); -#18944=ADVANCED_FACE('',(#18943),#18935,.T.); -#18952=EDGE_CURVE('',#10909,#10905,#4504,.T.); -#18957=ADVANCED_FACE('',(#18956),#18949,.T.); -#18969=ADVANCED_FACE('',(#18968),#18962,.T.); -#18976=EDGE_CURVE('',#10911,#9136,#4520,.T.); -#18978=EDGE_CURVE('',#10913,#10911,#4540,.T.); -#18980=EDGE_CURVE('',#10913,#9135,#4512,.T.); -#18984=ADVANCED_FACE('',(#18983),#18974,.T.); -#18991=EDGE_CURVE('',#10915,#9140,#4528,.T.); -#18993=EDGE_CURVE('',#10911,#10915,#4544,.T.); -#18998=ADVANCED_FACE('',(#18997),#18989,.T.); -#19005=EDGE_CURVE('',#10917,#9138,#4536,.T.); -#19007=EDGE_CURVE('',#10915,#10917,#4548,.T.); -#19012=ADVANCED_FACE('',(#19011),#19003,.T.); -#19020=EDGE_CURVE('',#10917,#10913,#4552,.T.); -#19025=ADVANCED_FACE('',(#19024),#19017,.T.); -#19037=ADVANCED_FACE('',(#19036),#19030,.T.); -#19044=EDGE_CURVE('',#10919,#9144,#4568,.T.); -#19046=EDGE_CURVE('',#10921,#10919,#4588,.T.); -#19048=EDGE_CURVE('',#10921,#9143,#4560,.T.); -#19052=ADVANCED_FACE('',(#19051),#19042,.T.); -#19059=EDGE_CURVE('',#10923,#9148,#4576,.T.); -#19061=EDGE_CURVE('',#10919,#10923,#4592,.T.); -#19066=ADVANCED_FACE('',(#19065),#19057,.T.); -#19073=EDGE_CURVE('',#10925,#9146,#4584,.T.); -#19075=EDGE_CURVE('',#10923,#10925,#4596,.T.); -#19080=ADVANCED_FACE('',(#19079),#19071,.T.); -#19088=EDGE_CURVE('',#10925,#10921,#4600,.T.); -#19093=ADVANCED_FACE('',(#19092),#19085,.T.); -#19105=ADVANCED_FACE('',(#19104),#19098,.T.); -#19112=EDGE_CURVE('',#10927,#9152,#4616,.T.); -#19114=EDGE_CURVE('',#10929,#10927,#4636,.T.); -#19116=EDGE_CURVE('',#10929,#9151,#4608,.T.); -#19120=ADVANCED_FACE('',(#19119),#19110,.T.); -#19127=EDGE_CURVE('',#10931,#9156,#4624,.T.); -#19129=EDGE_CURVE('',#10927,#10931,#4640,.T.); -#19134=ADVANCED_FACE('',(#19133),#19125,.T.); -#19141=EDGE_CURVE('',#10933,#9154,#4632,.T.); -#19143=EDGE_CURVE('',#10931,#10933,#4644,.T.); -#19148=ADVANCED_FACE('',(#19147),#19139,.T.); -#19156=EDGE_CURVE('',#10933,#10929,#4648,.T.); -#19161=ADVANCED_FACE('',(#19160),#19153,.T.); -#19173=ADVANCED_FACE('',(#19172),#19166,.T.); -#19180=EDGE_CURVE('',#10935,#9160,#4664,.T.); -#19182=EDGE_CURVE('',#10937,#10935,#4684,.T.); -#19184=EDGE_CURVE('',#10937,#9159,#4656,.T.); -#19188=ADVANCED_FACE('',(#19187),#19178,.T.); -#19195=EDGE_CURVE('',#10939,#9164,#4672,.T.); -#19197=EDGE_CURVE('',#10935,#10939,#4688,.T.); -#19202=ADVANCED_FACE('',(#19201),#19193,.T.); -#19209=EDGE_CURVE('',#10941,#9162,#4680,.T.); -#19211=EDGE_CURVE('',#10939,#10941,#4692,.T.); -#19216=ADVANCED_FACE('',(#19215),#19207,.T.); -#19224=EDGE_CURVE('',#10941,#10937,#4696,.T.); -#19229=ADVANCED_FACE('',(#19228),#19221,.T.); -#19241=ADVANCED_FACE('',(#19240),#19234,.T.); -#19248=EDGE_CURVE('',#10943,#9168,#4712,.T.); -#19250=EDGE_CURVE('',#10945,#10943,#4732,.T.); -#19252=EDGE_CURVE('',#10945,#9167,#4704,.T.); -#19256=ADVANCED_FACE('',(#19255),#19246,.T.); -#19263=EDGE_CURVE('',#10947,#9172,#4720,.T.); -#19265=EDGE_CURVE('',#10943,#10947,#4736,.T.); -#19270=ADVANCED_FACE('',(#19269),#19261,.T.); -#19277=EDGE_CURVE('',#10949,#9170,#4728,.T.); -#19279=EDGE_CURVE('',#10947,#10949,#4740,.T.); -#19284=ADVANCED_FACE('',(#19283),#19275,.T.); -#19292=EDGE_CURVE('',#10949,#10945,#4744,.T.); -#19297=ADVANCED_FACE('',(#19296),#19289,.T.); -#19309=ADVANCED_FACE('',(#19308),#19302,.T.); -#19316=EDGE_CURVE('',#10951,#9176,#4760,.T.); -#19318=EDGE_CURVE('',#10953,#10951,#4780,.T.); -#19320=EDGE_CURVE('',#10953,#9175,#4752,.T.); -#19324=ADVANCED_FACE('',(#19323),#19314,.T.); -#19331=EDGE_CURVE('',#10955,#9180,#4768,.T.); -#19333=EDGE_CURVE('',#10951,#10955,#4784,.T.); -#19338=ADVANCED_FACE('',(#19337),#19329,.T.); -#19345=EDGE_CURVE('',#10957,#9178,#4776,.T.); -#19347=EDGE_CURVE('',#10955,#10957,#4788,.T.); -#19352=ADVANCED_FACE('',(#19351),#19343,.T.); -#19360=EDGE_CURVE('',#10957,#10953,#4792,.T.); -#19365=ADVANCED_FACE('',(#19364),#19357,.T.); -#19377=ADVANCED_FACE('',(#19376),#19370,.T.); -#19384=EDGE_CURVE('',#10959,#9184,#4808,.T.); -#19386=EDGE_CURVE('',#10961,#10959,#4828,.T.); -#19388=EDGE_CURVE('',#10961,#9183,#4800,.T.); -#19392=ADVANCED_FACE('',(#19391),#19382,.T.); -#19399=EDGE_CURVE('',#10963,#9188,#4816,.T.); -#19401=EDGE_CURVE('',#10959,#10963,#4832,.T.); -#19406=ADVANCED_FACE('',(#19405),#19397,.T.); -#19413=EDGE_CURVE('',#10965,#9186,#4824,.T.); -#19415=EDGE_CURVE('',#10963,#10965,#4836,.T.); -#19420=ADVANCED_FACE('',(#19419),#19411,.T.); -#19428=EDGE_CURVE('',#10965,#10961,#4840,.T.); -#19433=ADVANCED_FACE('',(#19432),#19425,.T.); -#19445=ADVANCED_FACE('',(#19444),#19438,.T.); -#19452=EDGE_CURVE('',#10967,#9192,#4856,.T.); -#19454=EDGE_CURVE('',#10969,#10967,#4876,.T.); -#19456=EDGE_CURVE('',#10969,#9191,#4848,.T.); -#19460=ADVANCED_FACE('',(#19459),#19450,.T.); -#19467=EDGE_CURVE('',#10971,#9196,#4864,.T.); -#19469=EDGE_CURVE('',#10967,#10971,#4880,.T.); -#19474=ADVANCED_FACE('',(#19473),#19465,.T.); -#19481=EDGE_CURVE('',#10973,#9194,#4872,.T.); -#19483=EDGE_CURVE('',#10971,#10973,#4884,.T.); -#19488=ADVANCED_FACE('',(#19487),#19479,.T.); -#19496=EDGE_CURVE('',#10973,#10969,#4888,.T.); -#19501=ADVANCED_FACE('',(#19500),#19493,.T.); -#19513=ADVANCED_FACE('',(#19512),#19506,.T.); -#19520=EDGE_CURVE('',#10975,#9200,#4904,.T.); -#19522=EDGE_CURVE('',#10977,#10975,#4924,.T.); -#19524=EDGE_CURVE('',#10977,#9199,#4896,.T.); -#19528=ADVANCED_FACE('',(#19527),#19518,.T.); -#19535=EDGE_CURVE('',#10979,#9204,#4912,.T.); -#19537=EDGE_CURVE('',#10975,#10979,#4928,.T.); -#19542=ADVANCED_FACE('',(#19541),#19533,.T.); -#19549=EDGE_CURVE('',#10981,#9202,#4920,.T.); -#19551=EDGE_CURVE('',#10979,#10981,#4932,.T.); -#19556=ADVANCED_FACE('',(#19555),#19547,.T.); -#19564=EDGE_CURVE('',#10981,#10977,#4936,.T.); -#19569=ADVANCED_FACE('',(#19568),#19561,.T.); -#19581=ADVANCED_FACE('',(#19580),#19574,.T.); -#19588=EDGE_CURVE('',#10983,#9208,#4952,.T.); -#19590=EDGE_CURVE('',#10985,#10983,#4972,.T.); -#19592=EDGE_CURVE('',#10985,#9207,#4944,.T.); -#19596=ADVANCED_FACE('',(#19595),#19586,.T.); -#19603=EDGE_CURVE('',#10987,#9212,#4960,.T.); -#19605=EDGE_CURVE('',#10983,#10987,#4976,.T.); -#19610=ADVANCED_FACE('',(#19609),#19601,.T.); -#19617=EDGE_CURVE('',#10989,#9210,#4968,.T.); -#19619=EDGE_CURVE('',#10987,#10989,#4980,.T.); -#19624=ADVANCED_FACE('',(#19623),#19615,.T.); -#19632=EDGE_CURVE('',#10989,#10985,#4984,.T.); -#19637=ADVANCED_FACE('',(#19636),#19629,.T.); -#19649=ADVANCED_FACE('',(#19648),#19642,.T.); -#19656=EDGE_CURVE('',#10991,#9216,#5000,.T.); -#19658=EDGE_CURVE('',#10993,#10991,#5020,.T.); -#19660=EDGE_CURVE('',#10993,#9215,#4992,.T.); -#19664=ADVANCED_FACE('',(#19663),#19654,.T.); -#19671=EDGE_CURVE('',#10995,#9220,#5008,.T.); -#19673=EDGE_CURVE('',#10991,#10995,#5024,.T.); -#19678=ADVANCED_FACE('',(#19677),#19669,.T.); -#19685=EDGE_CURVE('',#10997,#9218,#5016,.T.); -#19687=EDGE_CURVE('',#10995,#10997,#5028,.T.); -#19692=ADVANCED_FACE('',(#19691),#19683,.T.); -#19700=EDGE_CURVE('',#10997,#10993,#5032,.T.); -#19705=ADVANCED_FACE('',(#19704),#19697,.T.); -#19717=ADVANCED_FACE('',(#19716),#19710,.T.); -#19724=EDGE_CURVE('',#10999,#9224,#5048,.T.); -#19726=EDGE_CURVE('',#11001,#10999,#5068,.T.); -#19728=EDGE_CURVE('',#11001,#9223,#5040,.T.); -#19732=ADVANCED_FACE('',(#19731),#19722,.T.); -#19739=EDGE_CURVE('',#11003,#9228,#5056,.T.); -#19741=EDGE_CURVE('',#10999,#11003,#5072,.T.); -#19746=ADVANCED_FACE('',(#19745),#19737,.T.); -#19753=EDGE_CURVE('',#11005,#9226,#5064,.T.); -#19755=EDGE_CURVE('',#11003,#11005,#5076,.T.); -#19760=ADVANCED_FACE('',(#19759),#19751,.T.); -#19768=EDGE_CURVE('',#11005,#11001,#5080,.T.); -#19773=ADVANCED_FACE('',(#19772),#19765,.T.); -#19785=ADVANCED_FACE('',(#19784),#19778,.T.); -#19792=EDGE_CURVE('',#11007,#9232,#5096,.T.); -#19794=EDGE_CURVE('',#11009,#11007,#5116,.T.); -#19796=EDGE_CURVE('',#11009,#9231,#5088,.T.); -#19800=ADVANCED_FACE('',(#19799),#19790,.T.); -#19807=EDGE_CURVE('',#11011,#9236,#5104,.T.); -#19809=EDGE_CURVE('',#11007,#11011,#5120,.T.); -#19814=ADVANCED_FACE('',(#19813),#19805,.T.); -#19821=EDGE_CURVE('',#11013,#9234,#5112,.T.); -#19823=EDGE_CURVE('',#11011,#11013,#5124,.T.); -#19828=ADVANCED_FACE('',(#19827),#19819,.T.); -#19836=EDGE_CURVE('',#11013,#11009,#5128,.T.); -#19841=ADVANCED_FACE('',(#19840),#19833,.T.); -#19853=ADVANCED_FACE('',(#19852),#19846,.T.); -#19860=EDGE_CURVE('',#11015,#9240,#5144,.T.); -#19862=EDGE_CURVE('',#11017,#11015,#5164,.T.); -#19864=EDGE_CURVE('',#11017,#9239,#5136,.T.); -#19868=ADVANCED_FACE('',(#19867),#19858,.T.); -#19875=EDGE_CURVE('',#11019,#9244,#5152,.T.); -#19877=EDGE_CURVE('',#11015,#11019,#5168,.T.); -#19882=ADVANCED_FACE('',(#19881),#19873,.T.); -#19889=EDGE_CURVE('',#11021,#9242,#5160,.T.); -#19891=EDGE_CURVE('',#11019,#11021,#5172,.T.); -#19896=ADVANCED_FACE('',(#19895),#19887,.T.); -#19904=EDGE_CURVE('',#11021,#11017,#5176,.T.); -#19909=ADVANCED_FACE('',(#19908),#19901,.T.); -#19921=ADVANCED_FACE('',(#19920),#19914,.T.); -#19928=EDGE_CURVE('',#11023,#9248,#5192,.T.); -#19930=EDGE_CURVE('',#11025,#11023,#5212,.T.); -#19932=EDGE_CURVE('',#11025,#9247,#5184,.T.); -#19936=ADVANCED_FACE('',(#19935),#19926,.T.); -#19943=EDGE_CURVE('',#11027,#9252,#5200,.T.); -#19945=EDGE_CURVE('',#11023,#11027,#5216,.T.); -#19950=ADVANCED_FACE('',(#19949),#19941,.T.); -#19957=EDGE_CURVE('',#11029,#9250,#5208,.T.); -#19959=EDGE_CURVE('',#11027,#11029,#5220,.T.); -#19964=ADVANCED_FACE('',(#19963),#19955,.T.); -#19972=EDGE_CURVE('',#11029,#11025,#5224,.T.); -#19977=ADVANCED_FACE('',(#19976),#19969,.T.); -#19989=ADVANCED_FACE('',(#19988),#19982,.T.); -#19996=EDGE_CURVE('',#11031,#9256,#5240,.T.); -#19998=EDGE_CURVE('',#11033,#11031,#5260,.T.); -#20000=EDGE_CURVE('',#11033,#9255,#5232,.T.); -#20004=ADVANCED_FACE('',(#20003),#19994,.T.); -#20011=EDGE_CURVE('',#11035,#9260,#5248,.T.); -#20013=EDGE_CURVE('',#11031,#11035,#5264,.T.); -#20018=ADVANCED_FACE('',(#20017),#20009,.T.); -#20025=EDGE_CURVE('',#11037,#9258,#5256,.T.); -#20027=EDGE_CURVE('',#11035,#11037,#5268,.T.); -#20032=ADVANCED_FACE('',(#20031),#20023,.T.); -#20040=EDGE_CURVE('',#11037,#11033,#5272,.T.); -#20045=ADVANCED_FACE('',(#20044),#20037,.T.); -#20057=ADVANCED_FACE('',(#20056),#20050,.T.); -#20064=EDGE_CURVE('',#11039,#9264,#5288,.T.); -#20066=EDGE_CURVE('',#11041,#11039,#5308,.T.); -#20068=EDGE_CURVE('',#11041,#9263,#5280,.T.); -#20072=ADVANCED_FACE('',(#20071),#20062,.T.); -#20079=EDGE_CURVE('',#11043,#9268,#5296,.T.); -#20081=EDGE_CURVE('',#11039,#11043,#5312,.T.); -#20086=ADVANCED_FACE('',(#20085),#20077,.T.); -#20093=EDGE_CURVE('',#11045,#9266,#5304,.T.); -#20095=EDGE_CURVE('',#11043,#11045,#5316,.T.); -#20100=ADVANCED_FACE('',(#20099),#20091,.T.); -#20108=EDGE_CURVE('',#11045,#11041,#5320,.T.); -#20113=ADVANCED_FACE('',(#20112),#20105,.T.); -#20125=ADVANCED_FACE('',(#20124),#20118,.T.); -#20132=EDGE_CURVE('',#11047,#9272,#5336,.T.); -#20134=EDGE_CURVE('',#11049,#11047,#5356,.T.); -#20136=EDGE_CURVE('',#11049,#9271,#5328,.T.); -#20140=ADVANCED_FACE('',(#20139),#20130,.T.); -#20147=EDGE_CURVE('',#11051,#9276,#5344,.T.); -#20149=EDGE_CURVE('',#11047,#11051,#5360,.T.); -#20154=ADVANCED_FACE('',(#20153),#20145,.T.); -#20161=EDGE_CURVE('',#11053,#9274,#5352,.T.); -#20163=EDGE_CURVE('',#11051,#11053,#5364,.T.); -#20168=ADVANCED_FACE('',(#20167),#20159,.T.); -#20176=EDGE_CURVE('',#11053,#11049,#5368,.T.); -#20181=ADVANCED_FACE('',(#20180),#20173,.T.); -#20193=ADVANCED_FACE('',(#20192),#20186,.T.); -#20200=EDGE_CURVE('',#11055,#9280,#5384,.T.); -#20202=EDGE_CURVE('',#11057,#11055,#5404,.T.); -#20204=EDGE_CURVE('',#11057,#9279,#5376,.T.); -#20208=ADVANCED_FACE('',(#20207),#20198,.T.); -#20215=EDGE_CURVE('',#11059,#9284,#5392,.T.); -#20217=EDGE_CURVE('',#11055,#11059,#5408,.T.); -#20222=ADVANCED_FACE('',(#20221),#20213,.T.); -#20229=EDGE_CURVE('',#11061,#9282,#5400,.T.); -#20231=EDGE_CURVE('',#11059,#11061,#5412,.T.); -#20236=ADVANCED_FACE('',(#20235),#20227,.T.); -#20244=EDGE_CURVE('',#11061,#11057,#5416,.T.); -#20249=ADVANCED_FACE('',(#20248),#20241,.T.); -#20261=ADVANCED_FACE('',(#20260),#20254,.T.); -#20268=EDGE_CURVE('',#11063,#9288,#5432,.T.); -#20270=EDGE_CURVE('',#11065,#11063,#5452,.T.); -#20272=EDGE_CURVE('',#11065,#9287,#5424,.T.); -#20276=ADVANCED_FACE('',(#20275),#20266,.T.); -#20283=EDGE_CURVE('',#11067,#9292,#5440,.T.); -#20285=EDGE_CURVE('',#11063,#11067,#5456,.T.); -#20290=ADVANCED_FACE('',(#20289),#20281,.T.); -#20297=EDGE_CURVE('',#11069,#9290,#5448,.T.); -#20299=EDGE_CURVE('',#11067,#11069,#5460,.T.); -#20304=ADVANCED_FACE('',(#20303),#20295,.T.); -#20312=EDGE_CURVE('',#11069,#11065,#5464,.T.); -#20317=ADVANCED_FACE('',(#20316),#20309,.T.); -#20329=ADVANCED_FACE('',(#20328),#20322,.T.); -#20336=EDGE_CURVE('',#11071,#9296,#5480,.T.); -#20338=EDGE_CURVE('',#11073,#11071,#5500,.T.); -#20340=EDGE_CURVE('',#11073,#9295,#5472,.T.); -#20344=ADVANCED_FACE('',(#20343),#20334,.T.); -#20351=EDGE_CURVE('',#11075,#9300,#5488,.T.); -#20353=EDGE_CURVE('',#11071,#11075,#5504,.T.); -#20358=ADVANCED_FACE('',(#20357),#20349,.T.); -#20365=EDGE_CURVE('',#11077,#9298,#5496,.T.); -#20367=EDGE_CURVE('',#11075,#11077,#5508,.T.); -#20372=ADVANCED_FACE('',(#20371),#20363,.T.); -#20380=EDGE_CURVE('',#11077,#11073,#5512,.T.); -#20385=ADVANCED_FACE('',(#20384),#20377,.T.); -#20397=ADVANCED_FACE('',(#20396),#20390,.T.); -#20404=EDGE_CURVE('',#11079,#9304,#5528,.T.); -#20406=EDGE_CURVE('',#11081,#11079,#5548,.T.); -#20408=EDGE_CURVE('',#11081,#9303,#5520,.T.); -#20412=ADVANCED_FACE('',(#20411),#20402,.T.); -#20419=EDGE_CURVE('',#11083,#9308,#5536,.T.); -#20421=EDGE_CURVE('',#11079,#11083,#5552,.T.); -#20426=ADVANCED_FACE('',(#20425),#20417,.T.); -#20433=EDGE_CURVE('',#11085,#9306,#5544,.T.); -#20435=EDGE_CURVE('',#11083,#11085,#5556,.T.); -#20440=ADVANCED_FACE('',(#20439),#20431,.T.); -#20448=EDGE_CURVE('',#11085,#11081,#5560,.T.); -#20453=ADVANCED_FACE('',(#20452),#20445,.T.); -#20465=ADVANCED_FACE('',(#20464),#20458,.T.); -#20472=EDGE_CURVE('',#11087,#9312,#5576,.T.); -#20474=EDGE_CURVE('',#11089,#11087,#5596,.T.); -#20476=EDGE_CURVE('',#11089,#9311,#5568,.T.); -#20480=ADVANCED_FACE('',(#20479),#20470,.T.); -#20487=EDGE_CURVE('',#11091,#9316,#5584,.T.); -#20489=EDGE_CURVE('',#11087,#11091,#5600,.T.); -#20494=ADVANCED_FACE('',(#20493),#20485,.T.); -#20501=EDGE_CURVE('',#11093,#9314,#5592,.T.); -#20503=EDGE_CURVE('',#11091,#11093,#5604,.T.); -#20508=ADVANCED_FACE('',(#20507),#20499,.T.); -#20516=EDGE_CURVE('',#11093,#11089,#5608,.T.); -#20521=ADVANCED_FACE('',(#20520),#20513,.T.); -#20533=ADVANCED_FACE('',(#20532),#20526,.T.); -#20540=EDGE_CURVE('',#11095,#9320,#5624,.T.); -#20542=EDGE_CURVE('',#11097,#11095,#5644,.T.); -#20544=EDGE_CURVE('',#11097,#9319,#5616,.T.); -#20548=ADVANCED_FACE('',(#20547),#20538,.T.); -#20555=EDGE_CURVE('',#11099,#9324,#5632,.T.); -#20557=EDGE_CURVE('',#11095,#11099,#5648,.T.); -#20562=ADVANCED_FACE('',(#20561),#20553,.T.); -#20569=EDGE_CURVE('',#11101,#9322,#5640,.T.); -#20571=EDGE_CURVE('',#11099,#11101,#5652,.T.); -#20576=ADVANCED_FACE('',(#20575),#20567,.T.); -#20584=EDGE_CURVE('',#11101,#11097,#5656,.T.); -#20589=ADVANCED_FACE('',(#20588),#20581,.T.); -#20601=ADVANCED_FACE('',(#20600),#20594,.T.); -#20608=EDGE_CURVE('',#11103,#9328,#5672,.T.); -#20610=EDGE_CURVE('',#11105,#11103,#5692,.T.); -#20612=EDGE_CURVE('',#11105,#9327,#5664,.T.); -#20616=ADVANCED_FACE('',(#20615),#20606,.T.); -#20623=EDGE_CURVE('',#11107,#9332,#5680,.T.); -#20625=EDGE_CURVE('',#11103,#11107,#5696,.T.); -#20630=ADVANCED_FACE('',(#20629),#20621,.T.); -#20637=EDGE_CURVE('',#11109,#9330,#5688,.T.); -#20639=EDGE_CURVE('',#11107,#11109,#5700,.T.); -#20644=ADVANCED_FACE('',(#20643),#20635,.T.); -#20652=EDGE_CURVE('',#11109,#11105,#5704,.T.); -#20657=ADVANCED_FACE('',(#20656),#20649,.T.); -#20669=ADVANCED_FACE('',(#20668),#20662,.T.); -#20676=EDGE_CURVE('',#11111,#9336,#5720,.T.); -#20678=EDGE_CURVE('',#11113,#11111,#5740,.T.); -#20680=EDGE_CURVE('',#11113,#9335,#5712,.T.); -#20684=ADVANCED_FACE('',(#20683),#20674,.T.); -#20691=EDGE_CURVE('',#11115,#9340,#5728,.T.); -#20693=EDGE_CURVE('',#11111,#11115,#5744,.T.); -#20698=ADVANCED_FACE('',(#20697),#20689,.T.); -#20705=EDGE_CURVE('',#11117,#9338,#5736,.T.); -#20707=EDGE_CURVE('',#11115,#11117,#5748,.T.); -#20712=ADVANCED_FACE('',(#20711),#20703,.T.); -#20720=EDGE_CURVE('',#11117,#11113,#5752,.T.); -#20725=ADVANCED_FACE('',(#20724),#20717,.T.); -#20737=ADVANCED_FACE('',(#20736),#20730,.T.); -#20744=EDGE_CURVE('',#11119,#9344,#5768,.T.); -#20746=EDGE_CURVE('',#11121,#11119,#5788,.T.); -#20748=EDGE_CURVE('',#11121,#9343,#5760,.T.); -#20752=ADVANCED_FACE('',(#20751),#20742,.T.); -#20759=EDGE_CURVE('',#11123,#9348,#5776,.T.); -#20761=EDGE_CURVE('',#11119,#11123,#5792,.T.); -#20766=ADVANCED_FACE('',(#20765),#20757,.T.); -#20773=EDGE_CURVE('',#11125,#9346,#5784,.T.); -#20775=EDGE_CURVE('',#11123,#11125,#5796,.T.); -#20780=ADVANCED_FACE('',(#20779),#20771,.T.); -#20788=EDGE_CURVE('',#11125,#11121,#5800,.T.); -#20793=ADVANCED_FACE('',(#20792),#20785,.T.); -#20805=ADVANCED_FACE('',(#20804),#20798,.T.); -#20812=EDGE_CURVE('',#11127,#9352,#5816,.T.); -#20814=EDGE_CURVE('',#11129,#11127,#5836,.T.); -#20816=EDGE_CURVE('',#11129,#9351,#5808,.T.); -#20820=ADVANCED_FACE('',(#20819),#20810,.T.); -#20827=EDGE_CURVE('',#11131,#9356,#5824,.T.); -#20829=EDGE_CURVE('',#11127,#11131,#5840,.T.); -#20834=ADVANCED_FACE('',(#20833),#20825,.T.); -#20841=EDGE_CURVE('',#11133,#9354,#5832,.T.); -#20843=EDGE_CURVE('',#11131,#11133,#5844,.T.); -#20848=ADVANCED_FACE('',(#20847),#20839,.T.); -#20856=EDGE_CURVE('',#11133,#11129,#5848,.T.); -#20861=ADVANCED_FACE('',(#20860),#20853,.T.); -#20873=ADVANCED_FACE('',(#20872),#20866,.T.); -#20880=EDGE_CURVE('',#11135,#9360,#5864,.T.); -#20882=EDGE_CURVE('',#11137,#11135,#5884,.T.); -#20884=EDGE_CURVE('',#11137,#9359,#5856,.T.); -#20888=ADVANCED_FACE('',(#20887),#20878,.T.); -#20895=EDGE_CURVE('',#11139,#9364,#5872,.T.); -#20897=EDGE_CURVE('',#11135,#11139,#5888,.T.); -#20902=ADVANCED_FACE('',(#20901),#20893,.T.); -#20909=EDGE_CURVE('',#11141,#9362,#5880,.T.); -#20911=EDGE_CURVE('',#11139,#11141,#5892,.T.); -#20916=ADVANCED_FACE('',(#20915),#20907,.T.); -#20924=EDGE_CURVE('',#11141,#11137,#5896,.T.); -#20929=ADVANCED_FACE('',(#20928),#20921,.T.); -#20941=ADVANCED_FACE('',(#20940),#20934,.T.); -#20948=EDGE_CURVE('',#11143,#9368,#5912,.T.); -#20950=EDGE_CURVE('',#11145,#11143,#5932,.T.); -#20952=EDGE_CURVE('',#11145,#9367,#5904,.T.); -#20956=ADVANCED_FACE('',(#20955),#20946,.T.); -#20963=EDGE_CURVE('',#11147,#9372,#5920,.T.); -#20965=EDGE_CURVE('',#11143,#11147,#5936,.T.); -#20970=ADVANCED_FACE('',(#20969),#20961,.T.); -#20977=EDGE_CURVE('',#11149,#9370,#5928,.T.); -#20979=EDGE_CURVE('',#11147,#11149,#5940,.T.); -#20984=ADVANCED_FACE('',(#20983),#20975,.T.); -#20992=EDGE_CURVE('',#11149,#11145,#5944,.T.); -#20997=ADVANCED_FACE('',(#20996),#20989,.T.); -#21009=ADVANCED_FACE('',(#21008),#21002,.T.); -#21016=EDGE_CURVE('',#11151,#9376,#5960,.T.); -#21018=EDGE_CURVE('',#11153,#11151,#5980,.T.); -#21020=EDGE_CURVE('',#11153,#9375,#5952,.T.); -#21024=ADVANCED_FACE('',(#21023),#21014,.T.); -#21031=EDGE_CURVE('',#11155,#9380,#5968,.T.); -#21033=EDGE_CURVE('',#11151,#11155,#5984,.T.); -#21038=ADVANCED_FACE('',(#21037),#21029,.T.); -#21045=EDGE_CURVE('',#11157,#9378,#5976,.T.); -#21047=EDGE_CURVE('',#11155,#11157,#5988,.T.); -#21052=ADVANCED_FACE('',(#21051),#21043,.T.); -#21060=EDGE_CURVE('',#11157,#11153,#5992,.T.); -#21065=ADVANCED_FACE('',(#21064),#21057,.T.); -#21077=ADVANCED_FACE('',(#21076),#21070,.T.); -#21084=EDGE_CURVE('',#11159,#9384,#6008,.T.); -#21086=EDGE_CURVE('',#11161,#11159,#6028,.T.); -#21088=EDGE_CURVE('',#11161,#9383,#6000,.T.); -#21092=ADVANCED_FACE('',(#21091),#21082,.T.); -#21099=EDGE_CURVE('',#11163,#9388,#6016,.T.); -#21101=EDGE_CURVE('',#11159,#11163,#6032,.T.); -#21106=ADVANCED_FACE('',(#21105),#21097,.T.); -#21113=EDGE_CURVE('',#11165,#9386,#6024,.T.); -#21115=EDGE_CURVE('',#11163,#11165,#6036,.T.); -#21120=ADVANCED_FACE('',(#21119),#21111,.T.); -#21128=EDGE_CURVE('',#11165,#11161,#6040,.T.); -#21133=ADVANCED_FACE('',(#21132),#21125,.T.); -#21145=ADVANCED_FACE('',(#21144),#21138,.T.); -#21152=EDGE_CURVE('',#11167,#9392,#6056,.T.); -#21154=EDGE_CURVE('',#11169,#11167,#6076,.T.); -#21156=EDGE_CURVE('',#11169,#9391,#6048,.T.); -#21160=ADVANCED_FACE('',(#21159),#21150,.T.); -#21167=EDGE_CURVE('',#11171,#9396,#6064,.T.); -#21169=EDGE_CURVE('',#11167,#11171,#6080,.T.); -#21174=ADVANCED_FACE('',(#21173),#21165,.T.); -#21181=EDGE_CURVE('',#11173,#9394,#6072,.T.); -#21183=EDGE_CURVE('',#11171,#11173,#6084,.T.); -#21188=ADVANCED_FACE('',(#21187),#21179,.T.); -#21196=EDGE_CURVE('',#11173,#11169,#6088,.T.); -#21201=ADVANCED_FACE('',(#21200),#21193,.T.); -#21213=ADVANCED_FACE('',(#21212),#21206,.T.); -#21220=EDGE_CURVE('',#11175,#9400,#6104,.T.); -#21222=EDGE_CURVE('',#11177,#11175,#6124,.T.); -#21224=EDGE_CURVE('',#11177,#9399,#6096,.T.); -#21228=ADVANCED_FACE('',(#21227),#21218,.T.); -#21235=EDGE_CURVE('',#11179,#9404,#6112,.T.); -#21237=EDGE_CURVE('',#11175,#11179,#6128,.T.); -#21242=ADVANCED_FACE('',(#21241),#21233,.T.); -#21249=EDGE_CURVE('',#11181,#9402,#6120,.T.); -#21251=EDGE_CURVE('',#11179,#11181,#6132,.T.); -#21256=ADVANCED_FACE('',(#21255),#21247,.T.); -#21264=EDGE_CURVE('',#11181,#11177,#6136,.T.); -#21269=ADVANCED_FACE('',(#21268),#21261,.T.); -#21281=ADVANCED_FACE('',(#21280),#21274,.T.); -#21288=EDGE_CURVE('',#11183,#9408,#6152,.T.); -#21290=EDGE_CURVE('',#11185,#11183,#6172,.T.); -#21292=EDGE_CURVE('',#11185,#9407,#6144,.T.); -#21296=ADVANCED_FACE('',(#21295),#21286,.T.); -#21303=EDGE_CURVE('',#11187,#9412,#6160,.T.); -#21305=EDGE_CURVE('',#11183,#11187,#6176,.T.); -#21310=ADVANCED_FACE('',(#21309),#21301,.T.); -#21317=EDGE_CURVE('',#11189,#9410,#6168,.T.); -#21319=EDGE_CURVE('',#11187,#11189,#6180,.T.); -#21324=ADVANCED_FACE('',(#21323),#21315,.T.); -#21332=EDGE_CURVE('',#11189,#11185,#6184,.T.); -#21337=ADVANCED_FACE('',(#21336),#21329,.T.); -#21349=ADVANCED_FACE('',(#21348),#21342,.T.); -#21356=EDGE_CURVE('',#11191,#9416,#6200,.T.); -#21358=EDGE_CURVE('',#11193,#11191,#6220,.T.); -#21360=EDGE_CURVE('',#11193,#9415,#6192,.T.); -#21364=ADVANCED_FACE('',(#21363),#21354,.T.); -#21371=EDGE_CURVE('',#11195,#9420,#6208,.T.); -#21373=EDGE_CURVE('',#11191,#11195,#6224,.T.); -#21378=ADVANCED_FACE('',(#21377),#21369,.T.); -#21385=EDGE_CURVE('',#11197,#9418,#6216,.T.); -#21387=EDGE_CURVE('',#11195,#11197,#6228,.T.); -#21392=ADVANCED_FACE('',(#21391),#21383,.T.); -#21400=EDGE_CURVE('',#11197,#11193,#6232,.T.); -#21405=ADVANCED_FACE('',(#21404),#21397,.T.); -#21417=ADVANCED_FACE('',(#21416),#21410,.T.); -#21424=EDGE_CURVE('',#11199,#9424,#6248,.T.); -#21426=EDGE_CURVE('',#11201,#11199,#6268,.T.); -#21428=EDGE_CURVE('',#11201,#9423,#6240,.T.); -#21432=ADVANCED_FACE('',(#21431),#21422,.T.); -#21439=EDGE_CURVE('',#11203,#9428,#6256,.T.); -#21441=EDGE_CURVE('',#11199,#11203,#6272,.T.); -#21446=ADVANCED_FACE('',(#21445),#21437,.T.); -#21453=EDGE_CURVE('',#11205,#9426,#6264,.T.); -#21455=EDGE_CURVE('',#11203,#11205,#6276,.T.); -#21460=ADVANCED_FACE('',(#21459),#21451,.T.); -#21468=EDGE_CURVE('',#11205,#11201,#6280,.T.); -#21473=ADVANCED_FACE('',(#21472),#21465,.T.); -#21485=ADVANCED_FACE('',(#21484),#21478,.T.); -#21492=EDGE_CURVE('',#11207,#9432,#6296,.T.); -#21494=EDGE_CURVE('',#11209,#11207,#6316,.T.); -#21496=EDGE_CURVE('',#11209,#9431,#6288,.T.); -#21500=ADVANCED_FACE('',(#21499),#21490,.T.); -#21507=EDGE_CURVE('',#11211,#9436,#6304,.T.); -#21509=EDGE_CURVE('',#11207,#11211,#6320,.T.); -#21514=ADVANCED_FACE('',(#21513),#21505,.T.); -#21521=EDGE_CURVE('',#11213,#9434,#6312,.T.); -#21523=EDGE_CURVE('',#11211,#11213,#6324,.T.); -#21528=ADVANCED_FACE('',(#21527),#21519,.T.); -#21536=EDGE_CURVE('',#11213,#11209,#6328,.T.); -#21541=ADVANCED_FACE('',(#21540),#21533,.T.); -#21553=ADVANCED_FACE('',(#21552),#21546,.T.); -#21560=EDGE_CURVE('',#11215,#9440,#6344,.T.); -#21562=EDGE_CURVE('',#11217,#11215,#6364,.T.); -#21564=EDGE_CURVE('',#11217,#9439,#6336,.T.); -#21568=ADVANCED_FACE('',(#21567),#21558,.T.); -#21575=EDGE_CURVE('',#11219,#9444,#6352,.T.); -#21577=EDGE_CURVE('',#11215,#11219,#6368,.T.); -#21582=ADVANCED_FACE('',(#21581),#21573,.T.); -#21589=EDGE_CURVE('',#11221,#9442,#6360,.T.); -#21591=EDGE_CURVE('',#11219,#11221,#6372,.T.); -#21596=ADVANCED_FACE('',(#21595),#21587,.T.); -#21604=EDGE_CURVE('',#11221,#11217,#6376,.T.); -#21609=ADVANCED_FACE('',(#21608),#21601,.T.); -#21621=ADVANCED_FACE('',(#21620),#21614,.T.); -#21628=EDGE_CURVE('',#11223,#9448,#6392,.T.); -#21630=EDGE_CURVE('',#11225,#11223,#6412,.T.); -#21632=EDGE_CURVE('',#11225,#9447,#6384,.T.); -#21636=ADVANCED_FACE('',(#21635),#21626,.T.); -#21643=EDGE_CURVE('',#11227,#9452,#6400,.T.); -#21645=EDGE_CURVE('',#11223,#11227,#6416,.T.); -#21650=ADVANCED_FACE('',(#21649),#21641,.T.); -#21657=EDGE_CURVE('',#11229,#9450,#6408,.T.); -#21659=EDGE_CURVE('',#11227,#11229,#6420,.T.); -#21664=ADVANCED_FACE('',(#21663),#21655,.T.); -#21672=EDGE_CURVE('',#11229,#11225,#6424,.T.); -#21677=ADVANCED_FACE('',(#21676),#21669,.T.); -#21689=ADVANCED_FACE('',(#21688),#21682,.T.); -#21696=EDGE_CURVE('',#11231,#9456,#6440,.T.); -#21698=EDGE_CURVE('',#11233,#11231,#6460,.T.); -#21700=EDGE_CURVE('',#11233,#9455,#6432,.T.); -#21704=ADVANCED_FACE('',(#21703),#21694,.T.); -#21711=EDGE_CURVE('',#11235,#9460,#6448,.T.); -#21713=EDGE_CURVE('',#11231,#11235,#6464,.T.); -#21718=ADVANCED_FACE('',(#21717),#21709,.T.); -#21725=EDGE_CURVE('',#11237,#9458,#6456,.T.); -#21727=EDGE_CURVE('',#11235,#11237,#6468,.T.); -#21732=ADVANCED_FACE('',(#21731),#21723,.T.); -#21740=EDGE_CURVE('',#11237,#11233,#6472,.T.); -#21745=ADVANCED_FACE('',(#21744),#21737,.T.); -#21757=ADVANCED_FACE('',(#21756),#21750,.T.); -#21764=EDGE_CURVE('',#11239,#9464,#6488,.T.); -#21766=EDGE_CURVE('',#11241,#11239,#6508,.T.); -#21768=EDGE_CURVE('',#11241,#9463,#6480,.T.); -#21772=ADVANCED_FACE('',(#21771),#21762,.T.); -#21779=EDGE_CURVE('',#11243,#9468,#6496,.T.); -#21781=EDGE_CURVE('',#11239,#11243,#6512,.T.); -#21786=ADVANCED_FACE('',(#21785),#21777,.T.); -#21793=EDGE_CURVE('',#11245,#9466,#6504,.T.); -#21795=EDGE_CURVE('',#11243,#11245,#6516,.T.); -#21800=ADVANCED_FACE('',(#21799),#21791,.T.); -#21808=EDGE_CURVE('',#11245,#11241,#6520,.T.); -#21813=ADVANCED_FACE('',(#21812),#21805,.T.); -#21825=ADVANCED_FACE('',(#21824),#21818,.T.); -#21832=EDGE_CURVE('',#11247,#9472,#6536,.T.); -#21834=EDGE_CURVE('',#11249,#11247,#6556,.T.); -#21836=EDGE_CURVE('',#11249,#9471,#6528,.T.); -#21840=ADVANCED_FACE('',(#21839),#21830,.T.); -#21847=EDGE_CURVE('',#11251,#9476,#6544,.T.); -#21849=EDGE_CURVE('',#11247,#11251,#6560,.T.); -#21854=ADVANCED_FACE('',(#21853),#21845,.T.); -#21861=EDGE_CURVE('',#11253,#9474,#6552,.T.); -#21863=EDGE_CURVE('',#11251,#11253,#6564,.T.); -#21868=ADVANCED_FACE('',(#21867),#21859,.T.); -#21876=EDGE_CURVE('',#11253,#11249,#6568,.T.); -#21881=ADVANCED_FACE('',(#21880),#21873,.T.); -#21893=ADVANCED_FACE('',(#21892),#21886,.T.); -#21900=EDGE_CURVE('',#11255,#9480,#6584,.T.); -#21902=EDGE_CURVE('',#11257,#11255,#6604,.T.); -#21904=EDGE_CURVE('',#11257,#9479,#6576,.T.); -#21908=ADVANCED_FACE('',(#21907),#21898,.T.); -#21915=EDGE_CURVE('',#11259,#9484,#6592,.T.); -#21917=EDGE_CURVE('',#11255,#11259,#6608,.T.); -#21922=ADVANCED_FACE('',(#21921),#21913,.T.); -#21929=EDGE_CURVE('',#11261,#9482,#6600,.T.); -#21931=EDGE_CURVE('',#11259,#11261,#6612,.T.); -#21936=ADVANCED_FACE('',(#21935),#21927,.T.); -#21944=EDGE_CURVE('',#11261,#11257,#6616,.T.); -#21949=ADVANCED_FACE('',(#21948),#21941,.T.); -#21961=ADVANCED_FACE('',(#21960),#21954,.T.); -#21968=EDGE_CURVE('',#11263,#9488,#6632,.T.); -#21970=EDGE_CURVE('',#11265,#11263,#6652,.T.); -#21972=EDGE_CURVE('',#11265,#9487,#6624,.T.); -#21976=ADVANCED_FACE('',(#21975),#21966,.T.); -#21983=EDGE_CURVE('',#11267,#9492,#6640,.T.); -#21985=EDGE_CURVE('',#11263,#11267,#6656,.T.); -#21990=ADVANCED_FACE('',(#21989),#21981,.T.); -#21997=EDGE_CURVE('',#11269,#9490,#6648,.T.); -#21999=EDGE_CURVE('',#11267,#11269,#6660,.T.); -#22004=ADVANCED_FACE('',(#22003),#21995,.T.); -#22012=EDGE_CURVE('',#11269,#11265,#6664,.T.); -#22017=ADVANCED_FACE('',(#22016),#22009,.T.); -#22029=ADVANCED_FACE('',(#22028),#22022,.T.); -#22036=EDGE_CURVE('',#11271,#9496,#6680,.T.); -#22038=EDGE_CURVE('',#11273,#11271,#6700,.T.); -#22040=EDGE_CURVE('',#11273,#9495,#6672,.T.); -#22044=ADVANCED_FACE('',(#22043),#22034,.T.); -#22051=EDGE_CURVE('',#11275,#9500,#6688,.T.); -#22053=EDGE_CURVE('',#11271,#11275,#6704,.T.); -#22058=ADVANCED_FACE('',(#22057),#22049,.T.); -#22065=EDGE_CURVE('',#11277,#9498,#6696,.T.); -#22067=EDGE_CURVE('',#11275,#11277,#6708,.T.); -#22072=ADVANCED_FACE('',(#22071),#22063,.T.); -#22080=EDGE_CURVE('',#11277,#11273,#6712,.T.); -#22085=ADVANCED_FACE('',(#22084),#22077,.T.); -#22097=ADVANCED_FACE('',(#22096),#22090,.T.); -#22104=EDGE_CURVE('',#11279,#9504,#6728,.T.); -#22106=EDGE_CURVE('',#11281,#11279,#6748,.T.); -#22108=EDGE_CURVE('',#11281,#9503,#6720,.T.); -#22112=ADVANCED_FACE('',(#22111),#22102,.T.); -#22119=EDGE_CURVE('',#11283,#9508,#6736,.T.); -#22121=EDGE_CURVE('',#11279,#11283,#6752,.T.); -#22126=ADVANCED_FACE('',(#22125),#22117,.T.); -#22133=EDGE_CURVE('',#11285,#9506,#6744,.T.); -#22135=EDGE_CURVE('',#11283,#11285,#6756,.T.); -#22140=ADVANCED_FACE('',(#22139),#22131,.T.); -#22148=EDGE_CURVE('',#11285,#11281,#6760,.T.); -#22153=ADVANCED_FACE('',(#22152),#22145,.T.); -#22165=ADVANCED_FACE('',(#22164),#22158,.T.); -#22172=EDGE_CURVE('',#11287,#9512,#6776,.T.); -#22174=EDGE_CURVE('',#11289,#11287,#6796,.T.); -#22176=EDGE_CURVE('',#11289,#9511,#6768,.T.); -#22180=ADVANCED_FACE('',(#22179),#22170,.T.); -#22187=EDGE_CURVE('',#11291,#9516,#6784,.T.); -#22189=EDGE_CURVE('',#11287,#11291,#6800,.T.); -#22194=ADVANCED_FACE('',(#22193),#22185,.T.); -#22201=EDGE_CURVE('',#11293,#9514,#6792,.T.); -#22203=EDGE_CURVE('',#11291,#11293,#6804,.T.); -#22208=ADVANCED_FACE('',(#22207),#22199,.T.); -#22216=EDGE_CURVE('',#11293,#11289,#6808,.T.); -#22221=ADVANCED_FACE('',(#22220),#22213,.T.); -#22233=ADVANCED_FACE('',(#22232),#22226,.T.); -#22240=EDGE_CURVE('',#11295,#9520,#6824,.T.); -#22242=EDGE_CURVE('',#11297,#11295,#6844,.T.); -#22244=EDGE_CURVE('',#11297,#9519,#6816,.T.); -#22248=ADVANCED_FACE('',(#22247),#22238,.T.); -#22255=EDGE_CURVE('',#11299,#9524,#6832,.T.); -#22257=EDGE_CURVE('',#11295,#11299,#6848,.T.); -#22262=ADVANCED_FACE('',(#22261),#22253,.T.); -#22269=EDGE_CURVE('',#11301,#9522,#6840,.T.); -#22271=EDGE_CURVE('',#11299,#11301,#6852,.T.); -#22276=ADVANCED_FACE('',(#22275),#22267,.T.); -#22284=EDGE_CURVE('',#11301,#11297,#6856,.T.); -#22289=ADVANCED_FACE('',(#22288),#22281,.T.); -#22301=ADVANCED_FACE('',(#22300),#22294,.T.); -#22308=EDGE_CURVE('',#11303,#9528,#6872,.T.); -#22310=EDGE_CURVE('',#11305,#11303,#6892,.T.); -#22312=EDGE_CURVE('',#11305,#9527,#6864,.T.); -#22316=ADVANCED_FACE('',(#22315),#22306,.T.); -#22323=EDGE_CURVE('',#11307,#9532,#6880,.T.); -#22325=EDGE_CURVE('',#11303,#11307,#6896,.T.); -#22330=ADVANCED_FACE('',(#22329),#22321,.T.); -#22337=EDGE_CURVE('',#11309,#9530,#6888,.T.); -#22339=EDGE_CURVE('',#11307,#11309,#6900,.T.); -#22344=ADVANCED_FACE('',(#22343),#22335,.T.); -#22352=EDGE_CURVE('',#11309,#11305,#6904,.T.); -#22357=ADVANCED_FACE('',(#22356),#22349,.T.); -#22369=ADVANCED_FACE('',(#22368),#22362,.T.); -#22376=EDGE_CURVE('',#11311,#9536,#6920,.T.); -#22378=EDGE_CURVE('',#11313,#11311,#6948,.T.); -#22380=EDGE_CURVE('',#11313,#9535,#6912,.T.); -#22384=ADVANCED_FACE('',(#22383),#22374,.T.); -#22391=EDGE_CURVE('',#11315,#9556,#6924,.T.); -#22393=EDGE_CURVE('',#11311,#11315,#6952,.T.); -#22398=ADVANCED_FACE('',(#22397),#22389,.T.); -#22404=EDGE_CURVE('',#11315,#11317,#6940,.T.); -#22408=EDGE_CURVE('',#11319,#9554,#6968,.T.); -#22410=EDGE_CURVE('',#11321,#11319,#7036,.T.); -#22412=EDGE_CURVE('',#11321,#9540,#6932,.T.); -#22415=EDGE_CURVE('',#11317,#9538,#6960,.T.); -#22419=ADVANCED_FACE('',(#22418),#22403,.T.); -#22426=EDGE_CURVE('',#11317,#11313,#6944,.T.); -#22432=ADVANCED_FACE('',(#22431),#22424,.T.); -#22444=ADVANCED_FACE('',(#22443),#22437,.T.); -#22451=EDGE_CURVE('',#11323,#9552,#6984,.T.); -#22453=EDGE_CURVE('',#11319,#11323,#7040,.T.); -#22458=ADVANCED_FACE('',(#22457),#22449,.T.); -#22464=EDGE_CURVE('',#11326,#11327,#6972,.T.); -#22466=EDGE_CURVE('',#11327,#9544,#6976,.T.); -#22469=EDGE_CURVE('',#11329,#9542,#7032,.T.); -#22471=EDGE_CURVE('',#11323,#11329,#7044,.T.); -#22475=EDGE_CURVE('',#11326,#9550,#7008,.T.); -#22479=ADVANCED_FACE('',(#22478),#22463,.T.); -#22486=EDGE_CURVE('',#11326,#11331,#6992,.T.); -#22488=EDGE_CURVE('',#11331,#11333,#6996,.T.); -#22490=EDGE_CURVE('',#11333,#11327,#7000,.T.); -#22494=ADVANCED_FACE('',(#22493),#22484,.T.); -#22501=EDGE_CURVE('',#11331,#9548,#7016,.T.); -#22507=ADVANCED_FACE('',(#22506),#22499,.T.); -#22514=EDGE_CURVE('',#11333,#9546,#7024,.T.); -#22520=ADVANCED_FACE('',(#22519),#22512,.T.); -#22532=ADVANCED_FACE('',(#22531),#22525,.T.); -#22540=EDGE_CURVE('',#11329,#11321,#7048,.T.); -#22545=ADVANCED_FACE('',(#22544),#22537,.T.); -#22557=ADVANCED_FACE('',(#22556),#22550,.T.); -#22564=EDGE_CURVE('',#11335,#9560,#7064,.T.); -#22566=EDGE_CURVE('',#11337,#11335,#7096,.T.); -#22568=EDGE_CURVE('',#11337,#9559,#7056,.T.); -#22572=ADVANCED_FACE('',(#22571),#22562,.T.); -#22579=EDGE_CURVE('',#11339,#9580,#7072,.T.); -#22581=EDGE_CURVE('',#11335,#11339,#7100,.T.); -#22586=ADVANCED_FACE('',(#22585),#22577,.T.); -#22593=EDGE_CURVE('',#11341,#9578,#7076,.T.); -#22595=EDGE_CURVE('',#11339,#11341,#7104,.T.); -#22600=ADVANCED_FACE('',(#22599),#22591,.T.); -#22606=EDGE_CURVE('',#11341,#11337,#7092,.T.); -#22610=EDGE_CURVE('',#11343,#9576,#7112,.T.); -#22612=EDGE_CURVE('',#11345,#11343,#7188,.T.); -#22614=EDGE_CURVE('',#11345,#9562,#7084,.T.); -#22620=ADVANCED_FACE('',(#22619),#22605,.T.); -#22632=ADVANCED_FACE('',(#22631),#22625,.T.); -#22639=EDGE_CURVE('',#11347,#9574,#7128,.T.); -#22641=EDGE_CURVE('',#11343,#11347,#7192,.T.); -#22646=ADVANCED_FACE('',(#22645),#22637,.T.); -#22652=EDGE_CURVE('',#11350,#11351,#7116,.T.); -#22654=EDGE_CURVE('',#11351,#9566,#7120,.T.); -#22657=EDGE_CURVE('',#11353,#9564,#7176,.T.); -#22659=EDGE_CURVE('',#11347,#11353,#7180,.T.); -#22663=EDGE_CURVE('',#11350,#9572,#7152,.T.); -#22667=ADVANCED_FACE('',(#22666),#22651,.T.); -#22674=EDGE_CURVE('',#11350,#11355,#7136,.T.); -#22676=EDGE_CURVE('',#11355,#11357,#7140,.T.); -#22678=EDGE_CURVE('',#11357,#11351,#7144,.T.); -#22682=ADVANCED_FACE('',(#22681),#22672,.T.); -#22689=EDGE_CURVE('',#11355,#9570,#7160,.T.); -#22695=ADVANCED_FACE('',(#22694),#22687,.T.); -#22702=EDGE_CURVE('',#11357,#9568,#7168,.T.); -#22708=ADVANCED_FACE('',(#22707),#22700,.T.); -#22720=ADVANCED_FACE('',(#22719),#22713,.T.); -#22728=EDGE_CURVE('',#11353,#11345,#7184,.T.); -#22733=ADVANCED_FACE('',(#22732),#22725,.T.); -#22745=ADVANCED_FACE('',(#22744),#22738,.T.); -#22752=EDGE_CURVE('',#11359,#9584,#7208,.T.); -#22754=EDGE_CURVE('',#11361,#11359,#7380,.T.); -#22756=EDGE_CURVE('',#11361,#9583,#7200,.T.); -#22760=ADVANCED_FACE('',(#22759),#22750,.T.); -#22767=EDGE_CURVE('',#11363,#9612,#7236,.T.); -#22769=EDGE_CURVE('',#11359,#11363,#7384,.T.); -#22774=ADVANCED_FACE('',(#22773),#22765,.T.); -#22780=EDGE_CURVE('',#11366,#11367,#7212,.T.); -#22782=EDGE_CURVE('',#11367,#9604,#7216,.T.); -#22785=EDGE_CURVE('',#11369,#9602,#7284,.T.); -#22787=EDGE_CURVE('',#11371,#11369,#7356,.T.); -#22789=EDGE_CURVE('',#11371,#9588,#7224,.T.); -#22792=EDGE_CURVE('',#11373,#9586,#7372,.T.); -#22794=EDGE_CURVE('',#11373,#11363,#7232,.T.); -#22798=EDGE_CURVE('',#11366,#9610,#7260,.T.); -#22802=ADVANCED_FACE('',(#22801),#22779,.T.); -#22809=EDGE_CURVE('',#11366,#11375,#7244,.T.); -#22811=EDGE_CURVE('',#11375,#11377,#7248,.T.); -#22813=EDGE_CURVE('',#11377,#11367,#7252,.T.); -#22817=ADVANCED_FACE('',(#22816),#22807,.T.); -#22824=EDGE_CURVE('',#11375,#9608,#7268,.T.); -#22830=ADVANCED_FACE('',(#22829),#22822,.T.); -#22837=EDGE_CURVE('',#11377,#9606,#7276,.T.); -#22843=ADVANCED_FACE('',(#22842),#22835,.T.); -#22855=ADVANCED_FACE('',(#22854),#22848,.T.); -#22862=EDGE_CURVE('',#11379,#9600,#7300,.T.); -#22864=EDGE_CURVE('',#11369,#11379,#7360,.T.); -#22869=ADVANCED_FACE('',(#22868),#22860,.T.); -#22875=EDGE_CURVE('',#11382,#11383,#7288,.T.); -#22877=EDGE_CURVE('',#11383,#9592,#7292,.T.); -#22880=EDGE_CURVE('',#11385,#9590,#7348,.T.); -#22882=EDGE_CURVE('',#11379,#11385,#7364,.T.); -#22886=EDGE_CURVE('',#11382,#9598,#7324,.T.); -#22890=ADVANCED_FACE('',(#22889),#22874,.T.); -#22897=EDGE_CURVE('',#11382,#11387,#7308,.T.); -#22899=EDGE_CURVE('',#11387,#11389,#7312,.T.); -#22901=EDGE_CURVE('',#11389,#11383,#7316,.T.); -#22905=ADVANCED_FACE('',(#22904),#22895,.T.); -#22912=EDGE_CURVE('',#11387,#9596,#7332,.T.); -#22918=ADVANCED_FACE('',(#22917),#22910,.T.); -#22925=EDGE_CURVE('',#11389,#9594,#7340,.T.); -#22931=ADVANCED_FACE('',(#22930),#22923,.T.); -#22943=ADVANCED_FACE('',(#22942),#22936,.T.); -#22951=EDGE_CURVE('',#11385,#11371,#7352,.T.); -#22956=ADVANCED_FACE('',(#22955),#22948,.T.); -#22968=ADVANCED_FACE('',(#22967),#22961,.T.); -#22976=EDGE_CURVE('',#11373,#11361,#7376,.T.); -#22981=ADVANCED_FACE('',(#22980),#22973,.T.); -#22993=ADVANCED_FACE('',(#22992),#22986,.T.); -#23000=EDGE_CURVE('',#11391,#9616,#7400,.T.); -#23002=EDGE_CURVE('',#11393,#11391,#7568,.T.); -#23004=EDGE_CURVE('',#11393,#9615,#7392,.T.); -#23008=ADVANCED_FACE('',(#23007),#22998,.T.); -#23015=EDGE_CURVE('',#11395,#9644,#7408,.T.); -#23017=EDGE_CURVE('',#11391,#11395,#7572,.T.); -#23022=ADVANCED_FACE('',(#23021),#23013,.T.); -#23029=EDGE_CURVE('',#11397,#9642,#7436,.T.); -#23031=EDGE_CURVE('',#11395,#11397,#7576,.T.); -#23036=ADVANCED_FACE('',(#23035),#23027,.T.); -#23042=EDGE_CURVE('',#11400,#11401,#7412,.T.); -#23044=EDGE_CURVE('',#11401,#9634,#7416,.T.); -#23047=EDGE_CURVE('',#11403,#9632,#7484,.T.); -#23049=EDGE_CURVE('',#11405,#11403,#7556,.T.); -#23051=EDGE_CURVE('',#11405,#9618,#7424,.T.); -#23055=EDGE_CURVE('',#11393,#11397,#7432,.T.); -#23059=EDGE_CURVE('',#11400,#9640,#7460,.T.); -#23063=ADVANCED_FACE('',(#23062),#23041,.T.); -#23070=EDGE_CURVE('',#11400,#11407,#7444,.T.); -#23072=EDGE_CURVE('',#11407,#11409,#7448,.T.); -#23074=EDGE_CURVE('',#11409,#11401,#7452,.T.); -#23078=ADVANCED_FACE('',(#23077),#23068,.T.); -#23085=EDGE_CURVE('',#11407,#9638,#7468,.T.); -#23091=ADVANCED_FACE('',(#23090),#23083,.T.); -#23098=EDGE_CURVE('',#11409,#9636,#7476,.T.); -#23104=ADVANCED_FACE('',(#23103),#23096,.T.); -#23116=ADVANCED_FACE('',(#23115),#23109,.T.); -#23123=EDGE_CURVE('',#11411,#9630,#7496,.T.); -#23125=EDGE_CURVE('',#11403,#11411,#7560,.T.); -#23130=ADVANCED_FACE('',(#23129),#23121,.T.); -#23136=EDGE_CURVE('',#11414,#11415,#7504,.T.); -#23138=EDGE_CURVE('',#11414,#9622,#7488,.T.); -#23141=EDGE_CURVE('',#11417,#9620,#7548,.T.); -#23143=EDGE_CURVE('',#11411,#11417,#7564,.T.); -#23147=EDGE_CURVE('',#11415,#9628,#7524,.T.); -#23151=ADVANCED_FACE('',(#23150),#23135,.T.); -#23158=EDGE_CURVE('',#11415,#11419,#7508,.T.); -#23160=EDGE_CURVE('',#11419,#11421,#7512,.T.); -#23162=EDGE_CURVE('',#11421,#11414,#7516,.T.); -#23166=ADVANCED_FACE('',(#23165),#23156,.T.); -#23173=EDGE_CURVE('',#11419,#9626,#7532,.T.); -#23179=ADVANCED_FACE('',(#23178),#23171,.T.); -#23186=EDGE_CURVE('',#11421,#9624,#7540,.T.); -#23192=ADVANCED_FACE('',(#23191),#23184,.T.); -#23204=ADVANCED_FACE('',(#23203),#23197,.T.); -#23212=EDGE_CURVE('',#11417,#11405,#7552,.T.); -#23217=ADVANCED_FACE('',(#23216),#23209,.T.); -#23229=ADVANCED_FACE('',(#23228),#23222,.T.); -#23241=ADVANCED_FACE('',(#23240),#23234,.T.); -#23248=EDGE_CURVE('',#11423,#9648,#7592,.T.); -#23250=EDGE_CURVE('',#11425,#11423,#7620,.T.); -#23252=EDGE_CURVE('',#11425,#9647,#7584,.T.); -#23256=ADVANCED_FACE('',(#23255),#23246,.T.); -#23263=EDGE_CURVE('',#11427,#9676,#7600,.T.); -#23265=EDGE_CURVE('',#11423,#11427,#7624,.T.); -#23270=ADVANCED_FACE('',(#23269),#23261,.T.); -#23276=EDGE_CURVE('',#11429,#11427,#7596,.T.); -#23280=EDGE_CURVE('',#11431,#9674,#7640,.T.); -#23282=EDGE_CURVE('',#11433,#11431,#7768,.T.); -#23284=EDGE_CURVE('',#11433,#9652,#7608,.T.); -#23287=EDGE_CURVE('',#11429,#9650,#7632,.T.); -#23291=ADVANCED_FACE('',(#23290),#23275,.T.); -#23298=EDGE_CURVE('',#11429,#11425,#7616,.T.); -#23304=ADVANCED_FACE('',(#23303),#23296,.T.); -#23316=ADVANCED_FACE('',(#23315),#23309,.T.); -#23323=EDGE_CURVE('',#11435,#9672,#7660,.T.); -#23325=EDGE_CURVE('',#11431,#11435,#7756,.T.); -#23330=ADVANCED_FACE('',(#23329),#23321,.T.); -#23336=EDGE_CURVE('',#11438,#11439,#7668,.T.); -#23338=EDGE_CURVE('',#11438,#9664,#7644,.T.); -#23341=EDGE_CURVE('',#11441,#9662,#7712,.T.); -#23343=EDGE_CURVE('',#11443,#11441,#7732,.T.); -#23345=EDGE_CURVE('',#11443,#9656,#7652,.T.); -#23348=EDGE_CURVE('',#11445,#9654,#7752,.T.); -#23350=EDGE_CURVE('',#11435,#11445,#7760,.T.); -#23354=EDGE_CURVE('',#11439,#9670,#7688,.T.); -#23358=ADVANCED_FACE('',(#23357),#23335,.T.); -#23365=EDGE_CURVE('',#11439,#11447,#7672,.T.); -#23367=EDGE_CURVE('',#11447,#11449,#7676,.T.); -#23369=EDGE_CURVE('',#11449,#11438,#7680,.T.); -#23373=ADVANCED_FACE('',(#23372),#23363,.T.); -#23380=EDGE_CURVE('',#11447,#9668,#7696,.T.); -#23386=ADVANCED_FACE('',(#23385),#23378,.T.); -#23393=EDGE_CURVE('',#11449,#9666,#7704,.T.); -#23399=ADVANCED_FACE('',(#23398),#23391,.T.); -#23411=ADVANCED_FACE('',(#23410),#23404,.T.); -#23418=EDGE_CURVE('',#11451,#9660,#7720,.T.); -#23420=EDGE_CURVE('',#11441,#11451,#7736,.T.); -#23425=ADVANCED_FACE('',(#23424),#23416,.T.); -#23432=EDGE_CURVE('',#11453,#9658,#7728,.T.); -#23434=EDGE_CURVE('',#11451,#11453,#7740,.T.); -#23439=ADVANCED_FACE('',(#23438),#23430,.T.); -#23447=EDGE_CURVE('',#11453,#11443,#7744,.T.); -#23452=ADVANCED_FACE('',(#23451),#23444,.T.); -#23464=ADVANCED_FACE('',(#23463),#23457,.T.); -#23472=EDGE_CURVE('',#11445,#11433,#7764,.T.); -#23477=ADVANCED_FACE('',(#23476),#23469,.T.); -#23489=ADVANCED_FACE('',(#23488),#23482,.T.); -#23496=EDGE_CURVE('',#11455,#9680,#7784,.T.); -#23498=EDGE_CURVE('',#11457,#11455,#7816,.T.); -#23500=EDGE_CURVE('',#11457,#9679,#7776,.T.); -#23504=ADVANCED_FACE('',(#23503),#23494,.T.); -#23511=EDGE_CURVE('',#11459,#9708,#7792,.T.); -#23513=EDGE_CURVE('',#11455,#11459,#7820,.T.); -#23518=ADVANCED_FACE('',(#23517),#23509,.T.); -#23525=EDGE_CURVE('',#11461,#9706,#7796,.T.); -#23527=EDGE_CURVE('',#11459,#11461,#7824,.T.); -#23532=ADVANCED_FACE('',(#23531),#23523,.T.); -#23538=EDGE_CURVE('',#11461,#11457,#7812,.T.); -#23542=EDGE_CURVE('',#11463,#9704,#7832,.T.); -#23544=EDGE_CURVE('',#11465,#11463,#7920,.T.); -#23546=EDGE_CURVE('',#11465,#9682,#7804,.T.); -#23552=ADVANCED_FACE('',(#23551),#23537,.T.); -#23564=ADVANCED_FACE('',(#23563),#23557,.T.); -#23571=EDGE_CURVE('',#11467,#9702,#7848,.T.); -#23573=EDGE_CURVE('',#11463,#11467,#7924,.T.); -#23578=ADVANCED_FACE('',(#23577),#23569,.T.); -#23584=EDGE_CURVE('',#11470,#11471,#7836,.T.); -#23586=EDGE_CURVE('',#11471,#9686,#7840,.T.); -#23589=EDGE_CURVE('',#11473,#9684,#7908,.T.); -#23591=EDGE_CURVE('',#11467,#11473,#7912,.T.); -#23595=EDGE_CURVE('',#11475,#9700,#7932,.T.); -#23597=EDGE_CURVE('',#11475,#11477,#7856,.T.); -#23599=EDGE_CURVE('',#11477,#9694,#7860,.T.); -#23602=EDGE_CURVE('',#11470,#9692,#7884,.T.); -#23606=ADVANCED_FACE('',(#23605),#23583,.T.); -#23613=EDGE_CURVE('',#11470,#11479,#7868,.T.); -#23615=EDGE_CURVE('',#11479,#11481,#7872,.T.); -#23617=EDGE_CURVE('',#11481,#11471,#7876,.T.); -#23621=ADVANCED_FACE('',(#23620),#23611,.T.); -#23628=EDGE_CURVE('',#11479,#9690,#7892,.T.); -#23634=ADVANCED_FACE('',(#23633),#23626,.T.); -#23641=EDGE_CURVE('',#11481,#9688,#7900,.T.); -#23647=ADVANCED_FACE('',(#23646),#23639,.T.); -#23659=ADVANCED_FACE('',(#23658),#23652,.T.); -#23667=EDGE_CURVE('',#11473,#11465,#7916,.T.); -#23672=ADVANCED_FACE('',(#23671),#23664,.T.); -#23684=ADVANCED_FACE('',(#23683),#23677,.T.); -#23691=EDGE_CURVE('',#11483,#9698,#7940,.T.); -#23693=EDGE_CURVE('',#11475,#11483,#7952,.T.); -#23698=ADVANCED_FACE('',(#23697),#23689,.T.); -#23705=EDGE_CURVE('',#11485,#9696,#7948,.T.); -#23707=EDGE_CURVE('',#11483,#11485,#7956,.T.); -#23712=ADVANCED_FACE('',(#23711),#23703,.T.); -#23720=EDGE_CURVE('',#11485,#11477,#7960,.T.); -#23725=ADVANCED_FACE('',(#23724),#23717,.T.); -#23737=ADVANCED_FACE('',(#23736),#23730,.T.); -#23744=EDGE_CURVE('',#11487,#9712,#7976,.T.); -#23746=EDGE_CURVE('',#11489,#11487,#8008,.T.); -#23748=EDGE_CURVE('',#11489,#9711,#7968,.T.); -#23752=ADVANCED_FACE('',(#23751),#23742,.T.); -#23759=EDGE_CURVE('',#11491,#9732,#7984,.T.); -#23761=EDGE_CURVE('',#11487,#11491,#8012,.T.); -#23766=ADVANCED_FACE('',(#23765),#23757,.T.); -#23773=EDGE_CURVE('',#11493,#9730,#7988,.T.); -#23775=EDGE_CURVE('',#11491,#11493,#8016,.T.); -#23780=ADVANCED_FACE('',(#23779),#23771,.T.); -#23786=EDGE_CURVE('',#11493,#11489,#8004,.T.); -#23790=EDGE_CURVE('',#11495,#9728,#8024,.T.); -#23792=EDGE_CURVE('',#11497,#11495,#8096,.T.); -#23794=EDGE_CURVE('',#11497,#9714,#7996,.T.); -#23800=ADVANCED_FACE('',(#23799),#23785,.T.); -#23812=ADVANCED_FACE('',(#23811),#23805,.T.); -#23819=EDGE_CURVE('',#11499,#9726,#8040,.T.); -#23821=EDGE_CURVE('',#11495,#11499,#8100,.T.); -#23826=ADVANCED_FACE('',(#23825),#23817,.T.); -#23832=EDGE_CURVE('',#11502,#11503,#8028,.T.); -#23834=EDGE_CURVE('',#11503,#9718,#8032,.T.); -#23837=EDGE_CURVE('',#11505,#9716,#8088,.T.); -#23839=EDGE_CURVE('',#11499,#11505,#8104,.T.); -#23843=EDGE_CURVE('',#11502,#9724,#8064,.T.); -#23847=ADVANCED_FACE('',(#23846),#23831,.T.); -#23854=EDGE_CURVE('',#11502,#11507,#8048,.T.); -#23856=EDGE_CURVE('',#11507,#11509,#8052,.T.); -#23858=EDGE_CURVE('',#11509,#11503,#8056,.T.); -#23862=ADVANCED_FACE('',(#23861),#23852,.T.); -#23869=EDGE_CURVE('',#11507,#9722,#8072,.T.); -#23875=ADVANCED_FACE('',(#23874),#23867,.T.); -#23882=EDGE_CURVE('',#11509,#9720,#8080,.T.); -#23888=ADVANCED_FACE('',(#23887),#23880,.T.); -#23900=ADVANCED_FACE('',(#23899),#23893,.T.); -#23908=EDGE_CURVE('',#11505,#11497,#8092,.T.); -#23913=ADVANCED_FACE('',(#23912),#23905,.T.); -#23925=ADVANCED_FACE('',(#23924),#23918,.T.); -#23932=EDGE_CURVE('',#11511,#9736,#8120,.T.); -#23934=EDGE_CURVE('',#11513,#11511,#8160,.T.); -#23936=EDGE_CURVE('',#11513,#9735,#8112,.T.); -#23940=ADVANCED_FACE('',(#23939),#23930,.T.); -#23947=EDGE_CURVE('',#11515,#9780,#8128,.T.); -#23949=EDGE_CURVE('',#11511,#11515,#8164,.T.); -#23954=ADVANCED_FACE('',(#23953),#23945,.T.); -#23961=EDGE_CURVE('',#11517,#9778,#8132,.T.); -#23963=EDGE_CURVE('',#11515,#11517,#8168,.T.); -#23968=ADVANCED_FACE('',(#23967),#23959,.T.); -#23974=EDGE_CURVE('',#11517,#11513,#8156,.T.); -#23978=EDGE_CURVE('',#11519,#9776,#8176,.T.); -#23980=EDGE_CURVE('',#11521,#11519,#8196,.T.); -#23982=EDGE_CURVE('',#11521,#9770,#8140,.T.); -#23985=EDGE_CURVE('',#11523,#9768,#8216,.T.); -#23987=EDGE_CURVE('',#11525,#11523,#8312,.T.); -#23989=EDGE_CURVE('',#11525,#9738,#8148,.T.); -#23995=ADVANCED_FACE('',(#23994),#23973,.T.); -#24007=ADVANCED_FACE('',(#24006),#24000,.T.); -#24014=EDGE_CURVE('',#11527,#9774,#8184,.T.); -#24016=EDGE_CURVE('',#11519,#11527,#8200,.T.); -#24021=ADVANCED_FACE('',(#24020),#24012,.T.); -#24028=EDGE_CURVE('',#11529,#9772,#8192,.T.); -#24030=EDGE_CURVE('',#11527,#11529,#8204,.T.); -#24035=ADVANCED_FACE('',(#24034),#24026,.T.); -#24043=EDGE_CURVE('',#11529,#11521,#8208,.T.); -#24048=ADVANCED_FACE('',(#24047),#24040,.T.); -#24060=ADVANCED_FACE('',(#24059),#24053,.T.); -#24067=EDGE_CURVE('',#11531,#9766,#8232,.T.); -#24069=EDGE_CURVE('',#11523,#11531,#8316,.T.); -#24074=ADVANCED_FACE('',(#24073),#24065,.T.); -#24080=EDGE_CURVE('',#11534,#11535,#8220,.T.); -#24082=EDGE_CURVE('',#11535,#9742,#8224,.T.); -#24085=EDGE_CURVE('',#11537,#9740,#8304,.T.); -#24087=EDGE_CURVE('',#11531,#11537,#8320,.T.); -#24091=EDGE_CURVE('',#11539,#9764,#8328,.T.); -#24093=EDGE_CURVE('',#11539,#11541,#8240,.T.); -#24095=EDGE_CURVE('',#11541,#9758,#8244,.T.); -#24098=EDGE_CURVE('',#11543,#9756,#8364,.T.); -#24100=EDGE_CURVE('',#11543,#11545,#8252,.T.); -#24102=EDGE_CURVE('',#11545,#9750,#8256,.T.); -#24105=EDGE_CURVE('',#11534,#9748,#8280,.T.); -#24109=ADVANCED_FACE('',(#24108),#24079,.T.); -#24116=EDGE_CURVE('',#11534,#11547,#8264,.T.); -#24118=EDGE_CURVE('',#11547,#11549,#8268,.T.); -#24120=EDGE_CURVE('',#11549,#11535,#8272,.T.); -#24124=ADVANCED_FACE('',(#24123),#24114,.T.); -#24131=EDGE_CURVE('',#11547,#9746,#8288,.T.); -#24137=ADVANCED_FACE('',(#24136),#24129,.T.); -#24144=EDGE_CURVE('',#11549,#9744,#8296,.T.); -#24150=ADVANCED_FACE('',(#24149),#24142,.T.); -#24162=ADVANCED_FACE('',(#24161),#24155,.T.); -#24170=EDGE_CURVE('',#11537,#11525,#8308,.T.); -#24175=ADVANCED_FACE('',(#24174),#24167,.T.); -#24187=ADVANCED_FACE('',(#24186),#24180,.T.); -#24194=EDGE_CURVE('',#11551,#9762,#8336,.T.); -#24196=EDGE_CURVE('',#11539,#11551,#8348,.T.); -#24201=ADVANCED_FACE('',(#24200),#24192,.T.); -#24208=EDGE_CURVE('',#11553,#9760,#8344,.T.); -#24210=EDGE_CURVE('',#11551,#11553,#8352,.T.); -#24215=ADVANCED_FACE('',(#24214),#24206,.T.); -#24223=EDGE_CURVE('',#11553,#11541,#8356,.T.); -#24228=ADVANCED_FACE('',(#24227),#24220,.T.); -#24240=ADVANCED_FACE('',(#24239),#24233,.T.); -#24247=EDGE_CURVE('',#11555,#9754,#8372,.T.); -#24249=EDGE_CURVE('',#11543,#11555,#8384,.T.); -#24254=ADVANCED_FACE('',(#24253),#24245,.T.); -#24261=EDGE_CURVE('',#11557,#9752,#8380,.T.); -#24263=EDGE_CURVE('',#11555,#11557,#8388,.T.); -#24268=ADVANCED_FACE('',(#24267),#24259,.T.); -#24276=EDGE_CURVE('',#11557,#11545,#8392,.T.); -#24281=ADVANCED_FACE('',(#24280),#24273,.T.); -#24293=ADVANCED_FACE('',(#24292),#24286,.T.); -#24300=EDGE_CURVE('',#11559,#9784,#8408,.T.); -#24302=EDGE_CURVE('',#11561,#11559,#8428,.T.); -#24304=EDGE_CURVE('',#11561,#9783,#8400,.T.); -#24308=ADVANCED_FACE('',(#24307),#24298,.T.); -#24315=EDGE_CURVE('',#11563,#9788,#8416,.T.); -#24317=EDGE_CURVE('',#11559,#11563,#8432,.T.); -#24322=ADVANCED_FACE('',(#24321),#24313,.T.); -#24329=EDGE_CURVE('',#11565,#9786,#8424,.T.); -#24331=EDGE_CURVE('',#11563,#11565,#8436,.T.); -#24336=ADVANCED_FACE('',(#24335),#24327,.T.); -#24344=EDGE_CURVE('',#11565,#11561,#8440,.T.); -#24349=ADVANCED_FACE('',(#24348),#24341,.T.); -#24361=ADVANCED_FACE('',(#24360),#24354,.T.); -#24368=EDGE_CURVE('',#11567,#9792,#8456,.T.); -#24370=EDGE_CURVE('',#11569,#11567,#8476,.T.); -#24372=EDGE_CURVE('',#11569,#9791,#8448,.T.); -#24376=ADVANCED_FACE('',(#24375),#24366,.T.); -#24383=EDGE_CURVE('',#11571,#9796,#8464,.T.); -#24385=EDGE_CURVE('',#11567,#11571,#8480,.T.); -#24390=ADVANCED_FACE('',(#24389),#24381,.T.); -#24397=EDGE_CURVE('',#11573,#9794,#8472,.T.); -#24399=EDGE_CURVE('',#11571,#11573,#8484,.T.); -#24404=ADVANCED_FACE('',(#24403),#24395,.T.); -#24412=EDGE_CURVE('',#11573,#11569,#8488,.T.); -#24417=ADVANCED_FACE('',(#24416),#24409,.T.); -#24429=ADVANCED_FACE('',(#24428),#24422,.T.); -#24436=EDGE_CURVE('',#11575,#9800,#8504,.T.); -#24438=EDGE_CURVE('',#11577,#11575,#8588,.T.); -#24440=EDGE_CURVE('',#11577,#9799,#8496,.T.); -#24444=ADVANCED_FACE('',(#24443),#24434,.T.); -#24451=EDGE_CURVE('',#11579,#9844,#8516,.T.); -#24453=EDGE_CURVE('',#11575,#11579,#8592,.T.); -#24458=ADVANCED_FACE('',(#24457),#24449,.T.); -#24464=EDGE_CURVE('',#11582,#11583,#8532,.T.); -#24466=EDGE_CURVE('',#11582,#9804,#8508,.T.); -#24469=EDGE_CURVE('',#11585,#9802,#8576,.T.); -#24471=EDGE_CURVE('',#11579,#11585,#8580,.T.); -#24475=EDGE_CURVE('',#11587,#9842,#8600,.T.); -#24477=EDGE_CURVE('',#11589,#11587,#8692,.T.); -#24479=EDGE_CURVE('',#11589,#9812,#8524,.T.); -#24482=EDGE_CURVE('',#11583,#9810,#8552,.T.); -#24486=ADVANCED_FACE('',(#24485),#24463,.T.); -#24493=EDGE_CURVE('',#11583,#11591,#8536,.T.); -#24495=EDGE_CURVE('',#11591,#11593,#8540,.T.); -#24497=EDGE_CURVE('',#11593,#11582,#8544,.T.); -#24501=ADVANCED_FACE('',(#24500),#24491,.T.); -#24508=EDGE_CURVE('',#11591,#9808,#8560,.T.); -#24514=ADVANCED_FACE('',(#24513),#24506,.T.); -#24521=EDGE_CURVE('',#11593,#9806,#8568,.T.); -#24527=ADVANCED_FACE('',(#24526),#24519,.T.); -#24539=ADVANCED_FACE('',(#24538),#24532,.T.); -#24547=EDGE_CURVE('',#11585,#11577,#8584,.T.); -#24552=ADVANCED_FACE('',(#24551),#24544,.T.); -#24564=ADVANCED_FACE('',(#24563),#24557,.T.); -#24571=EDGE_CURVE('',#11595,#9840,#8616,.T.); -#24573=EDGE_CURVE('',#11587,#11595,#8696,.T.); -#24578=ADVANCED_FACE('',(#24577),#24569,.T.); -#24584=EDGE_CURVE('',#11598,#11599,#8604,.T.); -#24586=EDGE_CURVE('',#11599,#9816,#8608,.T.); -#24589=EDGE_CURVE('',#11601,#9814,#8688,.T.); -#24591=EDGE_CURVE('',#11595,#11601,#8700,.T.); -#24595=EDGE_CURVE('',#11603,#9838,#8712,.T.); -#24597=EDGE_CURVE('',#11603,#11605,#8624,.T.); -#24599=EDGE_CURVE('',#11605,#9832,#8628,.T.); -#24602=EDGE_CURVE('',#11607,#9830,#8748,.T.); -#24604=EDGE_CURVE('',#11607,#11609,#8636,.T.); -#24606=EDGE_CURVE('',#11609,#9824,#8640,.T.); -#24609=EDGE_CURVE('',#11598,#9822,#8664,.T.); -#24613=ADVANCED_FACE('',(#24612),#24583,.T.); -#24620=EDGE_CURVE('',#11598,#11611,#8648,.T.); -#24622=EDGE_CURVE('',#11611,#11613,#8652,.T.); -#24624=EDGE_CURVE('',#11613,#11599,#8656,.T.); -#24628=ADVANCED_FACE('',(#24627),#24618,.T.); -#24635=EDGE_CURVE('',#11611,#9820,#8672,.T.); -#24641=ADVANCED_FACE('',(#24640),#24633,.T.); -#24648=EDGE_CURVE('',#11613,#9818,#8680,.T.); -#24654=ADVANCED_FACE('',(#24653),#24646,.T.); -#24666=ADVANCED_FACE('',(#24665),#24659,.T.); -#24674=EDGE_CURVE('',#11601,#11589,#8704,.T.); -#24679=ADVANCED_FACE('',(#24678),#24671,.T.); -#24691=ADVANCED_FACE('',(#24690),#24684,.T.); -#24698=EDGE_CURVE('',#11615,#9836,#8720,.T.); -#24700=EDGE_CURVE('',#11603,#11615,#8732,.T.); -#24705=ADVANCED_FACE('',(#24704),#24696,.T.); -#24712=EDGE_CURVE('',#11617,#9834,#8728,.T.); -#24714=EDGE_CURVE('',#11615,#11617,#8736,.T.); -#24719=ADVANCED_FACE('',(#24718),#24710,.T.); -#24727=EDGE_CURVE('',#11617,#11605,#8740,.T.); -#24732=ADVANCED_FACE('',(#24731),#24724,.T.); -#24744=ADVANCED_FACE('',(#24743),#24737,.T.); -#24751=EDGE_CURVE('',#11619,#9828,#8756,.T.); -#24753=EDGE_CURVE('',#11607,#11619,#8768,.T.); -#24758=ADVANCED_FACE('',(#24757),#24749,.T.); -#24765=EDGE_CURVE('',#11621,#9826,#8764,.T.); -#24767=EDGE_CURVE('',#11619,#11621,#8772,.T.); -#24772=ADVANCED_FACE('',(#24771),#24763,.T.); -#24780=EDGE_CURVE('',#11621,#11609,#8776,.T.); -#24785=ADVANCED_FACE('',(#24784),#24777,.T.); -#24797=ADVANCED_FACE('',(#24796),#24790,.T.); -#24800=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#24801=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); -#24804=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); -#24806=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( -#24805))GLOBAL_UNIT_ASSIGNED_CONTEXT((#24800,#24803,#24804))REPRESENTATION_CONTEXT('ID1','3')); -#24810=AXIS2_PLACEMENT_3D('',#24807,#24808,#24809); -#24811=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#24810,#24799),#24806); -#24818=PRODUCT_DEFINITION('part definition','',#24817,#24814); -#24819=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR T_DISPLAY_S3.',#24818); -#24820=SHAPE_ASPECT('','solid data associated with T_DISPLAY_S3',#24819,.F.); -#24821=PROPERTY_DEFINITION('', -'shape for solid data with which properties are associated',#24820); -#24822=SHAPE_REPRESENTATION('',(#24799),#24806); -#24823=SHAPE_DEFINITION_REPRESENTATION(#24821,#24822); -#24824=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#24828=PROPERTY_DEFINITION('geometric validation property', -'area of T_DISPLAY_S3',#24820); -#24829=REPRESENTATION('surface area',(#24827),#24806); -#24830=PROPERTY_DEFINITION_REPRESENTATION(#24828,#24829); -#24831=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#24835=PROPERTY_DEFINITION('geometric validation property', -'volume of T_DISPLAY_S3',#24820); -#24836=REPRESENTATION('volume',(#24834),#24806); -#24837=PROPERTY_DEFINITION_REPRESENTATION(#24835,#24836); -#24839=PROPERTY_DEFINITION('geometric validation property', -'centroid of T_DISPLAY_S3',#24820); -#24840=REPRESENTATION('centroid',(#24838),#24806); -#24841=PROPERTY_DEFINITION_REPRESENTATION(#24839,#24840); -#24842=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#24846=PROPERTY_DEFINITION('geometric validation property', -'area of T_DISPLAY_S3',#24819); -#24847=REPRESENTATION('surface area',(#24845),#24806); -#24848=PROPERTY_DEFINITION_REPRESENTATION(#24846,#24847); -#24849=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#24853=PROPERTY_DEFINITION('geometric validation property', -'volume of T_DISPLAY_S3',#24819); -#24854=REPRESENTATION('volume',(#24852),#24806); -#24855=PROPERTY_DEFINITION_REPRESENTATION(#24853,#24854); -#24857=PROPERTY_DEFINITION('geometric validation property', -'centroid of T_DISPLAY_S3',#24819); -#24858=REPRESENTATION('centroid',(#24856),#24806); -#24859=PROPERTY_DEFINITION_REPRESENTATION(#24857,#24858); -#24860=SHAPE_DEFINITION_REPRESENTATION(#24819,#24811); -#24861=SHAPE_DEFINITION_REPRESENTATION(#24862,#24863); -#24862=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR S3-SHELL-0926_ASM.',#27667); -#24863=SHAPE_REPRESENTATION('',(#24873,#25272,#27648,#27662),#27658); -#24864=NEXT_ASSEMBLY_USAGE_OCCURRENCE('0','Next assembly relationship', -'T_DISPLAY_S3',#27667,#24818,$); -#24865=PRODUCT_DEFINITION_SHAPE('Placement #0', -'Placement of T_DISPLAY_S3 with respect to S3-SHELL-0926_ASM',#24864); -#24867=PROPERTY_DEFINITION('geometric validation property', -'centroid of T_DISPLAY_S3',#24865); -#24868=REPRESENTATION('centroid',(#24866),#24806); -#24869=PROPERTY_DEFINITION_REPRESENTATION(#24867,#24868); -#24877=COLOUR_RGB('',8.07E-1,7.65E-1,5.8E-2); -#25011=EDGE_CURVE('',#24992,#24993,#24881,.T.); -#25013=EDGE_CURVE('',#24993,#24995,#24885,.T.); -#25015=EDGE_CURVE('',#24995,#24997,#24889,.T.); -#25017=EDGE_CURVE('',#24997,#24992,#24893,.T.); -#25021=ADVANCED_FACE('',(#25020),#25010,.F.); -#25027=EDGE_CURVE('',#25000,#24992,#24901,.T.); -#25029=EDGE_CURVE('',#25000,#25001,#24913,.T.); -#25031=EDGE_CURVE('',#24993,#25001,#24909,.T.); -#25036=ADVANCED_FACE('',(#25035),#25026,.T.); -#25042=EDGE_CURVE('',#24997,#25005,#24897,.T.); -#25044=EDGE_CURVE('',#25005,#25000,#24917,.T.); -#25050=ADVANCED_FACE('',(#25049),#25041,.T.); -#25056=EDGE_CURVE('',#24995,#25003,#24905,.T.); -#25058=EDGE_CURVE('',#25003,#25005,#24921,.T.); -#25064=ADVANCED_FACE('',(#25063),#25055,.T.); -#25071=EDGE_CURVE('',#25001,#25003,#24925,.T.); -#25077=ADVANCED_FACE('',(#25076),#25069,.T.); -#25089=EDGE_CURVE('',#24984,#24985,#24929,.T.); -#25091=EDGE_CURVE('',#24985,#24987,#24933,.T.); -#25093=EDGE_CURVE('',#24987,#24989,#24937,.T.); -#25095=EDGE_CURVE('',#24989,#24984,#24941,.T.); -#25099=ADVANCED_FACE('',(#25088,#25098),#25082,.T.); -#25106=EDGE_CURVE('',#24984,#24976,#24945,.T.); -#25108=EDGE_CURVE('',#24976,#24977,#24961,.T.); -#25110=EDGE_CURVE('',#24985,#24977,#24957,.T.); -#25114=ADVANCED_FACE('',(#25113),#25104,.F.); -#25128=EDGE_CURVE('',#24989,#24981,#24949,.T.); -#25130=EDGE_CURVE('',#24981,#24976,#24965,.T.); -#25135=ADVANCED_FACE('',(#25134),#25126,.F.); -#25149=EDGE_CURVE('',#24987,#24979,#24953,.T.); -#25151=EDGE_CURVE('',#24979,#24981,#24969,.T.); -#25156=ADVANCED_FACE('',(#25155),#25147,.F.); -#25171=EDGE_CURVE('',#24977,#24979,#24973,.T.); -#25176=ADVANCED_FACE('',(#25175),#25168,.F.); -#25195=ADVANCED_FACE('',(#25194),#25188,.T.); -#25205=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#25206=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); -#25209=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); -#25211=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( -#25210))GLOBAL_UNIT_ASSIGNED_CONTEXT((#25205,#25208,#25209))REPRESENTATION_CONTEXT('ID2','3')); -#25215=AXIS2_PLACEMENT_3D('',#25212,#25213,#25214); -#25216=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#25215,#25204),#25211); -#25220=PRODUCT_DEFINITION('part definition','',#25219,#24814); -#25221=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR LCD-NFP190B.',#25220); -#25222=SHAPE_ASPECT('','solid data associated with LCD-NFP190B',#25221,.F.); -#25223=PROPERTY_DEFINITION('', -'shape for solid data with which properties are associated',#25222); -#25224=SHAPE_REPRESENTATION('',(#25204),#25211); -#25225=SHAPE_DEFINITION_REPRESENTATION(#25223,#25224); -#25226=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#25230=PROPERTY_DEFINITION('geometric validation property', -'area of LCD-NFP190B',#25222); -#25231=REPRESENTATION('surface area',(#25229),#25211); -#25232=PROPERTY_DEFINITION_REPRESENTATION(#25230,#25231); -#25233=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#25237=PROPERTY_DEFINITION('geometric validation property', -'volume of LCD-NFP190B',#25222); -#25238=REPRESENTATION('volume',(#25236),#25211); -#25239=PROPERTY_DEFINITION_REPRESENTATION(#25237,#25238); -#25241=PROPERTY_DEFINITION('geometric validation property', -'centroid of LCD-NFP190B',#25222); -#25242=REPRESENTATION('centroid',(#25240),#25211); -#25243=PROPERTY_DEFINITION_REPRESENTATION(#25241,#25242); -#25244=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#25248=PROPERTY_DEFINITION('geometric validation property', -'area of LCD-NFP190B',#25221); -#25249=REPRESENTATION('surface area',(#25247),#25211); -#25250=PROPERTY_DEFINITION_REPRESENTATION(#25248,#25249); -#25251=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#25255=PROPERTY_DEFINITION('geometric validation property', -'volume of LCD-NFP190B',#25221); -#25256=REPRESENTATION('volume',(#25254),#25211); -#25257=PROPERTY_DEFINITION_REPRESENTATION(#25255,#25256); -#25259=PROPERTY_DEFINITION('geometric validation property', -'centroid of LCD-NFP190B',#25221); -#25260=REPRESENTATION('centroid',(#25258),#25211); -#25261=PROPERTY_DEFINITION_REPRESENTATION(#25259,#25260); -#25262=SHAPE_DEFINITION_REPRESENTATION(#25221,#25216); -#25263=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1','Next assembly relationship', -'LCD-NFP190B',#27667,#25220,$); -#25264=PRODUCT_DEFINITION_SHAPE('Placement #1', -'Placement of LCD-NFP190B with respect to S3-SHELL-0926_ASM',#25263); -#25266=PROPERTY_DEFINITION('geometric validation property', -'centroid of LCD-NFP190B',#25264); -#25267=REPRESENTATION('centroid',(#25265),#25211); -#25268=PROPERTY_DEFINITION_REPRESENTATION(#25266,#25267); -#25281=CIRCLE('',#25280,1.E0); -#25290=CIRCLE('',#25289,1.E0); -#25298=CIRCLE('',#25297,1.E0); -#25313=CIRCLE('',#25312,1.5E0); -#25321=CIRCLE('',#25320,1.5E0); -#25336=CIRCLE('',#25335,1.E0); -#25344=CIRCLE('',#25343,1.05E0); -#25352=CIRCLE('',#25351,1.05E0); -#25360=CIRCLE('',#25359,1.05E0); -#25368=CIRCLE('',#25367,1.05E0); -#25467=CIRCLE('',#25466,1.E0); -#25475=CIRCLE('',#25474,1.E0); -#25567=CIRCLE('',#25566,1.E0); -#25575=CIRCLE('',#25574,1.E0); -#25583=CIRCLE('',#25582,1.E0); -#25612=CIRCLE('',#25611,1.E0); -#25648=CIRCLE('',#25647,1.E0); -#25663=CIRCLE('',#25662,1.E0); -#25671=CIRCLE('',#25670,1.E0); -#25679=CIRCLE('',#25678,1.E0); -#25694=CIRCLE('',#25693,1.E0); -#25702=CIRCLE('',#25701,1.5E0); -#25717=CIRCLE('',#25716,1.5E0); -#25725=CIRCLE('',#25724,1.E0); -#25733=CIRCLE('',#25732,1.E0); -#25741=CIRCLE('',#25740,1.E0); -#25749=CIRCLE('',#25748,1.E0); -#25764=CIRCLE('',#25763,1.E0); -#25856=CIRCLE('',#25855,1.E0); -#25871=CIRCLE('',#25870,1.E0); -#25879=CIRCLE('',#25878,1.E0); -#25894=CIRCLE('',#25893,1.E0); -#25902=CIRCLE('',#25901,1.E0); -#25959=CIRCLE('',#25958,1.E0); -#25967=CIRCLE('',#25966,1.E0); -#26010=CIRCLE('',#26009,5.E-1); -#26025=CIRCLE('',#26024,5.E-1); -#26075=CIRCLE('',#26074,5.E-1); -#26090=CIRCLE('',#26089,5.E-1); -#26126=CIRCLE('',#26125,1.E0); -#26141=CIRCLE('',#26140,1.E0); -#26149=CIRCLE('',#26148,1.E0); -#26157=CIRCLE('',#26156,1.E0); -#26165=CIRCLE('',#26164,1.E0); -#26397=CIRCLE('',#26396,1.05E0); -#26405=CIRCLE('',#26404,1.05E0); -#26427=CIRCLE('',#26426,1.05E0); -#26435=CIRCLE('',#26434,1.05E0); -#26656=EDGE_CURVE('',#26441,#26442,#25375,.T.); -#26658=EDGE_CURVE('',#26444,#26441,#25403,.T.); -#26660=EDGE_CURVE('',#26444,#26446,#25281,.T.); -#26662=EDGE_CURVE('',#26448,#26446,#25545,.T.); -#26664=EDGE_CURVE('',#26448,#26450,#25290,.T.); -#26666=EDGE_CURVE('',#26452,#26450,#25517,.T.); -#26668=EDGE_CURVE('',#26454,#26452,#26361,.T.); -#26670=EDGE_CURVE('',#26454,#26456,#25298,.T.); -#26672=EDGE_CURVE('',#26456,#26458,#25305,.T.); -#26674=EDGE_CURVE('',#26458,#26460,#25313,.T.); -#26676=EDGE_CURVE('',#26462,#26460,#26319,.T.); -#26678=EDGE_CURVE('',#26462,#26464,#25321,.T.); -#26680=EDGE_CURVE('',#26464,#26466,#25328,.T.); -#26682=EDGE_CURVE('',#26466,#26442,#25336,.T.); -#26686=EDGE_CURVE('',#26469,#26470,#25344,.T.); -#26688=EDGE_CURVE('',#26470,#26469,#25352,.T.); -#26692=EDGE_CURVE('',#26473,#26474,#25360,.T.); -#26694=EDGE_CURVE('',#26474,#26473,#25368,.T.); -#26698=ADVANCED_FACE('',(#26685,#26691,#26697),#26655,.T.); -#26704=EDGE_CURVE('',#26441,#26476,#25410,.T.); -#26707=EDGE_CURVE('',#26478,#26442,#26284,.T.); -#26709=EDGE_CURVE('',#26478,#26476,#25382,.T.); -#26713=ADVANCED_FACE('',(#26712),#26703,.F.); -#26719=EDGE_CURVE('',#26481,#26482,#25389,.T.); -#26721=EDGE_CURVE('',#26482,#26484,#25396,.T.); -#26723=EDGE_CURVE('',#26444,#26484,#25552,.T.); -#26727=EDGE_CURVE('',#26486,#26476,#25686,.T.); -#26729=EDGE_CURVE('',#26486,#26488,#25417,.T.); -#26731=EDGE_CURVE('',#26488,#26490,#25424,.T.); -#26733=EDGE_CURVE('',#26492,#26490,#26118,.T.); -#26735=EDGE_CURVE('',#26492,#26494,#25431,.T.); -#26737=EDGE_CURVE('',#26496,#26494,#25974,.T.); -#26739=EDGE_CURVE('',#26496,#26481,#25438,.T.); -#26743=ADVANCED_FACE('',(#26742),#26718,.F.); -#26749=EDGE_CURVE('',#26498,#26482,#25445,.T.); -#26752=EDGE_CURVE('',#26481,#26500,#25452,.T.); -#26754=EDGE_CURVE('',#26500,#26498,#25459,.T.); -#26758=ADVANCED_FACE('',(#26757),#26748,.F.); -#26765=EDGE_CURVE('',#26502,#26498,#25482,.T.); -#26767=EDGE_CURVE('',#26502,#26504,#25467,.T.); -#26769=EDGE_CURVE('',#26506,#26504,#25538,.T.); -#26771=EDGE_CURVE('',#26506,#26484,#25475,.T.); -#26776=ADVANCED_FACE('',(#26775),#26763,.T.); -#26782=EDGE_CURVE('',#26502,#26450,#25531,.T.); -#26786=EDGE_CURVE('',#26508,#26500,#25597,.T.); -#26788=EDGE_CURVE('',#26510,#26508,#25988,.T.); -#26790=EDGE_CURVE('',#26510,#26512,#25489,.T.); -#26792=EDGE_CURVE('',#26514,#26512,#25944,.T.); -#26794=EDGE_CURVE('',#26514,#26516,#25496,.T.); -#26796=EDGE_CURVE('',#26516,#26518,#25503,.T.); -#26798=EDGE_CURVE('',#26518,#26520,#25510,.T.); -#26800=EDGE_CURVE('',#26452,#26520,#26368,.T.); -#26805=ADVANCED_FACE('',(#26804),#26781,.T.); -#26811=EDGE_CURVE('',#26448,#26504,#25524,.T.); -#26818=ADVANCED_FACE('',(#26817),#26810,.T.); -#26824=EDGE_CURVE('',#26506,#26446,#25559,.T.); -#26831=ADVANCED_FACE('',(#26830),#26823,.T.); -#26843=ADVANCED_FACE('',(#26842),#26836,.T.); -#26849=EDGE_CURVE('',#26523,#26524,#25619,.T.); -#26851=EDGE_CURVE('',#26523,#26526,#25567,.T.); -#26853=EDGE_CURVE('',#26528,#26526,#25771,.T.); -#26855=EDGE_CURVE('',#26528,#26530,#25575,.T.); -#26857=EDGE_CURVE('',#26532,#26530,#25806,.T.); -#26859=EDGE_CURVE('',#26532,#26534,#25583,.T.); -#26861=EDGE_CURVE('',#26534,#26508,#25590,.T.); -#26866=EDGE_CURVE('',#26496,#26536,#25604,.T.); -#26868=EDGE_CURVE('',#26536,#26524,#25612,.T.); -#26872=ADVANCED_FACE('',(#26871),#26848,.T.); -#26878=EDGE_CURVE('',#26523,#26538,#25633,.T.); -#26881=EDGE_CURVE('',#26540,#26524,#26277,.T.); -#26883=EDGE_CURVE('',#26540,#26542,#25626,.T.); -#26885=EDGE_CURVE('',#26544,#26542,#26263,.T.); -#26887=EDGE_CURVE('',#26538,#26544,#25655,.T.); -#26891=ADVANCED_FACE('',(#26890),#26877,.F.); -#26898=EDGE_CURVE('',#26546,#26538,#25648,.T.); -#26900=EDGE_CURVE('',#26546,#26526,#25640,.T.); -#26905=ADVANCED_FACE('',(#26904),#26896,.F.); -#26911=EDGE_CURVE('',#26546,#26548,#25778,.T.); -#26915=EDGE_CURVE('',#26544,#26550,#25663,.T.); -#26917=EDGE_CURVE('',#26550,#26552,#25671,.T.); -#26919=EDGE_CURVE('',#26554,#26552,#26235,.T.); -#26921=EDGE_CURVE('',#26554,#26556,#25679,.T.); -#26923=EDGE_CURVE('',#26486,#26556,#26214,.T.); -#26927=EDGE_CURVE('',#26478,#26558,#25694,.T.); -#26929=EDGE_CURVE('',#26560,#26558,#26298,.T.); -#26931=EDGE_CURVE('',#26560,#26562,#25702,.T.); -#26933=EDGE_CURVE('',#26562,#26564,#25709,.T.); -#26935=EDGE_CURVE('',#26564,#26566,#25717,.T.); -#26937=EDGE_CURVE('',#26568,#26566,#26340,.T.); -#26939=EDGE_CURVE('',#26568,#26570,#25725,.T.); -#26941=EDGE_CURVE('',#26520,#26570,#26375,.T.); -#26944=EDGE_CURVE('',#26572,#26518,#25909,.T.); -#26946=EDGE_CURVE('',#26572,#26574,#25733,.T.); -#26948=EDGE_CURVE('',#26576,#26574,#25937,.T.); -#26950=EDGE_CURVE('',#26576,#26578,#25741,.T.); -#26952=EDGE_CURVE('',#26580,#26578,#25827,.T.); -#26954=EDGE_CURVE('',#26580,#26582,#25749,.T.); -#26956=EDGE_CURVE('',#26582,#26584,#25756,.T.); -#26958=EDGE_CURVE('',#26584,#26548,#25764,.T.); -#26962=ADVANCED_FACE('',(#26961),#26910,.T.); -#26968=EDGE_CURVE('',#26528,#26548,#25785,.T.); -#26975=ADVANCED_FACE('',(#26974),#26967,.F.); -#26983=EDGE_CURVE('',#26584,#26530,#25792,.T.); -#26988=ADVANCED_FACE('',(#26987),#26980,.F.); -#26996=EDGE_CURVE('',#26586,#26582,#25820,.T.); -#26998=EDGE_CURVE('',#26586,#26588,#25799,.T.); -#27000=EDGE_CURVE('',#26532,#26588,#26046,.T.); -#27005=ADVANCED_FACE('',(#27004),#26993,.F.); -#27012=EDGE_CURVE('',#26580,#26590,#25813,.T.); -#27014=EDGE_CURVE('',#26586,#26590,#25879,.T.); -#27019=ADVANCED_FACE('',(#27018),#27010,.F.); -#27025=EDGE_CURVE('',#26592,#26578,#25834,.T.); -#27027=EDGE_CURVE('',#26590,#26592,#25886,.T.); -#27033=ADVANCED_FACE('',(#27032),#27024,.F.); -#27039=EDGE_CURVE('',#26592,#26594,#25894,.T.); -#27043=EDGE_CURVE('',#26576,#26594,#25841,.T.); -#27047=ADVANCED_FACE('',(#27046),#27038,.T.); -#27053=EDGE_CURVE('',#26596,#26516,#25848,.T.); -#27056=EDGE_CURVE('',#26514,#26598,#25856,.T.); -#27058=EDGE_CURVE('',#26600,#26598,#26104,.T.); -#27060=EDGE_CURVE('',#26600,#26602,#25863,.T.); -#27062=EDGE_CURVE('',#26604,#26602,#25995,.T.); -#27064=EDGE_CURVE('',#26604,#26588,#25871,.T.); -#27070=EDGE_CURVE('',#26606,#26594,#25930,.T.); -#27072=EDGE_CURVE('',#26606,#26596,#25902,.T.); -#27076=ADVANCED_FACE('',(#27075),#27052,.T.); -#27084=EDGE_CURVE('',#26572,#26596,#25916,.T.); -#27089=ADVANCED_FACE('',(#27088),#27081,.F.); -#27097=EDGE_CURVE('',#26606,#26574,#25923,.T.); -#27102=ADVANCED_FACE('',(#27101),#27094,.T.); -#27114=ADVANCED_FACE('',(#27113),#27107,.F.); -#27121=EDGE_CURVE('',#26608,#26512,#25967,.T.); -#27123=EDGE_CURVE('',#26608,#26598,#25951,.T.); -#27128=ADVANCED_FACE('',(#27127),#27119,.T.); -#27134=EDGE_CURVE('',#26494,#26510,#25981,.T.); -#27137=EDGE_CURVE('',#26492,#26610,#25959,.T.); -#27139=EDGE_CURVE('',#26608,#26610,#26060,.T.); -#27145=ADVANCED_FACE('',(#27144),#27133,.T.); -#27155=EDGE_CURVE('',#26604,#26534,#26053,.T.); -#27158=EDGE_CURVE('',#26602,#26612,#26002,.T.); -#27160=EDGE_CURVE('',#26612,#26614,#26010,.T.); -#27162=EDGE_CURVE('',#26614,#26616,#26017,.T.); -#27164=EDGE_CURVE('',#26616,#26618,#26025,.T.); -#27166=EDGE_CURVE('',#26618,#26620,#26032,.T.); -#27168=EDGE_CURVE('',#26620,#26622,#26039,.T.); -#27170=EDGE_CURVE('',#26536,#26622,#26270,.T.); -#27175=ADVANCED_FACE('',(#27174),#27150,.F.); -#27187=ADVANCED_FACE('',(#27186),#27180,.F.); -#27194=EDGE_CURVE('',#26624,#26600,#26097,.T.); -#27196=EDGE_CURVE('',#26612,#26624,#26200,.T.); -#27201=ADVANCED_FACE('',(#27200),#27192,.F.); -#27209=EDGE_CURVE('',#26626,#26610,#26111,.T.); -#27211=EDGE_CURVE('',#26626,#26628,#26067,.T.); -#27213=EDGE_CURVE('',#26630,#26628,#26172,.T.); -#27215=EDGE_CURVE('',#26630,#26632,#26075,.T.); -#27217=EDGE_CURVE('',#26632,#26634,#26082,.T.); -#27219=EDGE_CURVE('',#26634,#26624,#26090,.T.); -#27225=ADVANCED_FACE('',(#27224),#27206,.T.); -#27234=EDGE_CURVE('',#26626,#26490,#26126,.T.); -#27238=ADVANCED_FACE('',(#27237),#27230,.T.); -#27244=EDGE_CURVE('',#26628,#26620,#26179,.T.); -#27249=EDGE_CURVE('',#26488,#26636,#26133,.T.); -#27251=EDGE_CURVE('',#26636,#26638,#26141,.T.); -#27253=EDGE_CURVE('',#26640,#26638,#26242,.T.); -#27255=EDGE_CURVE('',#26640,#26642,#26149,.T.); -#27257=EDGE_CURVE('',#26642,#26542,#26157,.T.); -#27260=EDGE_CURVE('',#26540,#26622,#26165,.T.); -#27265=ADVANCED_FACE('',(#27264),#27243,.T.); -#27274=EDGE_CURVE('',#26630,#26618,#26186,.T.); -#27278=ADVANCED_FACE('',(#27277),#27270,.F.); -#27286=EDGE_CURVE('',#26616,#26632,#26193,.T.); -#27291=ADVANCED_FACE('',(#27290),#27283,.F.); -#27299=EDGE_CURVE('',#26634,#26614,#26207,.T.); -#27304=ADVANCED_FACE('',(#27303),#27296,.F.); -#27316=ADVANCED_FACE('',(#27315),#27309,.F.); -#27325=EDGE_CURVE('',#26636,#26556,#26221,.T.); -#27329=ADVANCED_FACE('',(#27328),#27321,.F.); -#27338=EDGE_CURVE('',#26554,#26638,#26228,.T.); -#27342=ADVANCED_FACE('',(#27341),#27334,.T.); -#27350=EDGE_CURVE('',#26640,#26552,#26256,.T.); -#27355=ADVANCED_FACE('',(#27354),#27347,.F.); -#27361=EDGE_CURVE('',#26550,#26642,#26249,.T.); -#27368=ADVANCED_FACE('',(#27367),#27360,.T.); -#27380=ADVANCED_FACE('',(#27379),#27373,.F.); -#27392=ADVANCED_FACE('',(#27391),#27385,.F.); -#27401=EDGE_CURVE('',#26466,#26558,#26291,.T.); -#27405=ADVANCED_FACE('',(#27404),#27397,.F.); -#27411=EDGE_CURVE('',#26560,#26464,#26312,.T.); -#27418=ADVANCED_FACE('',(#27417),#27410,.F.); -#27425=EDGE_CURVE('',#26462,#26562,#26305,.T.); -#27431=ADVANCED_FACE('',(#27430),#27423,.T.); -#27439=EDGE_CURVE('',#26564,#26460,#26326,.T.); -#27444=ADVANCED_FACE('',(#27443),#27436,.F.); -#27452=EDGE_CURVE('',#26458,#26566,#26333,.T.); -#27457=ADVANCED_FACE('',(#27456),#27449,.T.); -#27465=EDGE_CURVE('',#26568,#26456,#26347,.T.); -#27470=ADVANCED_FACE('',(#27469),#27462,.F.); -#27479=EDGE_CURVE('',#26454,#26570,#26354,.T.); -#27483=ADVANCED_FACE('',(#27482),#27475,.F.); -#27495=ADVANCED_FACE('',(#27494),#27488,.F.); -#27502=EDGE_CURVE('',#26469,#26644,#26382,.T.); -#27504=EDGE_CURVE('',#26646,#26644,#26397,.T.); -#27506=EDGE_CURVE('',#26470,#26646,#26389,.T.); -#27510=ADVANCED_FACE('',(#27509),#27500,.F.); -#27518=EDGE_CURVE('',#26644,#26646,#26405,.T.); -#27523=ADVANCED_FACE('',(#27522),#27515,.F.); -#27533=ADVANCED_FACE('',(#27532),#27528,.T.); -#27540=EDGE_CURVE('',#26473,#26648,#26412,.T.); -#27542=EDGE_CURVE('',#26650,#26648,#26427,.T.); -#27544=EDGE_CURVE('',#26474,#26650,#26419,.T.); -#27548=ADVANCED_FACE('',(#27547),#27538,.F.); -#27556=EDGE_CURVE('',#26648,#26650,#26435,.T.); -#27561=ADVANCED_FACE('',(#27560),#27553,.F.); -#27571=ADVANCED_FACE('',(#27570),#27566,.T.); -#27580=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#27581=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); -#27584=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); -#27586=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( -#27585))GLOBAL_UNIT_ASSIGNED_CONTEXT((#27580,#27583,#27584))REPRESENTATION_CONTEXT('ID3','3')); -#27590=AXIS2_PLACEMENT_3D('',#27587,#27588,#27589); -#27591=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#27590,#27573),#27586); -#27596=PRODUCT_DEFINITION('part definition','',#27595,#27592); -#27597=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR K20430001.',#27596); -#27598=SHAPE_ASPECT('','solid data associated with K20430001',#27597,.F.); -#27599=PROPERTY_DEFINITION('', -'shape for solid data with which properties are associated',#27598); -#27600=SHAPE_REPRESENTATION('',(#27573),#27586); -#27601=SHAPE_DEFINITION_REPRESENTATION(#27599,#27600); -#27602=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#27606=PROPERTY_DEFINITION('geometric validation property','area of K20430001', -#27598); -#27607=REPRESENTATION('surface area',(#27605),#27586); -#27608=PROPERTY_DEFINITION_REPRESENTATION(#27606,#27607); -#27609=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#27613=PROPERTY_DEFINITION('geometric validation property', -'volume of K20430001',#27598); -#27614=REPRESENTATION('volume',(#27612),#27586); -#27615=PROPERTY_DEFINITION_REPRESENTATION(#27613,#27614); -#27617=PROPERTY_DEFINITION('geometric validation property', -'centroid of K20430001',#27598); -#27618=REPRESENTATION('centroid',(#27616),#27586); -#27619=PROPERTY_DEFINITION_REPRESENTATION(#27617,#27618); -#27620=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#27624=PROPERTY_DEFINITION('geometric validation property','area of K20430001', -#27597); -#27625=REPRESENTATION('surface area',(#27623),#27586); -#27626=PROPERTY_DEFINITION_REPRESENTATION(#27624,#27625); -#27627=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#27631=PROPERTY_DEFINITION('geometric validation property', -'volume of K20430001',#27597); -#27632=REPRESENTATION('volume',(#27630),#27586); -#27633=PROPERTY_DEFINITION_REPRESENTATION(#27631,#27632); -#27635=PROPERTY_DEFINITION('geometric validation property', -'centroid of K20430001',#27597); -#27636=REPRESENTATION('centroid',(#27634),#27586); -#27637=PROPERTY_DEFINITION_REPRESENTATION(#27635,#27636); -#27638=SHAPE_DEFINITION_REPRESENTATION(#27597,#27591); -#27639=NEXT_ASSEMBLY_USAGE_OCCURRENCE('2','Next assembly relationship', -'K204\X2\5C4F5E55652F67B6\X0\3',#27667,#27596,$); -#27640=PRODUCT_DEFINITION_SHAPE('Placement #2', -'Placement of K20430001 with respect to S3-SHELL-0926_ASM',#27639); -#27642=PROPERTY_DEFINITION('geometric validation property', -'centroid of K204\X2\5C4F5E55652F67B6\X0\3',#27640); -#27643=REPRESENTATION('centroid',(#27641),#27586); -#27644=PROPERTY_DEFINITION_REPRESENTATION(#27642,#27643); -#27652=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#27653=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); -#27656=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); -#27658=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( -#27657))GLOBAL_UNIT_ASSIGNED_CONTEXT((#27652,#27655,#27656))REPRESENTATION_CONTEXT('ID4','3')); -#27662=AXIS2_PLACEMENT_3D('',#27659,#27660,#27661); -#27667=PRODUCT_DEFINITION('part definition','',#27666,#27592); -#27668=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#27672=PROPERTY_DEFINITION('geometric validation property', -'area of S3-SHELL-0926_ASM',#24862); -#27673=REPRESENTATION('surface area',(#27671),#27658); -#27674=PROPERTY_DEFINITION_REPRESENTATION(#27672,#27673); -#27675=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#27679=PROPERTY_DEFINITION('geometric validation property', -'volume of S3-SHELL-0926_ASM',#24862); -#27680=REPRESENTATION('volume',(#27678),#27658); -#27681=PROPERTY_DEFINITION_REPRESENTATION(#27679,#27680); -#27683=PROPERTY_DEFINITION('geometric validation property', -'centroid of S3-SHELL-0926_ASM',#24862); -#27684=REPRESENTATION('centroid',(#27682),#27658); -#27685=PROPERTY_DEFINITION_REPRESENTATION(#27683,#27684); -ENDSEC; -END-ISO-10303-21; +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('S3-SHELL-0926_ASM','2023-11-23T10:10:03',('HR'),(''), +'CREO PARAMETRIC BY PTC INC, 2019010','CREO PARAMETRIC BY PTC INC, 2019010',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#52=CARTESIAN_POINT('',(-1.175250871665E1,5.9782208E1,0.E0)); +#53=DIRECTION('',(0.E0,0.E0,-1.E0)); +#54=DIRECTION('',(-9.999999195423E-1,-4.011425037262E-4,0.E0)); +#55=AXIS2_PLACEMENT_3D('',#52,#53,#54); +#57=DIRECTION('',(1.E0,0.E0,0.E0)); +#58=VECTOR('',#57,2.350520218159E1); +#59=CARTESIAN_POINT('',(-1.175250871665E1,6.0782208E1,0.E0)); +#60=LINE('',#59,#58); +#61=CARTESIAN_POINT('',(1.175269346494E1,5.9782208E1,0.E0)); +#62=DIRECTION('',(0.E0,0.E0,-1.E0)); +#63=DIRECTION('',(0.E0,1.E0,0.E0)); +#64=AXIS2_PLACEMENT_3D('',#61,#62,#63); +#66=DIRECTION('',(-2.164513703807E-4,-9.999999765744E-1,0.E0)); +#67=VECTOR('',#66,5.878220937690E1); +#68=CARTESIAN_POINT('',(1.275269344151E1,5.978199154878E1,0.E0)); +#69=LINE('',#68,#67); +#70=CARTESIAN_POINT('',(1.173996997514E1,1.E0,0.E0)); +#71=DIRECTION('',(0.E0,0.E0,-1.E0)); +#72=DIRECTION('',(9.999999765745E-1,-2.164507590423E-4,0.E0)); +#73=AXIS2_PLACEMENT_3D('',#70,#71,#72); +#75=DIRECTION('',(-1.E0,0.E0,0.E0)); +#76=VECTOR('',#75,2.346889864183E1); +#77=CARTESIAN_POINT('',(1.173996997514E1,0.E0,0.E0)); +#78=LINE('',#77,#76); +#79=CARTESIAN_POINT('',(-1.172892866669E1,1.E0,0.E0)); +#80=DIRECTION('',(0.E0,0.E0,-1.E0)); +#81=DIRECTION('',(0.E0,-1.E0,0.E0)); +#82=AXIS2_PLACEMENT_3D('',#79,#80,#81); +#84=DIRECTION('',(-4.011426052650E-4,9.999999195423E-1,0.E0)); +#85=VECTOR('',#84,5.878221272953E1); +#86=CARTESIAN_POINT('',(-1.272892858623E1,9.995988573916E-1,0.E0)); +#87=LINE('',#86,#85); +#88=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,0.E0)); +#89=DIRECTION('',(0.E0,0.E0,1.E0)); +#90=DIRECTION('',(1.E0,0.E0,0.E0)); +#91=AXIS2_PLACEMENT_3D('',#88,#89,#90); +#93=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,0.E0)); +#94=DIRECTION('',(0.E0,0.E0,1.E0)); +#95=DIRECTION('',(-1.E0,0.E0,0.E0)); +#96=AXIS2_PLACEMENT_3D('',#93,#94,#95); +#98=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,0.E0)); +#99=DIRECTION('',(0.E0,0.E0,1.E0)); +#100=DIRECTION('',(1.E0,0.E0,0.E0)); +#101=AXIS2_PLACEMENT_3D('',#98,#99,#100); +#103=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,0.E0)); +#104=DIRECTION('',(0.E0,0.E0,1.E0)); +#105=DIRECTION('',(-1.E0,0.E0,0.E0)); +#106=AXIS2_PLACEMENT_3D('',#103,#104,#105); +#108=DIRECTION('',(9.999694801760E-1,7.812727857952E-3,0.E0)); +#109=VECTOR('',#108,2.150068619717E0); +#110=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098999E0,0.E0)); +#111=LINE('',#110,#109); +#112=DIRECTION('',(7.071017303981E-1,7.071118319389E-1,0.E0)); +#113=VECTOR('',#112,4.949740397352E-1); +#114=CARTESIAN_POINT('',(3.048024944341E0,1.166808E0,0.E0)); +#115=LINE('',#114,#113); +#116=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); +#117=VECTOR('',#116,3.535533905933E-1); +#118=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,0.E0)); +#119=LINE('',#118,#117); +#120=DIRECTION('',(9.999694801760E-1,7.812727858012E-3,0.E0)); +#121=VECTOR('',#120,2.280072587414E0); +#122=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,0.E0)); +#123=LINE('',#122,#121); +#124=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,0.E0)); +#125=DIRECTION('',(0.E0,0.E0,1.E0)); +#126=DIRECTION('',(4.986703344629E-1,-8.667917267295E-1,0.E0)); +#127=AXIS2_PLACEMENT_3D('',#124,#125,#126); +#129=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,0.E0)); +#130=DIRECTION('',(0.E0,0.E0,1.E0)); +#131=DIRECTION('',(1.E0,0.E0,0.E0)); +#132=AXIS2_PLACEMENT_3D('',#129,#130,#131); +#134=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,0.E0)); +#135=DIRECTION('',(0.E0,0.E0,1.E0)); +#136=DIRECTION('',(-1.E0,0.E0,0.E0)); +#137=AXIS2_PLACEMENT_3D('',#134,#135,#136); +#139=CARTESIAN_POINT('',(9.667618444340E0,1.2012405E1,0.E0)); +#140=DIRECTION('',(0.E0,0.E0,1.E0)); +#141=DIRECTION('',(4.532352195290E-1,8.913909556297E-1,0.E0)); +#142=AXIS2_PLACEMENT_3D('',#139,#140,#141); +#144=CARTESIAN_POINT('',(9.667618444340E0,1.2012405E1,0.E0)); +#145=DIRECTION('',(0.E0,0.E0,1.E0)); +#146=DIRECTION('',(-1.E0,0.E0,0.E0)); +#147=AXIS2_PLACEMENT_3D('',#144,#145,#146); +#149=CARTESIAN_POINT('',(9.667620944340E0,8.612409E0,0.E0)); +#150=DIRECTION('',(0.E0,0.E0,1.E0)); +#151=DIRECTION('',(4.532329563769E-1,8.913921063448E-1,0.E0)); +#152=AXIS2_PLACEMENT_3D('',#149,#150,#151); +#154=CARTESIAN_POINT('',(9.667620944340E0,8.612409E0,0.E0)); +#155=DIRECTION('',(0.E0,0.E0,1.E0)); +#156=DIRECTION('',(-1.E0,0.E0,0.E0)); +#157=AXIS2_PLACEMENT_3D('',#154,#155,#156); +#159=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,0.E0)); +#160=DIRECTION('',(0.E0,0.E0,1.E0)); +#161=DIRECTION('',(-8.133385957596E-1,5.817906226881E-1,0.E0)); +#162=AXIS2_PLACEMENT_3D('',#159,#160,#161); +#164=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,0.E0)); +#165=DIRECTION('',(0.E0,0.E0,1.E0)); +#166=DIRECTION('',(-1.E0,0.E0,0.E0)); +#167=AXIS2_PLACEMENT_3D('',#164,#165,#166); +#169=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,0.E0)); +#170=DIRECTION('',(0.E0,0.E0,1.E0)); +#171=DIRECTION('',(1.E0,0.E0,0.E0)); +#172=AXIS2_PLACEMENT_3D('',#169,#170,#171); +#174=DIRECTION('',(1.E0,0.E0,0.E0)); +#175=VECTOR('',#174,1.6764E0); +#176=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,0.E0)); +#177=LINE('',#176,#175); +#178=DIRECTION('',(-1.E0,0.E0,0.E0)); +#179=VECTOR('',#178,1.6764E0); +#180=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,0.E0)); +#181=LINE('',#180,#179); +#182=DIRECTION('',(1.E0,0.E0,0.E0)); +#183=VECTOR('',#182,1.6764E0); +#184=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,0.E0)); +#185=LINE('',#184,#183); +#186=DIRECTION('',(-1.E0,0.E0,0.E0)); +#187=VECTOR('',#186,1.6764E0); +#188=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,0.E0)); +#189=LINE('',#188,#187); +#190=DIRECTION('',(1.E0,0.E0,0.E0)); +#191=VECTOR('',#190,1.6764E0); +#192=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,0.E0)); +#193=LINE('',#192,#191); +#194=DIRECTION('',(-1.E0,0.E0,0.E0)); +#195=VECTOR('',#194,1.6764E0); +#196=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,0.E0)); +#197=LINE('',#196,#195); +#198=DIRECTION('',(1.E0,0.E0,0.E0)); +#199=VECTOR('',#198,1.6764E0); +#200=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,0.E0)); +#201=LINE('',#200,#199); +#202=DIRECTION('',(-1.E0,0.E0,0.E0)); +#203=VECTOR('',#202,1.6764E0); +#204=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,0.E0)); +#205=LINE('',#204,#203); +#206=DIRECTION('',(-1.E0,0.E0,0.E0)); +#207=VECTOR('',#206,1.6764E0); +#208=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,0.E0)); +#209=LINE('',#208,#207); +#210=DIRECTION('',(1.E0,0.E0,0.E0)); +#211=VECTOR('',#210,1.6764E0); +#212=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,0.E0)); +#213=LINE('',#212,#211); +#214=DIRECTION('',(-1.E0,0.E0,0.E0)); +#215=VECTOR('',#214,1.6764E0); +#216=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,0.E0)); +#217=LINE('',#216,#215); +#218=DIRECTION('',(1.E0,0.E0,0.E0)); +#219=VECTOR('',#218,1.6764E0); +#220=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,0.E0)); +#221=LINE('',#220,#219); +#222=DIRECTION('',(-1.E0,0.E0,0.E0)); +#223=VECTOR('',#222,1.6764E0); +#224=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,0.E0)); +#225=LINE('',#224,#223); +#226=DIRECTION('',(1.E0,0.E0,0.E0)); +#227=VECTOR('',#226,1.6764E0); +#228=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,0.E0)); +#229=LINE('',#228,#227); +#230=DIRECTION('',(-1.E0,0.E0,0.E0)); +#231=VECTOR('',#230,1.6764E0); +#232=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,0.E0)); +#233=LINE('',#232,#231); +#234=DIRECTION('',(1.E0,0.E0,0.E0)); +#235=VECTOR('',#234,1.6764E0); +#236=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,0.E0)); +#237=LINE('',#236,#235); +#238=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,0.E0)); +#239=DIRECTION('',(0.E0,0.E0,1.E0)); +#240=DIRECTION('',(1.E0,0.E0,0.E0)); +#241=AXIS2_PLACEMENT_3D('',#238,#239,#240); +#243=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,0.E0)); +#244=DIRECTION('',(0.E0,0.E0,1.E0)); +#245=DIRECTION('',(-1.E0,0.E0,0.E0)); +#246=AXIS2_PLACEMENT_3D('',#243,#244,#245); +#248=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,0.E0)); +#249=DIRECTION('',(0.E0,0.E0,1.E0)); +#250=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); +#251=AXIS2_PLACEMENT_3D('',#248,#249,#250); +#253=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,0.E0)); +#254=DIRECTION('',(0.E0,0.E0,1.E0)); +#255=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); +#256=AXIS2_PLACEMENT_3D('',#253,#254,#255); +#258=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,0.E0)); +#259=DIRECTION('',(0.E0,0.E0,1.E0)); +#260=DIRECTION('',(1.E0,0.E0,0.E0)); +#261=AXIS2_PLACEMENT_3D('',#258,#259,#260); +#263=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,0.E0)); +#264=DIRECTION('',(0.E0,0.E0,1.E0)); +#265=DIRECTION('',(-1.E0,0.E0,0.E0)); +#266=AXIS2_PLACEMENT_3D('',#263,#264,#265); +#268=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,0.E0)); +#269=DIRECTION('',(0.E0,0.E0,1.E0)); +#270=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); +#271=AXIS2_PLACEMENT_3D('',#268,#269,#270); +#273=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,0.E0)); +#274=DIRECTION('',(0.E0,0.E0,1.E0)); +#275=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); +#276=AXIS2_PLACEMENT_3D('',#273,#274,#275); +#278=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,0.E0)); +#279=DIRECTION('',(0.E0,0.E0,1.E0)); +#280=DIRECTION('',(1.E0,0.E0,0.E0)); +#281=AXIS2_PLACEMENT_3D('',#278,#279,#280); +#283=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,0.E0)); +#284=DIRECTION('',(0.E0,0.E0,1.E0)); +#285=DIRECTION('',(-1.E0,0.E0,0.E0)); +#286=AXIS2_PLACEMENT_3D('',#283,#284,#285); +#288=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,0.E0)); +#289=DIRECTION('',(0.E0,0.E0,1.E0)); +#290=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); +#291=AXIS2_PLACEMENT_3D('',#288,#289,#290); +#293=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,0.E0)); +#294=DIRECTION('',(0.E0,0.E0,1.E0)); +#295=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); +#296=AXIS2_PLACEMENT_3D('',#293,#294,#295); +#298=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,0.E0)); +#299=DIRECTION('',(0.E0,0.E0,1.E0)); +#300=DIRECTION('',(1.E0,0.E0,0.E0)); +#301=AXIS2_PLACEMENT_3D('',#298,#299,#300); +#303=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,0.E0)); +#304=DIRECTION('',(0.E0,0.E0,1.E0)); +#305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#306=AXIS2_PLACEMENT_3D('',#303,#304,#305); +#308=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,0.E0)); +#309=DIRECTION('',(0.E0,0.E0,1.E0)); +#310=DIRECTION('',(1.E0,0.E0,0.E0)); +#311=AXIS2_PLACEMENT_3D('',#308,#309,#310); +#313=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,0.E0)); +#314=DIRECTION('',(0.E0,0.E0,1.E0)); +#315=DIRECTION('',(-1.E0,0.E0,0.E0)); +#316=AXIS2_PLACEMENT_3D('',#313,#314,#315); +#318=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,0.E0)); +#319=DIRECTION('',(0.E0,0.E0,1.E0)); +#320=DIRECTION('',(1.E0,0.E0,0.E0)); +#321=AXIS2_PLACEMENT_3D('',#318,#319,#320); +#323=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,0.E0)); +#324=DIRECTION('',(0.E0,0.E0,1.E0)); +#325=DIRECTION('',(-1.E0,0.E0,0.E0)); +#326=AXIS2_PLACEMENT_3D('',#323,#324,#325); +#328=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,0.E0)); +#329=DIRECTION('',(0.E0,0.E0,1.E0)); +#330=DIRECTION('',(1.E0,0.E0,0.E0)); +#331=AXIS2_PLACEMENT_3D('',#328,#329,#330); +#333=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,0.E0)); +#334=DIRECTION('',(0.E0,0.E0,1.E0)); +#335=DIRECTION('',(-1.E0,0.E0,0.E0)); +#336=AXIS2_PLACEMENT_3D('',#333,#334,#335); +#338=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,0.E0)); +#339=DIRECTION('',(0.E0,0.E0,1.E0)); +#340=DIRECTION('',(1.E0,0.E0,0.E0)); +#341=AXIS2_PLACEMENT_3D('',#338,#339,#340); +#343=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,0.E0)); +#344=DIRECTION('',(0.E0,0.E0,1.E0)); +#345=DIRECTION('',(-1.E0,0.E0,0.E0)); +#346=AXIS2_PLACEMENT_3D('',#343,#344,#345); +#348=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,0.E0)); +#349=DIRECTION('',(0.E0,0.E0,1.E0)); +#350=DIRECTION('',(1.E0,0.E0,0.E0)); +#351=AXIS2_PLACEMENT_3D('',#348,#349,#350); +#353=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,0.E0)); +#354=DIRECTION('',(0.E0,0.E0,1.E0)); +#355=DIRECTION('',(-1.E0,0.E0,0.E0)); +#356=AXIS2_PLACEMENT_3D('',#353,#354,#355); +#358=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,0.E0)); +#359=DIRECTION('',(0.E0,0.E0,1.E0)); +#360=DIRECTION('',(1.E0,0.E0,0.E0)); +#361=AXIS2_PLACEMENT_3D('',#358,#359,#360); +#363=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,0.E0)); +#364=DIRECTION('',(0.E0,0.E0,1.E0)); +#365=DIRECTION('',(-1.E0,0.E0,0.E0)); +#366=AXIS2_PLACEMENT_3D('',#363,#364,#365); +#368=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,0.E0)); +#369=DIRECTION('',(0.E0,0.E0,1.E0)); +#370=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); +#371=AXIS2_PLACEMENT_3D('',#368,#369,#370); +#373=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,0.E0)); +#374=DIRECTION('',(0.E0,0.E0,1.E0)); +#375=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); +#376=AXIS2_PLACEMENT_3D('',#373,#374,#375); +#378=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,0.E0)); +#379=DIRECTION('',(0.E0,0.E0,1.E0)); +#380=DIRECTION('',(1.E0,0.E0,0.E0)); +#381=AXIS2_PLACEMENT_3D('',#378,#379,#380); +#383=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,0.E0)); +#384=DIRECTION('',(0.E0,0.E0,1.E0)); +#385=DIRECTION('',(-1.E0,0.E0,0.E0)); +#386=AXIS2_PLACEMENT_3D('',#383,#384,#385); +#388=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,0.E0)); +#389=DIRECTION('',(0.E0,0.E0,1.E0)); +#390=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); +#391=AXIS2_PLACEMENT_3D('',#388,#389,#390); +#393=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,0.E0)); +#394=DIRECTION('',(0.E0,0.E0,1.E0)); +#395=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); +#396=AXIS2_PLACEMENT_3D('',#393,#394,#395); +#398=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,0.E0)); +#399=DIRECTION('',(0.E0,0.E0,1.E0)); +#400=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); +#401=AXIS2_PLACEMENT_3D('',#398,#399,#400); +#403=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,0.E0)); +#404=DIRECTION('',(0.E0,0.E0,1.E0)); +#405=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); +#406=AXIS2_PLACEMENT_3D('',#403,#404,#405); +#408=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,0.E0)); +#409=DIRECTION('',(0.E0,0.E0,1.E0)); +#410=DIRECTION('',(1.E0,0.E0,0.E0)); +#411=AXIS2_PLACEMENT_3D('',#408,#409,#410); +#413=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,0.E0)); +#414=DIRECTION('',(0.E0,0.E0,1.E0)); +#415=DIRECTION('',(-1.E0,0.E0,0.E0)); +#416=AXIS2_PLACEMENT_3D('',#413,#414,#415); +#418=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,0.E0)); +#419=DIRECTION('',(0.E0,0.E0,1.E0)); +#420=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); +#421=AXIS2_PLACEMENT_3D('',#418,#419,#420); +#423=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,0.E0)); +#424=DIRECTION('',(0.E0,0.E0,1.E0)); +#425=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); +#426=AXIS2_PLACEMENT_3D('',#423,#424,#425); +#428=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,0.E0)); +#429=DIRECTION('',(0.E0,0.E0,1.E0)); +#430=DIRECTION('',(1.E0,0.E0,0.E0)); +#431=AXIS2_PLACEMENT_3D('',#428,#429,#430); +#433=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,0.E0)); +#434=DIRECTION('',(0.E0,0.E0,1.E0)); +#435=DIRECTION('',(-1.E0,0.E0,0.E0)); +#436=AXIS2_PLACEMENT_3D('',#433,#434,#435); +#438=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,0.E0)); +#439=DIRECTION('',(0.E0,0.E0,1.E0)); +#440=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); +#441=AXIS2_PLACEMENT_3D('',#438,#439,#440); +#443=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,0.E0)); +#444=DIRECTION('',(0.E0,0.E0,1.E0)); +#445=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); +#446=AXIS2_PLACEMENT_3D('',#443,#444,#445); +#448=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,0.E0)); +#449=DIRECTION('',(0.E0,0.E0,1.E0)); +#450=DIRECTION('',(1.E0,0.E0,0.E0)); +#451=AXIS2_PLACEMENT_3D('',#448,#449,#450); +#453=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,0.E0)); +#454=DIRECTION('',(0.E0,0.E0,1.E0)); +#455=DIRECTION('',(-1.E0,0.E0,0.E0)); +#456=AXIS2_PLACEMENT_3D('',#453,#454,#455); +#458=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,0.E0)); +#459=DIRECTION('',(0.E0,0.E0,1.E0)); +#460=DIRECTION('',(1.E0,0.E0,0.E0)); +#461=AXIS2_PLACEMENT_3D('',#458,#459,#460); +#463=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,0.E0)); +#464=DIRECTION('',(0.E0,0.E0,1.E0)); +#465=DIRECTION('',(-1.E0,0.E0,0.E0)); +#466=AXIS2_PLACEMENT_3D('',#463,#464,#465); +#468=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,0.E0)); +#469=DIRECTION('',(0.E0,0.E0,1.E0)); +#470=DIRECTION('',(1.E0,0.E0,0.E0)); +#471=AXIS2_PLACEMENT_3D('',#468,#469,#470); +#473=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,0.E0)); +#474=DIRECTION('',(0.E0,0.E0,1.E0)); +#475=DIRECTION('',(-1.E0,0.E0,0.E0)); +#476=AXIS2_PLACEMENT_3D('',#473,#474,#475); +#478=DIRECTION('',(0.E0,0.E0,1.E0)); +#479=VECTOR('',#478,1.2E0); +#480=CARTESIAN_POINT('',(-1.175250871665E1,6.0782208E1,-1.2E0)); +#481=LINE('',#480,#479); +#482=DIRECTION('',(-7.238654120556E-13,-1.662077882732E-11,-1.E0)); +#483=VECTOR('',#482,1.2E0); +#484=CARTESIAN_POINT('',(-1.275250863619E1,5.978180685744E1,0.E0)); +#485=LINE('',#484,#483); +#486=CARTESIAN_POINT('',(1.173996997514E1,1.E0,-1.2E0)); +#487=DIRECTION('',(0.E0,0.E0,1.E0)); +#488=DIRECTION('',(0.E0,-1.E0,0.E0)); +#489=AXIS2_PLACEMENT_3D('',#486,#487,#488); +#491=CARTESIAN_POINT('',(1.175269346494E1,5.9782208E1,-1.2E0)); +#492=DIRECTION('',(0.E0,0.E0,1.E0)); +#493=DIRECTION('',(9.999999765744E-1,-2.164511552891E-4,0.E0)); +#494=AXIS2_PLACEMENT_3D('',#491,#492,#493); +#496=CARTESIAN_POINT('',(-1.175250871665E1,5.9782208E1,-1.2E0)); +#497=DIRECTION('',(0.E0,0.E0,1.E0)); +#498=DIRECTION('',(0.E0,1.E0,0.E0)); +#499=AXIS2_PLACEMENT_3D('',#496,#497,#498); +#501=DIRECTION('',(4.011426052650E-4,-9.999999195423E-1,0.E0)); +#502=VECTOR('',#501,5.878221272949E1); +#503=CARTESIAN_POINT('',(-1.275250863619E1,5.978180685742E1,-1.2E0)); +#504=LINE('',#503,#502); +#505=CARTESIAN_POINT('',(-1.172892866669E1,1.E0,-1.2E0)); +#506=DIRECTION('',(0.E0,0.E0,1.E0)); +#507=DIRECTION('',(-9.999999195423E-1,-4.011425828166E-4,0.E0)); +#508=AXIS2_PLACEMENT_3D('',#505,#506,#507); +#510=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,-1.2E0)); +#511=DIRECTION('',(0.E0,0.E0,-1.E0)); +#512=DIRECTION('',(-1.E0,0.E0,0.E0)); +#513=AXIS2_PLACEMENT_3D('',#510,#511,#512); +#515=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,-1.2E0)); +#516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#517=DIRECTION('',(1.E0,0.E0,0.E0)); +#518=AXIS2_PLACEMENT_3D('',#515,#516,#517); +#520=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,-1.2E0)); +#521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#523=AXIS2_PLACEMENT_3D('',#520,#521,#522); +#525=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,-1.2E0)); +#526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#527=DIRECTION('',(1.E0,0.E0,0.E0)); +#528=AXIS2_PLACEMENT_3D('',#525,#526,#527); +#530=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,-1.2E0)); +#531=DIRECTION('',(0.E0,0.E0,-1.E0)); +#532=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); +#533=AXIS2_PLACEMENT_3D('',#530,#531,#532); +#535=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,-1.2E0)); +#536=DIRECTION('',(0.E0,0.E0,-1.E0)); +#537=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); +#538=AXIS2_PLACEMENT_3D('',#535,#536,#537); +#540=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,-1.2E0)); +#541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#542=DIRECTION('',(-1.E0,0.E0,0.E0)); +#543=AXIS2_PLACEMENT_3D('',#540,#541,#542); +#545=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,-1.2E0)); +#546=DIRECTION('',(0.E0,0.E0,-1.E0)); +#547=DIRECTION('',(1.E0,0.E0,0.E0)); +#548=AXIS2_PLACEMENT_3D('',#545,#546,#547); +#550=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,-1.2E0)); +#551=DIRECTION('',(0.E0,0.E0,-1.E0)); +#552=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); +#553=AXIS2_PLACEMENT_3D('',#550,#551,#552); +#555=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,-1.2E0)); +#556=DIRECTION('',(0.E0,0.E0,-1.E0)); +#557=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); +#558=AXIS2_PLACEMENT_3D('',#555,#556,#557); +#560=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,-1.2E0)); +#561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#562=DIRECTION('',(-1.E0,0.E0,0.E0)); +#563=AXIS2_PLACEMENT_3D('',#560,#561,#562); +#565=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,-1.2E0)); +#566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#567=DIRECTION('',(1.E0,0.E0,0.E0)); +#568=AXIS2_PLACEMENT_3D('',#565,#566,#567); +#570=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,-1.2E0)); +#571=DIRECTION('',(0.E0,0.E0,-1.E0)); +#572=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); +#573=AXIS2_PLACEMENT_3D('',#570,#571,#572); +#575=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,-1.2E0)); +#576=DIRECTION('',(0.E0,0.E0,-1.E0)); +#577=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); +#578=AXIS2_PLACEMENT_3D('',#575,#576,#577); +#580=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,-1.2E0)); +#581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#583=AXIS2_PLACEMENT_3D('',#580,#581,#582); +#585=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,-1.2E0)); +#586=DIRECTION('',(0.E0,0.E0,-1.E0)); +#587=DIRECTION('',(1.E0,0.E0,0.E0)); +#588=AXIS2_PLACEMENT_3D('',#585,#586,#587); +#590=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,-1.2E0)); +#591=DIRECTION('',(0.E0,0.E0,-1.E0)); +#592=DIRECTION('',(-1.E0,0.E0,0.E0)); +#593=AXIS2_PLACEMENT_3D('',#590,#591,#592); +#595=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,-1.2E0)); +#596=DIRECTION('',(0.E0,0.E0,-1.E0)); +#597=DIRECTION('',(1.E0,0.E0,0.E0)); +#598=AXIS2_PLACEMENT_3D('',#595,#596,#597); +#600=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,-1.2E0)); +#601=DIRECTION('',(0.E0,0.E0,-1.E0)); +#602=DIRECTION('',(-1.E0,0.E0,0.E0)); +#603=AXIS2_PLACEMENT_3D('',#600,#601,#602); +#605=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,-1.2E0)); +#606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#607=DIRECTION('',(1.E0,0.E0,0.E0)); +#608=AXIS2_PLACEMENT_3D('',#605,#606,#607); +#610=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,-1.2E0)); +#611=DIRECTION('',(0.E0,0.E0,-1.E0)); +#612=DIRECTION('',(-1.E0,0.E0,0.E0)); +#613=AXIS2_PLACEMENT_3D('',#610,#611,#612); +#615=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,-1.2E0)); +#616=DIRECTION('',(0.E0,0.E0,-1.E0)); +#617=DIRECTION('',(1.E0,0.E0,0.E0)); +#618=AXIS2_PLACEMENT_3D('',#615,#616,#617); +#620=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,-1.2E0)); +#621=DIRECTION('',(0.E0,0.E0,-1.E0)); +#622=DIRECTION('',(-1.E0,0.E0,0.E0)); +#623=AXIS2_PLACEMENT_3D('',#620,#621,#622); +#625=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,-1.2E0)); +#626=DIRECTION('',(0.E0,0.E0,-1.E0)); +#627=DIRECTION('',(1.E0,0.E0,0.E0)); +#628=AXIS2_PLACEMENT_3D('',#625,#626,#627); +#630=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,-1.2E0)); +#631=DIRECTION('',(0.E0,0.E0,-1.E0)); +#632=DIRECTION('',(-1.E0,0.E0,0.E0)); +#633=AXIS2_PLACEMENT_3D('',#630,#631,#632); +#635=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,-1.2E0)); +#636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#637=DIRECTION('',(1.E0,0.E0,0.E0)); +#638=AXIS2_PLACEMENT_3D('',#635,#636,#637); +#640=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,-1.2E0)); +#641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#642=DIRECTION('',(-1.E0,0.E0,0.E0)); +#643=AXIS2_PLACEMENT_3D('',#640,#641,#642); +#645=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,-1.2E0)); +#646=DIRECTION('',(0.E0,0.E0,-1.E0)); +#647=DIRECTION('',(1.E0,0.E0,0.E0)); +#648=AXIS2_PLACEMENT_3D('',#645,#646,#647); +#650=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,-1.2E0)); +#651=DIRECTION('',(0.E0,0.E0,-1.E0)); +#652=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); +#653=AXIS2_PLACEMENT_3D('',#650,#651,#652); +#655=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,-1.2E0)); +#656=DIRECTION('',(0.E0,0.E0,-1.E0)); +#657=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); +#658=AXIS2_PLACEMENT_3D('',#655,#656,#657); +#660=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,-1.2E0)); +#661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#662=DIRECTION('',(-1.E0,0.E0,0.E0)); +#663=AXIS2_PLACEMENT_3D('',#660,#661,#662); +#665=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,-1.2E0)); +#666=DIRECTION('',(0.E0,0.E0,-1.E0)); +#667=DIRECTION('',(1.E0,0.E0,0.E0)); +#668=AXIS2_PLACEMENT_3D('',#665,#666,#667); +#670=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,-1.2E0)); +#671=DIRECTION('',(0.E0,0.E0,-1.E0)); +#672=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); +#673=AXIS2_PLACEMENT_3D('',#670,#671,#672); +#675=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,-1.2E0)); +#676=DIRECTION('',(0.E0,0.E0,-1.E0)); +#677=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); +#678=AXIS2_PLACEMENT_3D('',#675,#676,#677); +#680=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,-1.2E0)); +#681=DIRECTION('',(0.E0,0.E0,-1.E0)); +#682=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); +#683=AXIS2_PLACEMENT_3D('',#680,#681,#682); +#685=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,-1.2E0)); +#686=DIRECTION('',(0.E0,0.E0,-1.E0)); +#687=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); +#688=AXIS2_PLACEMENT_3D('',#685,#686,#687); +#690=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,-1.2E0)); +#691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#692=DIRECTION('',(-1.E0,0.E0,0.E0)); +#693=AXIS2_PLACEMENT_3D('',#690,#691,#692); +#695=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,-1.2E0)); +#696=DIRECTION('',(0.E0,0.E0,-1.E0)); +#697=DIRECTION('',(1.E0,0.E0,0.E0)); +#698=AXIS2_PLACEMENT_3D('',#695,#696,#697); +#700=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,-1.2E0)); +#701=DIRECTION('',(0.E0,0.E0,-1.E0)); +#702=DIRECTION('',(-1.E0,-1.012747646106E-14,0.E0)); +#703=AXIS2_PLACEMENT_3D('',#700,#701,#702); +#705=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,-1.2E0)); +#706=DIRECTION('',(0.E0,0.E0,-1.E0)); +#707=DIRECTION('',(1.E0,-1.012747646106E-14,0.E0)); +#708=AXIS2_PLACEMENT_3D('',#705,#706,#707); +#710=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,-1.2E0)); +#711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#712=DIRECTION('',(-1.E0,0.E0,0.E0)); +#713=AXIS2_PLACEMENT_3D('',#710,#711,#712); +#715=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,-1.2E0)); +#716=DIRECTION('',(0.E0,0.E0,-1.E0)); +#717=DIRECTION('',(1.E0,0.E0,0.E0)); +#718=AXIS2_PLACEMENT_3D('',#715,#716,#717); +#720=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,-1.2E0)); +#721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#722=DIRECTION('',(-1.E0,1.012747646106E-14,0.E0)); +#723=AXIS2_PLACEMENT_3D('',#720,#721,#722); +#725=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,-1.2E0)); +#726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#727=DIRECTION('',(1.E0,1.012747646106E-14,0.E0)); +#728=AXIS2_PLACEMENT_3D('',#725,#726,#727); +#730=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,-1.2E0)); +#731=DIRECTION('',(0.E0,0.E0,-1.E0)); +#732=DIRECTION('',(-1.E0,0.E0,0.E0)); +#733=AXIS2_PLACEMENT_3D('',#730,#731,#732); +#735=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,-1.2E0)); +#736=DIRECTION('',(0.E0,0.E0,-1.E0)); +#737=DIRECTION('',(1.E0,0.E0,0.E0)); +#738=AXIS2_PLACEMENT_3D('',#735,#736,#737); +#740=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,-1.2E0)); +#741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#742=DIRECTION('',(-1.E0,0.E0,0.E0)); +#743=AXIS2_PLACEMENT_3D('',#740,#741,#742); +#745=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,-1.2E0)); +#746=DIRECTION('',(0.E0,0.E0,-1.E0)); +#747=DIRECTION('',(1.E0,0.E0,0.E0)); +#748=AXIS2_PLACEMENT_3D('',#745,#746,#747); +#750=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,-1.2E0)); +#751=DIRECTION('',(0.E0,0.E0,-1.E0)); +#752=DIRECTION('',(-1.E0,0.E0,0.E0)); +#753=AXIS2_PLACEMENT_3D('',#750,#751,#752); +#755=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,-1.2E0)); +#756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#757=DIRECTION('',(1.E0,0.E0,0.E0)); +#758=AXIS2_PLACEMENT_3D('',#755,#756,#757); +#760=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,-1.2E0)); +#761=DIRECTION('',(0.E0,0.E0,-1.E0)); +#762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#763=AXIS2_PLACEMENT_3D('',#760,#761,#762); +#765=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,-1.2E0)); +#766=DIRECTION('',(0.E0,0.E0,-1.E0)); +#767=DIRECTION('',(1.E0,0.E0,0.E0)); +#768=AXIS2_PLACEMENT_3D('',#765,#766,#767); +#770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#771=VECTOR('',#770,7.203201E0); +#772=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.2E0)); +#773=LINE('',#772,#771); +#774=DIRECTION('',(0.E0,0.E0,1.E0)); +#775=VECTOR('',#774,3.E-1); +#776=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.5E0)); +#777=LINE('',#776,#775); +#778=DIRECTION('',(0.E0,-1.E0,0.E0)); +#779=VECTOR('',#778,8.77007E-1); +#780=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.2E0)); +#781=LINE('',#780,#779); +#782=DIRECTION('',(0.E0,0.E0,1.E0)); +#783=VECTOR('',#782,3.E-1); +#784=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.5E0)); +#785=LINE('',#784,#783); +#786=DIRECTION('',(1.E0,0.E0,0.E0)); +#787=VECTOR('',#786,4.26601E-1); +#788=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.2E0)); +#789=LINE('',#788,#787); +#790=CARTESIAN_POINT('',(-3.312777055660E0,6.3E0,-2.5E0)); +#791=DIRECTION('',(0.E0,-1.E0,0.E0)); +#792=DIRECTION('',(0.E0,0.E0,1.E0)); +#793=AXIS2_PLACEMENT_3D('',#790,#791,#792); +#795=DIRECTION('',(-3.516254797913E-13,0.E0,1.E0)); +#796=VECTOR('',#795,7.198876764129E-2); +#797=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.271988767641E0)); +#798=LINE('',#797,#796); +#799=DIRECTION('',(0.E0,-1.E0,0.E0)); +#800=VECTOR('',#799,6.3E0); +#801=CARTESIAN_POINT('',(-3.312777055660E0,6.3E0,-1.2E0)); +#802=LINE('',#801,#800); +#803=DIRECTION('',(0.E0,-1.E0,0.E0)); +#804=VECTOR('',#803,1.2E0); +#805=CARTESIAN_POINT('',(-3.312777055660E0,0.E0,-1.2E0)); +#806=LINE('',#805,#804); +#807=DIRECTION('',(0.E0,1.E0,0.E0)); +#808=VECTOR('',#807,7.5E0); +#809=CARTESIAN_POINT('',(-4.612777055660E0,-1.2E0,-2.5E0)); +#810=LINE('',#809,#808); +#811=DIRECTION('',(-1.E0,0.E0,0.E0)); +#812=VECTOR('',#811,6.35E0); +#813=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-1.2E0)); +#814=LINE('',#813,#812); +#815=DIRECTION('',(-1.E0,0.E0,0.E0)); +#816=VECTOR('',#815,6.35E0); +#817=CARTESIAN_POINT('',(3.037222944340E0,0.E0,-1.2E0)); +#818=LINE('',#817,#816); +#819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#820=VECTOR('',#819,8.416151611030E0); +#821=CARTESIAN_POINT('',(-3.312777055660E0,0.E0,-1.2E0)); +#822=LINE('',#821,#820); +#823=DIRECTION('',(-1.E0,0.E0,0.E0)); +#824=VECTOR('',#823,8.702747030800E0); +#825=CARTESIAN_POINT('',(1.173996997514E1,0.E0,-1.2E0)); +#826=LINE('',#825,#824); +#827=DIRECTION('',(7.342274936188E-13,-1.243449787580E-11,1.E0)); +#828=VECTOR('',#827,1.2E0); +#829=CARTESIAN_POINT('',(-1.272892858623E1,9.995988574065E-1,-1.2E0)); +#830=LINE('',#829,#828); +#831=DIRECTION('',(0.E0,0.E0,-1.E0)); +#832=VECTOR('',#831,1.2E0); +#833=CARTESIAN_POINT('',(-1.172892866669E1,0.E0,0.E0)); +#834=LINE('',#833,#832); +#835=DIRECTION('',(0.E0,0.E0,1.E0)); +#836=VECTOR('',#835,1.2E0); +#837=CARTESIAN_POINT('',(1.173996997514E1,0.E0,-1.2E0)); +#838=LINE('',#837,#836); +#839=DIRECTION('',(0.E0,0.E0,-1.E0)); +#840=VECTOR('',#839,1.2E0); +#841=CARTESIAN_POINT('',(1.273996995174E1,9.997835488872E-1,0.E0)); +#842=LINE('',#841,#840); +#843=DIRECTION('',(-2.164513703808E-4,-9.999999765744E-1,0.E0)); +#844=VECTOR('',#843,5.878220937688E1); +#845=CARTESIAN_POINT('',(1.275269344151E1,5.978199154876E1,-1.2E0)); +#846=LINE('',#845,#844); +#847=DIRECTION('',(0.E0,1.065221984694E-11,1.E0)); +#848=VECTOR('',#847,1.2E0); +#849=CARTESIAN_POINT('',(1.275269344151E1,5.978199154876E1,-1.2E0)); +#850=LINE('',#849,#848); +#851=DIRECTION('',(0.E0,0.E0,-1.E0)); +#852=VECTOR('',#851,1.2E0); +#853=CARTESIAN_POINT('',(1.175269346494E1,6.0782208E1,0.E0)); +#854=LINE('',#853,#852); +#855=DIRECTION('',(1.E0,0.E0,0.E0)); +#856=VECTOR('',#855,2.350520218159E1); +#857=CARTESIAN_POINT('',(-1.175250871665E1,6.0782208E1,-1.2E0)); +#858=LINE('',#857,#856); +#859=DIRECTION('',(0.E0,-1.E0,0.E0)); +#860=VECTOR('',#859,7.5E0); +#861=CARTESIAN_POINT('',(4.337222944340E0,6.3E0,-2.5E0)); +#862=LINE('',#861,#860); +#863=DIRECTION('',(0.E0,1.E0,0.E0)); +#864=VECTOR('',#863,1.2E0); +#865=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-1.2E0)); +#866=LINE('',#865,#864); +#867=DIRECTION('',(0.E0,1.E0,0.E0)); +#868=VECTOR('',#867,6.3E0); +#869=CARTESIAN_POINT('',(3.037222944340E0,0.E0,-1.2E0)); +#870=LINE('',#869,#868); +#871=DIRECTION('',(1.E0,0.E0,0.E0)); +#872=VECTOR('',#871,4.266E-1); +#873=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-1.2E0)); +#874=LINE('',#873,#872); +#875=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-2.5E0)); +#876=DIRECTION('',(0.E0,-1.E0,0.E0)); +#877=DIRECTION('',(3.281538461539E-1,0.E0,9.446242921154E-1)); +#878=AXIS2_PLACEMENT_3D('',#875,#876,#877); +#880=DIRECTION('',(0.E0,1.E0,0.E0)); +#881=VECTOR('',#880,8.77007E-1); +#882=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.2E0)); +#883=LINE('',#882,#881); +#884=DIRECTION('',(-1.752894696416E-14,0.E0,1.E0)); +#885=VECTOR('',#884,2.280115797500E-1); +#886=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.5E0)); +#887=LINE('',#886,#885); +#888=DIRECTION('',(9.253346753013E-14,0.E0,1.E0)); +#889=VECTOR('',#888,7.198842025003E-2); +#890=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.271988420250E0)); +#891=LINE('',#890,#889); +#892=DIRECTION('',(1.E0,0.E0,0.E0)); +#893=VECTOR('',#892,7.203201E0); +#894=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.5E0)); +#895=LINE('',#894,#893); +#896=DIRECTION('',(0.E0,1.E0,0.E0)); +#897=VECTOR('',#896,8.77007E-1); +#898=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.5E0)); +#899=LINE('',#898,#897); +#900=DIRECTION('',(-1.E0,0.E0,0.E0)); +#901=VECTOR('',#900,7.203201E0); +#902=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.5E0)); +#903=LINE('',#902,#901); +#904=DIRECTION('',(0.E0,-1.E0,0.E0)); +#905=VECTOR('',#904,8.77007E-1); +#906=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.5E0)); +#907=LINE('',#906,#905); +#908=DIRECTION('',(1.421794531072E-13,0.E0,1.E0)); +#909=VECTOR('',#908,2.280112323587E-1); +#910=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.5E0)); +#911=LINE('',#910,#909); +#912=CARTESIAN_POINT('',(-3.312777055660E0,6.3E0,-2.5E0)); +#913=DIRECTION('',(0.E0,-1.E0,0.E0)); +#914=DIRECTION('',(-3.281546153846E-1,0.E0,9.446240248913E-1)); +#915=AXIS2_PLACEMENT_3D('',#912,#913,#914); +#917=CARTESIAN_POINT('',(-3.312777055660E0,6.3E0,-3.06E0)); +#918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#919=DIRECTION('',(-1.E0,0.E0,0.E0)); +#920=AXIS2_PLACEMENT_3D('',#917,#918,#919); +#922=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-3.06E0)); +#923=DIRECTION('',(0.E0,-1.E0,0.E0)); +#924=DIRECTION('',(-5.226588392851E-13,0.E0,-1.E0)); +#925=AXIS2_PLACEMENT_3D('',#922,#923,#924); +#927=DIRECTION('',(0.E0,0.E0,1.E0)); +#928=VECTOR('',#927,5.6E-1); +#929=CARTESIAN_POINT('',(4.337222944340E0,6.3E0,-3.06E0)); +#930=LINE('',#929,#928); +#931=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-2.5E0)); +#932=DIRECTION('',(0.E0,-1.E0,0.E0)); +#933=DIRECTION('',(1.E0,0.E0,0.E0)); +#934=AXIS2_PLACEMENT_3D('',#931,#932,#933); +#936=DIRECTION('',(0.E0,0.E0,-1.E0)); +#937=VECTOR('',#936,5.6E-1); +#938=CARTESIAN_POINT('',(-4.612777055660E0,-1.2E0,-2.5E0)); +#939=LINE('',#938,#937); +#940=DIRECTION('',(0.E0,0.E0,1.E0)); +#941=VECTOR('',#940,5.6E-1); +#942=CARTESIAN_POINT('',(-4.612777055660E0,6.3E0,-3.06E0)); +#943=LINE('',#942,#941); +#944=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-3.06E0)); +#945=DIRECTION('',(0.E0,1.E0,0.E0)); +#946=DIRECTION('',(5.253916959611E-13,0.E0,-1.E0)); +#947=AXIS2_PLACEMENT_3D('',#944,#945,#946); +#949=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-2.5E0)); +#950=DIRECTION('',(0.E0,1.E0,0.E0)); +#951=DIRECTION('',(-1.E0,0.E0,0.E0)); +#952=AXIS2_PLACEMENT_3D('',#949,#950,#951); +#954=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-2.5E0)); +#955=DIRECTION('',(0.E0,1.E0,0.E0)); +#956=DIRECTION('',(0.E0,0.E0,1.E0)); +#957=AXIS2_PLACEMENT_3D('',#954,#955,#956); +#959=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-3.06E0)); +#960=DIRECTION('',(0.E0,1.E0,0.E0)); +#961=DIRECTION('',(1.E0,0.E0,0.E0)); +#962=AXIS2_PLACEMENT_3D('',#959,#960,#961); +#964=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-2.5E0)); +#965=DIRECTION('',(0.E0,-1.E0,0.E0)); +#966=DIRECTION('',(0.E0,0.E0,1.E0)); +#967=AXIS2_PLACEMENT_3D('',#964,#965,#966); +#969=DIRECTION('',(0.E0,0.E0,-1.E0)); +#970=VECTOR('',#969,5.6E-1); +#971=CARTESIAN_POINT('',(-4.312777055660E0,-1.2E0,-2.5E0)); +#972=LINE('',#971,#970); +#973=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-3.06E0)); +#974=DIRECTION('',(0.E0,-1.E0,0.E0)); +#975=DIRECTION('',(-1.E0,0.E0,0.E0)); +#976=AXIS2_PLACEMENT_3D('',#973,#974,#975); +#978=DIRECTION('',(1.E0,0.E0,0.E0)); +#979=VECTOR('',#978,6.349999999999E0); +#980=CARTESIAN_POINT('',(-3.312777055659E0,-1.2E0,-4.06E0)); +#981=LINE('',#980,#979); +#982=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-3.06E0)); +#983=DIRECTION('',(0.E0,-1.E0,0.E0)); +#984=DIRECTION('',(-7.434053372890E-13,0.E0,-1.E0)); +#985=AXIS2_PLACEMENT_3D('',#982,#983,#984); +#987=DIRECTION('',(0.E0,0.E0,1.E0)); +#988=VECTOR('',#987,5.6E-1); +#989=CARTESIAN_POINT('',(4.037222944340E0,-1.2E0,-3.06E0)); +#990=LINE('',#989,#988); +#991=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-2.5E0)); +#992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#993=DIRECTION('',(1.E0,0.E0,0.E0)); +#994=AXIS2_PLACEMENT_3D('',#991,#992,#993); +#996=DIRECTION('',(-1.E0,0.E0,0.E0)); +#997=VECTOR('',#996,6.35E0); +#998=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-1.5E0)); +#999=LINE('',#998,#997); +#1000=DIRECTION('',(1.847411112976E-14,1.E0,0.E0)); +#1001=VECTOR('',#1000,7.5E0); +#1002=CARTESIAN_POINT('',(-3.312777055659E0,-1.2E0,-4.36E0)); +#1003=LINE('',#1002,#1001); +#1004=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1005=VECTOR('',#1004,7.5E0); +#1006=CARTESIAN_POINT('',(-4.612777055660E0,6.3E0,-3.06E0)); +#1007=LINE('',#1006,#1005); +#1008=DIRECTION('',(1.E0,0.E0,0.E0)); +#1009=VECTOR('',#1008,6.35E0); +#1010=CARTESIAN_POINT('',(-3.312777055659E0,-1.2E0,-4.36E0)); +#1011=LINE('',#1010,#1009); +#1012=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1013=VECTOR('',#1012,6.349999999999E0); +#1014=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-4.36E0)); +#1015=LINE('',#1014,#1013); +#1016=DIRECTION('',(0.E0,1.E0,0.E0)); +#1017=VECTOR('',#1016,7.5E0); +#1018=CARTESIAN_POINT('',(4.337222944340E0,-1.2E0,-3.06E0)); +#1019=LINE('',#1018,#1017); +#1020=DIRECTION('',(4.026408835974E-14,-1.E0,0.E0)); +#1021=VECTOR('',#1020,7.5E0); +#1022=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-4.36E0)); +#1023=LINE('',#1022,#1021); +#1024=DIRECTION('',(0.E0,0.E0,1.E0)); +#1025=VECTOR('',#1024,5.6E-1); +#1026=CARTESIAN_POINT('',(4.337222944340E0,-1.2E0,-3.06E0)); +#1027=LINE('',#1026,#1025); +#1028=DIRECTION('',(0.E0,1.E0,0.E0)); +#1029=VECTOR('',#1028,7.2E0); +#1030=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-1.5E0)); +#1031=LINE('',#1030,#1029); +#1032=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1033=VECTOR('',#1032,7.2E0); +#1034=CARTESIAN_POINT('',(-4.312777055660E0,6.E0,-2.5E0)); +#1035=LINE('',#1034,#1033); +#1036=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1037=VECTOR('',#1036,6.35E0); +#1038=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-1.5E0)); +#1039=LINE('',#1038,#1037); +#1040=DIRECTION('',(0.E0,1.E0,0.E0)); +#1041=VECTOR('',#1040,7.2E0); +#1042=CARTESIAN_POINT('',(4.037222944340E0,-1.2E0,-2.5E0)); +#1043=LINE('',#1042,#1041); +#1044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1045=VECTOR('',#1044,7.2E0); +#1046=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-1.5E0)); +#1047=LINE('',#1046,#1045); +#1048=DIRECTION('',(0.E0,0.E0,1.E0)); +#1049=VECTOR('',#1048,5.6E-1); +#1050=CARTESIAN_POINT('',(4.037222944340E0,6.E0,-3.06E0)); +#1051=LINE('',#1050,#1049); +#1052=DIRECTION('',(4.650600892041E-14,1.E0,0.E0)); +#1053=VECTOR('',#1052,7.2E0); +#1054=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-4.06E0)); +#1055=LINE('',#1054,#1053); +#1056=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1057=VECTOR('',#1056,7.2E0); +#1058=CARTESIAN_POINT('',(4.037222944340E0,6.E0,-3.06E0)); +#1059=LINE('',#1058,#1057); +#1060=DIRECTION('',(0.E0,1.E0,0.E0)); +#1061=VECTOR('',#1060,7.2E0); +#1062=CARTESIAN_POINT('',(-4.312777055660E0,-1.2E0,-3.06E0)); +#1063=LINE('',#1062,#1061); +#1064=DIRECTION('',(1.554312234475E-14,-1.E0,0.E0)); +#1065=VECTOR('',#1064,7.2E0); +#1066=CARTESIAN_POINT('',(-3.312777055659E0,6.E0,-4.06E0)); +#1067=LINE('',#1066,#1065); +#1068=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-3.06E0)); +#1069=DIRECTION('',(0.E0,1.E0,0.E0)); +#1070=DIRECTION('',(1.E0,0.E0,0.E0)); +#1071=AXIS2_PLACEMENT_3D('',#1068,#1069,#1070); +#1073=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1074=VECTOR('',#1073,6.35E0); +#1075=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-4.06E0)); +#1076=LINE('',#1075,#1074); +#1077=CARTESIAN_POINT('',(-3.312777055660E0,6.E0,-3.06E0)); +#1078=DIRECTION('',(0.E0,1.E0,0.E0)); +#1079=DIRECTION('',(7.434053372890E-13,0.E0,-1.E0)); +#1080=AXIS2_PLACEMENT_3D('',#1077,#1078,#1079); +#1082=DIRECTION('',(0.E0,0.E0,1.E0)); +#1083=VECTOR('',#1082,5.6E-1); +#1084=CARTESIAN_POINT('',(-4.312777055660E0,6.E0,-3.06E0)); +#1085=LINE('',#1084,#1083); +#1086=CARTESIAN_POINT('',(-3.312777055660E0,6.E0,-2.5E0)); +#1087=DIRECTION('',(0.E0,1.E0,0.E0)); +#1088=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1089=AXIS2_PLACEMENT_3D('',#1086,#1087,#1088); +#1091=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-2.5E0)); +#1092=DIRECTION('',(0.E0,1.E0,0.E0)); +#1093=DIRECTION('',(0.E0,0.E0,1.E0)); +#1094=AXIS2_PLACEMENT_3D('',#1091,#1092,#1093); +#1096=DIRECTION('',(0.E0,0.E0,1.E0)); +#1097=VECTOR('',#1096,1.2E0); +#1098=CARTESIAN_POINT('',(8.979445944340E0,5.7658011E1,-1.2E0)); +#1099=LINE('',#1098,#1097); +#1100=DIRECTION('',(0.E0,0.E0,1.E0)); +#1101=VECTOR('',#1100,1.2E0); +#1102=CARTESIAN_POINT('',(1.086179394434E1,5.7658011E1,-1.2E0)); +#1103=LINE('',#1102,#1101); +#1104=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1105=VECTOR('',#1104,1.2E0); +#1106=CARTESIAN_POINT('',(1.212122044434E1,5.1943008E1,0.E0)); +#1107=LINE('',#1106,#1105); +#1108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1109=VECTOR('',#1108,1.2E0); +#1110=CARTESIAN_POINT('',(1.071802244434E1,5.1943008E1,0.E0)); +#1111=LINE('',#1110,#1109); +#1112=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1113=VECTOR('',#1112,1.2E0); +#1114=CARTESIAN_POINT('',(1.212122044434E1,4.9403008E1,0.E0)); +#1115=LINE('',#1114,#1113); +#1116=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1117=VECTOR('',#1116,1.2E0); +#1118=CARTESIAN_POINT('',(1.071802244434E1,4.9403008E1,0.E0)); +#1119=LINE('',#1118,#1117); +#1120=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1121=VECTOR('',#1120,1.2E0); +#1122=CARTESIAN_POINT('',(1.212122044434E1,4.6863008E1,0.E0)); +#1123=LINE('',#1122,#1121); +#1124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1125=VECTOR('',#1124,1.2E0); +#1126=CARTESIAN_POINT('',(1.071802244434E1,4.6863008E1,0.E0)); +#1127=LINE('',#1126,#1125); +#1128=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1129=VECTOR('',#1128,1.2E0); +#1130=CARTESIAN_POINT('',(1.212122044434E1,4.4323008E1,0.E0)); +#1131=LINE('',#1130,#1129); +#1132=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1133=VECTOR('',#1132,1.2E0); +#1134=CARTESIAN_POINT('',(1.071802244434E1,4.4323008E1,0.E0)); +#1135=LINE('',#1134,#1133); +#1136=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1137=VECTOR('',#1136,1.2E0); +#1138=CARTESIAN_POINT('',(1.212122044434E1,4.1783008E1,0.E0)); +#1139=LINE('',#1138,#1137); +#1140=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1141=VECTOR('',#1140,1.2E0); +#1142=CARTESIAN_POINT('',(1.071802244434E1,4.1783008E1,0.E0)); +#1143=LINE('',#1142,#1141); +#1144=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1145=VECTOR('',#1144,1.2E0); +#1146=CARTESIAN_POINT('',(1.212122044434E1,3.9243008E1,0.E0)); +#1147=LINE('',#1146,#1145); +#1148=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1149=VECTOR('',#1148,1.2E0); +#1150=CARTESIAN_POINT('',(1.071802244434E1,3.9243008E1,0.E0)); +#1151=LINE('',#1150,#1149); +#1152=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1153=VECTOR('',#1152,1.2E0); +#1154=CARTESIAN_POINT('',(1.222122044434E1,3.6703008E1,0.E0)); +#1155=LINE('',#1154,#1153); +#1156=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1157=VECTOR('',#1156,1.2E0); +#1158=CARTESIAN_POINT('',(1.061802244434E1,3.6703008E1,0.E0)); +#1159=LINE('',#1158,#1157); +#1160=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1161=VECTOR('',#1160,1.2E0); +#1162=CARTESIAN_POINT('',(1.222122044434E1,3.4163008E1,0.E0)); +#1163=LINE('',#1162,#1161); +#1164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1165=VECTOR('',#1164,1.2E0); +#1166=CARTESIAN_POINT('',(1.061802244434E1,3.4163008E1,0.E0)); +#1167=LINE('',#1166,#1165); +#1168=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1169=VECTOR('',#1168,1.2E0); +#1170=CARTESIAN_POINT('',(1.222122044434E1,3.1623008E1,0.E0)); +#1171=LINE('',#1170,#1169); +#1172=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1173=VECTOR('',#1172,1.2E0); +#1174=CARTESIAN_POINT('',(1.061802244434E1,3.1623008E1,0.E0)); +#1175=LINE('',#1174,#1173); +#1176=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1177=VECTOR('',#1176,1.2E0); +#1178=CARTESIAN_POINT('',(1.222122044434E1,2.9083008E1,0.E0)); +#1179=LINE('',#1178,#1177); +#1180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1181=VECTOR('',#1180,1.2E0); +#1182=CARTESIAN_POINT('',(1.061802244434E1,2.9083008E1,0.E0)); +#1183=LINE('',#1182,#1181); +#1184=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1185=VECTOR('',#1184,1.2E0); +#1186=CARTESIAN_POINT('',(1.222122044434E1,2.6543008E1,0.E0)); +#1187=LINE('',#1186,#1185); +#1188=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1189=VECTOR('',#1188,1.2E0); +#1190=CARTESIAN_POINT('',(1.061802244434E1,2.6543008E1,0.E0)); +#1191=LINE('',#1190,#1189); +#1192=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1193=VECTOR('',#1192,1.2E0); +#1194=CARTESIAN_POINT('',(1.222122044434E1,2.4003008E1,0.E0)); +#1195=LINE('',#1194,#1193); +#1196=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1197=VECTOR('',#1196,1.2E0); +#1198=CARTESIAN_POINT('',(1.061802244434E1,2.4003008E1,0.E0)); +#1199=LINE('',#1198,#1197); +#1200=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1201=VECTOR('',#1200,1.2E0); +#1202=CARTESIAN_POINT('',(-1.063877955566E1,5.1943407E1,0.E0)); +#1203=LINE('',#1202,#1201); +#1204=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1205=VECTOR('',#1204,1.2E0); +#1206=CARTESIAN_POINT('',(-1.224197755566E1,5.1943407E1,0.E0)); +#1207=LINE('',#1206,#1205); +#1208=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1209=VECTOR('',#1208,1.2E0); +#1210=CARTESIAN_POINT('',(-1.073877955566E1,4.9403407E1,0.E0)); +#1211=LINE('',#1210,#1209); +#1212=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1213=VECTOR('',#1212,1.2E0); +#1214=CARTESIAN_POINT('',(-1.214197755566E1,4.9403407E1,0.E0)); +#1215=LINE('',#1214,#1213); +#1216=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1217=VECTOR('',#1216,1.2E0); +#1218=CARTESIAN_POINT('',(-1.073877955566E1,4.6863407E1,0.E0)); +#1219=LINE('',#1218,#1217); +#1220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1221=VECTOR('',#1220,1.2E0); +#1222=CARTESIAN_POINT('',(-1.214197755566E1,4.6863407E1,0.E0)); +#1223=LINE('',#1222,#1221); +#1224=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1225=VECTOR('',#1224,1.2E0); +#1226=CARTESIAN_POINT('',(-1.073877955566E1,4.4323407E1,0.E0)); +#1227=LINE('',#1226,#1225); +#1228=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1229=VECTOR('',#1228,1.2E0); +#1230=CARTESIAN_POINT('',(-1.214197755566E1,4.4323407E1,0.E0)); +#1231=LINE('',#1230,#1229); +#1232=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1233=VECTOR('',#1232,1.2E0); +#1234=CARTESIAN_POINT('',(-1.073877955566E1,4.1783407E1,0.E0)); +#1235=LINE('',#1234,#1233); +#1236=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1237=VECTOR('',#1236,1.2E0); +#1238=CARTESIAN_POINT('',(-1.214197755566E1,4.1783407E1,0.E0)); +#1239=LINE('',#1238,#1237); +#1240=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1241=VECTOR('',#1240,1.2E0); +#1242=CARTESIAN_POINT('',(-1.073877955566E1,3.9243407E1,0.E0)); +#1243=LINE('',#1242,#1241); +#1244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1245=VECTOR('',#1244,1.2E0); +#1246=CARTESIAN_POINT('',(-1.214197755566E1,3.9243407E1,0.E0)); +#1247=LINE('',#1246,#1245); +#1248=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1249=VECTOR('',#1248,1.2E0); +#1250=CARTESIAN_POINT('',(-1.073877955566E1,3.6703407E1,0.E0)); +#1251=LINE('',#1250,#1249); +#1252=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1253=VECTOR('',#1252,1.2E0); +#1254=CARTESIAN_POINT('',(-1.214197755566E1,3.6703407E1,0.E0)); +#1255=LINE('',#1254,#1253); +#1256=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1257=VECTOR('',#1256,1.2E0); +#1258=CARTESIAN_POINT('',(-1.073877955566E1,3.4163407E1,0.E0)); +#1259=LINE('',#1258,#1257); +#1260=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1261=VECTOR('',#1260,1.2E0); +#1262=CARTESIAN_POINT('',(-1.214197755566E1,3.4163407E1,0.E0)); +#1263=LINE('',#1262,#1261); +#1264=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1265=VECTOR('',#1264,1.2E0); +#1266=CARTESIAN_POINT('',(-1.073877955566E1,3.1623407E1,0.E0)); +#1267=LINE('',#1266,#1265); +#1268=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1269=VECTOR('',#1268,1.2E0); +#1270=CARTESIAN_POINT('',(-1.214197755566E1,3.1623407E1,0.E0)); +#1271=LINE('',#1270,#1269); +#1272=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1273=VECTOR('',#1272,1.2E0); +#1274=CARTESIAN_POINT('',(-1.073877955566E1,2.9083407E1,0.E0)); +#1275=LINE('',#1274,#1273); +#1276=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1277=VECTOR('',#1276,1.2E0); +#1278=CARTESIAN_POINT('',(-1.214197755566E1,2.9083407E1,0.E0)); +#1279=LINE('',#1278,#1277); +#1280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1281=VECTOR('',#1280,1.2E0); +#1282=CARTESIAN_POINT('',(-1.073877955566E1,2.6543407E1,0.E0)); +#1283=LINE('',#1282,#1281); +#1284=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1285=VECTOR('',#1284,1.2E0); +#1286=CARTESIAN_POINT('',(-1.214197755566E1,2.6543407E1,0.E0)); +#1287=LINE('',#1286,#1285); +#1288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1289=VECTOR('',#1288,1.2E0); +#1290=CARTESIAN_POINT('',(-1.073877955566E1,2.4003407E1,0.E0)); +#1291=LINE('',#1290,#1289); +#1292=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1293=VECTOR('',#1292,1.2E0); +#1294=CARTESIAN_POINT('',(-1.214197755566E1,2.4003407E1,0.E0)); +#1295=LINE('',#1294,#1293); +#1296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1297=VECTOR('',#1296,7.613631337700E-1); +#1298=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.2E0)); +#1299=LINE('',#1298,#1297); +#1300=DIRECTION('',(0.E0,0.E0,1.E0)); +#1301=VECTOR('',#1300,5.E-1); +#1302=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.7E0)); +#1303=LINE('',#1302,#1301); +#1304=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1305=VECTOR('',#1304,1.703197E0); +#1306=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.2E0)); +#1307=LINE('',#1306,#1305); +#1308=DIRECTION('',(0.E0,0.E0,1.E0)); +#1309=VECTOR('',#1308,5.E-1); +#1310=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.7E0)); +#1311=LINE('',#1310,#1309); +#1312=DIRECTION('',(1.E0,0.E0,0.E0)); +#1313=VECTOR('',#1312,7.613631337700E-1); +#1314=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.2E0)); +#1315=LINE('',#1314,#1313); +#1316=DIRECTION('',(0.E0,0.E0,1.E0)); +#1317=VECTOR('',#1316,5.E-1); +#1318=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.7E0)); +#1319=LINE('',#1318,#1317); +#1320=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1321=VECTOR('',#1320,1.622658E0); +#1322=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.8E0)); +#1323=LINE('',#1322,#1321); +#1324=DIRECTION('',(0.E0,0.E0,1.E0)); +#1325=VECTOR('',#1324,2.E0); +#1326=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-3.2E0)); +#1327=LINE('',#1326,#1325); +#1328=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1329=VECTOR('',#1328,2.344466E0); +#1330=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-1.2E0)); +#1331=LINE('',#1330,#1329); +#1332=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1333=VECTOR('',#1332,1.703197E0); +#1334=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.7E0)); +#1335=LINE('',#1334,#1333); +#1336=DIRECTION('',(0.E0,0.E0,1.E0)); +#1337=VECTOR('',#1336,5.E-1); +#1338=CARTESIAN_POINT('',(-9.250181921890E0,3.5134545E1,-1.7E0)); +#1339=LINE('',#1338,#1337); +#1340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1341=VECTOR('',#1340,1.752337E0); +#1342=CARTESIAN_POINT('',(-9.250181921890E0,3.5134545E1,-1.2E0)); +#1343=LINE('',#1342,#1341); +#1344=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1345=VECTOR('',#1344,1.622658E0); +#1346=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.2E0)); +#1347=LINE('',#1346,#1345); +#1348=DIRECTION('',(1.E0,0.E0,0.E0)); +#1349=VECTOR('',#1348,1.850000000003E1); +#1350=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-2.2E0)); +#1351=LINE('',#1350,#1349); +#1352=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1353=VECTOR('',#1352,1.622658E0); +#1354=CARTESIAN_POINT('',(9.249818078140E0,3.5004866E1,-2.2E0)); +#1355=LINE('',#1354,#1353); +#1356=DIRECTION('',(0.E0,0.E0,1.E0)); +#1357=VECTOR('',#1356,1.E0); +#1358=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-2.2E0)); +#1359=LINE('',#1358,#1357); +#1360=DIRECTION('',(0.E0,1.E0,0.E0)); +#1361=VECTOR('',#1360,1.852235E0); +#1362=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-1.2E0)); +#1363=LINE('',#1362,#1361); +#1364=DIRECTION('',(0.E0,0.E0,1.E0)); +#1365=VECTOR('',#1364,5.E-1); +#1366=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.7E0)); +#1367=LINE('',#1366,#1365); +#1368=DIRECTION('',(0.E0,1.E0,0.E0)); +#1369=VECTOR('',#1368,2.444567E0); +#1370=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.2E0)); +#1371=LINE('',#1370,#1369); +#1372=DIRECTION('',(0.E0,0.E0,1.E0)); +#1373=VECTOR('',#1372,4.E-1); +#1374=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-3.2E0)); +#1375=LINE('',#1374,#1373); +#1376=DIRECTION('',(0.E0,0.E0,1.E0)); +#1377=VECTOR('',#1376,6.E-1); +#1378=CARTESIAN_POINT('',(9.249818078140E0,3.5004866E1,-2.8E0)); +#1379=LINE('',#1378,#1377); +#1380=DIRECTION('',(0.E0,0.E0,1.E0)); +#1381=VECTOR('',#1380,1.E0); +#1382=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-2.2E0)); +#1383=LINE('',#1382,#1381); +#1384=DIRECTION('',(1.E0,0.E0,0.E0)); +#1385=VECTOR('',#1384,1.850000000003E1); +#1386=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-1.2E0)); +#1387=LINE('',#1386,#1385); +#1388=DIRECTION('',(1.E0,0.E0,0.E0)); +#1389=VECTOR('',#1388,8.416958662E-1); +#1390=CARTESIAN_POINT('',(9.249818078140E0,3.5234443E1,-1.2E0)); +#1391=LINE('',#1390,#1389); +#1392=DIRECTION('',(0.E0,0.E0,1.E0)); +#1393=VECTOR('',#1392,5.E-1); +#1394=CARTESIAN_POINT('',(9.249818078140E0,3.5234443E1,-1.7E0)); +#1395=LINE('',#1394,#1393); +#1396=DIRECTION('',(0.E0,1.E0,0.E0)); +#1397=VECTOR('',#1396,1.503198E0); +#1398=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.2E0)); +#1399=LINE('',#1398,#1397); +#1400=DIRECTION('',(0.E0,0.E0,1.E0)); +#1401=VECTOR('',#1400,5.E-1); +#1402=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.7E0)); +#1403=LINE('',#1402,#1401); +#1404=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1405=VECTOR('',#1404,8.416958662E-1); +#1406=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.2E0)); +#1407=LINE('',#1406,#1405); +#1408=DIRECTION('',(0.E0,0.E0,1.E0)); +#1409=VECTOR('',#1408,5.E-1); +#1410=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.7E0)); +#1411=LINE('',#1410,#1409); +#1412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1413=VECTOR('',#1412,1.503198E0); +#1414=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.7E0)); +#1415=LINE('',#1414,#1413); +#1416=DIRECTION('',(1.E0,0.E0,0.E0)); +#1417=VECTOR('',#1416,8.416958662E-1); +#1418=CARTESIAN_POINT('',(9.249818078140E0,3.5234443E1,-1.7E0)); +#1419=LINE('',#1418,#1417); +#1420=DIRECTION('',(0.E0,1.E0,0.E0)); +#1421=VECTOR('',#1420,1.503198E0); +#1422=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.7E0)); +#1423=LINE('',#1422,#1421); +#1424=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1425=VECTOR('',#1424,8.416958662E-1); +#1426=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.7E0)); +#1427=LINE('',#1426,#1425); +#1428=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1429=VECTOR('',#1428,1.850000000003E1); +#1430=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-1.2E0)); +#1431=LINE('',#1430,#1429); +#1432=DIRECTION('',(0.E0,0.E0,1.E0)); +#1433=VECTOR('',#1432,2.E0); +#1434=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-3.2E0)); +#1435=LINE('',#1434,#1433); +#1436=DIRECTION('',(0.E0,1.E0,0.E0)); +#1437=VECTOR('',#1436,5.8E0); +#1438=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-3.2E0)); +#1439=LINE('',#1438,#1437); +#1440=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1441=VECTOR('',#1440,1.850000000003E1); +#1442=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-3.2E0)); +#1443=LINE('',#1442,#1441); +#1444=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1445=VECTOR('',#1444,5.8E0); +#1446=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-3.2E0)); +#1447=LINE('',#1446,#1445); +#1448=DIRECTION('',(1.E0,0.E0,0.E0)); +#1449=VECTOR('',#1448,1.850000000003E1); +#1450=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-3.2E0)); +#1451=LINE('',#1450,#1449); +#1452=DIRECTION('',(1.E0,0.E0,0.E0)); +#1453=VECTOR('',#1452,1.850000000003E1); +#1454=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-2.8E0)); +#1455=LINE('',#1454,#1453); +#1456=DIRECTION('',(0.E0,0.E0,1.E0)); +#1457=VECTOR('',#1456,4.E-1); +#1458=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-3.2E0)); +#1459=LINE('',#1458,#1457); +#1460=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1461=VECTOR('',#1460,1.622658E0); +#1462=CARTESIAN_POINT('',(9.249818078140E0,3.5004866E1,-2.8E0)); +#1463=LINE('',#1462,#1461); +#1464=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1465=VECTOR('',#1464,4.097251337700E-1); +#1466=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.8E0)); +#1467=LINE('',#1466,#1465); +#1468=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1469=VECTOR('',#1468,2.168906E0); +#1470=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.8E0)); +#1471=LINE('',#1470,#1469); +#1472=DIRECTION('',(1.E0,0.E0,0.E0)); +#1473=VECTOR('',#1472,1.9400012E1); +#1474=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.8E0)); +#1475=LINE('',#1474,#1473); +#1476=DIRECTION('',(0.E0,1.E0,0.E0)); +#1477=VECTOR('',#1476,2.168906E0); +#1478=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.8E0)); +#1479=LINE('',#1478,#1477); +#1480=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1481=VECTOR('',#1480,4.902868662E-1); +#1482=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.8E0)); +#1483=LINE('',#1482,#1481); +#1484=DIRECTION('',(0.E0,0.E0,1.E0)); +#1485=VECTOR('',#1484,6.E-1); +#1486=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.8E0)); +#1487=LINE('',#1486,#1485); +#1488=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1489=VECTOR('',#1488,4.097251337700E-1); +#1490=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.2E0)); +#1491=LINE('',#1490,#1489); +#1492=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1493=VECTOR('',#1492,2.168906E0); +#1494=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.2E0)); +#1495=LINE('',#1494,#1493); +#1496=DIRECTION('',(0.E0,0.E0,1.E0)); +#1497=VECTOR('',#1496,6.E-1); +#1498=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.8E0)); +#1499=LINE('',#1498,#1497); +#1500=DIRECTION('',(1.E0,0.E0,0.E0)); +#1501=VECTOR('',#1500,1.9400012E1); +#1502=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.2E0)); +#1503=LINE('',#1502,#1501); +#1504=DIRECTION('',(0.E0,0.E0,1.E0)); +#1505=VECTOR('',#1504,6.E-1); +#1506=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.8E0)); +#1507=LINE('',#1506,#1505); +#1508=DIRECTION('',(0.E0,1.E0,0.E0)); +#1509=VECTOR('',#1508,2.168906E0); +#1510=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.2E0)); +#1511=LINE('',#1510,#1509); +#1512=DIRECTION('',(0.E0,0.E0,1.E0)); +#1513=VECTOR('',#1512,6.E-1); +#1514=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.8E0)); +#1515=LINE('',#1514,#1513); +#1516=DIRECTION('',(0.E0,0.E0,1.E0)); +#1517=VECTOR('',#1516,6.E-1); +#1518=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.8E0)); +#1519=LINE('',#1518,#1517); +#1520=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1521=VECTOR('',#1520,4.902868662E-1); +#1522=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.2E0)); +#1523=LINE('',#1522,#1521); +#1524=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1525=VECTOR('',#1524,7.613631337700E-1); +#1526=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.7E0)); +#1527=LINE('',#1526,#1525); +#1528=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1529=VECTOR('',#1528,1.703197E0); +#1530=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.7E0)); +#1531=LINE('',#1530,#1529); +#1532=DIRECTION('',(1.E0,0.E0,0.E0)); +#1533=VECTOR('',#1532,7.613631337700E-1); +#1534=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.7E0)); +#1535=LINE('',#1534,#1533); +#1536=DIRECTION('',(0.E0,0.E0,1.E0)); +#1537=VECTOR('',#1536,1.2E0); +#1538=CARTESIAN_POINT('',(-1.106558605566E1,5.766161E1,-1.2E0)); +#1539=LINE('',#1538,#1537); +#1540=DIRECTION('',(0.E0,0.E0,1.E0)); +#1541=VECTOR('',#1540,1.2E0); +#1542=CARTESIAN_POINT('',(-9.115887055660E0,5.766161E1,-1.2E0)); +#1543=LINE('',#1542,#1541); +#1544=DIRECTION('',(0.E0,0.E0,1.E0)); +#1545=VECTOR('',#1544,1.5E0); +#1546=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-2.7E0)); +#1547=LINE('',#1546,#1545); +#1548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1549=VECTOR('',#1548,3.35E0); +#1550=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-1.2E0)); +#1551=LINE('',#1550,#1549); +#1552=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1553=VECTOR('',#1552,3.35E0); +#1554=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-2.7E0)); +#1555=LINE('',#1554,#1553); +#1556=DIRECTION('',(1.E0,0.E0,0.E0)); +#1557=VECTOR('',#1556,4.2E0); +#1558=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-2.7E0)); +#1559=LINE('',#1558,#1557); +#1560=DIRECTION('',(0.E0,1.E0,0.E0)); +#1561=VECTOR('',#1560,3.35E0); +#1562=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-2.7E0)); +#1563=LINE('',#1562,#1561); +#1564=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1565=VECTOR('',#1564,4.2E0); +#1566=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-2.7E0)); +#1567=LINE('',#1566,#1565); +#1568=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-2.7E0)); +#1569=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1570=DIRECTION('',(4.060244204343E-14,-1.E0,0.E0)); +#1571=AXIS2_PLACEMENT_3D('',#1568,#1569,#1570); +#1573=DIRECTION('',(1.E0,0.E0,0.E0)); +#1574=VECTOR('',#1573,6.999999997756E-1); +#1575=CARTESIAN_POINT('',(-9.638377555636E0,3.3692085E0,-2.7E0)); +#1576=LINE('',#1575,#1574); +#1577=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-2.7E0)); +#1578=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1579=DIRECTION('',(0.E0,1.E0,0.E0)); +#1580=AXIS2_PLACEMENT_3D('',#1577,#1578,#1579); +#1582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1583=VECTOR('',#1582,7.000000000004E-1); +#1584=CARTESIAN_POINT('',(-8.938377555660E0,1.2692085E0,-2.7E0)); +#1585=LINE('',#1584,#1583); +#1586=DIRECTION('',(0.E0,0.E0,1.E0)); +#1587=VECTOR('',#1586,1.5E0); +#1588=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-2.7E0)); +#1589=LINE('',#1588,#1587); +#1590=DIRECTION('',(1.E0,0.E0,0.E0)); +#1591=VECTOR('',#1590,4.2E0); +#1592=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-1.2E0)); +#1593=LINE('',#1592,#1591); +#1594=DIRECTION('',(0.E0,0.E0,1.E0)); +#1595=VECTOR('',#1594,1.5E0); +#1596=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-2.7E0)); +#1597=LINE('',#1596,#1595); +#1598=DIRECTION('',(0.E0,1.E0,0.E0)); +#1599=VECTOR('',#1598,3.35E0); +#1600=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-1.2E0)); +#1601=LINE('',#1600,#1599); +#1602=DIRECTION('',(0.E0,0.E0,1.E0)); +#1603=VECTOR('',#1602,1.5E0); +#1604=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-2.7E0)); +#1605=LINE('',#1604,#1603); +#1606=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1607=VECTOR('',#1606,4.2E0); +#1608=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-1.2E0)); +#1609=LINE('',#1608,#1607); +#1610=DIRECTION('',(7.100352052346E-12,0.E0,1.E0)); +#1611=VECTOR('',#1610,7.E-1); +#1612=CARTESIAN_POINT('',(-9.638377555641E0,3.3692085E0,-3.4E0)); +#1613=LINE('',#1612,#1611); +#1614=DIRECTION('',(4.497735517361E-11,0.E0,-1.E0)); +#1615=VECTOR('',#1614,7.E-1); +#1616=CARTESIAN_POINT('',(-9.638377555660E0,1.2692085E0,-2.7E0)); +#1617=LINE('',#1616,#1615); +#1618=CARTESIAN_POINT('',(-9.638377555660E0,3.0692085E0,-3.4E0)); +#1619=DIRECTION('',(1.E0,0.E0,0.E0)); +#1620=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1621=AXIS2_PLACEMENT_3D('',#1618,#1619,#1620); +#1623=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-3.4E0)); +#1624=DIRECTION('',(0.E0,0.E0,1.E0)); +#1625=DIRECTION('',(3.593823651369E-11,1.E0,0.E0)); +#1626=AXIS2_PLACEMENT_3D('',#1623,#1624,#1625); +#1628=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-3.4E0)); +#1629=DIRECTION('',(0.E0,0.E0,1.E0)); +#1630=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); +#1631=AXIS2_PLACEMENT_3D('',#1628,#1629,#1630); +#1633=CARTESIAN_POINT('',(-9.638377555660E0,1.5692085E0,-3.4E0)); +#1634=DIRECTION('',(1.E0,0.E0,0.E0)); +#1635=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1636=AXIS2_PLACEMENT_3D('',#1633,#1634,#1635); +#1638=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-3.7E0)); +#1639=DIRECTION('',(0.E0,0.E0,1.E0)); +#1640=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1641=AXIS2_PLACEMENT_3D('',#1638,#1639,#1640); +#1643=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-3.7E0)); +#1644=DIRECTION('',(0.E0,0.E0,1.E0)); +#1645=DIRECTION('',(0.E0,1.E0,0.E0)); +#1646=AXIS2_PLACEMENT_3D('',#1643,#1644,#1645); +#1648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1649=VECTOR('',#1648,7.E-1); +#1650=CARTESIAN_POINT('',(-8.938377555660E0,1.5692085E0,-3.7E0)); +#1651=LINE('',#1650,#1649); +#1652=DIRECTION('',(1.E0,0.E0,0.E0)); +#1653=VECTOR('',#1652,6.999999999647E-1); +#1654=CARTESIAN_POINT('',(-9.638377555628E0,1.2692085E0,-3.4E0)); +#1655=LINE('',#1654,#1653); +#1656=DIRECTION('',(5.922881233086E-12,0.E0,1.E0)); +#1657=VECTOR('',#1656,7.E-1); +#1658=CARTESIAN_POINT('',(-8.938377555664E0,1.2692085E0,-3.4E0)); +#1659=LINE('',#1658,#1657); +#1660=DIRECTION('',(5.998757046655E-11,0.E0,-1.E0)); +#1661=VECTOR('',#1660,7.E-1); +#1662=CARTESIAN_POINT('',(-8.938377555860E0,3.3692085E0,-2.7E0)); +#1663=LINE('',#1662,#1661); +#1664=CARTESIAN_POINT('',(-8.938377555660E0,1.5692085E0,-3.4E0)); +#1665=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1666=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1667=AXIS2_PLACEMENT_3D('',#1664,#1665,#1666); +#1669=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-3.4E0)); +#1670=DIRECTION('',(0.E0,0.E0,1.E0)); +#1671=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1672=AXIS2_PLACEMENT_3D('',#1669,#1670,#1671); +#1674=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-3.4E0)); +#1675=DIRECTION('',(0.E0,0.E0,1.E0)); +#1676=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); +#1677=AXIS2_PLACEMENT_3D('',#1674,#1675,#1676); +#1679=CARTESIAN_POINT('',(-8.938377555660E0,3.0692085E0,-3.4E0)); +#1680=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1681=DIRECTION('',(0.E0,1.E0,0.E0)); +#1682=AXIS2_PLACEMENT_3D('',#1679,#1680,#1681); +#1684=DIRECTION('',(1.E0,0.E0,0.E0)); +#1685=VECTOR('',#1684,7.E-1); +#1686=CARTESIAN_POINT('',(-9.638377555660E0,3.0692085E0,-3.7E0)); +#1687=LINE('',#1686,#1685); +#1688=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1689=VECTOR('',#1688,6.999999998226E-1); +#1690=CARTESIAN_POINT('',(-8.938377555818E0,3.3692085E0,-3.4E0)); +#1691=LINE('',#1690,#1689); +#1692=DIRECTION('',(0.E0,0.E0,1.E0)); +#1693=VECTOR('',#1692,1.5E0); +#1694=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-2.7E0)); +#1695=LINE('',#1694,#1693); +#1696=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1697=VECTOR('',#1696,3.35E0); +#1698=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-1.2E0)); +#1699=LINE('',#1698,#1697); +#1700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1701=VECTOR('',#1700,3.35E0); +#1702=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-2.7E0)); +#1703=LINE('',#1702,#1701); +#1704=DIRECTION('',(1.E0,0.E0,0.E0)); +#1705=VECTOR('',#1704,4.2E0); +#1706=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-2.7E0)); +#1707=LINE('',#1706,#1705); +#1708=DIRECTION('',(0.E0,1.E0,0.E0)); +#1709=VECTOR('',#1708,3.35E0); +#1710=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-2.7E0)); +#1711=LINE('',#1710,#1709); +#1712=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1713=VECTOR('',#1712,4.2E0); +#1714=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-2.7E0)); +#1715=LINE('',#1714,#1713); +#1716=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-2.7E0)); +#1717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1718=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1719=AXIS2_PLACEMENT_3D('',#1716,#1717,#1718); +#1721=DIRECTION('',(1.E0,0.E0,0.E0)); +#1722=VECTOR('',#1721,6.999999997650E-1); +#1723=CARTESIAN_POINT('',(8.459622944377E0,3.2520075E0,-2.7E0)); +#1724=LINE('',#1723,#1722); +#1725=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-2.7E0)); +#1726=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1727=DIRECTION('',(0.E0,1.E0,0.E0)); +#1728=AXIS2_PLACEMENT_3D('',#1725,#1726,#1727); +#1730=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1731=VECTOR('',#1730,6.999999999986E-1); +#1732=CARTESIAN_POINT('',(9.159622944338E0,1.1520075E0,-2.7E0)); +#1733=LINE('',#1732,#1731); +#1734=DIRECTION('',(0.E0,0.E0,1.E0)); +#1735=VECTOR('',#1734,1.5E0); +#1736=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-2.7E0)); +#1737=LINE('',#1736,#1735); +#1738=DIRECTION('',(1.E0,0.E0,0.E0)); +#1739=VECTOR('',#1738,4.2E0); +#1740=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-1.2E0)); +#1741=LINE('',#1740,#1739); +#1742=DIRECTION('',(0.E0,0.E0,1.E0)); +#1743=VECTOR('',#1742,1.5E0); +#1744=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-2.7E0)); +#1745=LINE('',#1744,#1743); +#1746=DIRECTION('',(0.E0,1.E0,0.E0)); +#1747=VECTOR('',#1746,3.35E0); +#1748=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-1.2E0)); +#1749=LINE('',#1748,#1747); +#1750=DIRECTION('',(0.E0,0.E0,1.E0)); +#1751=VECTOR('',#1750,1.5E0); +#1752=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-2.7E0)); +#1753=LINE('',#1752,#1751); +#1754=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1755=VECTOR('',#1754,4.2E0); +#1756=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-1.2E0)); +#1757=LINE('',#1756,#1755); +#1758=DIRECTION('',(1.433266204133E-11,0.E0,1.E0)); +#1759=VECTOR('',#1758,7.E-1); +#1760=CARTESIAN_POINT('',(8.459622944367E0,3.2520075E0,-3.4E0)); +#1761=LINE('',#1760,#1759); +#1762=DIRECTION('',(4.528948644682E-11,0.E0,-1.E0)); +#1763=VECTOR('',#1762,7.E-1); +#1764=CARTESIAN_POINT('',(8.459622944340E0,1.1520075E0,-2.7E0)); +#1765=LINE('',#1764,#1763); +#1766=CARTESIAN_POINT('',(8.459622944340E0,2.9520075E0,-3.4E0)); +#1767=DIRECTION('',(1.E0,0.E0,0.E0)); +#1768=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1769=AXIS2_PLACEMENT_3D('',#1766,#1767,#1768); +#1771=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-3.4E0)); +#1772=DIRECTION('',(0.E0,0.E0,1.E0)); +#1773=DIRECTION('',(5.274088044600E-11,1.E0,0.E0)); +#1774=AXIS2_PLACEMENT_3D('',#1771,#1772,#1773); +#1776=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-3.4E0)); +#1777=DIRECTION('',(0.E0,0.E0,1.E0)); +#1778=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); +#1779=AXIS2_PLACEMENT_3D('',#1776,#1777,#1778); +#1781=CARTESIAN_POINT('',(8.459622944340E0,1.4520075E0,-3.4E0)); +#1782=DIRECTION('',(1.E0,0.E0,0.E0)); +#1783=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1784=AXIS2_PLACEMENT_3D('',#1781,#1782,#1783); +#1786=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-3.7E0)); +#1787=DIRECTION('',(0.E0,0.E0,1.E0)); +#1788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1789=AXIS2_PLACEMENT_3D('',#1786,#1787,#1788); +#1791=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-3.7E0)); +#1792=DIRECTION('',(0.E0,0.E0,1.E0)); +#1793=DIRECTION('',(0.E0,1.E0,0.E0)); +#1794=AXIS2_PLACEMENT_3D('',#1791,#1792,#1793); +#1796=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1797=VECTOR('',#1796,7.E-1); +#1798=CARTESIAN_POINT('',(9.159622944340E0,1.4520075E0,-3.7E0)); +#1799=LINE('',#1798,#1797); +#1800=DIRECTION('',(1.E0,0.E0,0.E0)); +#1801=VECTOR('',#1800,6.999999999639E-1); +#1802=CARTESIAN_POINT('',(8.459622944371E0,1.1520075E0,-3.4E0)); +#1803=LINE('',#1802,#1801); +#1804=DIRECTION('',(4.270869372444E-12,0.E0,1.E0)); +#1805=VECTOR('',#1804,7.E-1); +#1806=CARTESIAN_POINT('',(9.159622944335E0,1.1520075E0,-3.4E0)); +#1807=LINE('',#1806,#1805); +#1808=DIRECTION('',(5.858932386868E-11,0.E0,-1.E0)); +#1809=VECTOR('',#1808,7.E-1); +#1810=CARTESIAN_POINT('',(9.159622944142E0,3.2520075E0,-2.7E0)); +#1811=LINE('',#1810,#1809); +#1812=CARTESIAN_POINT('',(9.159622944340E0,1.4520075E0,-3.4E0)); +#1813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1814=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1815=AXIS2_PLACEMENT_3D('',#1812,#1813,#1814); +#1817=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-3.4E0)); +#1818=DIRECTION('',(0.E0,0.E0,1.E0)); +#1819=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1820=AXIS2_PLACEMENT_3D('',#1817,#1818,#1819); +#1822=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-3.4E0)); +#1823=DIRECTION('',(0.E0,0.E0,1.E0)); +#1824=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); +#1825=AXIS2_PLACEMENT_3D('',#1822,#1823,#1824); +#1827=CARTESIAN_POINT('',(9.159622944340E0,2.9520075E0,-3.4E0)); +#1828=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1829=DIRECTION('',(0.E0,1.E0,0.E0)); +#1830=AXIS2_PLACEMENT_3D('',#1827,#1828,#1829); +#1832=DIRECTION('',(1.E0,0.E0,0.E0)); +#1833=VECTOR('',#1832,7.E-1); +#1834=CARTESIAN_POINT('',(8.459622944340E0,2.9520075E0,-3.7E0)); +#1835=LINE('',#1834,#1833); +#1836=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1837=VECTOR('',#1836,6.999999998161E-1); +#1838=CARTESIAN_POINT('',(9.159622944183E0,3.2520075E0,-3.4E0)); +#1839=LINE('',#1838,#1837); +#1840=DIRECTION('',(1.E0,0.E0,0.E0)); +#1841=VECTOR('',#1840,7.03199E-1); +#1842=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,0.E0)); +#1843=LINE('',#1842,#1841); +#1844=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1845=VECTOR('',#1844,5.E-1); +#1846=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,5.E-1)); +#1847=LINE('',#1846,#1845); +#1848=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1849=VECTOR('',#1848,9.55599E-1); +#1850=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,0.E0)); +#1851=LINE('',#1850,#1849); +#1852=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1853=VECTOR('',#1852,5.E-1); +#1854=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,5.E-1)); +#1855=LINE('',#1854,#1853); +#1856=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1857=VECTOR('',#1856,5.E-1); +#1858=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,5.E-1)); +#1859=LINE('',#1858,#1857); +#1860=DIRECTION('',(1.E0,0.E0,0.E0)); +#1861=VECTOR('',#1860,2.96799E-1); +#1862=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,0.E0)); +#1863=LINE('',#1862,#1861); +#1864=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1865=VECTOR('',#1864,5.E-1); +#1866=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,5.E-1)); +#1867=LINE('',#1866,#1865); +#1868=DIRECTION('',(1.E0,0.E0,0.E0)); +#1869=VECTOR('',#1868,2.96799E-1); +#1870=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,0.E0)); +#1871=LINE('',#1870,#1869); +#1872=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1873=VECTOR('',#1872,5.E-1); +#1874=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,5.E-1)); +#1875=LINE('',#1874,#1873); +#1876=DIRECTION('',(1.E0,0.E0,0.E0)); +#1877=VECTOR('',#1876,2.96799E-1); +#1878=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,0.E0)); +#1879=LINE('',#1878,#1877); +#1880=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1881=VECTOR('',#1880,5.E-1); +#1882=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,5.E-1)); +#1883=LINE('',#1882,#1881); +#1884=DIRECTION('',(1.E0,0.E0,0.E0)); +#1885=VECTOR('',#1884,1.247254E0); +#1886=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,0.E0)); +#1887=LINE('',#1886,#1885); +#1888=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1889=VECTOR('',#1888,2.8E0); +#1890=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,2.8E0)); +#1891=LINE('',#1890,#1889); +#1892=DIRECTION('',(1.E0,0.E0,0.E0)); +#1893=VECTOR('',#1892,1.371384E0); +#1894=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,0.E0)); +#1895=LINE('',#1894,#1893); +#1896=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1897=VECTOR('',#1896,7.03199E-1); +#1898=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,5.E-1)); +#1899=LINE('',#1898,#1897); +#1900=DIRECTION('',(0.E0,1.E0,0.E0)); +#1901=VECTOR('',#1900,9.55599E-1); +#1902=CARTESIAN_POINT('',(6.697298944340E0,4.921817E0,5.E-1)); +#1903=LINE('',#1902,#1901); +#1904=DIRECTION('',(1.E0,0.E0,0.E0)); +#1905=VECTOR('',#1904,7.03199E-1); +#1906=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,5.E-1)); +#1907=LINE('',#1906,#1905); +#1908=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1909=VECTOR('',#1908,9.55599E-1); +#1910=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,5.E-1)); +#1911=LINE('',#1910,#1909); +#1912=DIRECTION('',(0.E0,1.E0,0.E0)); +#1913=VECTOR('',#1912,9.55599E-1); +#1914=CARTESIAN_POINT('',(6.697298944340E0,4.921817E0,0.E0)); +#1915=LINE('',#1914,#1913); +#1916=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1917=VECTOR('',#1916,5.E-1); +#1918=CARTESIAN_POINT('',(6.697298944340E0,4.921817E0,5.E-1)); +#1919=LINE('',#1918,#1917); +#1920=DIRECTION('',(1.E0,0.E0,0.E0)); +#1921=VECTOR('',#1920,7.03199E-1); +#1922=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,0.E0)); +#1923=LINE('',#1922,#1921); +#1924=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1925=VECTOR('',#1924,5.E-1); +#1926=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,5.E-1)); +#1927=LINE('',#1926,#1925); +#1928=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1929=VECTOR('',#1928,9.55599E-1); +#1930=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,0.E0)); +#1931=LINE('',#1930,#1929); +#1932=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1933=VECTOR('',#1932,5.E-1); +#1934=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,5.E-1)); +#1935=LINE('',#1934,#1933); +#1936=DIRECTION('',(0.E0,1.E0,0.E0)); +#1937=VECTOR('',#1936,9.55599E-1); +#1938=CARTESIAN_POINT('',(7.697296944340E0,4.921817E0,0.E0)); +#1939=LINE('',#1938,#1937); +#1940=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1941=VECTOR('',#1940,5.E-1); +#1942=CARTESIAN_POINT('',(7.697296944340E0,4.921817E0,5.E-1)); +#1943=LINE('',#1942,#1941); +#1944=DIRECTION('',(1.E0,0.E0,0.E0)); +#1945=VECTOR('',#1944,7.03199E-1); +#1946=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,0.E0)); +#1947=LINE('',#1946,#1945); +#1948=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1949=VECTOR('',#1948,5.E-1); +#1950=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,5.E-1)); +#1951=LINE('',#1950,#1949); +#1952=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1953=VECTOR('',#1952,9.55599E-1); +#1954=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,0.E0)); +#1955=LINE('',#1954,#1953); +#1956=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1957=VECTOR('',#1956,5.E-1); +#1958=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,5.E-1)); +#1959=LINE('',#1958,#1957); +#1960=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1961=VECTOR('',#1960,7.03199E-1); +#1962=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,5.E-1)); +#1963=LINE('',#1962,#1961); +#1964=DIRECTION('',(0.E0,1.E0,0.E0)); +#1965=VECTOR('',#1964,9.55599E-1); +#1966=CARTESIAN_POINT('',(7.697296944340E0,4.921817E0,5.E-1)); +#1967=LINE('',#1966,#1965); +#1968=DIRECTION('',(1.E0,0.E0,0.E0)); +#1969=VECTOR('',#1968,7.03199E-1); +#1970=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,5.E-1)); +#1971=LINE('',#1970,#1969); +#1972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1973=VECTOR('',#1972,9.55599E-1); +#1974=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,5.E-1)); +#1975=LINE('',#1974,#1973); +#1976=DIRECTION('',(0.E0,1.E0,0.E0)); +#1977=VECTOR('',#1976,9.55599E-1); +#1978=CARTESIAN_POINT('',(8.697294944340E0,4.921817E0,0.E0)); +#1979=LINE('',#1978,#1977); +#1980=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1981=VECTOR('',#1980,5.E-1); +#1982=CARTESIAN_POINT('',(8.697294944340E0,4.921817E0,5.E-1)); +#1983=LINE('',#1982,#1981); +#1984=DIRECTION('',(1.E0,0.E0,0.E0)); +#1985=VECTOR('',#1984,7.03199E-1); +#1986=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,0.E0)); +#1987=LINE('',#1986,#1985); +#1988=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1989=VECTOR('',#1988,5.E-1); +#1990=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,5.E-1)); +#1991=LINE('',#1990,#1989); +#1992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1993=VECTOR('',#1992,9.55599E-1); +#1994=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,0.E0)); +#1995=LINE('',#1994,#1993); +#1996=DIRECTION('',(0.E0,0.E0,-1.E0)); +#1997=VECTOR('',#1996,5.E-1); +#1998=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,5.E-1)); +#1999=LINE('',#1998,#1997); +#2000=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2001=VECTOR('',#2000,7.03199E-1); +#2002=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,5.E-1)); +#2003=LINE('',#2002,#2001); +#2004=DIRECTION('',(0.E0,1.E0,0.E0)); +#2005=VECTOR('',#2004,9.55599E-1); +#2006=CARTESIAN_POINT('',(8.697294944340E0,4.921817E0,5.E-1)); +#2007=LINE('',#2006,#2005); +#2008=DIRECTION('',(1.E0,0.E0,0.E0)); +#2009=VECTOR('',#2008,7.03199E-1); +#2010=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,5.E-1)); +#2011=LINE('',#2010,#2009); +#2012=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2013=VECTOR('',#2012,9.55599E-1); +#2014=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,5.E-1)); +#2015=LINE('',#2014,#2013); +#2016=DIRECTION('',(0.E0,1.E0,0.E0)); +#2017=VECTOR('',#2016,9.55599E-1); +#2018=CARTESIAN_POINT('',(9.697292944340E0,4.921817E0,0.E0)); +#2019=LINE('',#2018,#2017); +#2020=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2021=VECTOR('',#2020,5.E-1); +#2022=CARTESIAN_POINT('',(9.697292944340E0,4.921817E0,5.E-1)); +#2023=LINE('',#2022,#2021); +#2024=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2025=VECTOR('',#2024,7.03199E-1); +#2026=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,5.E-1)); +#2027=LINE('',#2026,#2025); +#2028=DIRECTION('',(0.E0,1.E0,0.E0)); +#2029=VECTOR('',#2028,9.55599E-1); +#2030=CARTESIAN_POINT('',(9.697292944340E0,4.921817E0,5.E-1)); +#2031=LINE('',#2030,#2029); +#2032=DIRECTION('',(1.E0,0.E0,0.E0)); +#2033=VECTOR('',#2032,7.03199E-1); +#2034=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,5.E-1)); +#2035=LINE('',#2034,#2033); +#2036=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2037=VECTOR('',#2036,9.55599E-1); +#2038=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,5.E-1)); +#2039=LINE('',#2038,#2037); +#2040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2041=VECTOR('',#2040,4.699E0); +#2042=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,0.E0)); +#2043=LINE('',#2042,#2041); +#2044=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2045=VECTOR('',#2044,2.8E0); +#2046=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,2.8E0)); +#2047=LINE('',#2046,#2045); +#2048=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2049=VECTOR('',#2048,6.321831E0); +#2050=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,0.E0)); +#2051=LINE('',#2050,#2049); +#2052=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2053=VECTOR('',#2052,2.8E0); +#2054=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,2.8E0)); +#2055=LINE('',#2054,#2053); +#2056=DIRECTION('',(0.E0,1.E0,0.E0)); +#2057=VECTOR('',#2056,4.699E0); +#2058=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,0.E0)); +#2059=LINE('',#2058,#2057); +#2060=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2061=VECTOR('',#2060,2.8E0); +#2062=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,2.8E0)); +#2063=LINE('',#2062,#2061); +#2064=DIRECTION('',(1.E0,0.E0,0.E0)); +#2065=VECTOR('',#2064,6.321831E0); +#2066=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,2.8E0)); +#2067=LINE('',#2066,#2065); +#2068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2069=VECTOR('',#2068,4.699E0); +#2070=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,2.8E0)); +#2071=LINE('',#2070,#2069); +#2072=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2073=VECTOR('',#2072,6.321831E0); +#2074=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,2.8E0)); +#2075=LINE('',#2074,#2073); +#2076=DIRECTION('',(0.E0,1.E0,0.E0)); +#2077=VECTOR('',#2076,4.699E0); +#2078=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,2.8E0)); +#2079=LINE('',#2078,#2077); +#2080=DIRECTION('',(0.E0,0.E0,1.E0)); +#2081=VECTOR('',#2080,5.E-1); +#2082=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,1.5E0)); +#2083=LINE('',#2082,#2081); +#2084=DIRECTION('',(0.E0,1.E0,0.E0)); +#2085=VECTOR('',#2084,3.5E0); +#2086=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,2.E0)); +#2087=LINE('',#2086,#2085); +#2088=DIRECTION('',(1.E0,0.E0,0.E0)); +#2089=VECTOR('',#2088,4.E-1); +#2090=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,2.E0)); +#2091=LINE('',#2090,#2089); +#2092=DIRECTION('',(0.E0,1.E0,0.E0)); +#2093=VECTOR('',#2092,3.5E0); +#2094=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.E0)); +#2095=LINE('',#2094,#2093); +#2096=DIRECTION('',(0.E0,0.E0,1.E0)); +#2097=VECTOR('',#2096,4.E-1); +#2098=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.E0)); +#2099=LINE('',#2098,#2097); +#2100=DIRECTION('',(1.E0,0.E0,0.E0)); +#2101=VECTOR('',#2100,4.4E0); +#2102=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.4E0)); +#2103=LINE('',#2102,#2101); +#2104=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2105=VECTOR('',#2104,3.5E0); +#2106=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,2.4E0)); +#2107=LINE('',#2106,#2105); +#2108=DIRECTION('',(0.E0,1.E0,0.E0)); +#2109=VECTOR('',#2108,3.5E0); +#2110=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.E0)); +#2111=LINE('',#2110,#2109); +#2112=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2113=VECTOR('',#2112,3.5E0); +#2114=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,2.4E0)); +#2115=LINE('',#2114,#2113); +#2116=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2117=VECTOR('',#2116,4.E-1); +#2118=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.4E0)); +#2119=LINE('',#2118,#2117); +#2120=DIRECTION('',(1.E0,0.E0,0.E0)); +#2121=VECTOR('',#2120,4.E-1); +#2122=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.E0)); +#2123=LINE('',#2122,#2121); +#2124=DIRECTION('',(0.E0,1.E0,0.E0)); +#2125=VECTOR('',#2124,3.5E0); +#2126=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,2.E0)); +#2127=LINE('',#2126,#2125); +#2128=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2129=VECTOR('',#2128,5.E-1); +#2130=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,2.E0)); +#2131=LINE('',#2130,#2129); +#2132=DIRECTION('',(0.E0,1.E0,0.E0)); +#2133=VECTOR('',#2132,3.5E0); +#2134=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,1.5E0)); +#2135=LINE('',#2134,#2133); +#2136=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2137=VECTOR('',#2136,4.E-1); +#2138=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,1.5E0)); +#2139=LINE('',#2138,#2137); +#2140=DIRECTION('',(0.E0,1.E0,0.E0)); +#2141=VECTOR('',#2140,3.5E0); +#2142=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,1.5E0)); +#2143=LINE('',#2142,#2141); +#2144=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2145=VECTOR('',#2144,9.5E-1); +#2146=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,1.5E0)); +#2147=LINE('',#2146,#2145); +#2148=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2149=VECTOR('',#2148,4.4E0); +#2150=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,5.5E-1)); +#2151=LINE('',#2150,#2149); +#2152=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2153=VECTOR('',#2152,3.5E0); +#2154=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,5.5E-1)); +#2155=LINE('',#2154,#2153); +#2156=DIRECTION('',(0.E0,1.E0,0.E0)); +#2157=VECTOR('',#2156,3.5E0); +#2158=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,1.5E0)); +#2159=LINE('',#2158,#2157); +#2160=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2161=VECTOR('',#2160,3.5E0); +#2162=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,5.5E-1)); +#2163=LINE('',#2162,#2161); +#2164=DIRECTION('',(0.E0,0.E0,1.E0)); +#2165=VECTOR('',#2164,9.5E-1); +#2166=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,5.5E-1)); +#2167=LINE('',#2166,#2165); +#2168=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2169=VECTOR('',#2168,4.E-1); +#2170=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,1.5E0)); +#2171=LINE('',#2170,#2169); +#2172=DIRECTION('',(0.E0,1.E0,0.E0)); +#2173=VECTOR('',#2172,3.5E0); +#2174=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,1.5E0)); +#2175=LINE('',#2174,#2173); +#2176=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2177=VECTOR('',#2176,4.E-1); +#2178=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,2.4E0)); +#2179=LINE('',#2178,#2177); +#2180=DIRECTION('',(1.E0,0.E0,0.E0)); +#2181=VECTOR('',#2180,4.E-1); +#2182=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,2.E0)); +#2183=LINE('',#2182,#2181); +#2184=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2185=VECTOR('',#2184,5.E-1); +#2186=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,2.E0)); +#2187=LINE('',#2186,#2185); +#2188=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2189=VECTOR('',#2188,4.E-1); +#2190=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,1.5E0)); +#2191=LINE('',#2190,#2189); +#2192=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2193=VECTOR('',#2192,9.5E-1); +#2194=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,1.5E0)); +#2195=LINE('',#2194,#2193); +#2196=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2197=VECTOR('',#2196,4.4E0); +#2198=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,5.5E-1)); +#2199=LINE('',#2198,#2197); +#2200=DIRECTION('',(0.E0,0.E0,1.E0)); +#2201=VECTOR('',#2200,9.5E-1); +#2202=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,5.5E-1)); +#2203=LINE('',#2202,#2201); +#2204=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2205=VECTOR('',#2204,4.E-1); +#2206=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,1.5E0)); +#2207=LINE('',#2206,#2205); +#2208=DIRECTION('',(0.E0,0.E0,1.E0)); +#2209=VECTOR('',#2208,5.E-1); +#2210=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,1.5E0)); +#2211=LINE('',#2210,#2209); +#2212=DIRECTION('',(1.E0,0.E0,0.E0)); +#2213=VECTOR('',#2212,4.E-1); +#2214=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,2.E0)); +#2215=LINE('',#2214,#2213); +#2216=DIRECTION('',(0.E0,0.E0,1.E0)); +#2217=VECTOR('',#2216,4.E-1); +#2218=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,2.E0)); +#2219=LINE('',#2218,#2217); +#2220=DIRECTION('',(1.E0,0.E0,0.E0)); +#2221=VECTOR('',#2220,4.4E0); +#2222=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,2.4E0)); +#2223=LINE('',#2222,#2221); +#2224=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2225=VECTOR('',#2224,8.332010989986E-1); +#2226=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098999E0,0.E0)); +#2227=LINE('',#2226,#2225); +#2228=DIRECTION('',(1.110223024625E-14,2.021494083237E-12,-1.E0)); +#2229=VECTOR('',#2228,5.E-1); +#2230=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098998E0,5.E-1)); +#2231=LINE('',#2230,#2229); +#2232=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2233=VECTOR('',#2232,6.99999E-1); +#2234=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,0.E0)); +#2235=LINE('',#2234,#2233); +#2236=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2237=VECTOR('',#2236,5.E-1); +#2238=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,5.E-1)); +#2239=LINE('',#2238,#2237); +#2240=DIRECTION('',(0.E0,1.E0,0.E0)); +#2241=VECTOR('',#2240,8.277320303941E-1); +#2242=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,0.E0)); +#2243=LINE('',#2242,#2241); +#2244=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2245=VECTOR('',#2244,5.E-1); +#2246=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,5.E-1)); +#2247=LINE('',#2246,#2245); +#2248=DIRECTION('',(2.264854970235E-13,-3.584434336647E-14,1.E0)); +#2249=VECTOR('',#2248,7.E-1); +#2250=CARTESIAN_POINT('',(-2.551976055660E0,1.123055380860E0,2.8E0)); +#2251=LINE('',#2250,#2249); +#2252=DIRECTION('',(-2.498001805407E-13,-1.539244921998E-13,-1.E0)); +#2253=VECTOR('',#2252,2.8E0); +#2254=CARTESIAN_POINT('',(3.048024944342E0,1.166808000001E0,2.8E0)); +#2255=LINE('',#2254,#2253); +#2256=DIRECTION('',(-9.999694801760E-1,-7.812727859433E-3,0.E0)); +#2257=VECTOR('',#2256,7.000203644983E-1); +#2258=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098998E0,5.E-1)); +#2259=LINE('',#2258,#2257); +#2260=DIRECTION('',(0.E0,6.510347816402E-13,-1.E0)); +#2261=VECTOR('',#2260,5.E-1); +#2262=CARTESIAN_POINT('',(1.980229443404E-1,1.144541030394E0,5.E-1)); +#2263=LINE('',#2262,#2261); +#2264=DIRECTION('',(-9.999694801760E-1,-7.812727857816E-3,0.E0)); +#2265=VECTOR('',#2264,5.700163968001E-1); +#2266=CARTESIAN_POINT('',(1.980229443404E-1,1.144541030394E0,0.E0)); +#2267=LINE('',#2266,#2265); +#2268=DIRECTION('',(-9.999694801760E-1,-7.812727857788E-3,0.E0)); +#2269=VECTOR('',#2268,7.000193644682E-1); +#2270=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,5.E-1)); +#2271=LINE('',#2270,#2269); +#2272=DIRECTION('',(0.E0,7.709388682997E-13,-1.E0)); +#2273=VECTOR('',#2272,5.E-1); +#2274=CARTESIAN_POINT('',(-1.071974055660E0,1.134618586622E0,5.E-1)); +#2275=LINE('',#2274,#2273); +#2276=DIRECTION('',(1.E0,1.378789947925E-13,0.E0)); +#2277=VECTOR('',#2276,1.050001E0); +#2278=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,2.8E0)); +#2279=LINE('',#2278,#2277); +#2280=DIRECTION('',(-2.255151692737E-14,-1.E0,0.E0)); +#2281=VECTOR('',#2280,3.150753619140E0); +#2282=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,2.8E0)); +#2283=LINE('',#2282,#2281); +#2284=DIRECTION('',(-9.999694801760E-1,-7.812727858213E-3,0.E0)); +#2285=VECTOR('',#2284,8.000254166349E-1); +#2286=CARTESIAN_POINT('',(-2.551976055660E0,1.123055380860E0,2.8E0)); +#2287=LINE('',#2286,#2285); +#2288=DIRECTION('',(-7.071067811865E-1,7.071067811866E-1,0.E0)); +#2289=VECTOR('',#2288,3.535533905932E-1); +#2290=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,2.8E0)); +#2291=LINE('',#2290,#2289); +#2292=DIRECTION('',(0.E0,1.E0,0.E0)); +#2293=VECTOR('',#2292,2.907004E0); +#2294=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,2.8E0)); +#2295=LINE('',#2294,#2293); +#2296=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2297=VECTOR('',#2296,2.8E0); +#2298=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,2.8E0)); +#2299=LINE('',#2298,#2297); +#2300=DIRECTION('',(1.E0,1.378789947925E-13,0.E0)); +#2301=VECTOR('',#2300,1.050001E0); +#2302=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,0.E0)); +#2303=LINE('',#2302,#2301); +#2304=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2305=VECTOR('',#2304,2.8E0); +#2306=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,2.8E0)); +#2307=LINE('',#2306,#2305); +#2308=DIRECTION('',(0.E0,1.E0,0.E0)); +#2309=VECTOR('',#2308,2.907004E0); +#2310=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,0.E0)); +#2311=LINE('',#2310,#2309); +#2312=DIRECTION('',(1.459150260936E-14,0.E0,-1.E0)); +#2313=VECTOR('',#2312,2.8E0); +#2314=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,2.8E0)); +#2315=LINE('',#2314,#2313); +#2316=DIRECTION('',(2.253752739989E-13,0.E0,1.E0)); +#2317=VECTOR('',#2316,4.E-1); +#2318=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,3.1E0)); +#2319=LINE('',#2318,#2317); +#2320=DIRECTION('',(2.268027036020E-14,0.E0,-1.E0)); +#2321=VECTOR('',#2320,2.8E0); +#2322=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,2.8E0)); +#2323=LINE('',#2322,#2321); +#2324=DIRECTION('',(-1.972905916192E-14,1.E0,0.E0)); +#2325=VECTOR('',#2324,1.192998E0); +#2326=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,0.E0)); +#2327=LINE('',#2326,#2325); +#2328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2329=VECTOR('',#2328,4.000000000001E-1); +#2330=CARTESIAN_POINT('',(-2.151976055660E0,4.273809E0,3.1E0)); +#2331=LINE('',#2330,#2329); +#2332=DIRECTION('',(7.556601905415E-14,1.E0,0.E0)); +#2333=VECTOR('',#2332,1.192998E0); +#2334=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,3.1E0)); +#2335=LINE('',#2334,#2333); +#2336=DIRECTION('',(1.E0,0.E0,0.E0)); +#2337=VECTOR('',#2336,4.E-1); +#2338=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,3.1E0)); +#2339=LINE('',#2338,#2337); +#2340=DIRECTION('',(1.797571721926E-14,1.E0,0.E0)); +#2341=VECTOR('',#2340,1.507002E0); +#2342=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,3.1E0)); +#2343=LINE('',#2342,#2341); +#2344=DIRECTION('',(-1.414536317270E-14,1.E0,0.E0)); +#2345=VECTOR('',#2344,1.192998E0); +#2346=CARTESIAN_POINT('',(-2.151976055660E0,4.273809E0,3.1E0)); +#2347=LINE('',#2346,#2345); +#2348=DIRECTION('',(0.E0,0.E0,1.E0)); +#2349=VECTOR('',#2348,2.7E0); +#2350=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,4.E-1)); +#2351=LINE('',#2350,#2349); +#2352=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2353=VECTOR('',#2352,3.1E0); +#2354=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,3.1E0)); +#2355=LINE('',#2354,#2353); +#2356=DIRECTION('',(1.E0,0.E0,0.E0)); +#2357=VECTOR('',#2356,4.899998E0); +#2358=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,0.E0)); +#2359=LINE('',#2358,#2357); +#2360=DIRECTION('',(0.E0,0.E0,1.E0)); +#2361=VECTOR('',#2360,2.7E0); +#2362=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,4.E-1)); +#2363=LINE('',#2362,#2361); +#2364=DIRECTION('',(0.E0,1.E0,0.E0)); +#2365=VECTOR('',#2364,2.7E0); +#2366=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,4.E-1)); +#2367=LINE('',#2366,#2365); +#2368=DIRECTION('',(1.824007356479E-14,1.E0,0.E0)); +#2369=VECTOR('',#2368,1.192998E0); +#2370=CARTESIAN_POINT('',(1.948021944340E0,4.273809E0,3.1E0)); +#2371=LINE('',#2370,#2369); +#2372=DIRECTION('',(1.E0,0.E0,0.E0)); +#2373=VECTOR('',#2372,4.E-1); +#2374=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,3.1E0)); +#2375=LINE('',#2374,#2373); +#2376=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2377=VECTOR('',#2376,4.E-1); +#2378=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.1E0)); +#2379=LINE('',#2378,#2377); +#2380=DIRECTION('',(0.E0,1.E0,0.E0)); +#2381=VECTOR('',#2380,1.192998E0); +#2382=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.1E0)); +#2383=LINE('',#2382,#2381); +#2384=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2385=VECTOR('',#2384,3.1E0); +#2386=CARTESIAN_POINT('',(2.348021944340E0,5.466807E0,3.1E0)); +#2387=LINE('',#2386,#2385); +#2388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2389=VECTOR('',#2388,1.192998E0); +#2390=CARTESIAN_POINT('',(2.348021944340E0,5.466807E0,0.E0)); +#2391=LINE('',#2390,#2389); +#2392=DIRECTION('',(0.E0,-9.976146892703E-13,1.E0)); +#2393=VECTOR('',#2392,7.E-1); +#2394=CARTESIAN_POINT('',(2.348021944340E0,1.161338900146E0,2.8E0)); +#2395=LINE('',#2394,#2393); +#2396=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2397=VECTOR('',#2396,2.8E0); +#2398=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,2.8E0)); +#2399=LINE('',#2398,#2397); +#2400=DIRECTION('',(1.E0,0.E0,0.E0)); +#2401=VECTOR('',#2400,1.049997E0); +#2402=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,0.E0)); +#2403=LINE('',#2402,#2401); +#2404=DIRECTION('',(-9.999694801760E-1,-7.812727857508E-3,0.E0)); +#2405=VECTOR('',#2404,7.000243646222E-1); +#2406=CARTESIAN_POINT('',(3.048024944342E0,1.166808000001E0,2.8E0)); +#2407=LINE('',#2406,#2405); +#2408=DIRECTION('',(0.E0,1.E0,0.E0)); +#2409=VECTOR('',#2408,3.112470099854E0); +#2410=CARTESIAN_POINT('',(2.348021944340E0,1.161338900146E0,2.8E0)); +#2411=LINE('',#2410,#2409); +#2412=DIRECTION('',(1.E0,0.E0,0.E0)); +#2413=VECTOR('',#2412,1.049997E0); +#2414=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,2.8E0)); +#2415=LINE('',#2414,#2413); +#2416=DIRECTION('',(1.088139678595E-6,-9.999999999994E-1,0.E0)); +#2417=VECTOR('',#2416,2.756999000002E0); +#2418=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,2.8E0)); +#2419=LINE('',#2418,#2417); +#2420=DIRECTION('',(-7.071017303976E-1,-7.071118319394E-1,0.E0)); +#2421=VECTOR('',#2420,4.949740397346E-1); +#2422=CARTESIAN_POINT('',(3.398021944340E0,1.51681E0,2.8E0)); +#2423=LINE('',#2422,#2421); +#2424=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2425=VECTOR('',#2424,2.8E0); +#2426=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,2.8E0)); +#2427=LINE('',#2426,#2425); +#2428=DIRECTION('',(1.088139678917E-6,-9.999999999994E-1,0.E0)); +#2429=VECTOR('',#2428,2.756999000002E0); +#2430=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,0.E0)); +#2431=LINE('',#2430,#2429); +#2432=DIRECTION('',(0.E0,-7.525726074066E-14,-1.E0)); +#2433=VECTOR('',#2432,2.8E0); +#2434=CARTESIAN_POINT('',(3.398021944340E0,1.51681E0,2.8E0)); +#2435=LINE('',#2434,#2433); +#2436=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2437=VECTOR('',#2436,3.112470099854E0); +#2438=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.5E0)); +#2439=LINE('',#2438,#2437); +#2440=DIRECTION('',(-9.999694801760E-1,-7.812727858183E-3,0.E0)); +#2441=VECTOR('',#2440,4.900147551641E0); +#2442=CARTESIAN_POINT('',(2.348021944340E0,1.161338900146E0,3.5E0)); +#2443=LINE('',#2442,#2441); +#2444=DIRECTION('',(-1.522227392597E-14,1.E0,0.E0)); +#2445=VECTOR('',#2444,3.150753619140E0); +#2446=CARTESIAN_POINT('',(-2.551976055660E0,1.123055380860E0,3.5E0)); +#2447=LINE('',#2446,#2445); +#2448=DIRECTION('',(0.E0,0.E0,1.E0)); +#2449=VECTOR('',#2448,4.E-1); +#2450=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.1E0)); +#2451=LINE('',#2450,#2449); +#2452=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2453=VECTOR('',#2452,4.899998E0); +#2454=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.5E0)); +#2455=LINE('',#2454,#2453); +#2456=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2457=VECTOR('',#2456,4.099998E0); +#2458=CARTESIAN_POINT('',(1.948021944340E0,4.273809E0,3.1E0)); +#2459=LINE('',#2458,#2457); +#2460=DIRECTION('',(-2.372205305164E-14,1.E0,0.E0)); +#2461=VECTOR('',#2460,1.507002E0); +#2462=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,3.1E0)); +#2463=LINE('',#2462,#2461); +#2464=DIRECTION('',(0.E0,0.E0,1.E0)); +#2465=VECTOR('',#2464,2.7E0); +#2466=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,4.E-1)); +#2467=LINE('',#2466,#2465); +#2468=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2469=VECTOR('',#2468,4.099998E0); +#2470=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,3.1E0)); +#2471=LINE('',#2470,#2469); +#2472=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2473=VECTOR('',#2472,2.7E0); +#2474=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,3.1E0)); +#2475=LINE('',#2474,#2473); +#2476=DIRECTION('',(1.E0,0.E0,0.E0)); +#2477=VECTOR('',#2476,4.099998E0); +#2478=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,4.E-1)); +#2479=LINE('',#2478,#2477); +#2480=DIRECTION('',(1.E0,0.E0,0.E0)); +#2481=VECTOR('',#2480,4.099998E0); +#2482=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,4.E-1)); +#2483=LINE('',#2482,#2481); +#2484=DIRECTION('',(0.E0,1.E0,0.E0)); +#2485=VECTOR('',#2484,2.7E0); +#2486=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,4.E-1)); +#2487=LINE('',#2486,#2485); +#2488=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2489=VECTOR('',#2488,8.332010989976E-1); +#2490=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098998E0,5.E-1)); +#2491=LINE('',#2490,#2489); +#2492=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2493=VECTOR('',#2492,6.99999E-1); +#2494=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,5.E-1)); +#2495=LINE('',#2494,#2493); +#2496=DIRECTION('',(-1.163563132146E-14,1.E0,0.E0)); +#2497=VECTOR('',#2496,8.277320303937E-1); +#2498=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,5.E-1)); +#2499=LINE('',#2498,#2497); +#2500=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2501=VECTOR('',#2500,8.232756474114E-1); +#2502=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,0.E0)); +#2503=LINE('',#2502,#2501); +#2504=DIRECTION('',(0.E0,3.286260152890E-14,-1.E0)); +#2505=VECTOR('',#2504,5.E-1); +#2506=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,5.E-1)); +#2507=LINE('',#2506,#2505); +#2508=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2509=VECTOR('',#2508,6.999980000004E-1); +#2510=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,0.E0)); +#2511=LINE('',#2510,#2509); +#2512=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2513=VECTOR('',#2512,5.E-1); +#2514=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,5.E-1)); +#2515=LINE('',#2514,#2513); +#2516=DIRECTION('',(0.E0,1.E0,0.E0)); +#2517=VECTOR('',#2516,8.178065866220E-1); +#2518=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,0.E0)); +#2519=LINE('',#2518,#2517); +#2520=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2521=VECTOR('',#2520,5.E-1); +#2522=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,5.E-1)); +#2523=LINE('',#2522,#2521); +#2524=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2525=VECTOR('',#2524,8.232756474114E-1); +#2526=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,5.E-1)); +#2527=LINE('',#2526,#2525); +#2528=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2529=VECTOR('',#2528,6.999980000004E-1); +#2530=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,5.E-1)); +#2531=LINE('',#2530,#2529); +#2532=DIRECTION('',(0.E0,1.E0,0.E0)); +#2533=VECTOR('',#2532,8.178065866216E-1); +#2534=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,5.E-1)); +#2535=LINE('',#2534,#2533); +#2536=DIRECTION('',(-8.515854688085E-12,-1.258371185031E-11,1.E0)); +#2537=VECTOR('',#2536,5.E-1); +#2538=CARTESIAN_POINT('',(1.221762301888E1,1.244094896399E1,0.E0)); +#2539=LINE('',#2538,#2537); +#2540=DIRECTION('',(-1.456612608308E-13,5.364597654989E-13,-1.E0)); +#2541=VECTOR('',#2540,5.E-1); +#2542=CARTESIAN_POINT('',(1.142831881882E1,1.261240665682E1,5.E-1)); +#2543=LINE('',#2542,#2541); +#2544=DIRECTION('',(4.347233429975E-7,-9.999999999999E-1,0.E0)); +#2545=VECTOR('',#2544,1.714549711546E-1); +#2546=CARTESIAN_POINT('',(1.221762486980E1,8.183865971155E0,5.E-1)); +#2547=LINE('',#2546,#2545); +#2548=DIRECTION('',(-6.646307436033E-12,1.106806953780E-13,-1.E0)); +#2549=VECTOR('',#2548,1.3E0); +#2550=CARTESIAN_POINT('',(1.221762294434E1,1.2612407E1,1.8E0)); +#2551=LINE('',#2550,#2549); +#2552=DIRECTION('',(4.347692178278E-7,-9.999999999999E-1,0.E0)); +#2553=VECTOR('',#2552,1.714580360174E-1); +#2554=CARTESIAN_POINT('',(1.221762294433E1,1.2612407E1,5.E-1)); +#2555=LINE('',#2554,#2553); +#2556=DIRECTION('',(4.347871369506E-7,-9.999999999999E-1,0.E0)); +#2557=VECTOR('',#2556,4.257082992836E0); +#2558=CARTESIAN_POINT('',(1.221762301888E1,1.244094896399E1,0.E0)); +#2559=LINE('',#2558,#2557); +#2560=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,5.E-1)); +#2561=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2562=DIRECTION('',(4.986734111352E-1,8.667899566947E-1,0.E0)); +#2563=AXIS2_PLACEMENT_3D('',#2560,#2561,#2562); +#2565=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,5.E-1)); +#2566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2567=DIRECTION('',(1.E0,0.E0,0.E0)); +#2568=AXIS2_PLACEMENT_3D('',#2565,#2566,#2567); +#2570=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,5.E-1)); +#2571=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2572=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2573=AXIS2_PLACEMENT_3D('',#2570,#2571,#2572); +#2575=DIRECTION('',(-9.999999999999E-1,-4.347872793123E-7,0.E0)); +#2576=VECTOR('',#2575,7.893051858709E-1); +#2577=CARTESIAN_POINT('',(1.221762494434E1,8.012411E0,5.E-1)); +#2578=LINE('',#2577,#2576); +#2579=DIRECTION('',(-9.999999999999E-1,-4.347804324712E-7,0.E0)); +#2580=VECTOR('',#2579,1.510694814131E0); +#2581=CARTESIAN_POINT('',(1.142831975847E1,8.012410656821E0,0.E0)); +#2582=LINE('',#2581,#2580); +#2583=DIRECTION('',(3.170113744160E-13,-5.643349035941E-13,-1.E0)); +#2584=VECTOR('',#2583,1.3E0); +#2585=CARTESIAN_POINT('',(1.221762494434E1,8.012411000001E0,1.8E0)); +#2586=LINE('',#2585,#2584); +#2587=DIRECTION('',(-1.168842800325E-12,-3.694822225953E-13,1.E0)); +#2588=VECTOR('',#2587,5.E-1); +#2589=CARTESIAN_POINT('',(1.142831975847E1,8.012410656821E0,0.E0)); +#2590=LINE('',#2589,#2588); +#2591=DIRECTION('',(2.660982545422E-12,-3.918643187717E-12,-1.E0)); +#2592=VECTOR('',#2591,5.E-1); +#2593=CARTESIAN_POINT('',(1.221762486980E1,8.183865971155E0,5.E-1)); +#2594=LINE('',#2593,#2592); +#2595=DIRECTION('',(-4.347737750837E-7,9.999999999999E-1,0.E0)); +#2596=VECTOR('',#2595,9.833822848649E-1); +#2597=CARTESIAN_POINT('',(9.917623418980E0,1.152071396626E1,5.E-1)); +#2598=LINE('',#2597,#2596); +#2599=DIRECTION('',(-4.347840663417E-7,9.999999999999E-1,0.E0)); +#2600=VECTOR('',#2599,1.083097488726E-1); +#2601=CARTESIAN_POINT('',(9.917622991431E0,1.250409625113E1,0.E0)); +#2602=LINE('',#2601,#2600); +#2603=DIRECTION('',(-7.056084112062E-13,-3.059281223412E-14,-1.E0)); +#2604=VECTOR('',#2603,1.8E0); +#2605=CARTESIAN_POINT('',(9.917624944340E0,8.01241E0,1.8E0)); +#2606=LINE('',#2605,#2604); +#2607=DIRECTION('',(-4.347612976383E-7,9.999999999999E-1,0.E0)); +#2608=VECTOR('',#2607,1.083068858374E-1); +#2609=CARTESIAN_POINT('',(9.917624944339E0,8.01241E0,0.E0)); +#2610=LINE('',#2609,#2608); +#2611=DIRECTION('',(-4.347781738149E-7,9.999999999999E-1,0.E0)); +#2612=VECTOR('',#2611,9.833844457079E-1); +#2613=CARTESIAN_POINT('',(9.917624897253E0,8.120716885842E0,5.E-1)); +#2614=LINE('',#2613,#2612); +#2615=DIRECTION('',(-4.347891875385E-7,9.999999999999E-1,0.E0)); +#2616=VECTOR('',#2615,2.416612634703E0); +#2617=CARTESIAN_POINT('',(9.917624469696E0,9.104101331556E0,0.E0)); +#2618=LINE('',#2617,#2616); +#2619=CARTESIAN_POINT('',(9.667618444340E0,1.2012405E1,5.E-1)); +#2620=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2621=DIRECTION('',(4.532359946319E-1,-8.913905615217E-1,0.E0)); +#2622=AXIS2_PLACEMENT_3D('',#2619,#2620,#2621); +#2624=CARTESIAN_POINT('',(9.667618444340E0,1.2012405E1,5.E-1)); +#2625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2627=AXIS2_PLACEMENT_3D('',#2624,#2625,#2626); +#2629=DIRECTION('',(-3.911537760359E-12,-8.462563982903E-12,-1.E0)); +#2630=VECTOR('',#2629,5.E-1); +#2631=CARTESIAN_POINT('',(9.917623418980E0,1.152071396626E1,5.E-1)); +#2632=LINE('',#2631,#2630); +#2633=DIRECTION('',(5.577760475717E-13,-3.019806626980E-13,1.E0)); +#2634=VECTOR('',#2633,5.E-1); +#2635=CARTESIAN_POINT('',(9.917622991431E0,1.250409625113E1,0.E0)); +#2636=LINE('',#2635,#2634); +#2637=DIRECTION('',(9.999999999999E-1,4.347885385678E-7,0.E0)); +#2638=VECTOR('',#2637,7.893041255167E-1); +#2639=CARTESIAN_POINT('',(1.142831881882E1,1.261240665682E1,5.E-1)); +#2640=LINE('',#2639,#2638); +#2641=DIRECTION('',(0.E0,-1.381610875089E-14,-1.E0)); +#2642=VECTOR('',#2641,1.8E0); +#2643=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,1.8E0)); +#2644=LINE('',#2643,#2642); +#2645=DIRECTION('',(9.999999999999E-1,4.347799038905E-7,0.E0)); +#2646=VECTOR('',#2645,1.510695874475E0); +#2647=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,0.E0)); +#2648=LINE('',#2647,#2646); +#2649=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,5.E-1)); +#2650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2651=DIRECTION('',(-8.133401576934E-1,-5.817884391110E-1,0.E0)); +#2652=AXIS2_PLACEMENT_3D('',#2649,#2650,#2651); +#2654=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,5.E-1)); +#2655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2656=DIRECTION('',(-1.E0,-1.476362859147E-14,0.E0)); +#2657=AXIS2_PLACEMENT_3D('',#2654,#2655,#2656); +#2659=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,5.E-1)); +#2660=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2661=DIRECTION('',(1.E0,0.E0,0.E0)); +#2662=AXIS2_PLACEMENT_3D('',#2659,#2660,#2661); +#2664=DIRECTION('',(-9.999999999999E-1,-4.347829960795E-7,0.E0)); +#2665=VECTOR('',#2664,2.3E0); +#2666=CARTESIAN_POINT('',(1.221762494434E1,8.012411000001E0,1.8E0)); +#2667=LINE('',#2666,#2665); +#2668=DIRECTION('',(-4.347829945519E-7,9.999999999999E-1,0.E0)); +#2669=VECTOR('',#2668,4.599996E0); +#2670=CARTESIAN_POINT('',(9.917624944340E0,8.01241E0,1.8E0)); +#2671=LINE('',#2670,#2669); +#2672=DIRECTION('',(9.999999999999E-1,4.347826778797E-7,0.E0)); +#2673=VECTOR('',#2672,2.300000000001E0); +#2674=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,1.8E0)); +#2675=LINE('',#2674,#2673); +#2676=DIRECTION('',(4.347827597637E-7,-9.999999999999E-1,0.E0)); +#2677=VECTOR('',#2676,4.599995999999E0); +#2678=CARTESIAN_POINT('',(1.221762294434E1,1.2612407E1,1.8E0)); +#2679=LINE('',#2678,#2677); +#2680=DIRECTION('',(-3.844036200462E-12,-9.073630735656E-12,-1.E0)); +#2681=VECTOR('',#2680,5.E-1); +#2682=CARTESIAN_POINT('',(9.917624897253E0,8.120716885842E0,5.E-1)); +#2683=LINE('',#2682,#2681); +#2684=DIRECTION('',(6.814104835939E-12,-1.190869625134E-11,1.E0)); +#2685=VECTOR('',#2684,5.E-1); +#2686=CARTESIAN_POINT('',(9.917624469696E0,9.104101331556E0,0.E0)); +#2687=LINE('',#2686,#2685); +#2688=CARTESIAN_POINT('',(9.667620944340E0,8.612409E0,5.E-1)); +#2689=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2690=DIRECTION('',(4.532337315079E-1,-8.913917122250E-1,0.E0)); +#2691=AXIS2_PLACEMENT_3D('',#2688,#2689,#2690); +#2693=CARTESIAN_POINT('',(9.667620944340E0,8.612409E0,5.E-1)); +#2694=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2695=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2696=AXIS2_PLACEMENT_3D('',#2693,#2694,#2695); +#2698=DIRECTION('',(-1.469713239999E-11,0.E0,1.E0)); +#2699=VECTOR('',#2698,8.E-1); +#2700=CARTESIAN_POINT('',(1.221762434652E1,9.387409E0,6.E-1)); +#2701=LINE('',#2700,#2699); +#2702=DIRECTION('',(-9.999999999999E-1,-4.347835139631E-7,0.E0)); +#2703=VECTOR('',#2702,1.119999999976E0); +#2704=CARTESIAN_POINT('',(1.333762434650E1,9.387409486958E0,6.E-1)); +#2705=LINE('',#2704,#2703); +#2706=DIRECTION('',(-4.347859134585E-7,9.999999999999E-1,0.E0)); +#2707=VECTOR('',#2706,1.85E0); +#2708=CARTESIAN_POINT('',(1.221762434651E1,9.387409E0,1.4E0)); +#2709=LINE('',#2708,#2707); +#2710=DIRECTION('',(-9.999999999999E-1,-4.347835155479E-7,0.E0)); +#2711=VECTOR('',#2710,1.119999999979E0); +#2712=CARTESIAN_POINT('',(1.333762434649E1,9.387409486958E0,1.4E0)); +#2713=LINE('',#2712,#2711); +#2714=DIRECTION('',(1.469713239999E-11,0.E0,-1.E0)); +#2715=VECTOR('',#2714,8.E-1); +#2716=CARTESIAN_POINT('',(1.221762354216E1,1.1237409E1,1.4E0)); +#2717=LINE('',#2716,#2715); +#2718=DIRECTION('',(-9.999999999999E-1,-4.347843053922E-7,0.E0)); +#2719=VECTOR('',#2718,1.119999999979E0); +#2720=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,1.4E0)); +#2721=LINE('',#2720,#2719); +#2722=DIRECTION('',(4.347859134585E-7,-9.999999999999E-1,0.E0)); +#2723=VECTOR('',#2722,1.85E0); +#2724=CARTESIAN_POINT('',(1.221762354217E1,1.1237409E1,6.E-1)); +#2725=LINE('',#2724,#2723); +#2726=DIRECTION('',(-9.999999999999E-1,-4.347843069796E-7,0.E0)); +#2727=VECTOR('',#2726,1.119999999976E0); +#2728=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,6.E-1)); +#2729=LINE('',#2728,#2727); +#2730=DIRECTION('',(-1.052713471950E-11,0.E0,1.E0)); +#2731=VECTOR('',#2730,8.E-1); +#2732=CARTESIAN_POINT('',(1.333762434650E1,9.387409486958E0,6.E-1)); +#2733=LINE('',#2732,#2731); +#2734=DIRECTION('',(-4.347859134583E-7,9.999999999999E-1,0.E0)); +#2735=VECTOR('',#2734,1.850000000001E0); +#2736=CARTESIAN_POINT('',(1.333762434649E1,9.387409486958E0,1.4E0)); +#2737=LINE('',#2736,#2735); +#2738=DIRECTION('',(1.052935516554E-11,0.E0,-1.E0)); +#2739=VECTOR('',#2738,8.E-1); +#2740=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,1.4E0)); +#2741=LINE('',#2740,#2739); +#2742=DIRECTION('',(4.347859134583E-7,-9.999999999999E-1,0.E0)); +#2743=VECTOR('',#2742,1.850000000001E0); +#2744=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,6.E-1)); +#2745=LINE('',#2744,#2743); +#2746=DIRECTION('',(1.E0,0.E0,0.E0)); +#2747=VECTOR('',#2746,5.08E0); +#2748=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,0.E0)); +#2749=LINE('',#2748,#2747); +#2750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2751=VECTOR('',#2750,2.E0); +#2752=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,2.E0)); +#2753=LINE('',#2752,#2751); +#2754=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2755=VECTOR('',#2754,4.826E0); +#2756=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,0.E0)); +#2757=LINE('',#2756,#2755); +#2758=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2759=VECTOR('',#2758,2.E0); +#2760=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,2.E0)); +#2761=LINE('',#2760,#2759); +#2762=DIRECTION('',(0.E0,1.E0,0.E0)); +#2763=VECTOR('',#2762,8.128E-1); +#2764=CARTESIAN_POINT('',(2.489430944340E0,2.7254208E1,1.E0)); +#2765=LINE('',#2764,#2763); +#2766=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2767=VECTOR('',#2766,5.E-1); +#2768=CARTESIAN_POINT('',(2.489430944340E0,2.7254208E1,1.5E0)); +#2769=LINE('',#2768,#2767); +#2770=DIRECTION('',(0.E0,1.E0,0.E0)); +#2771=VECTOR('',#2770,8.128E-1); +#2772=CARTESIAN_POINT('',(2.489430944340E0,2.5984208E1,1.E0)); +#2773=LINE('',#2772,#2771); +#2774=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2775=VECTOR('',#2774,5.E-1); +#2776=CARTESIAN_POINT('',(2.489430944340E0,2.5984208E1,1.5E0)); +#2777=LINE('',#2776,#2775); +#2778=DIRECTION('',(0.E0,1.E0,0.E0)); +#2779=VECTOR('',#2778,8.128E-1); +#2780=CARTESIAN_POINT('',(2.489430944340E0,2.4714208E1,1.E0)); +#2781=LINE('',#2780,#2779); +#2782=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2783=VECTOR('',#2782,5.E-1); +#2784=CARTESIAN_POINT('',(2.489430944340E0,2.4714208E1,1.5E0)); +#2785=LINE('',#2784,#2783); +#2786=DIRECTION('',(0.E0,1.E0,0.E0)); +#2787=VECTOR('',#2786,8.128E-1); +#2788=CARTESIAN_POINT('',(2.489430944340E0,2.3444208E1,1.E0)); +#2789=LINE('',#2788,#2787); +#2790=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2791=VECTOR('',#2790,5.E-1); +#2792=CARTESIAN_POINT('',(2.489430944340E0,2.3444208E1,1.5E0)); +#2793=LINE('',#2792,#2791); +#2794=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2795=VECTOR('',#2794,5.08E0); +#2796=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,0.E0)); +#2797=LINE('',#2796,#2795); +#2798=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2799=VECTOR('',#2798,2.E0); +#2800=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,2.E0)); +#2801=LINE('',#2800,#2799); +#2802=DIRECTION('',(0.E0,1.E0,0.E0)); +#2803=VECTOR('',#2802,4.826E0); +#2804=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,0.E0)); +#2805=LINE('',#2804,#2803); +#2806=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2807=VECTOR('',#2806,2.E0); +#2808=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,2.E0)); +#2809=LINE('',#2808,#2807); +#2810=DIRECTION('',(0.E0,1.E0,0.E0)); +#2811=VECTOR('',#2810,8.128E-1); +#2812=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.5E0)); +#2813=LINE('',#2812,#2811); +#2814=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2815=VECTOR('',#2814,5.E-1); +#2816=CARTESIAN_POINT('',(-2.590569055660E0,2.8067008E1,1.5E0)); +#2817=LINE('',#2816,#2815); +#2818=DIRECTION('',(0.E0,1.E0,0.E0)); +#2819=VECTOR('',#2818,8.128E-1); +#2820=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.5E0)); +#2821=LINE('',#2820,#2819); +#2822=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2823=VECTOR('',#2822,5.E-1); +#2824=CARTESIAN_POINT('',(-2.590569055660E0,2.6797008E1,1.5E0)); +#2825=LINE('',#2824,#2823); +#2826=DIRECTION('',(0.E0,1.E0,0.E0)); +#2827=VECTOR('',#2826,8.128E-1); +#2828=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.5E0)); +#2829=LINE('',#2828,#2827); +#2830=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2831=VECTOR('',#2830,5.E-1); +#2832=CARTESIAN_POINT('',(-2.590569055660E0,2.5527008E1,1.5E0)); +#2833=LINE('',#2832,#2831); +#2834=DIRECTION('',(0.E0,1.E0,0.E0)); +#2835=VECTOR('',#2834,8.128E-1); +#2836=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.5E0)); +#2837=LINE('',#2836,#2835); +#2838=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2839=VECTOR('',#2838,5.E-1); +#2840=CARTESIAN_POINT('',(-2.590569055660E0,2.4257008E1,1.5E0)); +#2841=LINE('',#2840,#2839); +#2842=DIRECTION('',(1.E0,0.E0,0.E0)); +#2843=VECTOR('',#2842,5.08E0); +#2844=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,2.E0)); +#2845=LINE('',#2844,#2843); +#2846=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2847=VECTOR('',#2846,4.826E0); +#2848=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,2.E0)); +#2849=LINE('',#2848,#2847); +#2850=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2851=VECTOR('',#2850,5.08E0); +#2852=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,2.E0)); +#2853=LINE('',#2852,#2851); +#2854=DIRECTION('',(0.E0,1.E0,0.E0)); +#2855=VECTOR('',#2854,4.826E0); +#2856=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,2.E0)); +#2857=LINE('',#2856,#2855); +#2858=DIRECTION('',(0.E0,1.E0,0.E0)); +#2859=VECTOR('',#2858,8.128E-1); +#2860=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.E0)); +#2861=LINE('',#2860,#2859); +#2862=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2863=VECTOR('',#2862,8.128E-1); +#2864=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,1.E0)); +#2865=LINE('',#2864,#2863); +#2866=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2867=VECTOR('',#2866,1.E0); +#2868=CARTESIAN_POINT('',(-3.479569055660E0,2.8067008E1,1.5E0)); +#2869=LINE('',#2868,#2867); +#2870=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2871=VECTOR('',#2870,5.E-1); +#2872=CARTESIAN_POINT('',(-4.749569055660E0,2.8067008E1,5.E-1)); +#2873=LINE('',#2872,#2871); +#2874=DIRECTION('',(1.E0,0.E0,0.E0)); +#2875=VECTOR('',#2874,4.826E-1); +#2876=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,1.E0)); +#2877=LINE('',#2876,#2875); +#2878=DIRECTION('',(0.E0,1.E0,0.E0)); +#2879=VECTOR('',#2878,8.128E-1); +#2880=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,5.E-1)); +#2881=LINE('',#2880,#2879); +#2882=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2883=VECTOR('',#2882,8.89E-1); +#2884=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.5E0)); +#2885=LINE('',#2884,#2883); +#2886=DIRECTION('',(0.E0,1.E0,0.E0)); +#2887=VECTOR('',#2886,8.128E-1); +#2888=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,1.5E0)); +#2889=LINE('',#2888,#2887); +#2890=DIRECTION('',(1.E0,0.E0,0.E0)); +#2891=VECTOR('',#2890,8.89E-1); +#2892=CARTESIAN_POINT('',(-3.479569055660E0,2.8067008E1,1.5E0)); +#2893=LINE('',#2892,#2891); +#2894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2895=VECTOR('',#2894,4.826E-1); +#2896=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.E0)); +#2897=LINE('',#2896,#2895); +#2898=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2899=VECTOR('',#2898,1.E0); +#2900=CARTESIAN_POINT('',(-3.073169055660E0,2.7254208E1,1.E0)); +#2901=LINE('',#2900,#2899); +#2902=DIRECTION('',(0.E0,0.E0,1.E0)); +#2903=VECTOR('',#2902,1.E0); +#2904=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,5.E-1)); +#2905=LINE('',#2904,#2903); +#2906=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2907=VECTOR('',#2906,5.E-1); +#2908=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.5E0)); +#2909=LINE('',#2908,#2907); +#2910=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2911=VECTOR('',#2910,1.E0); +#2912=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,1.E0)); +#2913=LINE('',#2912,#2911); +#2914=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2915=VECTOR('',#2914,8.128E-1); +#2916=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,0.E0)); +#2917=LINE('',#2916,#2915); +#2918=DIRECTION('',(0.E0,1.E0,0.E0)); +#2919=VECTOR('',#2918,8.128E-1); +#2920=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,0.E0)); +#2921=LINE('',#2920,#2919); +#2922=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2923=VECTOR('',#2922,5.E-1); +#2924=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,5.E-1)); +#2925=LINE('',#2924,#2923); +#2926=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2927=VECTOR('',#2926,1.27E0); +#2928=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,5.E-1)); +#2929=LINE('',#2928,#2927); +#2930=DIRECTION('',(0.E0,1.E0,0.E0)); +#2931=VECTOR('',#2930,8.128E-1); +#2932=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,5.E-1)); +#2933=LINE('',#2932,#2931); +#2934=DIRECTION('',(1.E0,0.E0,0.E0)); +#2935=VECTOR('',#2934,1.27E0); +#2936=CARTESIAN_POINT('',(-4.749569055660E0,2.8067008E1,5.E-1)); +#2937=LINE('',#2936,#2935); +#2938=DIRECTION('',(0.E0,1.E0,0.E0)); +#2939=VECTOR('',#2938,8.128E-1); +#2940=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.E0)); +#2941=LINE('',#2940,#2939); +#2942=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2943=VECTOR('',#2942,8.128E-1); +#2944=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,1.E0)); +#2945=LINE('',#2944,#2943); +#2946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2947=VECTOR('',#2946,1.E0); +#2948=CARTESIAN_POINT('',(-3.479569055660E0,2.6797008E1,1.5E0)); +#2949=LINE('',#2948,#2947); +#2950=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2951=VECTOR('',#2950,5.E-1); +#2952=CARTESIAN_POINT('',(-4.749569055660E0,2.6797008E1,5.E-1)); +#2953=LINE('',#2952,#2951); +#2954=DIRECTION('',(1.E0,0.E0,0.E0)); +#2955=VECTOR('',#2954,4.826E-1); +#2956=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,1.E0)); +#2957=LINE('',#2956,#2955); +#2958=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2959=VECTOR('',#2958,1.E0); +#2960=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,1.5E0)); +#2961=LINE('',#2960,#2959); +#2962=DIRECTION('',(0.E0,1.E0,0.E0)); +#2963=VECTOR('',#2962,8.128E-1); +#2964=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,5.E-1)); +#2965=LINE('',#2964,#2963); +#2966=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2967=VECTOR('',#2966,8.89E-1); +#2968=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.5E0)); +#2969=LINE('',#2968,#2967); +#2970=DIRECTION('',(0.E0,1.E0,0.E0)); +#2971=VECTOR('',#2970,8.128E-1); +#2972=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,1.5E0)); +#2973=LINE('',#2972,#2971); +#2974=DIRECTION('',(1.E0,0.E0,0.E0)); +#2975=VECTOR('',#2974,8.89E-1); +#2976=CARTESIAN_POINT('',(-3.479569055660E0,2.6797008E1,1.5E0)); +#2977=LINE('',#2976,#2975); +#2978=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2979=VECTOR('',#2978,4.826E-1); +#2980=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.E0)); +#2981=LINE('',#2980,#2979); +#2982=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2983=VECTOR('',#2982,1.E0); +#2984=CARTESIAN_POINT('',(-3.073169055660E0,2.5984208E1,1.E0)); +#2985=LINE('',#2984,#2983); +#2986=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2987=VECTOR('',#2986,5.E-1); +#2988=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.5E0)); +#2989=LINE('',#2988,#2987); +#2990=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2991=VECTOR('',#2990,1.E0); +#2992=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,1.E0)); +#2993=LINE('',#2992,#2991); +#2994=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2995=VECTOR('',#2994,8.128E-1); +#2996=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,0.E0)); +#2997=LINE('',#2996,#2995); +#2998=DIRECTION('',(0.E0,1.E0,0.E0)); +#2999=VECTOR('',#2998,8.128E-1); +#3000=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,0.E0)); +#3001=LINE('',#3000,#2999); +#3002=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3003=VECTOR('',#3002,5.E-1); +#3004=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,5.E-1)); +#3005=LINE('',#3004,#3003); +#3006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3007=VECTOR('',#3006,1.27E0); +#3008=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,5.E-1)); +#3009=LINE('',#3008,#3007); +#3010=DIRECTION('',(0.E0,1.E0,0.E0)); +#3011=VECTOR('',#3010,8.128E-1); +#3012=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,5.E-1)); +#3013=LINE('',#3012,#3011); +#3014=DIRECTION('',(1.E0,0.E0,0.E0)); +#3015=VECTOR('',#3014,1.27E0); +#3016=CARTESIAN_POINT('',(-4.749569055660E0,2.6797008E1,5.E-1)); +#3017=LINE('',#3016,#3015); +#3018=DIRECTION('',(0.E0,1.E0,0.E0)); +#3019=VECTOR('',#3018,8.128E-1); +#3020=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.E0)); +#3021=LINE('',#3020,#3019); +#3022=DIRECTION('',(-1.748382715945E-14,-1.E0,0.E0)); +#3023=VECTOR('',#3022,8.128E-1); +#3024=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,1.E0)); +#3025=LINE('',#3024,#3023); +#3026=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3027=VECTOR('',#3026,1.E0); +#3028=CARTESIAN_POINT('',(-3.479569055660E0,2.5527008E1,1.5E0)); +#3029=LINE('',#3028,#3027); +#3030=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3031=VECTOR('',#3030,5.E-1); +#3032=CARTESIAN_POINT('',(-4.749569055660E0,2.5527008E1,5.E-1)); +#3033=LINE('',#3032,#3031); +#3034=DIRECTION('',(1.E0,0.E0,0.E0)); +#3035=VECTOR('',#3034,4.826E-1); +#3036=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,1.E0)); +#3037=LINE('',#3036,#3035); +#3038=DIRECTION('',(0.E0,1.E0,0.E0)); +#3039=VECTOR('',#3038,8.128E-1); +#3040=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,5.E-1)); +#3041=LINE('',#3040,#3039); +#3042=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3043=VECTOR('',#3042,8.89E-1); +#3044=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.5E0)); +#3045=LINE('',#3044,#3043); +#3046=DIRECTION('',(0.E0,1.E0,0.E0)); +#3047=VECTOR('',#3046,8.128E-1); +#3048=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,1.5E0)); +#3049=LINE('',#3048,#3047); +#3050=DIRECTION('',(1.E0,0.E0,0.E0)); +#3051=VECTOR('',#3050,8.89E-1); +#3052=CARTESIAN_POINT('',(-3.479569055660E0,2.5527008E1,1.5E0)); +#3053=LINE('',#3052,#3051); +#3054=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3055=VECTOR('',#3054,4.826E-1); +#3056=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.E0)); +#3057=LINE('',#3056,#3055); +#3058=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3059=VECTOR('',#3058,1.E0); +#3060=CARTESIAN_POINT('',(-3.073169055660E0,2.4714208E1,1.E0)); +#3061=LINE('',#3060,#3059); +#3062=DIRECTION('',(0.E0,0.E0,1.E0)); +#3063=VECTOR('',#3062,1.E0); +#3064=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,5.E-1)); +#3065=LINE('',#3064,#3063); +#3066=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3067=VECTOR('',#3066,5.E-1); +#3068=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.5E0)); +#3069=LINE('',#3068,#3067); +#3070=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3071=VECTOR('',#3070,1.E0); +#3072=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,1.E0)); +#3073=LINE('',#3072,#3071); +#3074=DIRECTION('',(-1.857656635692E-14,-1.E0,0.E0)); +#3075=VECTOR('',#3074,8.128E-1); +#3076=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,0.E0)); +#3077=LINE('',#3076,#3075); +#3078=DIRECTION('',(0.E0,1.E0,0.E0)); +#3079=VECTOR('',#3078,8.128E-1); +#3080=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,0.E0)); +#3081=LINE('',#3080,#3079); +#3082=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3083=VECTOR('',#3082,5.E-1); +#3084=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,5.E-1)); +#3085=LINE('',#3084,#3083); +#3086=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3087=VECTOR('',#3086,1.27E0); +#3088=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,5.E-1)); +#3089=LINE('',#3088,#3087); +#3090=DIRECTION('',(0.E0,1.E0,0.E0)); +#3091=VECTOR('',#3090,8.128E-1); +#3092=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,5.E-1)); +#3093=LINE('',#3092,#3091); +#3094=DIRECTION('',(1.E0,0.E0,0.E0)); +#3095=VECTOR('',#3094,1.27E0); +#3096=CARTESIAN_POINT('',(-4.749569055660E0,2.5527008E1,5.E-1)); +#3097=LINE('',#3096,#3095); +#3098=DIRECTION('',(0.E0,1.E0,0.E0)); +#3099=VECTOR('',#3098,8.128E-1); +#3100=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.E0)); +#3101=LINE('',#3100,#3099); +#3102=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3103=VECTOR('',#3102,8.128E-1); +#3104=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,1.E0)); +#3105=LINE('',#3104,#3103); +#3106=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3107=VECTOR('',#3106,1.E0); +#3108=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,1.5E0)); +#3109=LINE('',#3108,#3107); +#3110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3111=VECTOR('',#3110,5.E-1); +#3112=CARTESIAN_POINT('',(-4.749569055660E0,2.4257008E1,5.E-1)); +#3113=LINE('',#3112,#3111); +#3114=DIRECTION('',(1.E0,0.E0,0.E0)); +#3115=VECTOR('',#3114,4.826E-1); +#3116=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,1.E0)); +#3117=LINE('',#3116,#3115); +#3118=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3119=VECTOR('',#3118,1.E0); +#3120=CARTESIAN_POINT('',(-3.479569055660E0,2.3444208E1,1.5E0)); +#3121=LINE('',#3120,#3119); +#3122=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3123=VECTOR('',#3122,8.89E-1); +#3124=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.5E0)); +#3125=LINE('',#3124,#3123); +#3126=DIRECTION('',(0.E0,1.E0,0.E0)); +#3127=VECTOR('',#3126,8.128E-1); +#3128=CARTESIAN_POINT('',(-3.479569055660E0,2.3444208E1,1.5E0)); +#3129=LINE('',#3128,#3127); +#3130=DIRECTION('',(1.E0,0.E0,0.E0)); +#3131=VECTOR('',#3130,8.89E-1); +#3132=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,1.5E0)); +#3133=LINE('',#3132,#3131); +#3134=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3135=VECTOR('',#3134,4.826E-1); +#3136=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.E0)); +#3137=LINE('',#3136,#3135); +#3138=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3139=VECTOR('',#3138,1.E0); +#3140=CARTESIAN_POINT('',(-3.073169055660E0,2.3444208E1,1.E0)); +#3141=LINE('',#3140,#3139); +#3142=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3143=VECTOR('',#3142,5.E-1); +#3144=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.5E0)); +#3145=LINE('',#3144,#3143); +#3146=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3147=VECTOR('',#3146,1.E0); +#3148=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,1.E0)); +#3149=LINE('',#3148,#3147); +#3150=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3151=VECTOR('',#3150,8.128E-1); +#3152=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,0.E0)); +#3153=LINE('',#3152,#3151); +#3154=DIRECTION('',(0.E0,1.E0,0.E0)); +#3155=VECTOR('',#3154,8.128E-1); +#3156=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,0.E0)); +#3157=LINE('',#3156,#3155); +#3158=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3159=VECTOR('',#3158,5.E-1); +#3160=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,5.E-1)); +#3161=LINE('',#3160,#3159); +#3162=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3163=VECTOR('',#3162,8.128E-1); +#3164=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,5.E-1)); +#3165=LINE('',#3164,#3163); +#3166=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3167=VECTOR('',#3166,1.27E0); +#3168=CARTESIAN_POINT('',(-3.479569055660E0,2.3444208E1,5.E-1)); +#3169=LINE('',#3168,#3167); +#3170=DIRECTION('',(0.E0,1.E0,0.E0)); +#3171=VECTOR('',#3170,8.128E-1); +#3172=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,5.E-1)); +#3173=LINE('',#3172,#3171); +#3174=DIRECTION('',(1.E0,0.E0,0.E0)); +#3175=VECTOR('',#3174,1.27E0); +#3176=CARTESIAN_POINT('',(-4.749569055660E0,2.4257008E1,5.E-1)); +#3177=LINE('',#3176,#3175); +#3178=DIRECTION('',(0.E0,1.E0,0.E0)); +#3179=VECTOR('',#3178,8.128E-1); +#3180=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,1.E0)); +#3181=LINE('',#3180,#3179); +#3182=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3183=VECTOR('',#3182,4.826E-1); +#3184=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,1.E0)); +#3185=LINE('',#3184,#3183); +#3186=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3187=VECTOR('',#3186,1.E0); +#3188=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,1.5E0)); +#3189=LINE('',#3188,#3187); +#3190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3191=VECTOR('',#3190,5.E-1); +#3192=CARTESIAN_POINT('',(4.648430944340E0,2.7254208E1,5.E-1)); +#3193=LINE('',#3192,#3191); +#3194=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3195=VECTOR('',#3194,1.E0); +#3196=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,1.E0)); +#3197=LINE('',#3196,#3195); +#3198=DIRECTION('',(0.E0,1.E0,0.E0)); +#3199=VECTOR('',#3198,8.128E-1); +#3200=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,0.E0)); +#3201=LINE('',#3200,#3199); +#3202=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3203=VECTOR('',#3202,5.E-1); +#3204=CARTESIAN_POINT('',(2.489430944340E0,2.8067008E1,1.5E0)); +#3205=LINE('',#3204,#3203); +#3206=DIRECTION('',(1.E0,0.E0,0.E0)); +#3207=VECTOR('',#3206,4.826E-1); +#3208=CARTESIAN_POINT('',(2.489430944340E0,2.8067008E1,1.E0)); +#3209=LINE('',#3208,#3207); +#3210=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3211=VECTOR('',#3210,1.E0); +#3212=CARTESIAN_POINT('',(2.972030944340E0,2.8067008E1,1.E0)); +#3213=LINE('',#3212,#3211); +#3214=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3215=VECTOR('',#3214,1.E0); +#3216=CARTESIAN_POINT('',(3.378430944340E0,2.8067008E1,1.5E0)); +#3217=LINE('',#3216,#3215); +#3218=DIRECTION('',(0.E0,1.E0,0.E0)); +#3219=VECTOR('',#3218,8.128E-1); +#3220=CARTESIAN_POINT('',(2.489430944340E0,2.7254208E1,1.5E0)); +#3221=LINE('',#3220,#3219); +#3222=DIRECTION('',(1.E0,0.E0,0.E0)); +#3223=VECTOR('',#3222,8.89E-1); +#3224=CARTESIAN_POINT('',(2.489430944340E0,2.8067008E1,1.5E0)); +#3225=LINE('',#3224,#3223); +#3226=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3227=VECTOR('',#3226,8.128E-1); +#3228=CARTESIAN_POINT('',(3.378430944340E0,2.8067008E1,1.5E0)); +#3229=LINE('',#3228,#3227); +#3230=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3231=VECTOR('',#3230,8.89E-1); +#3232=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,1.5E0)); +#3233=LINE('',#3232,#3231); +#3234=DIRECTION('',(0.E0,1.E0,0.E0)); +#3235=VECTOR('',#3234,8.128E-1); +#3236=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,5.E-1)); +#3237=LINE('',#3236,#3235); +#3238=DIRECTION('',(1.E0,0.E0,0.E0)); +#3239=VECTOR('',#3238,1.27E0); +#3240=CARTESIAN_POINT('',(3.378430944340E0,2.8067008E1,5.E-1)); +#3241=LINE('',#3240,#3239); +#3242=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3243=VECTOR('',#3242,8.128E-1); +#3244=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,5.E-1)); +#3245=LINE('',#3244,#3243); +#3246=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3247=VECTOR('',#3246,1.27E0); +#3248=CARTESIAN_POINT('',(4.648430944340E0,2.7254208E1,5.E-1)); +#3249=LINE('',#3248,#3247); +#3250=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3251=VECTOR('',#3250,8.128E-1); +#3252=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,0.E0)); +#3253=LINE('',#3252,#3251); +#3254=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3255=VECTOR('',#3254,5.E-1); +#3256=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,5.E-1)); +#3257=LINE('',#3256,#3255); +#3258=DIRECTION('',(0.E0,1.E0,0.E0)); +#3259=VECTOR('',#3258,8.128E-1); +#3260=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,1.E0)); +#3261=LINE('',#3260,#3259); +#3262=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3263=VECTOR('',#3262,4.826E-1); +#3264=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,1.E0)); +#3265=LINE('',#3264,#3263); +#3266=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3267=VECTOR('',#3266,5.E-1); +#3268=CARTESIAN_POINT('',(4.648430944340E0,2.5984208E1,5.E-1)); +#3269=LINE('',#3268,#3267); +#3270=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3271=VECTOR('',#3270,1.E0); +#3272=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,1.E0)); +#3273=LINE('',#3272,#3271); +#3274=DIRECTION('',(0.E0,1.E0,0.E0)); +#3275=VECTOR('',#3274,8.128E-1); +#3276=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,0.E0)); +#3277=LINE('',#3276,#3275); +#3278=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3279=VECTOR('',#3278,5.E-1); +#3280=CARTESIAN_POINT('',(2.489430944340E0,2.6797008E1,1.5E0)); +#3281=LINE('',#3280,#3279); +#3282=DIRECTION('',(1.E0,0.E0,0.E0)); +#3283=VECTOR('',#3282,4.826E-1); +#3284=CARTESIAN_POINT('',(2.489430944340E0,2.6797008E1,1.E0)); +#3285=LINE('',#3284,#3283); +#3286=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3287=VECTOR('',#3286,1.E0); +#3288=CARTESIAN_POINT('',(2.972030944340E0,2.6797008E1,1.E0)); +#3289=LINE('',#3288,#3287); +#3290=DIRECTION('',(0.E0,0.E0,1.E0)); +#3291=VECTOR('',#3290,1.E0); +#3292=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,5.E-1)); +#3293=LINE('',#3292,#3291); +#3294=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3295=VECTOR('',#3294,1.E0); +#3296=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,1.5E0)); +#3297=LINE('',#3296,#3295); +#3298=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3299=VECTOR('',#3298,8.128E-1); +#3300=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,5.E-1)); +#3301=LINE('',#3300,#3299); +#3302=DIRECTION('',(0.E0,1.E0,0.E0)); +#3303=VECTOR('',#3302,8.128E-1); +#3304=CARTESIAN_POINT('',(2.489430944340E0,2.5984208E1,1.5E0)); +#3305=LINE('',#3304,#3303); +#3306=DIRECTION('',(1.E0,0.E0,0.E0)); +#3307=VECTOR('',#3306,8.89E-1); +#3308=CARTESIAN_POINT('',(2.489430944340E0,2.6797008E1,1.5E0)); +#3309=LINE('',#3308,#3307); +#3310=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3311=VECTOR('',#3310,8.128E-1); +#3312=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,1.5E0)); +#3313=LINE('',#3312,#3311); +#3314=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3315=VECTOR('',#3314,8.89E-1); +#3316=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,1.5E0)); +#3317=LINE('',#3316,#3315); +#3318=DIRECTION('',(1.E0,0.E0,0.E0)); +#3319=VECTOR('',#3318,1.27E0); +#3320=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,5.E-1)); +#3321=LINE('',#3320,#3319); +#3322=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3323=VECTOR('',#3322,8.128E-1); +#3324=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,5.E-1)); +#3325=LINE('',#3324,#3323); +#3326=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3327=VECTOR('',#3326,1.27E0); +#3328=CARTESIAN_POINT('',(4.648430944340E0,2.5984208E1,5.E-1)); +#3329=LINE('',#3328,#3327); +#3330=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3331=VECTOR('',#3330,8.128E-1); +#3332=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,0.E0)); +#3333=LINE('',#3332,#3331); +#3334=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3335=VECTOR('',#3334,5.E-1); +#3336=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,5.E-1)); +#3337=LINE('',#3336,#3335); +#3338=DIRECTION('',(0.E0,1.E0,0.E0)); +#3339=VECTOR('',#3338,8.128E-1); +#3340=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,1.E0)); +#3341=LINE('',#3340,#3339); +#3342=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3343=VECTOR('',#3342,4.826E-1); +#3344=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,1.E0)); +#3345=LINE('',#3344,#3343); +#3346=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3347=VECTOR('',#3346,1.E0); +#3348=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,1.5E0)); +#3349=LINE('',#3348,#3347); +#3350=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3351=VECTOR('',#3350,5.E-1); +#3352=CARTESIAN_POINT('',(4.648430944340E0,2.4714208E1,5.E-1)); +#3353=LINE('',#3352,#3351); +#3354=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3355=VECTOR('',#3354,1.E0); +#3356=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,1.E0)); +#3357=LINE('',#3356,#3355); +#3358=DIRECTION('',(0.E0,1.E0,0.E0)); +#3359=VECTOR('',#3358,8.128E-1); +#3360=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,0.E0)); +#3361=LINE('',#3360,#3359); +#3362=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3363=VECTOR('',#3362,5.E-1); +#3364=CARTESIAN_POINT('',(2.489430944340E0,2.5527008E1,1.5E0)); +#3365=LINE('',#3364,#3363); +#3366=DIRECTION('',(1.E0,0.E0,0.E0)); +#3367=VECTOR('',#3366,4.826E-1); +#3368=CARTESIAN_POINT('',(2.489430944340E0,2.5527008E1,1.E0)); +#3369=LINE('',#3368,#3367); +#3370=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3371=VECTOR('',#3370,1.E0); +#3372=CARTESIAN_POINT('',(2.972030944340E0,2.5527008E1,1.E0)); +#3373=LINE('',#3372,#3371); +#3374=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3375=VECTOR('',#3374,1.E0); +#3376=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,1.5E0)); +#3377=LINE('',#3376,#3375); +#3378=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3379=VECTOR('',#3378,8.128E-1); +#3380=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,5.E-1)); +#3381=LINE('',#3380,#3379); +#3382=DIRECTION('',(0.E0,1.E0,0.E0)); +#3383=VECTOR('',#3382,8.128E-1); +#3384=CARTESIAN_POINT('',(2.489430944340E0,2.4714208E1,1.5E0)); +#3385=LINE('',#3384,#3383); +#3386=DIRECTION('',(1.E0,0.E0,0.E0)); +#3387=VECTOR('',#3386,8.89E-1); +#3388=CARTESIAN_POINT('',(2.489430944340E0,2.5527008E1,1.5E0)); +#3389=LINE('',#3388,#3387); +#3390=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3391=VECTOR('',#3390,8.128E-1); +#3392=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,1.5E0)); +#3393=LINE('',#3392,#3391); +#3394=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3395=VECTOR('',#3394,8.89E-1); +#3396=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,1.5E0)); +#3397=LINE('',#3396,#3395); +#3398=DIRECTION('',(1.E0,0.E0,0.E0)); +#3399=VECTOR('',#3398,1.27E0); +#3400=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,5.E-1)); +#3401=LINE('',#3400,#3399); +#3402=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3403=VECTOR('',#3402,8.128E-1); +#3404=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,5.E-1)); +#3405=LINE('',#3404,#3403); +#3406=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3407=VECTOR('',#3406,1.27E0); +#3408=CARTESIAN_POINT('',(4.648430944340E0,2.4714208E1,5.E-1)); +#3409=LINE('',#3408,#3407); +#3410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3411=VECTOR('',#3410,8.128E-1); +#3412=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,0.E0)); +#3413=LINE('',#3412,#3411); +#3414=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3415=VECTOR('',#3414,5.E-1); +#3416=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,5.E-1)); +#3417=LINE('',#3416,#3415); +#3418=DIRECTION('',(0.E0,1.E0,0.E0)); +#3419=VECTOR('',#3418,8.128E-1); +#3420=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,1.E0)); +#3421=LINE('',#3420,#3419); +#3422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3423=VECTOR('',#3422,4.826E-1); +#3424=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,1.E0)); +#3425=LINE('',#3424,#3423); +#3426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3427=VECTOR('',#3426,5.E-1); +#3428=CARTESIAN_POINT('',(4.648430944340E0,2.3444208E1,5.E-1)); +#3429=LINE('',#3428,#3427); +#3430=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3431=VECTOR('',#3430,1.E0); +#3432=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,1.E0)); +#3433=LINE('',#3432,#3431); +#3434=DIRECTION('',(0.E0,1.E0,0.E0)); +#3435=VECTOR('',#3434,8.128E-1); +#3436=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,0.E0)); +#3437=LINE('',#3436,#3435); +#3438=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3439=VECTOR('',#3438,5.E-1); +#3440=CARTESIAN_POINT('',(2.489430944340E0,2.4257008E1,1.5E0)); +#3441=LINE('',#3440,#3439); +#3442=DIRECTION('',(1.E0,0.E0,0.E0)); +#3443=VECTOR('',#3442,4.826E-1); +#3444=CARTESIAN_POINT('',(2.489430944340E0,2.4257008E1,1.E0)); +#3445=LINE('',#3444,#3443); +#3446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3447=VECTOR('',#3446,1.E0); +#3448=CARTESIAN_POINT('',(2.972030944340E0,2.4257008E1,1.E0)); +#3449=LINE('',#3448,#3447); +#3450=DIRECTION('',(0.E0,0.E0,1.E0)); +#3451=VECTOR('',#3450,1.E0); +#3452=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,5.E-1)); +#3453=LINE('',#3452,#3451); +#3454=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3455=VECTOR('',#3454,1.E0); +#3456=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,1.5E0)); +#3457=LINE('',#3456,#3455); +#3458=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3459=VECTOR('',#3458,8.128E-1); +#3460=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,5.E-1)); +#3461=LINE('',#3460,#3459); +#3462=DIRECTION('',(0.E0,1.E0,0.E0)); +#3463=VECTOR('',#3462,8.128E-1); +#3464=CARTESIAN_POINT('',(2.489430944340E0,2.3444208E1,1.5E0)); +#3465=LINE('',#3464,#3463); +#3466=DIRECTION('',(1.E0,0.E0,0.E0)); +#3467=VECTOR('',#3466,8.89E-1); +#3468=CARTESIAN_POINT('',(2.489430944340E0,2.4257008E1,1.5E0)); +#3469=LINE('',#3468,#3467); +#3470=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3471=VECTOR('',#3470,8.128E-1); +#3472=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,1.5E0)); +#3473=LINE('',#3472,#3471); +#3474=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3475=VECTOR('',#3474,8.89E-1); +#3476=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,1.5E0)); +#3477=LINE('',#3476,#3475); +#3478=DIRECTION('',(1.E0,0.E0,0.E0)); +#3479=VECTOR('',#3478,1.27E0); +#3480=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,5.E-1)); +#3481=LINE('',#3480,#3479); +#3482=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3483=VECTOR('',#3482,8.128E-1); +#3484=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,5.E-1)); +#3485=LINE('',#3484,#3483); +#3486=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3487=VECTOR('',#3486,1.27E0); +#3488=CARTESIAN_POINT('',(4.648430944340E0,2.3444208E1,5.E-1)); +#3489=LINE('',#3488,#3487); +#3490=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3491=VECTOR('',#3490,8.128E-1); +#3492=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,0.E0)); +#3493=LINE('',#3492,#3491); +#3494=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3495=VECTOR('',#3494,5.E-1); +#3496=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,5.E-1)); +#3497=LINE('',#3496,#3495); +#3498=DIRECTION('',(1.E0,0.E0,0.E0)); +#3499=VECTOR('',#3498,2.65391E-1); +#3500=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,0.E0)); +#3501=LINE('',#3500,#3499); +#3502=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3503=VECTOR('',#3502,2.E-1); +#3504=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,2.E-1)); +#3505=LINE('',#3504,#3503); +#3506=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3507=VECTOR('',#3506,5.603189E0); +#3508=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,0.E0)); +#3509=LINE('',#3508,#3507); +#3510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3511=VECTOR('',#3510,2.E-1); +#3512=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,2.E-1)); +#3513=LINE('',#3512,#3511); +#3514=DIRECTION('',(-1.E0,2.677342998670E-14,0.E0)); +#3515=VECTOR('',#3514,2.65391E-1); +#3516=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,0.E0)); +#3517=LINE('',#3516,#3515); +#3518=DIRECTION('',(0.E0,-3.552713678801E-14,-1.E0)); +#3519=VECTOR('',#3518,2.E-1); +#3520=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,2.E-1)); +#3521=LINE('',#3520,#3519); +#3522=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3523=VECTOR('',#3522,5.603189E0); +#3524=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,2.E-1)); +#3525=LINE('',#3524,#3523); +#3526=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3527=VECTOR('',#3526,2.E-1); +#3528=CARTESIAN_POINT('',(4.211525944340E0,3.9159137E1,2.E-1)); +#3529=LINE('',#3528,#3527); +#3530=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3531=VECTOR('',#3530,7.74624E-1); +#3532=CARTESIAN_POINT('',(4.211525944340E0,3.9159137E1,0.E0)); +#3533=LINE('',#3532,#3531); +#3534=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3535=VECTOR('',#3534,8.E-1); +#3536=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,8.E-1)); +#3537=LINE('',#3536,#3535); +#3538=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3539=VECTOR('',#3538,7.74624E-1); +#3540=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,0.E0)); +#3541=LINE('',#3540,#3539); +#3542=DIRECTION('',(1.E0,0.E0,0.E0)); +#3543=VECTOR('',#3542,2.65391E-1); +#3544=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,2.E-1)); +#3545=LINE('',#3544,#3543); +#3546=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3547=VECTOR('',#3546,5.603189E0); +#3548=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,2.E-1)); +#3549=LINE('',#3548,#3547); +#3550=DIRECTION('',(-1.E0,-2.677342998670E-14,0.E0)); +#3551=VECTOR('',#3550,2.65391E-1); +#3552=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,2.E-1)); +#3553=LINE('',#3552,#3551); +#3554=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3555=VECTOR('',#3554,5.603189E0); +#3556=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,2.E-1)); +#3557=LINE('',#3556,#3555); +#3558=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3559=VECTOR('',#3558,2.E-1); +#3560=CARTESIAN_POINT('',(-2.166287055660E0,3.8384513E1,2.E-1)); +#3561=LINE('',#3560,#3559); +#3562=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3563=VECTOR('',#3562,7.74624E-1); +#3564=CARTESIAN_POINT('',(-2.166287055660E0,3.8384513E1,0.E0)); +#3565=LINE('',#3564,#3563); +#3566=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3567=VECTOR('',#3566,8.E-1); +#3568=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,8.E-1)); +#3569=LINE('',#3568,#3567); +#3570=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3571=VECTOR('',#3570,7.74624E-1); +#3572=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,0.E0)); +#3573=LINE('',#3572,#3571); +#3574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3575=VECTOR('',#3574,2.65392E-1); +#3576=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,2.E-1)); +#3577=LINE('',#3576,#3575); +#3578=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3579=VECTOR('',#3578,5.603189E0); +#3580=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,2.E-1)); +#3581=LINE('',#3580,#3579); +#3582=DIRECTION('',(0.E0,1.E0,0.E0)); +#3583=VECTOR('',#3582,2.65392E-1); +#3584=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,2.E-1)); +#3585=LINE('',#3584,#3583); +#3586=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3587=VECTOR('',#3586,2.65392E-1); +#3588=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,0.E0)); +#3589=LINE('',#3588,#3587); +#3590=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3591=VECTOR('',#3590,2.E-1); +#3592=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,2.E-1)); +#3593=LINE('',#3592,#3591); +#3594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3595=VECTOR('',#3594,5.603189E0); +#3596=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,0.E0)); +#3597=LINE('',#3596,#3595); +#3598=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3599=VECTOR('',#3598,2.E-1); +#3600=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,2.E-1)); +#3601=LINE('',#3600,#3599); +#3602=DIRECTION('',(0.E0,1.E0,0.E0)); +#3603=VECTOR('',#3602,2.65392E-1); +#3604=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,0.E0)); +#3605=LINE('',#3604,#3603); +#3606=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3607=VECTOR('',#3606,2.E-1); +#3608=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,2.E-1)); +#3609=LINE('',#3608,#3607); +#3610=DIRECTION('',(0.E0,1.E0,0.E0)); +#3611=VECTOR('',#3610,5.603189E0); +#3612=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,2.E-1)); +#3613=LINE('',#3612,#3611); +#3614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3615=VECTOR('',#3614,2.E-1); +#3616=CARTESIAN_POINT('',(-2.940911055660E0,4.4762326E1,2.E-1)); +#3617=LINE('',#3616,#3615); +#3618=DIRECTION('',(0.E0,1.E0,0.E0)); +#3619=VECTOR('',#3618,7.74624E-1); +#3620=CARTESIAN_POINT('',(-2.940911055660E0,4.4762326E1,0.E0)); +#3621=LINE('',#3620,#3619); +#3622=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3623=VECTOR('',#3622,8.E-1); +#3624=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,8.E-1)); +#3625=LINE('',#3624,#3623); +#3626=DIRECTION('',(0.E0,1.E0,0.E0)); +#3627=VECTOR('',#3626,7.74624E-1); +#3628=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,0.E0)); +#3629=LINE('',#3628,#3627); +#3630=DIRECTION('',(-1.E0,-2.677332910412E-14,0.E0)); +#3631=VECTOR('',#3630,2.65392E-1); +#3632=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,2.E-1)); +#3633=LINE('',#3632,#3631); +#3634=DIRECTION('',(0.E0,1.E0,0.E0)); +#3635=VECTOR('',#3634,5.603189E0); +#3636=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,2.E-1)); +#3637=LINE('',#3636,#3635); +#3638=DIRECTION('',(1.E0,0.E0,0.E0)); +#3639=VECTOR('',#3638,2.65392E-1); +#3640=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,2.E-1)); +#3641=LINE('',#3640,#3639); +#3642=DIRECTION('',(-1.E0,2.677332910412E-14,0.E0)); +#3643=VECTOR('',#3642,2.65392E-1); +#3644=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,0.E0)); +#3645=LINE('',#3644,#3643); +#3646=DIRECTION('',(0.E0,-3.552713678801E-14,-1.E0)); +#3647=VECTOR('',#3646,2.E-1); +#3648=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,2.E-1)); +#3649=LINE('',#3648,#3647); +#3650=DIRECTION('',(0.E0,1.E0,0.E0)); +#3651=VECTOR('',#3650,5.603189E0); +#3652=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,0.E0)); +#3653=LINE('',#3652,#3651); +#3654=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3655=VECTOR('',#3654,2.E-1); +#3656=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,2.E-1)); +#3657=LINE('',#3656,#3655); +#3658=DIRECTION('',(1.E0,0.E0,0.E0)); +#3659=VECTOR('',#3658,2.65392E-1); +#3660=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,0.E0)); +#3661=LINE('',#3660,#3659); +#3662=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3663=VECTOR('',#3662,2.E-1); +#3664=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,2.E-1)); +#3665=LINE('',#3664,#3663); +#3666=DIRECTION('',(1.E0,0.E0,0.E0)); +#3667=VECTOR('',#3666,5.603189E0); +#3668=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,2.E-1)); +#3669=LINE('',#3668,#3667); +#3670=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3671=VECTOR('',#3670,2.E-1); +#3672=CARTESIAN_POINT('',(3.436901944340E0,4.553695E1,2.E-1)); +#3673=LINE('',#3672,#3671); +#3674=DIRECTION('',(1.E0,0.E0,0.E0)); +#3675=VECTOR('',#3674,7.74624E-1); +#3676=CARTESIAN_POINT('',(3.436901944340E0,4.553695E1,0.E0)); +#3677=LINE('',#3676,#3675); +#3678=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3679=VECTOR('',#3678,8.E-1); +#3680=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,8.E-1)); +#3681=LINE('',#3680,#3679); +#3682=DIRECTION('',(1.E0,0.E0,0.E0)); +#3683=VECTOR('',#3682,7.74624E-1); +#3684=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,0.E0)); +#3685=LINE('',#3684,#3683); +#3686=DIRECTION('',(0.E0,1.E0,0.E0)); +#3687=VECTOR('',#3686,2.65392E-1); +#3688=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,2.E-1)); +#3689=LINE('',#3688,#3687); +#3690=DIRECTION('',(1.E0,0.E0,0.E0)); +#3691=VECTOR('',#3690,5.603189E0); +#3692=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,2.E-1)); +#3693=LINE('',#3692,#3691); +#3694=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3695=VECTOR('',#3694,2.65392E-1); +#3696=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,2.E-1)); +#3697=LINE('',#3696,#3695); +#3698=DIRECTION('',(0.E0,1.E0,0.E0)); +#3699=VECTOR('',#3698,2.65392E-1); +#3700=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,0.E0)); +#3701=LINE('',#3700,#3699); +#3702=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3703=VECTOR('',#3702,2.E-1); +#3704=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,2.E-1)); +#3705=LINE('',#3704,#3703); +#3706=DIRECTION('',(1.E0,0.E0,0.E0)); +#3707=VECTOR('',#3706,5.603189E0); +#3708=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,0.E0)); +#3709=LINE('',#3708,#3707); +#3710=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3711=VECTOR('',#3710,2.E-1); +#3712=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,2.E-1)); +#3713=LINE('',#3712,#3711); +#3714=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3715=VECTOR('',#3714,2.65392E-1); +#3716=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,0.E0)); +#3717=LINE('',#3716,#3715); +#3718=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3719=VECTOR('',#3718,2.E-1); +#3720=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,2.E-1)); +#3721=LINE('',#3720,#3719); +#3722=DIRECTION('',(0.E0,1.E0,0.E0)); +#3723=VECTOR('',#3722,7.152437E0); +#3724=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,8.E-1)); +#3725=LINE('',#3724,#3723); +#3726=DIRECTION('',(1.E0,0.E0,0.E0)); +#3727=VECTOR('',#3726,7.152437E0); +#3728=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,8.E-1)); +#3729=LINE('',#3728,#3727); +#3730=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3731=VECTOR('',#3730,7.152437E0); +#3732=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,8.E-1)); +#3733=LINE('',#3732,#3731); +#3734=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3735=VECTOR('',#3734,7.152437E0); +#3736=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,8.E-1)); +#3737=LINE('',#3736,#3735); +#3738=DIRECTION('',(1.E0,0.E0,0.E0)); +#3739=VECTOR('',#3738,1.983232E0); +#3740=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,0.E0)); +#3741=LINE('',#3740,#3739); +#3742=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3743=VECTOR('',#3742,2.E-1); +#3744=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,2.E-1)); +#3745=LINE('',#3744,#3743); +#3746=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3747=VECTOR('',#3746,2.27914E-1); +#3748=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,0.E0)); +#3749=LINE('',#3748,#3747); +#3750=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3751=VECTOR('',#3750,2.E-1); +#3752=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,2.E-1)); +#3753=LINE('',#3752,#3751); +#3754=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3755=VECTOR('',#3754,2.E-1); +#3756=CARTESIAN_POINT('',(4.166846944340E0,3.3308806E1,2.E-1)); +#3757=LINE('',#3756,#3755); +#3758=DIRECTION('',(1.E0,0.E0,0.E0)); +#3759=VECTOR('',#3758,8.4582E-2); +#3760=CARTESIAN_POINT('',(4.166846944340E0,3.3308806E1,0.E0)); +#3761=LINE('',#3760,#3759); +#3762=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3763=VECTOR('',#3762,8.E-1); +#3764=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,8.E-1)); +#3765=LINE('',#3764,#3763); +#3766=DIRECTION('',(1.E0,0.E0,0.E0)); +#3767=VECTOR('',#3766,8.4582E-2); +#3768=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,0.E0)); +#3769=LINE('',#3768,#3767); +#3770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3771=VECTOR('',#3770,1.983232E0); +#3772=CARTESIAN_POINT('',(4.166846944340E0,3.3308806E1,2.E-1)); +#3773=LINE('',#3772,#3771); +#3774=DIRECTION('',(0.E0,1.E0,0.E0)); +#3775=VECTOR('',#3774,2.27914E-1); +#3776=CARTESIAN_POINT('',(2.183614944340E0,3.3308806E1,2.E-1)); +#3777=LINE('',#3776,#3775); +#3778=DIRECTION('',(1.E0,0.E0,0.E0)); +#3779=VECTOR('',#3778,1.983232E0); +#3780=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,2.E-1)); +#3781=LINE('',#3780,#3779); +#3782=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3783=VECTOR('',#3782,2.27914E-1); +#3784=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,2.E-1)); +#3785=LINE('',#3784,#3783); +#3786=DIRECTION('',(0.E0,1.E0,0.E0)); +#3787=VECTOR('',#3786,2.27914E-1); +#3788=CARTESIAN_POINT('',(2.183614944340E0,3.3308806E1,0.E0)); +#3789=LINE('',#3788,#3787); +#3790=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3791=VECTOR('',#3790,2.E-1); +#3792=CARTESIAN_POINT('',(2.183614944340E0,3.3308806E1,2.E-1)); +#3793=LINE('',#3792,#3791); +#3794=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3795=VECTOR('',#3794,2.152396E0); +#3796=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,0.E0)); +#3797=LINE('',#3796,#3795); +#3798=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3799=VECTOR('',#3798,8.E-1); +#3800=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,8.E-1)); +#3801=LINE('',#3800,#3799); +#3802=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3803=VECTOR('',#3802,1.983232E0); +#3804=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,2.E-1)); +#3805=LINE('',#3804,#3803); +#3806=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3807=VECTOR('',#3806,2.E-1); +#3808=CARTESIAN_POINT('',(2.183614944340E0,3.115641E1,2.E-1)); +#3809=LINE('',#3808,#3807); +#3810=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3811=VECTOR('',#3810,8.4582E-2); +#3812=CARTESIAN_POINT('',(2.183614944340E0,3.115641E1,0.E0)); +#3813=LINE('',#3812,#3811); +#3814=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3815=VECTOR('',#3814,8.E-1); +#3816=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,8.E-1)); +#3817=LINE('',#3816,#3815); +#3818=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3819=VECTOR('',#3818,8.4582E-2); +#3820=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,0.E0)); +#3821=LINE('',#3820,#3819); +#3822=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3823=VECTOR('',#3822,2.27914E-1); +#3824=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,2.E-1)); +#3825=LINE('',#3824,#3823); +#3826=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3827=VECTOR('',#3826,1.983232E0); +#3828=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,2.E-1)); +#3829=LINE('',#3828,#3827); +#3830=DIRECTION('',(0.E0,1.E0,0.E0)); +#3831=VECTOR('',#3830,2.27914E-1); +#3832=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,2.E-1)); +#3833=LINE('',#3832,#3831); +#3834=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3835=VECTOR('',#3834,2.27914E-1); +#3836=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,0.E0)); +#3837=LINE('',#3836,#3835); +#3838=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3839=VECTOR('',#3838,2.E-1); +#3840=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,2.E-1)); +#3841=LINE('',#3840,#3839); +#3842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3843=VECTOR('',#3842,1.983232E0); +#3844=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,0.E0)); +#3845=LINE('',#3844,#3843); +#3846=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3847=VECTOR('',#3846,2.E-1); +#3848=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,2.E-1)); +#3849=LINE('',#3848,#3847); +#3850=DIRECTION('',(0.E0,1.E0,0.E0)); +#3851=VECTOR('',#3850,2.27914E-1); +#3852=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,0.E0)); +#3853=LINE('',#3852,#3851); +#3854=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3855=VECTOR('',#3854,2.E-1); +#3856=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,2.E-1)); +#3857=LINE('',#3856,#3855); +#3858=DIRECTION('',(0.E0,1.E0,0.E0)); +#3859=VECTOR('',#3858,2.152396E0); +#3860=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,0.E0)); +#3861=LINE('',#3860,#3859); +#3862=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3863=VECTOR('',#3862,8.E-1); +#3864=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,8.E-1)); +#3865=LINE('',#3864,#3863); +#3866=DIRECTION('',(1.E0,0.E0,0.E0)); +#3867=VECTOR('',#3866,2.152396E0); +#3868=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,8.E-1)); +#3869=LINE('',#3868,#3867); +#3870=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3871=VECTOR('',#3870,2.152396E0); +#3872=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,8.E-1)); +#3873=LINE('',#3872,#3871); +#3874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3875=VECTOR('',#3874,2.152396E0); +#3876=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,8.E-1)); +#3877=LINE('',#3876,#3875); +#3878=DIRECTION('',(0.E0,1.E0,0.E0)); +#3879=VECTOR('',#3878,2.152396E0); +#3880=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,8.E-1)); +#3881=LINE('',#3880,#3879); +#3882=DIRECTION('',(1.E0,0.E0,0.E0)); +#3883=VECTOR('',#3882,3.269998E0); +#3884=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,0.E0)); +#3885=LINE('',#3884,#3883); +#3886=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3887=VECTOR('',#3886,5.E-1); +#3888=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,5.E-1)); +#3889=LINE('',#3888,#3887); +#3890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3891=VECTOR('',#3890,3.820002E0); +#3892=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,0.E0)); +#3893=LINE('',#3892,#3891); +#3894=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3895=VECTOR('',#3894,5.E-1); +#3896=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,5.E-1)); +#3897=LINE('',#3896,#3895); +#3898=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3899=VECTOR('',#3898,3.269998E0); +#3900=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,0.E0)); +#3901=LINE('',#3900,#3899); +#3902=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3903=VECTOR('',#3902,5.E-1); +#3904=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,5.E-1)); +#3905=LINE('',#3904,#3903); +#3906=DIRECTION('',(0.E0,1.E0,0.E0)); +#3907=VECTOR('',#3906,3.820002E0); +#3908=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,0.E0)); +#3909=LINE('',#3908,#3907); +#3910=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3911=VECTOR('',#3910,5.E-1); +#3912=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,5.E-1)); +#3913=LINE('',#3912,#3911); +#3914=DIRECTION('',(1.E0,0.E0,0.E0)); +#3915=VECTOR('',#3914,3.269998E0); +#3916=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,5.E-1)); +#3917=LINE('',#3916,#3915); +#3918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3919=VECTOR('',#3918,3.820002E0); +#3920=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,5.E-1)); +#3921=LINE('',#3920,#3919); +#3922=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3923=VECTOR('',#3922,3.269998E0); +#3924=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,5.E-1)); +#3925=LINE('',#3924,#3923); +#3926=DIRECTION('',(0.E0,1.E0,0.E0)); +#3927=VECTOR('',#3926,3.820002E0); +#3928=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,5.E-1)); +#3929=LINE('',#3928,#3927); +#3930=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3931=VECTOR('',#3930,1.58961403E-1); +#3932=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,0.E0)); +#3933=LINE('',#3932,#3931); +#3934=DIRECTION('',(0.E0,3.552713678801E-14,-1.E0)); +#3935=VECTOR('',#3934,2.E-1); +#3936=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,2.E-1)); +#3937=LINE('',#3936,#3935); +#3938=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3939=VECTOR('',#3938,2.E-1); +#3940=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,2.E-1)); +#3941=LINE('',#3940,#3939); +#3942=DIRECTION('',(1.E0,0.E0,0.E0)); +#3943=VECTOR('',#3942,3.445891032E-1); +#3944=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,0.E0)); +#3945=LINE('',#3944,#3943); +#3946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3947=VECTOR('',#3946,3.E-1); +#3948=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,3.E-1)); +#3949=LINE('',#3948,#3947); +#3950=DIRECTION('',(1.E0,0.E0,0.E0)); +#3951=VECTOR('',#3950,5.5848E-1); +#3952=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,0.E0)); +#3953=LINE('',#3952,#3951); +#3954=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3955=VECTOR('',#3954,1.662328E0); +#3956=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,2.E-1)); +#3957=LINE('',#3956,#3955); +#3958=DIRECTION('',(0.E0,1.E0,0.E0)); +#3959=VECTOR('',#3958,1.58961403E-1); +#3960=CARTESIAN_POINT('',(7.735902944340E0,5.3409647E1,2.E-1)); +#3961=LINE('',#3960,#3959); +#3962=DIRECTION('',(1.E0,0.E0,0.E0)); +#3963=VECTOR('',#3962,1.662328E0); +#3964=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,2.E-1)); +#3965=LINE('',#3964,#3963); +#3966=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3967=VECTOR('',#3966,1.58961403E-1); +#3968=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,2.E-1)); +#3969=LINE('',#3968,#3967); +#3970=DIRECTION('',(0.E0,1.E0,0.E0)); +#3971=VECTOR('',#3970,1.58961403E-1); +#3972=CARTESIAN_POINT('',(7.735902944340E0,5.3409647E1,0.E0)); +#3973=LINE('',#3972,#3971); +#3974=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3975=VECTOR('',#3974,2.E-1); +#3976=CARTESIAN_POINT('',(7.735902944340E0,5.3409647E1,2.E-1)); +#3977=LINE('',#3976,#3975); +#3978=DIRECTION('',(1.E0,0.E0,0.E0)); +#3979=VECTOR('',#3978,1.662328E0); +#3980=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,0.E0)); +#3981=LINE('',#3980,#3979); +#3982=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3983=VECTOR('',#3982,2.E-1); +#3984=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,2.E-1)); +#3985=LINE('',#3984,#3983); +#3986=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3987=VECTOR('',#3986,3.519998E0); +#3988=CARTESIAN_POINT('',(9.742820047540E0,5.3409647E1,0.E0)); +#3989=LINE('',#3988,#3987); +#3990=DIRECTION('',(2.096101070492E-12,0.E0,-1.E0)); +#3991=VECTOR('',#3990,3.E-1); +#3992=CARTESIAN_POINT('',(9.742820047539E0,5.3409647E1,3.E-1)); +#3993=LINE('',#3992,#3991); +#3994=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3995=VECTOR('',#3994,1.484528E0); +#3996=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,2.E-1)); +#3997=LINE('',#3996,#3995); +#3998=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3999=VECTOR('',#3998,2.E-1); +#4000=CARTESIAN_POINT('',(7.735902944340E0,4.9889649E1,2.E-1)); +#4001=LINE('',#4000,#3999); +#4002=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4003=VECTOR('',#4002,5.5848E-1); +#4004=CARTESIAN_POINT('',(7.735902944340E0,4.9889649E1,0.E0)); +#4005=LINE('',#4004,#4003); +#4006=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4007=VECTOR('',#4006,3.E-1); +#4008=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,3.E-1)); +#4009=LINE('',#4008,#4007); +#4010=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4011=VECTOR('',#4010,5.223891032E-1); +#4012=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,0.E0)); +#4013=LINE('',#4012,#4011); +#4014=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4015=VECTOR('',#4014,1.31044E-1); +#4016=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,2.E-1)); +#4017=LINE('',#4016,#4015); +#4018=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4019=VECTOR('',#4018,1.484528E0); +#4020=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,2.E-1)); +#4021=LINE('',#4020,#4019); +#4022=DIRECTION('',(0.E0,1.E0,0.E0)); +#4023=VECTOR('',#4022,1.31044E-1); +#4024=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,2.E-1)); +#4025=LINE('',#4024,#4023); +#4026=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4027=VECTOR('',#4026,1.31044E-1); +#4028=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,0.E0)); +#4029=LINE('',#4028,#4027); +#4030=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4031=VECTOR('',#4030,2.E-1); +#4032=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,2.E-1)); +#4033=LINE('',#4032,#4031); +#4034=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4035=VECTOR('',#4034,1.484528E0); +#4036=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,0.E0)); +#4037=LINE('',#4036,#4035); +#4038=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4039=VECTOR('',#4038,2.E-1); +#4040=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,2.E-1)); +#4041=LINE('',#4040,#4039); +#4042=DIRECTION('',(0.E0,1.E0,0.E0)); +#4043=VECTOR('',#4042,1.31044E-1); +#4044=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,0.E0)); +#4045=LINE('',#4044,#4043); +#4046=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4047=VECTOR('',#4046,2.E-1); +#4048=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,2.E-1)); +#4049=LINE('',#4048,#4047); +#4050=DIRECTION('',(0.E0,1.E0,0.E0)); +#4051=VECTOR('',#4050,1.003199E0); +#4052=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,2.E-1)); +#4053=LINE('',#4052,#4051); +#4054=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4055=VECTOR('',#4054,2.E-1); +#4056=CARTESIAN_POINT('',(7.177422944340E0,5.2171245E1,2.E-1)); +#4057=LINE('',#4056,#4055); +#4058=DIRECTION('',(0.E0,1.E0,0.E0)); +#4059=VECTOR('',#4058,1.238402E0); +#4060=CARTESIAN_POINT('',(7.177422944340E0,5.2171245E1,0.E0)); +#4061=LINE('',#4060,#4059); +#4062=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4063=VECTOR('',#4062,3.E-1); +#4064=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,3.E-1)); +#4065=LINE('',#4064,#4063); +#4066=DIRECTION('',(0.E0,1.E0,0.E0)); +#4067=VECTOR('',#4066,1.278397E0); +#4068=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,0.E0)); +#4069=LINE('',#4068,#4067); +#4070=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4071=VECTOR('',#4070,5.71518E-1); +#4072=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,2.E-1)); +#4073=LINE('',#4072,#4071); +#4074=DIRECTION('',(0.E0,1.E0,0.E0)); +#4075=VECTOR('',#4074,1.003199E0); +#4076=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,2.E-1)); +#4077=LINE('',#4076,#4075); +#4078=DIRECTION('',(1.E0,0.E0,0.E0)); +#4079=VECTOR('',#4078,5.71518E-1); +#4080=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,2.E-1)); +#4081=LINE('',#4080,#4079); +#4082=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4083=VECTOR('',#4082,5.71518E-1); +#4084=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,0.E0)); +#4085=LINE('',#4084,#4083); +#4086=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4087=VECTOR('',#4086,2.E-1); +#4088=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,2.E-1)); +#4089=LINE('',#4088,#4087); +#4090=DIRECTION('',(0.E0,1.E0,0.E0)); +#4091=VECTOR('',#4090,1.003199E0); +#4092=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,0.E0)); +#4093=LINE('',#4092,#4091); +#4094=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4095=VECTOR('',#4094,2.E-1); +#4096=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,2.E-1)); +#4097=LINE('',#4096,#4095); +#4098=DIRECTION('',(1.E0,0.E0,0.E0)); +#4099=VECTOR('',#4098,5.71518E-1); +#4100=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,0.E0)); +#4101=LINE('',#4100,#4099); +#4102=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4103=VECTOR('',#4102,2.E-1); +#4104=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,2.E-1)); +#4105=LINE('',#4104,#4103); +#4106=CARTESIAN_POINT('',(9.739849118967E0,5.166964548108E1,3.E-1)); +#4107=CARTESIAN_POINT('',(9.739849118967E0,5.107631231196E1,3.E-1)); +#4108=CARTESIAN_POINT('',(9.742820047540E0,5.048298216912E1,3.E-1)); +#4109=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,3.E-1)); +#4111=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4112=VECTOR('',#4111,2.565397103200E0); +#4113=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,3.E-1)); +#4114=LINE('',#4113,#4112); +#4115=DIRECTION('',(0.E0,1.E0,0.E0)); +#4116=VECTOR('',#4115,3.519998E0); +#4117=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,3.E-1)); +#4118=LINE('',#4117,#4116); +#4119=DIRECTION('',(1.E0,0.E0,0.E0)); +#4120=VECTOR('',#4119,2.565397103199E0); +#4121=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,3.E-1)); +#4122=LINE('',#4121,#4120); +#4123=CARTESIAN_POINT('',(9.742820047539E0,5.3409647E1,3.E-1)); +#4124=CARTESIAN_POINT('',(9.742820047539E0,5.282964546174E1,3.E-1)); +#4125=CARTESIAN_POINT('',(9.739849118967E0,5.224964701934E1,3.E-1)); +#4126=CARTESIAN_POINT('',(9.739849118967E0,5.166964548108E1,3.E-1)); +#4128=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,3.E-1)); +#4129=DIRECTION('',(0.E0,0.E0,1.E0)); +#4130=DIRECTION('',(1.E0,-1.889152656531E-8,0.E0)); +#4131=AXIS2_PLACEMENT_3D('',#4128,#4129,#4130); +#4133=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,3.E-1)); +#4134=DIRECTION('',(0.E0,0.E0,1.E0)); +#4135=DIRECTION('',(0.E0,1.E0,0.E0)); +#4136=AXIS2_PLACEMENT_3D('',#4133,#4134,#4135); +#4138=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,3.E-1)); +#4139=DIRECTION('',(0.E0,0.E0,1.E0)); +#4140=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4141=AXIS2_PLACEMENT_3D('',#4138,#4139,#4140); +#4143=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4144=VECTOR('',#4143,9.E-1); +#4145=CARTESIAN_POINT('',(8.737500944340E0,5.26696455E1,1.2E0)); +#4146=LINE('',#4145,#4144); +#4147=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4148=VECTOR('',#4147,9.E-1); +#4149=CARTESIAN_POINT('',(8.737500944340E0,5.06696455E1,1.2E0)); +#4150=LINE('',#4149,#4148); +#4151=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); +#4152=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4153=DIRECTION('',(0.E0,1.E0,0.E0)); +#4154=AXIS2_PLACEMENT_3D('',#4151,#4152,#4153); +#4156=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); +#4157=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4158=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4159=AXIS2_PLACEMENT_3D('',#4156,#4157,#4158); +#4161=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); +#4162=DIRECTION('',(0.E0,0.E0,1.E0)); +#4163=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4164=AXIS2_PLACEMENT_3D('',#4161,#4162,#4163); +#4166=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); +#4167=DIRECTION('',(0.E0,0.E0,1.E0)); +#4168=DIRECTION('',(0.E0,1.E0,0.E0)); +#4169=AXIS2_PLACEMENT_3D('',#4166,#4167,#4168); +#4171=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4172=VECTOR('',#4171,1.2E0); +#4173=CARTESIAN_POINT('',(8.737500944340E0,5.08696455E1,1.2E0)); +#4174=LINE('',#4173,#4172); +#4175=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4176=VECTOR('',#4175,1.2E0); +#4177=CARTESIAN_POINT('',(8.737500944340E0,5.24696455E1,1.2E0)); +#4178=LINE('',#4177,#4176); +#4179=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); +#4180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4181=DIRECTION('',(0.E0,1.E0,0.E0)); +#4182=AXIS2_PLACEMENT_3D('',#4179,#4180,#4181); +#4184=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); +#4185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4186=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4187=AXIS2_PLACEMENT_3D('',#4184,#4185,#4186); +#4189=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); +#4190=DIRECTION('',(0.E0,0.E0,1.E0)); +#4191=DIRECTION('',(0.E0,1.E0,0.E0)); +#4192=AXIS2_PLACEMENT_3D('',#4189,#4190,#4191); +#4194=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); +#4195=DIRECTION('',(0.E0,0.E0,1.E0)); +#4196=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4197=AXIS2_PLACEMENT_3D('',#4194,#4195,#4196); +#4199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4200=VECTOR('',#4199,1.2E0); +#4201=CARTESIAN_POINT('',(8.737500944340E0,5.14196455E1,1.2E0)); +#4202=LINE('',#4201,#4200); +#4203=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); +#4204=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4205=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4206=AXIS2_PLACEMENT_3D('',#4203,#4204,#4205); +#4208=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,1.2E0)); +#4209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4210=DIRECTION('',(0.E0,1.E0,0.E0)); +#4211=AXIS2_PLACEMENT_3D('',#4208,#4209,#4210); +#4213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4214=VECTOR('',#4213,1.2E0); +#4215=CARTESIAN_POINT('',(8.737500944340E0,5.19196455E1,1.2E0)); +#4216=LINE('',#4215,#4214); +#4217=DIRECTION('',(1.E0,0.E0,0.E0)); +#4218=VECTOR('',#4217,1.5516E0); +#4219=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,0.E0)); +#4220=LINE('',#4219,#4218); +#4221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4222=VECTOR('',#4221,5.E-1); +#4223=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,5.E-1)); +#4224=LINE('',#4223,#4222); +#4225=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4226=VECTOR('',#4225,7.51602E-1); +#4227=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,0.E0)); +#4228=LINE('',#4227,#4226); +#4229=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4230=VECTOR('',#4229,5.E-1); +#4231=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,5.E-1)); +#4232=LINE('',#4231,#4230); +#4233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4234=VECTOR('',#4233,1.5516E0); +#4235=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,0.E0)); +#4236=LINE('',#4235,#4234); +#4237=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4238=VECTOR('',#4237,5.E-1); +#4239=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,5.E-1)); +#4240=LINE('',#4239,#4238); +#4241=DIRECTION('',(0.E0,1.E0,0.E0)); +#4242=VECTOR('',#4241,7.51602E-1); +#4243=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,0.E0)); +#4244=LINE('',#4243,#4242); +#4245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4246=VECTOR('',#4245,5.E-1); +#4247=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,5.E-1)); +#4248=LINE('',#4247,#4246); +#4249=DIRECTION('',(1.E0,0.E0,0.E0)); +#4250=VECTOR('',#4249,1.5516E0); +#4251=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,5.E-1)); +#4252=LINE('',#4251,#4250); +#4253=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4254=VECTOR('',#4253,7.51602E-1); +#4255=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,5.E-1)); +#4256=LINE('',#4255,#4254); +#4257=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4258=VECTOR('',#4257,1.5516E0); +#4259=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,5.E-1)); +#4260=LINE('',#4259,#4258); +#4261=DIRECTION('',(0.E0,1.E0,0.E0)); +#4262=VECTOR('',#4261,7.51602E-1); +#4263=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,5.E-1)); +#4264=LINE('',#4263,#4262); +#4265=DIRECTION('',(1.E0,0.E0,0.E0)); +#4266=VECTOR('',#4265,1.5516E0); +#4267=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,0.E0)); +#4268=LINE('',#4267,#4266); +#4269=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4270=VECTOR('',#4269,5.E-1); +#4271=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,5.E-1)); +#4272=LINE('',#4271,#4270); +#4273=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4274=VECTOR('',#4273,7.51602E-1); +#4275=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,0.E0)); +#4276=LINE('',#4275,#4274); +#4277=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4278=VECTOR('',#4277,5.E-1); +#4279=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,5.E-1)); +#4280=LINE('',#4279,#4278); +#4281=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4282=VECTOR('',#4281,1.5516E0); +#4283=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,0.E0)); +#4284=LINE('',#4283,#4282); +#4285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4286=VECTOR('',#4285,5.E-1); +#4287=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,5.E-1)); +#4288=LINE('',#4287,#4286); +#4289=DIRECTION('',(0.E0,1.E0,0.E0)); +#4290=VECTOR('',#4289,7.51602E-1); +#4291=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,0.E0)); +#4292=LINE('',#4291,#4290); +#4293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4294=VECTOR('',#4293,5.E-1); +#4295=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,5.E-1)); +#4296=LINE('',#4295,#4294); +#4297=DIRECTION('',(1.E0,0.E0,0.E0)); +#4298=VECTOR('',#4297,1.5516E0); +#4299=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,5.E-1)); +#4300=LINE('',#4299,#4298); +#4301=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4302=VECTOR('',#4301,7.51602E-1); +#4303=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,5.E-1)); +#4304=LINE('',#4303,#4302); +#4305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4306=VECTOR('',#4305,1.5516E0); +#4307=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,5.E-1)); +#4308=LINE('',#4307,#4306); +#4309=DIRECTION('',(0.E0,1.E0,0.E0)); +#4310=VECTOR('',#4309,7.51602E-1); +#4311=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,5.E-1)); +#4312=LINE('',#4311,#4310); +#4313=DIRECTION('',(1.E0,0.E0,0.E0)); +#4314=VECTOR('',#4313,1.5516E0); +#4315=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,0.E0)); +#4316=LINE('',#4315,#4314); +#4317=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4318=VECTOR('',#4317,4.E-1); +#4319=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,4.E-1)); +#4320=LINE('',#4319,#4318); +#4321=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4322=VECTOR('',#4321,7.51602E-1); +#4323=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,0.E0)); +#4324=LINE('',#4323,#4322); +#4325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4326=VECTOR('',#4325,4.E-1); +#4327=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,4.E-1)); +#4328=LINE('',#4327,#4326); +#4329=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4330=VECTOR('',#4329,1.5516E0); +#4331=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,0.E0)); +#4332=LINE('',#4331,#4330); +#4333=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4334=VECTOR('',#4333,4.E-1); +#4335=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,4.E-1)); +#4336=LINE('',#4335,#4334); +#4337=DIRECTION('',(0.E0,1.E0,0.E0)); +#4338=VECTOR('',#4337,7.51602E-1); +#4339=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,0.E0)); +#4340=LINE('',#4339,#4338); +#4341=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4342=VECTOR('',#4341,4.E-1); +#4343=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,4.E-1)); +#4344=LINE('',#4343,#4342); +#4345=DIRECTION('',(1.E0,0.E0,0.E0)); +#4346=VECTOR('',#4345,1.5516E0); +#4347=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,4.E-1)); +#4348=LINE('',#4347,#4346); +#4349=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4350=VECTOR('',#4349,7.51602E-1); +#4351=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,4.E-1)); +#4352=LINE('',#4351,#4350); +#4353=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4354=VECTOR('',#4353,1.5516E0); +#4355=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,4.E-1)); +#4356=LINE('',#4355,#4354); +#4357=DIRECTION('',(0.E0,1.E0,0.E0)); +#4358=VECTOR('',#4357,7.51602E-1); +#4359=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,4.E-1)); +#4360=LINE('',#4359,#4358); +#4361=DIRECTION('',(0.E0,1.E0,0.E0)); +#4362=VECTOR('',#4361,7.51602E-1); +#4363=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,0.E0)); +#4364=LINE('',#4363,#4362); +#4365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4366=VECTOR('',#4365,4.E-1); +#4367=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,4.E-1)); +#4368=LINE('',#4367,#4366); +#4369=DIRECTION('',(1.E0,0.E0,0.E0)); +#4370=VECTOR('',#4369,1.5516E0); +#4371=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,0.E0)); +#4372=LINE('',#4371,#4370); +#4373=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4374=VECTOR('',#4373,4.E-1); +#4375=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,4.E-1)); +#4376=LINE('',#4375,#4374); +#4377=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4378=VECTOR('',#4377,7.51602E-1); +#4379=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,0.E0)); +#4380=LINE('',#4379,#4378); +#4381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4382=VECTOR('',#4381,4.E-1); +#4383=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,4.E-1)); +#4384=LINE('',#4383,#4382); +#4385=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4386=VECTOR('',#4385,1.5516E0); +#4387=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,0.E0)); +#4388=LINE('',#4387,#4386); +#4389=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4390=VECTOR('',#4389,4.E-1); +#4391=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,4.E-1)); +#4392=LINE('',#4391,#4390); +#4393=DIRECTION('',(0.E0,1.E0,0.E0)); +#4394=VECTOR('',#4393,7.51602E-1); +#4395=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,4.E-1)); +#4396=LINE('',#4395,#4394); +#4397=DIRECTION('',(1.E0,0.E0,0.E0)); +#4398=VECTOR('',#4397,1.5516E0); +#4399=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,4.E-1)); +#4400=LINE('',#4399,#4398); +#4401=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4402=VECTOR('',#4401,7.51602E-1); +#4403=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,4.E-1)); +#4404=LINE('',#4403,#4402); +#4405=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4406=VECTOR('',#4405,1.5516E0); +#4407=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,4.E-1)); +#4408=LINE('',#4407,#4406); +#4409=DIRECTION('',(1.E0,0.E0,0.E0)); +#4410=VECTOR('',#4409,1.5516E0); +#4411=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,0.E0)); +#4412=LINE('',#4411,#4410); +#4413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4414=VECTOR('',#4413,4.E-1); +#4415=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,4.E-1)); +#4416=LINE('',#4415,#4414); +#4417=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4418=VECTOR('',#4417,7.51602E-1); +#4419=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,0.E0)); +#4420=LINE('',#4419,#4418); +#4421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4422=VECTOR('',#4421,4.E-1); +#4423=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,4.E-1)); +#4424=LINE('',#4423,#4422); +#4425=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4426=VECTOR('',#4425,1.5516E0); +#4427=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,0.E0)); +#4428=LINE('',#4427,#4426); +#4429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4430=VECTOR('',#4429,4.E-1); +#4431=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,4.E-1)); +#4432=LINE('',#4431,#4430); +#4433=DIRECTION('',(0.E0,1.E0,0.E0)); +#4434=VECTOR('',#4433,7.51602E-1); +#4435=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,0.E0)); +#4436=LINE('',#4435,#4434); +#4437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4438=VECTOR('',#4437,4.E-1); +#4439=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,4.E-1)); +#4440=LINE('',#4439,#4438); +#4441=DIRECTION('',(1.E0,0.E0,0.E0)); +#4442=VECTOR('',#4441,1.5516E0); +#4443=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,4.E-1)); +#4444=LINE('',#4443,#4442); +#4445=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4446=VECTOR('',#4445,7.51602E-1); +#4447=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,4.E-1)); +#4448=LINE('',#4447,#4446); +#4449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4450=VECTOR('',#4449,1.5516E0); +#4451=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,4.E-1)); +#4452=LINE('',#4451,#4450); +#4453=DIRECTION('',(0.E0,1.E0,0.E0)); +#4454=VECTOR('',#4453,7.51602E-1); +#4455=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,4.E-1)); +#4456=LINE('',#4455,#4454); +#4457=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4458=VECTOR('',#4457,7.51602E-1); +#4459=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,0.E0)); +#4460=LINE('',#4459,#4458); +#4461=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4462=VECTOR('',#4461,4.E-1); +#4463=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,4.E-1)); +#4464=LINE('',#4463,#4462); +#4465=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4466=VECTOR('',#4465,1.5516E0); +#4467=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,0.E0)); +#4468=LINE('',#4467,#4466); +#4469=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4470=VECTOR('',#4469,4.E-1); +#4471=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,4.E-1)); +#4472=LINE('',#4471,#4470); +#4473=DIRECTION('',(0.E0,1.E0,0.E0)); +#4474=VECTOR('',#4473,7.51602E-1); +#4475=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,0.E0)); +#4476=LINE('',#4475,#4474); +#4477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4478=VECTOR('',#4477,4.E-1); +#4479=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,4.E-1)); +#4480=LINE('',#4479,#4478); +#4481=DIRECTION('',(1.E0,0.E0,0.E0)); +#4482=VECTOR('',#4481,1.5516E0); +#4483=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,0.E0)); +#4484=LINE('',#4483,#4482); +#4485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4486=VECTOR('',#4485,4.E-1); +#4487=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,4.E-1)); +#4488=LINE('',#4487,#4486); +#4489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4490=VECTOR('',#4489,7.51602E-1); +#4491=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,4.E-1)); +#4492=LINE('',#4491,#4490); +#4493=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4494=VECTOR('',#4493,1.5516E0); +#4495=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,4.E-1)); +#4496=LINE('',#4495,#4494); +#4497=DIRECTION('',(0.E0,1.E0,0.E0)); +#4498=VECTOR('',#4497,7.51602E-1); +#4499=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,4.E-1)); +#4500=LINE('',#4499,#4498); +#4501=DIRECTION('',(1.E0,0.E0,0.E0)); +#4502=VECTOR('',#4501,1.5516E0); +#4503=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,4.E-1)); +#4504=LINE('',#4503,#4502); +#4505=DIRECTION('',(1.E0,0.E0,0.E0)); +#4506=VECTOR('',#4505,1.5516E0); +#4507=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,0.E0)); +#4508=LINE('',#4507,#4506); +#4509=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4510=VECTOR('',#4509,4.E-1); +#4511=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,4.E-1)); +#4512=LINE('',#4511,#4510); +#4513=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4514=VECTOR('',#4513,7.51602E-1); +#4515=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,0.E0)); +#4516=LINE('',#4515,#4514); +#4517=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4518=VECTOR('',#4517,4.E-1); +#4519=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,4.E-1)); +#4520=LINE('',#4519,#4518); +#4521=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4522=VECTOR('',#4521,1.5516E0); +#4523=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,0.E0)); +#4524=LINE('',#4523,#4522); +#4525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4526=VECTOR('',#4525,4.E-1); +#4527=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,4.E-1)); +#4528=LINE('',#4527,#4526); +#4529=DIRECTION('',(0.E0,1.E0,0.E0)); +#4530=VECTOR('',#4529,7.51602E-1); +#4531=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,0.E0)); +#4532=LINE('',#4531,#4530); +#4533=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4534=VECTOR('',#4533,4.E-1); +#4535=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,4.E-1)); +#4536=LINE('',#4535,#4534); +#4537=DIRECTION('',(1.E0,0.E0,0.E0)); +#4538=VECTOR('',#4537,1.5516E0); +#4539=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,4.E-1)); +#4540=LINE('',#4539,#4538); +#4541=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4542=VECTOR('',#4541,7.51602E-1); +#4543=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,4.E-1)); +#4544=LINE('',#4543,#4542); +#4545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4546=VECTOR('',#4545,1.5516E0); +#4547=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,4.E-1)); +#4548=LINE('',#4547,#4546); +#4549=DIRECTION('',(0.E0,1.E0,0.E0)); +#4550=VECTOR('',#4549,7.51602E-1); +#4551=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,4.E-1)); +#4552=LINE('',#4551,#4550); +#4553=DIRECTION('',(1.E0,0.E0,0.E0)); +#4554=VECTOR('',#4553,1.5516E0); +#4555=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,0.E0)); +#4556=LINE('',#4555,#4554); +#4557=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4558=VECTOR('',#4557,4.E-1); +#4559=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,4.E-1)); +#4560=LINE('',#4559,#4558); +#4561=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4562=VECTOR('',#4561,7.51602E-1); +#4563=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,0.E0)); +#4564=LINE('',#4563,#4562); +#4565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4566=VECTOR('',#4565,4.E-1); +#4567=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,4.E-1)); +#4568=LINE('',#4567,#4566); +#4569=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4570=VECTOR('',#4569,1.5516E0); +#4571=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,0.E0)); +#4572=LINE('',#4571,#4570); +#4573=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4574=VECTOR('',#4573,4.E-1); +#4575=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,4.E-1)); +#4576=LINE('',#4575,#4574); +#4577=DIRECTION('',(0.E0,1.E0,0.E0)); +#4578=VECTOR('',#4577,7.51602E-1); +#4579=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,0.E0)); +#4580=LINE('',#4579,#4578); +#4581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4582=VECTOR('',#4581,4.E-1); +#4583=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,4.E-1)); +#4584=LINE('',#4583,#4582); +#4585=DIRECTION('',(1.E0,0.E0,0.E0)); +#4586=VECTOR('',#4585,1.5516E0); +#4587=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,4.E-1)); +#4588=LINE('',#4587,#4586); +#4589=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4590=VECTOR('',#4589,7.51602E-1); +#4591=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,4.E-1)); +#4592=LINE('',#4591,#4590); +#4593=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4594=VECTOR('',#4593,1.5516E0); +#4595=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,4.E-1)); +#4596=LINE('',#4595,#4594); +#4597=DIRECTION('',(0.E0,1.E0,0.E0)); +#4598=VECTOR('',#4597,7.51602E-1); +#4599=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,4.E-1)); +#4600=LINE('',#4599,#4598); +#4601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4602=VECTOR('',#4601,1.5516E0); +#4603=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,0.E0)); +#4604=LINE('',#4603,#4602); +#4605=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4606=VECTOR('',#4605,4.E-1); +#4607=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,4.E-1)); +#4608=LINE('',#4607,#4606); +#4609=DIRECTION('',(0.E0,1.E0,0.E0)); +#4610=VECTOR('',#4609,7.51602E-1); +#4611=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,0.E0)); +#4612=LINE('',#4611,#4610); +#4613=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4614=VECTOR('',#4613,4.E-1); +#4615=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,4.E-1)); +#4616=LINE('',#4615,#4614); +#4617=DIRECTION('',(1.E0,0.E0,0.E0)); +#4618=VECTOR('',#4617,1.5516E0); +#4619=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,0.E0)); +#4620=LINE('',#4619,#4618); +#4621=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4622=VECTOR('',#4621,4.E-1); +#4623=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,4.E-1)); +#4624=LINE('',#4623,#4622); +#4625=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4626=VECTOR('',#4625,7.51602E-1); +#4627=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,0.E0)); +#4628=LINE('',#4627,#4626); +#4629=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4630=VECTOR('',#4629,4.E-1); +#4631=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,4.E-1)); +#4632=LINE('',#4631,#4630); +#4633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4634=VECTOR('',#4633,1.5516E0); +#4635=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,4.E-1)); +#4636=LINE('',#4635,#4634); +#4637=DIRECTION('',(0.E0,1.E0,0.E0)); +#4638=VECTOR('',#4637,7.51602E-1); +#4639=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,4.E-1)); +#4640=LINE('',#4639,#4638); +#4641=DIRECTION('',(1.E0,0.E0,0.E0)); +#4642=VECTOR('',#4641,1.5516E0); +#4643=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,4.E-1)); +#4644=LINE('',#4643,#4642); +#4645=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4646=VECTOR('',#4645,7.51602E-1); +#4647=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,4.E-1)); +#4648=LINE('',#4647,#4646); +#4649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4650=VECTOR('',#4649,7.51601E-1); +#4651=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,0.E0)); +#4652=LINE('',#4651,#4650); +#4653=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4654=VECTOR('',#4653,4.E-1); +#4655=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,4.E-1)); +#4656=LINE('',#4655,#4654); +#4657=DIRECTION('',(0.E0,1.E0,0.E0)); +#4658=VECTOR('',#4657,1.551599E0); +#4659=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,0.E0)); +#4660=LINE('',#4659,#4658); +#4661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4662=VECTOR('',#4661,4.E-1); +#4663=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,4.E-1)); +#4664=LINE('',#4663,#4662); +#4665=DIRECTION('',(1.E0,0.E0,0.E0)); +#4666=VECTOR('',#4665,7.51601E-1); +#4667=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,0.E0)); +#4668=LINE('',#4667,#4666); +#4669=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4670=VECTOR('',#4669,4.E-1); +#4671=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,4.E-1)); +#4672=LINE('',#4671,#4670); +#4673=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4674=VECTOR('',#4673,1.551599E0); +#4675=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,0.E0)); +#4676=LINE('',#4675,#4674); +#4677=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4678=VECTOR('',#4677,4.E-1); +#4679=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,4.E-1)); +#4680=LINE('',#4679,#4678); +#4681=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4682=VECTOR('',#4681,7.51601E-1); +#4683=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,4.E-1)); +#4684=LINE('',#4683,#4682); +#4685=DIRECTION('',(0.E0,1.E0,0.E0)); +#4686=VECTOR('',#4685,1.551599E0); +#4687=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,4.E-1)); +#4688=LINE('',#4687,#4686); +#4689=DIRECTION('',(1.E0,0.E0,0.E0)); +#4690=VECTOR('',#4689,7.51601E-1); +#4691=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,4.E-1)); +#4692=LINE('',#4691,#4690); +#4693=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4694=VECTOR('',#4693,1.551599E0); +#4695=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,4.E-1)); +#4696=LINE('',#4695,#4694); +#4697=DIRECTION('',(1.E0,0.E0,0.E0)); +#4698=VECTOR('',#4697,7.51601E-1); +#4699=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,0.E0)); +#4700=LINE('',#4699,#4698); +#4701=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4702=VECTOR('',#4701,4.E-1); +#4703=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,4.E-1)); +#4704=LINE('',#4703,#4702); +#4705=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4706=VECTOR('',#4705,1.551599E0); +#4707=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,0.E0)); +#4708=LINE('',#4707,#4706); +#4709=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4710=VECTOR('',#4709,4.E-1); +#4711=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,4.E-1)); +#4712=LINE('',#4711,#4710); +#4713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4714=VECTOR('',#4713,7.51601E-1); +#4715=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,0.E0)); +#4716=LINE('',#4715,#4714); +#4717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4718=VECTOR('',#4717,4.E-1); +#4719=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,4.E-1)); +#4720=LINE('',#4719,#4718); +#4721=DIRECTION('',(0.E0,1.E0,0.E0)); +#4722=VECTOR('',#4721,1.551599E0); +#4723=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,0.E0)); +#4724=LINE('',#4723,#4722); +#4725=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4726=VECTOR('',#4725,4.E-1); +#4727=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,4.E-1)); +#4728=LINE('',#4727,#4726); +#4729=DIRECTION('',(1.E0,0.E0,0.E0)); +#4730=VECTOR('',#4729,7.51601E-1); +#4731=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,4.E-1)); +#4732=LINE('',#4731,#4730); +#4733=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4734=VECTOR('',#4733,1.551599E0); +#4735=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,4.E-1)); +#4736=LINE('',#4735,#4734); +#4737=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4738=VECTOR('',#4737,7.51601E-1); +#4739=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,4.E-1)); +#4740=LINE('',#4739,#4738); +#4741=DIRECTION('',(0.E0,1.E0,0.E0)); +#4742=VECTOR('',#4741,1.551599E0); +#4743=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,4.E-1)); +#4744=LINE('',#4743,#4742); +#4745=DIRECTION('',(1.E0,0.E0,0.E0)); +#4746=VECTOR('',#4745,7.51601E-1); +#4747=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,0.E0)); +#4748=LINE('',#4747,#4746); +#4749=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4750=VECTOR('',#4749,4.E-1); +#4751=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,4.E-1)); +#4752=LINE('',#4751,#4750); +#4753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4754=VECTOR('',#4753,1.551599E0); +#4755=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,0.E0)); +#4756=LINE('',#4755,#4754); +#4757=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4758=VECTOR('',#4757,4.E-1); +#4759=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,4.E-1)); +#4760=LINE('',#4759,#4758); +#4761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4762=VECTOR('',#4761,7.51601E-1); +#4763=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,0.E0)); +#4764=LINE('',#4763,#4762); +#4765=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4766=VECTOR('',#4765,4.E-1); +#4767=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,4.E-1)); +#4768=LINE('',#4767,#4766); +#4769=DIRECTION('',(0.E0,1.E0,0.E0)); +#4770=VECTOR('',#4769,1.551599E0); +#4771=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,0.E0)); +#4772=LINE('',#4771,#4770); +#4773=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4774=VECTOR('',#4773,4.E-1); +#4775=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,4.E-1)); +#4776=LINE('',#4775,#4774); +#4777=DIRECTION('',(1.E0,0.E0,0.E0)); +#4778=VECTOR('',#4777,7.51601E-1); +#4779=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,4.E-1)); +#4780=LINE('',#4779,#4778); +#4781=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4782=VECTOR('',#4781,1.551599E0); +#4783=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,4.E-1)); +#4784=LINE('',#4783,#4782); +#4785=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4786=VECTOR('',#4785,7.51601E-1); +#4787=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,4.E-1)); +#4788=LINE('',#4787,#4786); +#4789=DIRECTION('',(0.E0,1.E0,0.E0)); +#4790=VECTOR('',#4789,1.551599E0); +#4791=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,4.E-1)); +#4792=LINE('',#4791,#4790); +#4793=DIRECTION('',(1.E0,0.E0,0.E0)); +#4794=VECTOR('',#4793,1.5516E0); +#4795=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,0.E0)); +#4796=LINE('',#4795,#4794); +#4797=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4798=VECTOR('',#4797,4.E-1); +#4799=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,4.E-1)); +#4800=LINE('',#4799,#4798); +#4801=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4802=VECTOR('',#4801,7.51602E-1); +#4803=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,0.E0)); +#4804=LINE('',#4803,#4802); +#4805=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4806=VECTOR('',#4805,4.E-1); +#4807=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,4.E-1)); +#4808=LINE('',#4807,#4806); +#4809=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4810=VECTOR('',#4809,1.5516E0); +#4811=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,0.E0)); +#4812=LINE('',#4811,#4810); +#4813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4814=VECTOR('',#4813,4.E-1); +#4815=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,4.E-1)); +#4816=LINE('',#4815,#4814); +#4817=DIRECTION('',(0.E0,1.E0,0.E0)); +#4818=VECTOR('',#4817,7.51602E-1); +#4819=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,0.E0)); +#4820=LINE('',#4819,#4818); +#4821=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4822=VECTOR('',#4821,4.E-1); +#4823=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,4.E-1)); +#4824=LINE('',#4823,#4822); +#4825=DIRECTION('',(1.E0,0.E0,0.E0)); +#4826=VECTOR('',#4825,1.5516E0); +#4827=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,4.E-1)); +#4828=LINE('',#4827,#4826); +#4829=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4830=VECTOR('',#4829,7.51602E-1); +#4831=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,4.E-1)); +#4832=LINE('',#4831,#4830); +#4833=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4834=VECTOR('',#4833,1.5516E0); +#4835=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,4.E-1)); +#4836=LINE('',#4835,#4834); +#4837=DIRECTION('',(0.E0,1.E0,0.E0)); +#4838=VECTOR('',#4837,7.51602E-1); +#4839=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,4.E-1)); +#4840=LINE('',#4839,#4838); +#4841=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4842=VECTOR('',#4841,7.51602E-1); +#4843=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,0.E0)); +#4844=LINE('',#4843,#4842); +#4845=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4846=VECTOR('',#4845,4.E-1); +#4847=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,4.E-1)); +#4848=LINE('',#4847,#4846); +#4849=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4850=VECTOR('',#4849,1.5516E0); +#4851=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,0.E0)); +#4852=LINE('',#4851,#4850); +#4853=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4854=VECTOR('',#4853,4.E-1); +#4855=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,4.E-1)); +#4856=LINE('',#4855,#4854); +#4857=DIRECTION('',(0.E0,1.E0,0.E0)); +#4858=VECTOR('',#4857,7.51602E-1); +#4859=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,0.E0)); +#4860=LINE('',#4859,#4858); +#4861=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4862=VECTOR('',#4861,4.E-1); +#4863=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,4.E-1)); +#4864=LINE('',#4863,#4862); +#4865=DIRECTION('',(1.E0,0.E0,0.E0)); +#4866=VECTOR('',#4865,1.5516E0); +#4867=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,0.E0)); +#4868=LINE('',#4867,#4866); +#4869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4870=VECTOR('',#4869,4.E-1); +#4871=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,4.E-1)); +#4872=LINE('',#4871,#4870); +#4873=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4874=VECTOR('',#4873,7.51602E-1); +#4875=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,4.E-1)); +#4876=LINE('',#4875,#4874); +#4877=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4878=VECTOR('',#4877,1.5516E0); +#4879=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,4.E-1)); +#4880=LINE('',#4879,#4878); +#4881=DIRECTION('',(0.E0,1.E0,0.E0)); +#4882=VECTOR('',#4881,7.51602E-1); +#4883=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,4.E-1)); +#4884=LINE('',#4883,#4882); +#4885=DIRECTION('',(1.E0,0.E0,0.E0)); +#4886=VECTOR('',#4885,1.5516E0); +#4887=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,4.E-1)); +#4888=LINE('',#4887,#4886); +#4889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4890=VECTOR('',#4889,1.5516E0); +#4891=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,0.E0)); +#4892=LINE('',#4891,#4890); +#4893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4894=VECTOR('',#4893,4.E-1); +#4895=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,4.E-1)); +#4896=LINE('',#4895,#4894); +#4897=DIRECTION('',(0.E0,1.E0,0.E0)); +#4898=VECTOR('',#4897,7.51602E-1); +#4899=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,0.E0)); +#4900=LINE('',#4899,#4898); +#4901=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4902=VECTOR('',#4901,4.E-1); +#4903=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,4.E-1)); +#4904=LINE('',#4903,#4902); +#4905=DIRECTION('',(1.E0,0.E0,0.E0)); +#4906=VECTOR('',#4905,1.5516E0); +#4907=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,0.E0)); +#4908=LINE('',#4907,#4906); +#4909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4910=VECTOR('',#4909,4.E-1); +#4911=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,4.E-1)); +#4912=LINE('',#4911,#4910); +#4913=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4914=VECTOR('',#4913,7.51602E-1); +#4915=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,0.E0)); +#4916=LINE('',#4915,#4914); +#4917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4918=VECTOR('',#4917,4.E-1); +#4919=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,4.E-1)); +#4920=LINE('',#4919,#4918); +#4921=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4922=VECTOR('',#4921,1.5516E0); +#4923=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,4.E-1)); +#4924=LINE('',#4923,#4922); +#4925=DIRECTION('',(0.E0,1.E0,0.E0)); +#4926=VECTOR('',#4925,7.51602E-1); +#4927=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,4.E-1)); +#4928=LINE('',#4927,#4926); +#4929=DIRECTION('',(1.E0,0.E0,0.E0)); +#4930=VECTOR('',#4929,1.5516E0); +#4931=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,4.E-1)); +#4932=LINE('',#4931,#4930); +#4933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4934=VECTOR('',#4933,7.51602E-1); +#4935=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,4.E-1)); +#4936=LINE('',#4935,#4934); +#4937=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4938=VECTOR('',#4937,7.51602E-1); +#4939=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,0.E0)); +#4940=LINE('',#4939,#4938); +#4941=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4942=VECTOR('',#4941,4.E-1); +#4943=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,4.E-1)); +#4944=LINE('',#4943,#4942); +#4945=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4946=VECTOR('',#4945,1.5516E0); +#4947=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,0.E0)); +#4948=LINE('',#4947,#4946); +#4949=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4950=VECTOR('',#4949,4.E-1); +#4951=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,4.E-1)); +#4952=LINE('',#4951,#4950); +#4953=DIRECTION('',(0.E0,1.E0,0.E0)); +#4954=VECTOR('',#4953,7.51602E-1); +#4955=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,0.E0)); +#4956=LINE('',#4955,#4954); +#4957=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4958=VECTOR('',#4957,4.E-1); +#4959=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,4.E-1)); +#4960=LINE('',#4959,#4958); +#4961=DIRECTION('',(1.E0,0.E0,0.E0)); +#4962=VECTOR('',#4961,1.5516E0); +#4963=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,0.E0)); +#4964=LINE('',#4963,#4962); +#4965=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4966=VECTOR('',#4965,4.E-1); +#4967=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,4.E-1)); +#4968=LINE('',#4967,#4966); +#4969=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4970=VECTOR('',#4969,7.51602E-1); +#4971=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,4.E-1)); +#4972=LINE('',#4971,#4970); +#4973=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4974=VECTOR('',#4973,1.5516E0); +#4975=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,4.E-1)); +#4976=LINE('',#4975,#4974); +#4977=DIRECTION('',(0.E0,1.E0,0.E0)); +#4978=VECTOR('',#4977,7.51602E-1); +#4979=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,4.E-1)); +#4980=LINE('',#4979,#4978); +#4981=DIRECTION('',(1.E0,0.E0,0.E0)); +#4982=VECTOR('',#4981,1.5516E0); +#4983=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,4.E-1)); +#4984=LINE('',#4983,#4982); +#4985=DIRECTION('',(1.E0,0.E0,0.E0)); +#4986=VECTOR('',#4985,1.5516E0); +#4987=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,0.E0)); +#4988=LINE('',#4987,#4986); +#4989=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4990=VECTOR('',#4989,4.E-1); +#4991=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,4.E-1)); +#4992=LINE('',#4991,#4990); +#4993=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4994=VECTOR('',#4993,7.51602E-1); +#4995=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,0.E0)); +#4996=LINE('',#4995,#4994); +#4997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4998=VECTOR('',#4997,4.E-1); +#4999=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,4.E-1)); +#5000=LINE('',#4999,#4998); +#5001=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5002=VECTOR('',#5001,1.5516E0); +#5003=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,0.E0)); +#5004=LINE('',#5003,#5002); +#5005=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5006=VECTOR('',#5005,4.E-1); +#5007=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,4.E-1)); +#5008=LINE('',#5007,#5006); +#5009=DIRECTION('',(0.E0,1.E0,0.E0)); +#5010=VECTOR('',#5009,7.51602E-1); +#5011=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,0.E0)); +#5012=LINE('',#5011,#5010); +#5013=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5014=VECTOR('',#5013,4.E-1); +#5015=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,4.E-1)); +#5016=LINE('',#5015,#5014); +#5017=DIRECTION('',(1.E0,0.E0,0.E0)); +#5018=VECTOR('',#5017,1.5516E0); +#5019=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,4.E-1)); +#5020=LINE('',#5019,#5018); +#5021=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5022=VECTOR('',#5021,7.51602E-1); +#5023=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,4.E-1)); +#5024=LINE('',#5023,#5022); +#5025=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5026=VECTOR('',#5025,1.5516E0); +#5027=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,4.E-1)); +#5028=LINE('',#5027,#5026); +#5029=DIRECTION('',(0.E0,1.E0,0.E0)); +#5030=VECTOR('',#5029,7.51602E-1); +#5031=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,4.E-1)); +#5032=LINE('',#5031,#5030); +#5033=DIRECTION('',(0.E0,1.E0,0.E0)); +#5034=VECTOR('',#5033,7.51602E-1); +#5035=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,0.E0)); +#5036=LINE('',#5035,#5034); +#5037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5038=VECTOR('',#5037,4.E-1); +#5039=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,4.E-1)); +#5040=LINE('',#5039,#5038); +#5041=DIRECTION('',(1.E0,0.E0,0.E0)); +#5042=VECTOR('',#5041,1.5516E0); +#5043=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,0.E0)); +#5044=LINE('',#5043,#5042); +#5045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5046=VECTOR('',#5045,4.E-1); +#5047=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,4.E-1)); +#5048=LINE('',#5047,#5046); +#5049=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5050=VECTOR('',#5049,7.51602E-1); +#5051=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,0.E0)); +#5052=LINE('',#5051,#5050); +#5053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5054=VECTOR('',#5053,4.E-1); +#5055=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,4.E-1)); +#5056=LINE('',#5055,#5054); +#5057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5058=VECTOR('',#5057,1.5516E0); +#5059=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,0.E0)); +#5060=LINE('',#5059,#5058); +#5061=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5062=VECTOR('',#5061,4.E-1); +#5063=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,4.E-1)); +#5064=LINE('',#5063,#5062); +#5065=DIRECTION('',(0.E0,1.E0,0.E0)); +#5066=VECTOR('',#5065,7.51602E-1); +#5067=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,4.E-1)); +#5068=LINE('',#5067,#5066); +#5069=DIRECTION('',(1.E0,0.E0,0.E0)); +#5070=VECTOR('',#5069,1.5516E0); +#5071=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,4.E-1)); +#5072=LINE('',#5071,#5070); +#5073=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5074=VECTOR('',#5073,7.51602E-1); +#5075=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,4.E-1)); +#5076=LINE('',#5075,#5074); +#5077=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5078=VECTOR('',#5077,1.5516E0); +#5079=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,4.E-1)); +#5080=LINE('',#5079,#5078); +#5081=DIRECTION('',(1.E0,0.E0,0.E0)); +#5082=VECTOR('',#5081,1.5516E0); +#5083=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,0.E0)); +#5084=LINE('',#5083,#5082); +#5085=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5086=VECTOR('',#5085,4.E-1); +#5087=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,4.E-1)); +#5088=LINE('',#5087,#5086); +#5089=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5090=VECTOR('',#5089,7.51602E-1); +#5091=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,0.E0)); +#5092=LINE('',#5091,#5090); +#5093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5094=VECTOR('',#5093,4.E-1); +#5095=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,4.E-1)); +#5096=LINE('',#5095,#5094); +#5097=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5098=VECTOR('',#5097,1.5516E0); +#5099=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,0.E0)); +#5100=LINE('',#5099,#5098); +#5101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5102=VECTOR('',#5101,4.E-1); +#5103=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,4.E-1)); +#5104=LINE('',#5103,#5102); +#5105=DIRECTION('',(0.E0,1.E0,0.E0)); +#5106=VECTOR('',#5105,7.51602E-1); +#5107=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,0.E0)); +#5108=LINE('',#5107,#5106); +#5109=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5110=VECTOR('',#5109,4.E-1); +#5111=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,4.E-1)); +#5112=LINE('',#5111,#5110); +#5113=DIRECTION('',(1.E0,0.E0,0.E0)); +#5114=VECTOR('',#5113,1.5516E0); +#5115=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,4.E-1)); +#5116=LINE('',#5115,#5114); +#5117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5118=VECTOR('',#5117,7.51602E-1); +#5119=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,4.E-1)); +#5120=LINE('',#5119,#5118); +#5121=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5122=VECTOR('',#5121,1.5516E0); +#5123=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,4.E-1)); +#5124=LINE('',#5123,#5122); +#5125=DIRECTION('',(0.E0,1.E0,0.E0)); +#5126=VECTOR('',#5125,7.51602E-1); +#5127=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,4.E-1)); +#5128=LINE('',#5127,#5126); +#5129=DIRECTION('',(1.E0,0.E0,0.E0)); +#5130=VECTOR('',#5129,7.51602E-1); +#5131=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,0.E0)); +#5132=LINE('',#5131,#5130); +#5133=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5134=VECTOR('',#5133,4.E-1); +#5135=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,4.E-1)); +#5136=LINE('',#5135,#5134); +#5137=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5138=VECTOR('',#5137,1.551599E0); +#5139=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,0.E0)); +#5140=LINE('',#5139,#5138); +#5141=DIRECTION('',(0.E0,1.776356839400E-14,-1.E0)); +#5142=VECTOR('',#5141,4.E-1); +#5143=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,4.E-1)); +#5144=LINE('',#5143,#5142); +#5145=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5146=VECTOR('',#5145,7.51602E-1); +#5147=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,0.E0)); +#5148=LINE('',#5147,#5146); +#5149=DIRECTION('',(0.E0,5.329070518201E-14,-1.E0)); +#5150=VECTOR('',#5149,4.E-1); +#5151=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,4.E-1)); +#5152=LINE('',#5151,#5150); +#5153=DIRECTION('',(0.E0,1.E0,0.E0)); +#5154=VECTOR('',#5153,1.551599E0); +#5155=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,0.E0)); +#5156=LINE('',#5155,#5154); +#5157=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5158=VECTOR('',#5157,4.E-1); +#5159=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,4.E-1)); +#5160=LINE('',#5159,#5158); +#5161=DIRECTION('',(1.E0,0.E0,0.E0)); +#5162=VECTOR('',#5161,7.51602E-1); +#5163=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,4.E-1)); +#5164=LINE('',#5163,#5162); +#5165=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5166=VECTOR('',#5165,1.551599E0); +#5167=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,4.E-1)); +#5168=LINE('',#5167,#5166); +#5169=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5170=VECTOR('',#5169,7.51602E-1); +#5171=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,4.E-1)); +#5172=LINE('',#5171,#5170); +#5173=DIRECTION('',(0.E0,1.E0,0.E0)); +#5174=VECTOR('',#5173,1.551599E0); +#5175=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,4.E-1)); +#5176=LINE('',#5175,#5174); +#5177=DIRECTION('',(1.E0,0.E0,0.E0)); +#5178=VECTOR('',#5177,7.01598E-1); +#5179=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,0.E0)); +#5180=LINE('',#5179,#5178); +#5181=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5182=VECTOR('',#5181,4.E-1); +#5183=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,4.E-1)); +#5184=LINE('',#5183,#5182); +#5185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5186=VECTOR('',#5185,7.51602E-1); +#5187=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,0.E0)); +#5188=LINE('',#5187,#5186); +#5189=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5190=VECTOR('',#5189,4.E-1); +#5191=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,4.E-1)); +#5192=LINE('',#5191,#5190); +#5193=DIRECTION('',(-1.E0,-1.012749089593E-14,0.E0)); +#5194=VECTOR('',#5193,7.01598E-1); +#5195=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,0.E0)); +#5196=LINE('',#5195,#5194); +#5197=DIRECTION('',(0.E0,1.776356839400E-14,-1.E0)); +#5198=VECTOR('',#5197,4.E-1); +#5199=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,4.E-1)); +#5200=LINE('',#5199,#5198); +#5201=DIRECTION('',(0.E0,1.E0,0.E0)); +#5202=VECTOR('',#5201,7.51602E-1); +#5203=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,0.E0)); +#5204=LINE('',#5203,#5202); +#5205=DIRECTION('',(0.E0,1.776356839400E-14,-1.E0)); +#5206=VECTOR('',#5205,4.E-1); +#5207=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,4.E-1)); +#5208=LINE('',#5207,#5206); +#5209=DIRECTION('',(1.E0,0.E0,0.E0)); +#5210=VECTOR('',#5209,7.01598E-1); +#5211=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,4.E-1)); +#5212=LINE('',#5211,#5210); +#5213=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5214=VECTOR('',#5213,7.51602E-1); +#5215=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,4.E-1)); +#5216=LINE('',#5215,#5214); +#5217=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5218=VECTOR('',#5217,7.01598E-1); +#5219=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,4.E-1)); +#5220=LINE('',#5219,#5218); +#5221=DIRECTION('',(0.E0,1.E0,0.E0)); +#5222=VECTOR('',#5221,7.51602E-1); +#5223=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,4.E-1)); +#5224=LINE('',#5223,#5222); +#5225=DIRECTION('',(0.E0,1.E0,0.E0)); +#5226=VECTOR('',#5225,1.5516E0); +#5227=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,0.E0)); +#5228=LINE('',#5227,#5226); +#5229=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5230=VECTOR('',#5229,4.E-1); +#5231=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,4.E-1)); +#5232=LINE('',#5231,#5230); +#5233=DIRECTION('',(1.E0,0.E0,0.E0)); +#5234=VECTOR('',#5233,7.51602E-1); +#5235=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,0.E0)); +#5236=LINE('',#5235,#5234); +#5237=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5238=VECTOR('',#5237,4.E-1); +#5239=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,4.E-1)); +#5240=LINE('',#5239,#5238); +#5241=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5242=VECTOR('',#5241,1.5516E0); +#5243=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,0.E0)); +#5244=LINE('',#5243,#5242); +#5245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5246=VECTOR('',#5245,4.E-1); +#5247=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,4.E-1)); +#5248=LINE('',#5247,#5246); +#5249=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5250=VECTOR('',#5249,7.51602E-1); +#5251=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,0.E0)); +#5252=LINE('',#5251,#5250); +#5253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5254=VECTOR('',#5253,4.E-1); +#5255=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,4.E-1)); +#5256=LINE('',#5255,#5254); +#5257=DIRECTION('',(0.E0,1.E0,0.E0)); +#5258=VECTOR('',#5257,1.5516E0); +#5259=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,4.E-1)); +#5260=LINE('',#5259,#5258); +#5261=DIRECTION('',(1.E0,0.E0,0.E0)); +#5262=VECTOR('',#5261,7.51602E-1); +#5263=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,4.E-1)); +#5264=LINE('',#5263,#5262); +#5265=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5266=VECTOR('',#5265,1.5516E0); +#5267=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,4.E-1)); +#5268=LINE('',#5267,#5266); +#5269=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5270=VECTOR('',#5269,7.51602E-1); +#5271=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,4.E-1)); +#5272=LINE('',#5271,#5270); +#5273=DIRECTION('',(0.E0,1.E0,0.E0)); +#5274=VECTOR('',#5273,7.51601E-1); +#5275=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,0.E0)); +#5276=LINE('',#5275,#5274); +#5277=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5278=VECTOR('',#5277,5.E-1); +#5279=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,5.E-1)); +#5280=LINE('',#5279,#5278); +#5281=DIRECTION('',(1.E0,0.E0,0.E0)); +#5282=VECTOR('',#5281,1.551599E0); +#5283=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,0.E0)); +#5284=LINE('',#5283,#5282); +#5285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5286=VECTOR('',#5285,5.E-1); +#5287=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,5.E-1)); +#5288=LINE('',#5287,#5286); +#5289=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5290=VECTOR('',#5289,7.51601E-1); +#5291=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,0.E0)); +#5292=LINE('',#5291,#5290); +#5293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5294=VECTOR('',#5293,5.E-1); +#5295=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,5.E-1)); +#5296=LINE('',#5295,#5294); +#5297=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5298=VECTOR('',#5297,1.551599E0); +#5299=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,0.E0)); +#5300=LINE('',#5299,#5298); +#5301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5302=VECTOR('',#5301,5.E-1); +#5303=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,5.E-1)); +#5304=LINE('',#5303,#5302); +#5305=DIRECTION('',(0.E0,1.E0,0.E0)); +#5306=VECTOR('',#5305,7.51601E-1); +#5307=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,5.E-1)); +#5308=LINE('',#5307,#5306); +#5309=DIRECTION('',(1.E0,0.E0,0.E0)); +#5310=VECTOR('',#5309,1.551599E0); +#5311=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,5.E-1)); +#5312=LINE('',#5311,#5310); +#5313=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5314=VECTOR('',#5313,7.51601E-1); +#5315=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,5.E-1)); +#5316=LINE('',#5315,#5314); +#5317=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5318=VECTOR('',#5317,1.551599E0); +#5319=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,5.E-1)); +#5320=LINE('',#5319,#5318); +#5321=DIRECTION('',(1.E0,0.E0,0.E0)); +#5322=VECTOR('',#5321,1.551599E0); +#5323=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,0.E0)); +#5324=LINE('',#5323,#5322); +#5325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5326=VECTOR('',#5325,5.E-1); +#5327=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,5.E-1)); +#5328=LINE('',#5327,#5326); +#5329=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5330=VECTOR('',#5329,7.51601E-1); +#5331=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,0.E0)); +#5332=LINE('',#5331,#5330); +#5333=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5334=VECTOR('',#5333,5.E-1); +#5335=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,5.E-1)); +#5336=LINE('',#5335,#5334); +#5337=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5338=VECTOR('',#5337,1.551599E0); +#5339=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,0.E0)); +#5340=LINE('',#5339,#5338); +#5341=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5342=VECTOR('',#5341,5.E-1); +#5343=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,5.E-1)); +#5344=LINE('',#5343,#5342); +#5345=DIRECTION('',(0.E0,1.E0,0.E0)); +#5346=VECTOR('',#5345,7.51601E-1); +#5347=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,0.E0)); +#5348=LINE('',#5347,#5346); +#5349=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5350=VECTOR('',#5349,5.E-1); +#5351=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,5.E-1)); +#5352=LINE('',#5351,#5350); +#5353=DIRECTION('',(1.E0,0.E0,0.E0)); +#5354=VECTOR('',#5353,1.551599E0); +#5355=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,5.E-1)); +#5356=LINE('',#5355,#5354); +#5357=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5358=VECTOR('',#5357,7.51601E-1); +#5359=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,5.E-1)); +#5360=LINE('',#5359,#5358); +#5361=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5362=VECTOR('',#5361,1.551599E0); +#5363=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,5.E-1)); +#5364=LINE('',#5363,#5362); +#5365=DIRECTION('',(0.E0,1.E0,0.E0)); +#5366=VECTOR('',#5365,7.51601E-1); +#5367=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,5.E-1)); +#5368=LINE('',#5367,#5366); +#5369=DIRECTION('',(0.E0,1.E0,0.E0)); +#5370=VECTOR('',#5369,1.551599E0); +#5371=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,0.E0)); +#5372=LINE('',#5371,#5370); +#5373=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5374=VECTOR('',#5373,5.E-1); +#5375=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,5.E-1)); +#5376=LINE('',#5375,#5374); +#5377=DIRECTION('',(1.E0,0.E0,0.E0)); +#5378=VECTOR('',#5377,7.51601E-1); +#5379=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,0.E0)); +#5380=LINE('',#5379,#5378); +#5381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5382=VECTOR('',#5381,5.E-1); +#5383=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,5.E-1)); +#5384=LINE('',#5383,#5382); +#5385=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5386=VECTOR('',#5385,1.551599E0); +#5387=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,0.E0)); +#5388=LINE('',#5387,#5386); +#5389=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5390=VECTOR('',#5389,5.E-1); +#5391=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,5.E-1)); +#5392=LINE('',#5391,#5390); +#5393=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5394=VECTOR('',#5393,7.51601E-1); +#5395=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,0.E0)); +#5396=LINE('',#5395,#5394); +#5397=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5398=VECTOR('',#5397,5.E-1); +#5399=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,5.E-1)); +#5400=LINE('',#5399,#5398); +#5401=DIRECTION('',(0.E0,1.E0,0.E0)); +#5402=VECTOR('',#5401,1.551599E0); +#5403=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,5.E-1)); +#5404=LINE('',#5403,#5402); +#5405=DIRECTION('',(1.E0,0.E0,0.E0)); +#5406=VECTOR('',#5405,7.51601E-1); +#5407=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,5.E-1)); +#5408=LINE('',#5407,#5406); +#5409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5410=VECTOR('',#5409,1.551599E0); +#5411=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,5.E-1)); +#5412=LINE('',#5411,#5410); +#5413=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5414=VECTOR('',#5413,7.51601E-1); +#5415=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,5.E-1)); +#5416=LINE('',#5415,#5414); +#5417=DIRECTION('',(0.E0,1.E0,0.E0)); +#5418=VECTOR('',#5417,7.51601E-1); +#5419=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,0.E0)); +#5420=LINE('',#5419,#5418); +#5421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5422=VECTOR('',#5421,5.E-1); +#5423=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,5.E-1)); +#5424=LINE('',#5423,#5422); +#5425=DIRECTION('',(1.E0,0.E0,0.E0)); +#5426=VECTOR('',#5425,1.551599E0); +#5427=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,0.E0)); +#5428=LINE('',#5427,#5426); +#5429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5430=VECTOR('',#5429,5.E-1); +#5431=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,5.E-1)); +#5432=LINE('',#5431,#5430); +#5433=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5434=VECTOR('',#5433,7.51601E-1); +#5435=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,0.E0)); +#5436=LINE('',#5435,#5434); +#5437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5438=VECTOR('',#5437,5.E-1); +#5439=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,5.E-1)); +#5440=LINE('',#5439,#5438); +#5441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5442=VECTOR('',#5441,1.551599E0); +#5443=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,0.E0)); +#5444=LINE('',#5443,#5442); +#5445=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5446=VECTOR('',#5445,5.E-1); +#5447=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,5.E-1)); +#5448=LINE('',#5447,#5446); +#5449=DIRECTION('',(0.E0,1.E0,0.E0)); +#5450=VECTOR('',#5449,7.51601E-1); +#5451=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,5.E-1)); +#5452=LINE('',#5451,#5450); +#5453=DIRECTION('',(1.E0,0.E0,0.E0)); +#5454=VECTOR('',#5453,1.551599E0); +#5455=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,5.E-1)); +#5456=LINE('',#5455,#5454); +#5457=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5458=VECTOR('',#5457,7.51601E-1); +#5459=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,5.E-1)); +#5460=LINE('',#5459,#5458); +#5461=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5462=VECTOR('',#5461,1.551599E0); +#5463=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,5.E-1)); +#5464=LINE('',#5463,#5462); +#5465=DIRECTION('',(1.E0,0.E0,0.E0)); +#5466=VECTOR('',#5465,1.551599E0); +#5467=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,0.E0)); +#5468=LINE('',#5467,#5466); +#5469=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5470=VECTOR('',#5469,5.E-1); +#5471=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,5.E-1)); +#5472=LINE('',#5471,#5470); +#5473=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5474=VECTOR('',#5473,7.51601E-1); +#5475=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,0.E0)); +#5476=LINE('',#5475,#5474); +#5477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5478=VECTOR('',#5477,5.E-1); +#5479=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,5.E-1)); +#5480=LINE('',#5479,#5478); +#5481=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5482=VECTOR('',#5481,1.551599E0); +#5483=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,0.E0)); +#5484=LINE('',#5483,#5482); +#5485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5486=VECTOR('',#5485,5.E-1); +#5487=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,5.E-1)); +#5488=LINE('',#5487,#5486); +#5489=DIRECTION('',(0.E0,1.E0,0.E0)); +#5490=VECTOR('',#5489,7.51601E-1); +#5491=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,0.E0)); +#5492=LINE('',#5491,#5490); +#5493=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5494=VECTOR('',#5493,5.E-1); +#5495=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,5.E-1)); +#5496=LINE('',#5495,#5494); +#5497=DIRECTION('',(1.E0,0.E0,0.E0)); +#5498=VECTOR('',#5497,1.551599E0); +#5499=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,5.E-1)); +#5500=LINE('',#5499,#5498); +#5501=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5502=VECTOR('',#5501,7.51601E-1); +#5503=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,5.E-1)); +#5504=LINE('',#5503,#5502); +#5505=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5506=VECTOR('',#5505,1.551599E0); +#5507=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,5.E-1)); +#5508=LINE('',#5507,#5506); +#5509=DIRECTION('',(0.E0,1.E0,0.E0)); +#5510=VECTOR('',#5509,7.51601E-1); +#5511=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,5.E-1)); +#5512=LINE('',#5511,#5510); +#5513=DIRECTION('',(0.E0,1.E0,0.E0)); +#5514=VECTOR('',#5513,7.51601E-1); +#5515=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,0.E0)); +#5516=LINE('',#5515,#5514); +#5517=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5518=VECTOR('',#5517,5.E-1); +#5519=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,5.E-1)); +#5520=LINE('',#5519,#5518); +#5521=DIRECTION('',(1.E0,0.E0,0.E0)); +#5522=VECTOR('',#5521,1.551599E0); +#5523=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,0.E0)); +#5524=LINE('',#5523,#5522); +#5525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5526=VECTOR('',#5525,5.E-1); +#5527=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,5.E-1)); +#5528=LINE('',#5527,#5526); +#5529=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5530=VECTOR('',#5529,7.51601E-1); +#5531=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,0.E0)); +#5532=LINE('',#5531,#5530); +#5533=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5534=VECTOR('',#5533,5.E-1); +#5535=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,5.E-1)); +#5536=LINE('',#5535,#5534); +#5537=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5538=VECTOR('',#5537,1.551599E0); +#5539=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,0.E0)); +#5540=LINE('',#5539,#5538); +#5541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5542=VECTOR('',#5541,5.E-1); +#5543=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,5.E-1)); +#5544=LINE('',#5543,#5542); +#5545=DIRECTION('',(0.E0,1.E0,0.E0)); +#5546=VECTOR('',#5545,7.51601E-1); +#5547=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,5.E-1)); +#5548=LINE('',#5547,#5546); +#5549=DIRECTION('',(1.E0,0.E0,0.E0)); +#5550=VECTOR('',#5549,1.551599E0); +#5551=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,5.E-1)); +#5552=LINE('',#5551,#5550); +#5553=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5554=VECTOR('',#5553,7.51601E-1); +#5555=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,5.E-1)); +#5556=LINE('',#5555,#5554); +#5557=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5558=VECTOR('',#5557,1.551599E0); +#5559=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,5.E-1)); +#5560=LINE('',#5559,#5558); +#5561=DIRECTION('',(1.E0,0.E0,0.E0)); +#5562=VECTOR('',#5561,1.5516E0); +#5563=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,0.E0)); +#5564=LINE('',#5563,#5562); +#5565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5566=VECTOR('',#5565,5.E-1); +#5567=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,5.E-1)); +#5568=LINE('',#5567,#5566); +#5569=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5570=VECTOR('',#5569,7.51601E-1); +#5571=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,0.E0)); +#5572=LINE('',#5571,#5570); +#5573=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5574=VECTOR('',#5573,5.E-1); +#5575=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,5.E-1)); +#5576=LINE('',#5575,#5574); +#5577=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5578=VECTOR('',#5577,1.5516E0); +#5579=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,0.E0)); +#5580=LINE('',#5579,#5578); +#5581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5582=VECTOR('',#5581,5.E-1); +#5583=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,5.E-1)); +#5584=LINE('',#5583,#5582); +#5585=DIRECTION('',(0.E0,1.E0,0.E0)); +#5586=VECTOR('',#5585,7.51601E-1); +#5587=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,0.E0)); +#5588=LINE('',#5587,#5586); +#5589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5590=VECTOR('',#5589,5.E-1); +#5591=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,5.E-1)); +#5592=LINE('',#5591,#5590); +#5593=DIRECTION('',(1.E0,0.E0,0.E0)); +#5594=VECTOR('',#5593,1.5516E0); +#5595=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,5.E-1)); +#5596=LINE('',#5595,#5594); +#5597=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5598=VECTOR('',#5597,7.51601E-1); +#5599=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,5.E-1)); +#5600=LINE('',#5599,#5598); +#5601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5602=VECTOR('',#5601,1.5516E0); +#5603=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,5.E-1)); +#5604=LINE('',#5603,#5602); +#5605=DIRECTION('',(0.E0,1.E0,0.E0)); +#5606=VECTOR('',#5605,7.51601E-1); +#5607=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,5.E-1)); +#5608=LINE('',#5607,#5606); +#5609=DIRECTION('',(1.E0,0.E0,0.E0)); +#5610=VECTOR('',#5609,1.5516E0); +#5611=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,0.E0)); +#5612=LINE('',#5611,#5610); +#5613=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5614=VECTOR('',#5613,5.E-1); +#5615=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,5.E-1)); +#5616=LINE('',#5615,#5614); +#5617=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5618=VECTOR('',#5617,7.51601E-1); +#5619=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,0.E0)); +#5620=LINE('',#5619,#5618); +#5621=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5622=VECTOR('',#5621,5.E-1); +#5623=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,5.E-1)); +#5624=LINE('',#5623,#5622); +#5625=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5626=VECTOR('',#5625,1.5516E0); +#5627=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,0.E0)); +#5628=LINE('',#5627,#5626); +#5629=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5630=VECTOR('',#5629,5.E-1); +#5631=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,5.E-1)); +#5632=LINE('',#5631,#5630); +#5633=DIRECTION('',(0.E0,1.E0,0.E0)); +#5634=VECTOR('',#5633,7.51601E-1); +#5635=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,0.E0)); +#5636=LINE('',#5635,#5634); +#5637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5638=VECTOR('',#5637,5.E-1); +#5639=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,5.E-1)); +#5640=LINE('',#5639,#5638); +#5641=DIRECTION('',(1.E0,0.E0,0.E0)); +#5642=VECTOR('',#5641,1.5516E0); +#5643=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,5.E-1)); +#5644=LINE('',#5643,#5642); +#5645=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5646=VECTOR('',#5645,7.51601E-1); +#5647=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,5.E-1)); +#5648=LINE('',#5647,#5646); +#5649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5650=VECTOR('',#5649,1.5516E0); +#5651=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,5.E-1)); +#5652=LINE('',#5651,#5650); +#5653=DIRECTION('',(0.E0,1.E0,0.E0)); +#5654=VECTOR('',#5653,7.51601E-1); +#5655=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,5.E-1)); +#5656=LINE('',#5655,#5654); +#5657=DIRECTION('',(0.E0,1.E0,0.E0)); +#5658=VECTOR('',#5657,7.51601E-1); +#5659=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,0.E0)); +#5660=LINE('',#5659,#5658); +#5661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5662=VECTOR('',#5661,5.E-1); +#5663=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,5.E-1)); +#5664=LINE('',#5663,#5662); +#5665=DIRECTION('',(1.E0,0.E0,0.E0)); +#5666=VECTOR('',#5665,1.5516E0); +#5667=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,0.E0)); +#5668=LINE('',#5667,#5666); +#5669=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5670=VECTOR('',#5669,5.E-1); +#5671=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,5.E-1)); +#5672=LINE('',#5671,#5670); +#5673=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5674=VECTOR('',#5673,7.51601E-1); +#5675=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,0.E0)); +#5676=LINE('',#5675,#5674); +#5677=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5678=VECTOR('',#5677,5.E-1); +#5679=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,5.E-1)); +#5680=LINE('',#5679,#5678); +#5681=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5682=VECTOR('',#5681,1.5516E0); +#5683=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,0.E0)); +#5684=LINE('',#5683,#5682); +#5685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5686=VECTOR('',#5685,5.E-1); +#5687=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,5.E-1)); +#5688=LINE('',#5687,#5686); +#5689=DIRECTION('',(0.E0,1.E0,0.E0)); +#5690=VECTOR('',#5689,7.51601E-1); +#5691=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,5.E-1)); +#5692=LINE('',#5691,#5690); +#5693=DIRECTION('',(1.E0,0.E0,0.E0)); +#5694=VECTOR('',#5693,1.5516E0); +#5695=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,5.E-1)); +#5696=LINE('',#5695,#5694); +#5697=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5698=VECTOR('',#5697,7.51601E-1); +#5699=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,5.E-1)); +#5700=LINE('',#5699,#5698); +#5701=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5702=VECTOR('',#5701,1.5516E0); +#5703=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,5.E-1)); +#5704=LINE('',#5703,#5702); +#5705=DIRECTION('',(0.E0,1.E0,0.E0)); +#5706=VECTOR('',#5705,7.51601E-1); +#5707=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,0.E0)); +#5708=LINE('',#5707,#5706); +#5709=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5710=VECTOR('',#5709,5.E-1); +#5711=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,5.E-1)); +#5712=LINE('',#5711,#5710); +#5713=DIRECTION('',(1.E0,0.E0,0.E0)); +#5714=VECTOR('',#5713,1.5516E0); +#5715=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,0.E0)); +#5716=LINE('',#5715,#5714); +#5717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5718=VECTOR('',#5717,5.E-1); +#5719=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,5.E-1)); +#5720=LINE('',#5719,#5718); +#5721=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5722=VECTOR('',#5721,7.51601E-1); +#5723=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,0.E0)); +#5724=LINE('',#5723,#5722); +#5725=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5726=VECTOR('',#5725,5.E-1); +#5727=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,5.E-1)); +#5728=LINE('',#5727,#5726); +#5729=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5730=VECTOR('',#5729,1.5516E0); +#5731=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,0.E0)); +#5732=LINE('',#5731,#5730); +#5733=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5734=VECTOR('',#5733,5.E-1); +#5735=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,5.E-1)); +#5736=LINE('',#5735,#5734); +#5737=DIRECTION('',(0.E0,1.E0,0.E0)); +#5738=VECTOR('',#5737,7.51601E-1); +#5739=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,5.E-1)); +#5740=LINE('',#5739,#5738); +#5741=DIRECTION('',(1.E0,0.E0,0.E0)); +#5742=VECTOR('',#5741,1.5516E0); +#5743=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,5.E-1)); +#5744=LINE('',#5743,#5742); +#5745=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5746=VECTOR('',#5745,7.51601E-1); +#5747=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,5.E-1)); +#5748=LINE('',#5747,#5746); +#5749=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5750=VECTOR('',#5749,1.5516E0); +#5751=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,5.E-1)); +#5752=LINE('',#5751,#5750); +#5753=DIRECTION('',(0.E0,1.E0,0.E0)); +#5754=VECTOR('',#5753,1.5516E0); +#5755=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,0.E0)); +#5756=LINE('',#5755,#5754); +#5757=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5758=VECTOR('',#5757,5.E-1); +#5759=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,5.E-1)); +#5760=LINE('',#5759,#5758); +#5761=DIRECTION('',(1.E0,0.E0,0.E0)); +#5762=VECTOR('',#5761,7.51601E-1); +#5763=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,0.E0)); +#5764=LINE('',#5763,#5762); +#5765=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5766=VECTOR('',#5765,5.E-1); +#5767=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,5.E-1)); +#5768=LINE('',#5767,#5766); +#5769=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5770=VECTOR('',#5769,1.5516E0); +#5771=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,0.E0)); +#5772=LINE('',#5771,#5770); +#5773=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5774=VECTOR('',#5773,5.E-1); +#5775=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,5.E-1)); +#5776=LINE('',#5775,#5774); +#5777=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5778=VECTOR('',#5777,7.51601E-1); +#5779=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,0.E0)); +#5780=LINE('',#5779,#5778); +#5781=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5782=VECTOR('',#5781,5.E-1); +#5783=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,5.E-1)); +#5784=LINE('',#5783,#5782); +#5785=DIRECTION('',(0.E0,1.E0,0.E0)); +#5786=VECTOR('',#5785,1.5516E0); +#5787=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,5.E-1)); +#5788=LINE('',#5787,#5786); +#5789=DIRECTION('',(1.E0,0.E0,0.E0)); +#5790=VECTOR('',#5789,7.51601E-1); +#5791=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,5.E-1)); +#5792=LINE('',#5791,#5790); +#5793=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5794=VECTOR('',#5793,1.5516E0); +#5795=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,5.E-1)); +#5796=LINE('',#5795,#5794); +#5797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5798=VECTOR('',#5797,7.51601E-1); +#5799=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,5.E-1)); +#5800=LINE('',#5799,#5798); +#5801=DIRECTION('',(1.E0,0.E0,0.E0)); +#5802=VECTOR('',#5801,7.51601E-1); +#5803=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,0.E0)); +#5804=LINE('',#5803,#5802); +#5805=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5806=VECTOR('',#5805,5.E-1); +#5807=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,5.E-1)); +#5808=LINE('',#5807,#5806); +#5809=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5810=VECTOR('',#5809,1.5516E0); +#5811=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,0.E0)); +#5812=LINE('',#5811,#5810); +#5813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5814=VECTOR('',#5813,5.E-1); +#5815=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,5.E-1)); +#5816=LINE('',#5815,#5814); +#5817=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5818=VECTOR('',#5817,7.51601E-1); +#5819=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,0.E0)); +#5820=LINE('',#5819,#5818); +#5821=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5822=VECTOR('',#5821,5.E-1); +#5823=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,5.E-1)); +#5824=LINE('',#5823,#5822); +#5825=DIRECTION('',(0.E0,1.E0,0.E0)); +#5826=VECTOR('',#5825,1.5516E0); +#5827=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,0.E0)); +#5828=LINE('',#5827,#5826); +#5829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5830=VECTOR('',#5829,5.E-1); +#5831=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,5.E-1)); +#5832=LINE('',#5831,#5830); +#5833=DIRECTION('',(1.E0,0.E0,0.E0)); +#5834=VECTOR('',#5833,7.51601E-1); +#5835=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,5.E-1)); +#5836=LINE('',#5835,#5834); +#5837=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5838=VECTOR('',#5837,1.5516E0); +#5839=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,5.E-1)); +#5840=LINE('',#5839,#5838); +#5841=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5842=VECTOR('',#5841,7.51601E-1); +#5843=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,5.E-1)); +#5844=LINE('',#5843,#5842); +#5845=DIRECTION('',(0.E0,1.E0,0.E0)); +#5846=VECTOR('',#5845,1.5516E0); +#5847=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,5.E-1)); +#5848=LINE('',#5847,#5846); +#5849=DIRECTION('',(1.E0,0.E0,0.E0)); +#5850=VECTOR('',#5849,1.551599E0); +#5851=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,0.E0)); +#5852=LINE('',#5851,#5850); +#5853=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5854=VECTOR('',#5853,5.E-1); +#5855=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,5.E-1)); +#5856=LINE('',#5855,#5854); +#5857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5858=VECTOR('',#5857,7.51601E-1); +#5859=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,0.E0)); +#5860=LINE('',#5859,#5858); +#5861=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5862=VECTOR('',#5861,5.E-1); +#5863=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,5.E-1)); +#5864=LINE('',#5863,#5862); +#5865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5866=VECTOR('',#5865,1.551599E0); +#5867=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,0.E0)); +#5868=LINE('',#5867,#5866); +#5869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5870=VECTOR('',#5869,5.E-1); +#5871=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,5.E-1)); +#5872=LINE('',#5871,#5870); +#5873=DIRECTION('',(0.E0,1.E0,0.E0)); +#5874=VECTOR('',#5873,7.51601E-1); +#5875=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,0.E0)); +#5876=LINE('',#5875,#5874); +#5877=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5878=VECTOR('',#5877,5.E-1); +#5879=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,5.E-1)); +#5880=LINE('',#5879,#5878); +#5881=DIRECTION('',(1.E0,0.E0,0.E0)); +#5882=VECTOR('',#5881,1.551599E0); +#5883=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,5.E-1)); +#5884=LINE('',#5883,#5882); +#5885=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5886=VECTOR('',#5885,7.51601E-1); +#5887=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,5.E-1)); +#5888=LINE('',#5887,#5886); +#5889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5890=VECTOR('',#5889,1.551599E0); +#5891=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,5.E-1)); +#5892=LINE('',#5891,#5890); +#5893=DIRECTION('',(0.E0,1.E0,0.E0)); +#5894=VECTOR('',#5893,7.51601E-1); +#5895=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,5.E-1)); +#5896=LINE('',#5895,#5894); +#5897=DIRECTION('',(1.E0,0.E0,0.E0)); +#5898=VECTOR('',#5897,7.51601E-1); +#5899=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,0.E0)); +#5900=LINE('',#5899,#5898); +#5901=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5902=VECTOR('',#5901,5.E-1); +#5903=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,5.E-1)); +#5904=LINE('',#5903,#5902); +#5905=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5906=VECTOR('',#5905,1.5516E0); +#5907=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,0.E0)); +#5908=LINE('',#5907,#5906); +#5909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5910=VECTOR('',#5909,5.E-1); +#5911=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,5.E-1)); +#5912=LINE('',#5911,#5910); +#5913=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5914=VECTOR('',#5913,7.51601E-1); +#5915=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,0.E0)); +#5916=LINE('',#5915,#5914); +#5917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5918=VECTOR('',#5917,5.E-1); +#5919=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,5.E-1)); +#5920=LINE('',#5919,#5918); +#5921=DIRECTION('',(0.E0,1.E0,0.E0)); +#5922=VECTOR('',#5921,1.5516E0); +#5923=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,0.E0)); +#5924=LINE('',#5923,#5922); +#5925=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5926=VECTOR('',#5925,5.E-1); +#5927=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,5.E-1)); +#5928=LINE('',#5927,#5926); +#5929=DIRECTION('',(1.E0,0.E0,0.E0)); +#5930=VECTOR('',#5929,7.51601E-1); +#5931=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,5.E-1)); +#5932=LINE('',#5931,#5930); +#5933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5934=VECTOR('',#5933,1.5516E0); +#5935=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,5.E-1)); +#5936=LINE('',#5935,#5934); +#5937=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5938=VECTOR('',#5937,7.51601E-1); +#5939=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,5.E-1)); +#5940=LINE('',#5939,#5938); +#5941=DIRECTION('',(0.E0,1.E0,0.E0)); +#5942=VECTOR('',#5941,1.5516E0); +#5943=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,5.E-1)); +#5944=LINE('',#5943,#5942); +#5945=DIRECTION('',(1.E0,0.E0,0.E0)); +#5946=VECTOR('',#5945,7.51601E-1); +#5947=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,0.E0)); +#5948=LINE('',#5947,#5946); +#5949=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5950=VECTOR('',#5949,5.E-1); +#5951=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,5.E-1)); +#5952=LINE('',#5951,#5950); +#5953=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5954=VECTOR('',#5953,1.5516E0); +#5955=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,0.E0)); +#5956=LINE('',#5955,#5954); +#5957=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5958=VECTOR('',#5957,5.E-1); +#5959=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,5.E-1)); +#5960=LINE('',#5959,#5958); +#5961=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5962=VECTOR('',#5961,7.51601E-1); +#5963=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,0.E0)); +#5964=LINE('',#5963,#5962); +#5965=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5966=VECTOR('',#5965,5.E-1); +#5967=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,5.E-1)); +#5968=LINE('',#5967,#5966); +#5969=DIRECTION('',(0.E0,1.E0,0.E0)); +#5970=VECTOR('',#5969,1.5516E0); +#5971=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,0.E0)); +#5972=LINE('',#5971,#5970); +#5973=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5974=VECTOR('',#5973,5.E-1); +#5975=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,5.E-1)); +#5976=LINE('',#5975,#5974); +#5977=DIRECTION('',(1.E0,0.E0,0.E0)); +#5978=VECTOR('',#5977,7.51601E-1); +#5979=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,5.E-1)); +#5980=LINE('',#5979,#5978); +#5981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5982=VECTOR('',#5981,1.5516E0); +#5983=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,5.E-1)); +#5984=LINE('',#5983,#5982); +#5985=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5986=VECTOR('',#5985,7.51601E-1); +#5987=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,5.E-1)); +#5988=LINE('',#5987,#5986); +#5989=DIRECTION('',(0.E0,1.E0,0.E0)); +#5990=VECTOR('',#5989,1.5516E0); +#5991=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,5.E-1)); +#5992=LINE('',#5991,#5990); +#5993=DIRECTION('',(1.E0,0.E0,0.E0)); +#5994=VECTOR('',#5993,7.51601E-1); +#5995=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,0.E0)); +#5996=LINE('',#5995,#5994); +#5997=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5998=VECTOR('',#5997,5.E-1); +#5999=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,5.E-1)); +#6000=LINE('',#5999,#5998); +#6001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6002=VECTOR('',#6001,1.5516E0); +#6003=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,0.E0)); +#6004=LINE('',#6003,#6002); +#6005=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6006=VECTOR('',#6005,5.E-1); +#6007=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,5.E-1)); +#6008=LINE('',#6007,#6006); +#6009=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6010=VECTOR('',#6009,7.51601E-1); +#6011=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,0.E0)); +#6012=LINE('',#6011,#6010); +#6013=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6014=VECTOR('',#6013,5.E-1); +#6015=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,5.E-1)); +#6016=LINE('',#6015,#6014); +#6017=DIRECTION('',(0.E0,1.E0,0.E0)); +#6018=VECTOR('',#6017,1.5516E0); +#6019=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,0.E0)); +#6020=LINE('',#6019,#6018); +#6021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6022=VECTOR('',#6021,5.E-1); +#6023=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,5.E-1)); +#6024=LINE('',#6023,#6022); +#6025=DIRECTION('',(1.E0,0.E0,0.E0)); +#6026=VECTOR('',#6025,7.51601E-1); +#6027=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,5.E-1)); +#6028=LINE('',#6027,#6026); +#6029=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6030=VECTOR('',#6029,1.5516E0); +#6031=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,5.E-1)); +#6032=LINE('',#6031,#6030); +#6033=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6034=VECTOR('',#6033,7.51601E-1); +#6035=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,5.E-1)); +#6036=LINE('',#6035,#6034); +#6037=DIRECTION('',(0.E0,1.E0,0.E0)); +#6038=VECTOR('',#6037,1.5516E0); +#6039=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,5.E-1)); +#6040=LINE('',#6039,#6038); +#6041=DIRECTION('',(1.E0,0.E0,0.E0)); +#6042=VECTOR('',#6041,1.5516E0); +#6043=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,0.E0)); +#6044=LINE('',#6043,#6042); +#6045=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6046=VECTOR('',#6045,5.E-1); +#6047=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,5.E-1)); +#6048=LINE('',#6047,#6046); +#6049=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6050=VECTOR('',#6049,7.51601E-1); +#6051=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,0.E0)); +#6052=LINE('',#6051,#6050); +#6053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6054=VECTOR('',#6053,5.E-1); +#6055=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,5.E-1)); +#6056=LINE('',#6055,#6054); +#6057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6058=VECTOR('',#6057,1.5516E0); +#6059=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,0.E0)); +#6060=LINE('',#6059,#6058); +#6061=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6062=VECTOR('',#6061,5.E-1); +#6063=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,5.E-1)); +#6064=LINE('',#6063,#6062); +#6065=DIRECTION('',(0.E0,1.E0,0.E0)); +#6066=VECTOR('',#6065,7.51601E-1); +#6067=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,0.E0)); +#6068=LINE('',#6067,#6066); +#6069=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6070=VECTOR('',#6069,5.E-1); +#6071=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,5.E-1)); +#6072=LINE('',#6071,#6070); +#6073=DIRECTION('',(1.E0,0.E0,0.E0)); +#6074=VECTOR('',#6073,1.5516E0); +#6075=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,5.E-1)); +#6076=LINE('',#6075,#6074); +#6077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6078=VECTOR('',#6077,7.51601E-1); +#6079=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,5.E-1)); +#6080=LINE('',#6079,#6078); +#6081=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6082=VECTOR('',#6081,1.5516E0); +#6083=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,5.E-1)); +#6084=LINE('',#6083,#6082); +#6085=DIRECTION('',(0.E0,1.E0,0.E0)); +#6086=VECTOR('',#6085,7.51601E-1); +#6087=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,5.E-1)); +#6088=LINE('',#6087,#6086); +#6089=DIRECTION('',(1.E0,0.E0,0.E0)); +#6090=VECTOR('',#6089,7.516009999996E-1); +#6091=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,0.E0)); +#6092=LINE('',#6091,#6090); +#6093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6094=VECTOR('',#6093,5.E-1); +#6095=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,5.E-1)); +#6096=LINE('',#6095,#6094); +#6097=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6098=VECTOR('',#6097,1.5516E0); +#6099=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,0.E0)); +#6100=LINE('',#6099,#6098); +#6101=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6102=VECTOR('',#6101,5.E-1); +#6103=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,5.E-1)); +#6104=LINE('',#6103,#6102); +#6105=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6106=VECTOR('',#6105,7.516009999996E-1); +#6107=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,0.E0)); +#6108=LINE('',#6107,#6106); +#6109=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6110=VECTOR('',#6109,5.E-1); +#6111=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,5.E-1)); +#6112=LINE('',#6111,#6110); +#6113=DIRECTION('',(0.E0,1.E0,0.E0)); +#6114=VECTOR('',#6113,1.5516E0); +#6115=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,0.E0)); +#6116=LINE('',#6115,#6114); +#6117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6118=VECTOR('',#6117,5.E-1); +#6119=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,5.E-1)); +#6120=LINE('',#6119,#6118); +#6121=DIRECTION('',(1.E0,0.E0,0.E0)); +#6122=VECTOR('',#6121,7.516009999996E-1); +#6123=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,5.E-1)); +#6124=LINE('',#6123,#6122); +#6125=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6126=VECTOR('',#6125,1.5516E0); +#6127=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,5.E-1)); +#6128=LINE('',#6127,#6126); +#6129=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6130=VECTOR('',#6129,7.516009999996E-1); +#6131=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,5.E-1)); +#6132=LINE('',#6131,#6130); +#6133=DIRECTION('',(0.E0,1.E0,0.E0)); +#6134=VECTOR('',#6133,1.5516E0); +#6135=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,5.E-1)); +#6136=LINE('',#6135,#6134); +#6137=DIRECTION('',(1.E0,0.E0,0.E0)); +#6138=VECTOR('',#6137,1.5516E0); +#6139=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,0.E0)); +#6140=LINE('',#6139,#6138); +#6141=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6142=VECTOR('',#6141,5.E-1); +#6143=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,5.E-1)); +#6144=LINE('',#6143,#6142); +#6145=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6146=VECTOR('',#6145,7.45203E-1); +#6147=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,0.E0)); +#6148=LINE('',#6147,#6146); +#6149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6150=VECTOR('',#6149,5.E-1); +#6151=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,5.E-1)); +#6152=LINE('',#6151,#6150); +#6153=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6154=VECTOR('',#6153,1.5516E0); +#6155=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,0.E0)); +#6156=LINE('',#6155,#6154); +#6157=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6158=VECTOR('',#6157,5.E-1); +#6159=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,5.E-1)); +#6160=LINE('',#6159,#6158); +#6161=DIRECTION('',(0.E0,1.E0,0.E0)); +#6162=VECTOR('',#6161,7.45203E-1); +#6163=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,0.E0)); +#6164=LINE('',#6163,#6162); +#6165=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6166=VECTOR('',#6165,5.E-1); +#6167=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,5.E-1)); +#6168=LINE('',#6167,#6166); +#6169=DIRECTION('',(1.E0,0.E0,0.E0)); +#6170=VECTOR('',#6169,1.5516E0); +#6171=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,5.E-1)); +#6172=LINE('',#6171,#6170); +#6173=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6174=VECTOR('',#6173,7.45203E-1); +#6175=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,5.E-1)); +#6176=LINE('',#6175,#6174); +#6177=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6178=VECTOR('',#6177,1.5516E0); +#6179=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,5.E-1)); +#6180=LINE('',#6179,#6178); +#6181=DIRECTION('',(0.E0,1.E0,0.E0)); +#6182=VECTOR('',#6181,7.45203E-1); +#6183=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,5.E-1)); +#6184=LINE('',#6183,#6182); +#6185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6186=VECTOR('',#6185,1.183198E0); +#6187=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,0.E0)); +#6188=LINE('',#6187,#6186); +#6189=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6190=VECTOR('',#6189,8.E-1); +#6191=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,8.E-1)); +#6192=LINE('',#6191,#6190); +#6193=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6194=VECTOR('',#6193,2.673202E0); +#6195=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,0.E0)); +#6196=LINE('',#6195,#6194); +#6197=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6198=VECTOR('',#6197,8.E-1); +#6199=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,8.E-1)); +#6200=LINE('',#6199,#6198); +#6201=DIRECTION('',(0.E0,1.E0,0.E0)); +#6202=VECTOR('',#6201,1.183198E0); +#6203=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,0.E0)); +#6204=LINE('',#6203,#6202); +#6205=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6206=VECTOR('',#6205,8.E-1); +#6207=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,8.E-1)); +#6208=LINE('',#6207,#6206); +#6209=DIRECTION('',(1.E0,0.E0,0.E0)); +#6210=VECTOR('',#6209,2.673202E0); +#6211=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,0.E0)); +#6212=LINE('',#6211,#6210); +#6213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6214=VECTOR('',#6213,8.E-1); +#6215=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,8.E-1)); +#6216=LINE('',#6215,#6214); +#6217=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6218=VECTOR('',#6217,1.183198E0); +#6219=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,8.E-1)); +#6220=LINE('',#6219,#6218); +#6221=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6222=VECTOR('',#6221,2.673202E0); +#6223=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,8.E-1)); +#6224=LINE('',#6223,#6222); +#6225=DIRECTION('',(0.E0,1.E0,0.E0)); +#6226=VECTOR('',#6225,1.183198E0); +#6227=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,8.E-1)); +#6228=LINE('',#6227,#6226); +#6229=DIRECTION('',(1.E0,0.E0,0.E0)); +#6230=VECTOR('',#6229,2.673202E0); +#6231=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,8.E-1)); +#6232=LINE('',#6231,#6230); +#6233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6234=VECTOR('',#6233,1.183198E0); +#6235=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,0.E0)); +#6236=LINE('',#6235,#6234); +#6237=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6238=VECTOR('',#6237,8.E-1); +#6239=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,8.E-1)); +#6240=LINE('',#6239,#6238); +#6241=DIRECTION('',(0.E0,1.E0,0.E0)); +#6242=VECTOR('',#6241,2.673203E0); +#6243=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,0.E0)); +#6244=LINE('',#6243,#6242); +#6245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6246=VECTOR('',#6245,8.E-1); +#6247=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,8.E-1)); +#6248=LINE('',#6247,#6246); +#6249=DIRECTION('',(1.E0,0.E0,0.E0)); +#6250=VECTOR('',#6249,1.183198E0); +#6251=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,0.E0)); +#6252=LINE('',#6251,#6250); +#6253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6254=VECTOR('',#6253,8.E-1); +#6255=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,8.E-1)); +#6256=LINE('',#6255,#6254); +#6257=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6258=VECTOR('',#6257,2.673203E0); +#6259=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,0.E0)); +#6260=LINE('',#6259,#6258); +#6261=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6262=VECTOR('',#6261,8.E-1); +#6263=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,8.E-1)); +#6264=LINE('',#6263,#6262); +#6265=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6266=VECTOR('',#6265,1.183198E0); +#6267=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,8.E-1)); +#6268=LINE('',#6267,#6266); +#6269=DIRECTION('',(0.E0,1.E0,0.E0)); +#6270=VECTOR('',#6269,2.673203E0); +#6271=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,8.E-1)); +#6272=LINE('',#6271,#6270); +#6273=DIRECTION('',(1.E0,0.E0,0.E0)); +#6274=VECTOR('',#6273,1.183198E0); +#6275=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,8.E-1)); +#6276=LINE('',#6275,#6274); +#6277=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6278=VECTOR('',#6277,2.673203E0); +#6279=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,8.E-1)); +#6280=LINE('',#6279,#6278); +#6281=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6282=VECTOR('',#6281,2.673203E0); +#6283=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,0.E0)); +#6284=LINE('',#6283,#6282); +#6285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6286=VECTOR('',#6285,8.E-1); +#6287=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,8.E-1)); +#6288=LINE('',#6287,#6286); +#6289=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6290=VECTOR('',#6289,1.183198E0); +#6291=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,0.E0)); +#6292=LINE('',#6291,#6290); +#6293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6294=VECTOR('',#6293,8.E-1); +#6295=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,8.E-1)); +#6296=LINE('',#6295,#6294); +#6297=DIRECTION('',(0.E0,1.E0,0.E0)); +#6298=VECTOR('',#6297,2.673203E0); +#6299=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,0.E0)); +#6300=LINE('',#6299,#6298); +#6301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6302=VECTOR('',#6301,8.E-1); +#6303=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,8.E-1)); +#6304=LINE('',#6303,#6302); +#6305=DIRECTION('',(1.E0,0.E0,0.E0)); +#6306=VECTOR('',#6305,1.183198E0); +#6307=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,0.E0)); +#6308=LINE('',#6307,#6306); +#6309=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6310=VECTOR('',#6309,8.E-1); +#6311=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,8.E-1)); +#6312=LINE('',#6311,#6310); +#6313=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6314=VECTOR('',#6313,2.673203E0); +#6315=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,8.E-1)); +#6316=LINE('',#6315,#6314); +#6317=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6318=VECTOR('',#6317,1.183198E0); +#6319=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,8.E-1)); +#6320=LINE('',#6319,#6318); +#6321=DIRECTION('',(0.E0,1.E0,0.E0)); +#6322=VECTOR('',#6321,2.673203E0); +#6323=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,8.E-1)); +#6324=LINE('',#6323,#6322); +#6325=DIRECTION('',(1.E0,0.E0,0.E0)); +#6326=VECTOR('',#6325,1.183198E0); +#6327=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,8.E-1)); +#6328=LINE('',#6327,#6326); +#6329=DIRECTION('',(1.E0,0.E0,0.E0)); +#6330=VECTOR('',#6329,1.183198E0); +#6331=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,0.E0)); +#6332=LINE('',#6331,#6330); +#6333=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6334=VECTOR('',#6333,8.E-1); +#6335=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,8.E-1)); +#6336=LINE('',#6335,#6334); +#6337=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6338=VECTOR('',#6337,2.673203E0); +#6339=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,0.E0)); +#6340=LINE('',#6339,#6338); +#6341=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6342=VECTOR('',#6341,8.E-1); +#6343=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,8.E-1)); +#6344=LINE('',#6343,#6342); +#6345=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6346=VECTOR('',#6345,1.183198E0); +#6347=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,0.E0)); +#6348=LINE('',#6347,#6346); +#6349=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6350=VECTOR('',#6349,8.E-1); +#6351=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,8.E-1)); +#6352=LINE('',#6351,#6350); +#6353=DIRECTION('',(0.E0,1.E0,0.E0)); +#6354=VECTOR('',#6353,2.673203E0); +#6355=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,0.E0)); +#6356=LINE('',#6355,#6354); +#6357=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6358=VECTOR('',#6357,8.E-1); +#6359=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,8.E-1)); +#6360=LINE('',#6359,#6358); +#6361=DIRECTION('',(1.E0,0.E0,0.E0)); +#6362=VECTOR('',#6361,1.183198E0); +#6363=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,8.E-1)); +#6364=LINE('',#6363,#6362); +#6365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6366=VECTOR('',#6365,2.673203E0); +#6367=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,8.E-1)); +#6368=LINE('',#6367,#6366); +#6369=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6370=VECTOR('',#6369,1.183198E0); +#6371=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,8.E-1)); +#6372=LINE('',#6371,#6370); +#6373=DIRECTION('',(0.E0,1.E0,0.E0)); +#6374=VECTOR('',#6373,2.673203E0); +#6375=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,8.E-1)); +#6376=LINE('',#6375,#6374); +#6377=DIRECTION('',(1.E0,0.E0,0.E0)); +#6378=VECTOR('',#6377,2.673203E0); +#6379=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,0.E0)); +#6380=LINE('',#6379,#6378); +#6381=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6382=VECTOR('',#6381,8.E-1); +#6383=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,8.E-1)); +#6384=LINE('',#6383,#6382); +#6385=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6386=VECTOR('',#6385,1.183198E0); +#6387=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,0.E0)); +#6388=LINE('',#6387,#6386); +#6389=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6390=VECTOR('',#6389,8.E-1); +#6391=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,8.E-1)); +#6392=LINE('',#6391,#6390); +#6393=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6394=VECTOR('',#6393,2.673203E0); +#6395=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,0.E0)); +#6396=LINE('',#6395,#6394); +#6397=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6398=VECTOR('',#6397,8.E-1); +#6399=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,8.E-1)); +#6400=LINE('',#6399,#6398); +#6401=DIRECTION('',(0.E0,1.E0,0.E0)); +#6402=VECTOR('',#6401,1.183198E0); +#6403=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,0.E0)); +#6404=LINE('',#6403,#6402); +#6405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6406=VECTOR('',#6405,8.E-1); +#6407=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,8.E-1)); +#6408=LINE('',#6407,#6406); +#6409=DIRECTION('',(1.E0,0.E0,0.E0)); +#6410=VECTOR('',#6409,2.673203E0); +#6411=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,8.E-1)); +#6412=LINE('',#6411,#6410); +#6413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6414=VECTOR('',#6413,1.183198E0); +#6415=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,8.E-1)); +#6416=LINE('',#6415,#6414); +#6417=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6418=VECTOR('',#6417,2.673203E0); +#6419=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,8.E-1)); +#6420=LINE('',#6419,#6418); +#6421=DIRECTION('',(0.E0,1.E0,0.E0)); +#6422=VECTOR('',#6421,1.183198E0); +#6423=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,8.E-1)); +#6424=LINE('',#6423,#6422); +#6425=DIRECTION('',(1.E0,0.E0,0.E0)); +#6426=VECTOR('',#6425,2.673203E0); +#6427=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,0.E0)); +#6428=LINE('',#6427,#6426); +#6429=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6430=VECTOR('',#6429,8.E-1); +#6431=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,8.E-1)); +#6432=LINE('',#6431,#6430); +#6433=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6434=VECTOR('',#6433,1.183198E0); +#6435=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,0.E0)); +#6436=LINE('',#6435,#6434); +#6437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6438=VECTOR('',#6437,8.E-1); +#6439=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,8.E-1)); +#6440=LINE('',#6439,#6438); +#6441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6442=VECTOR('',#6441,2.673203E0); +#6443=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,0.E0)); +#6444=LINE('',#6443,#6442); +#6445=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6446=VECTOR('',#6445,8.E-1); +#6447=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,8.E-1)); +#6448=LINE('',#6447,#6446); +#6449=DIRECTION('',(0.E0,1.E0,0.E0)); +#6450=VECTOR('',#6449,1.183198E0); +#6451=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,0.E0)); +#6452=LINE('',#6451,#6450); +#6453=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6454=VECTOR('',#6453,8.E-1); +#6455=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,8.E-1)); +#6456=LINE('',#6455,#6454); +#6457=DIRECTION('',(1.E0,0.E0,0.E0)); +#6458=VECTOR('',#6457,2.673203E0); +#6459=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,8.E-1)); +#6460=LINE('',#6459,#6458); +#6461=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6462=VECTOR('',#6461,1.183198E0); +#6463=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,8.E-1)); +#6464=LINE('',#6463,#6462); +#6465=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6466=VECTOR('',#6465,2.673203E0); +#6467=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,8.E-1)); +#6468=LINE('',#6467,#6466); +#6469=DIRECTION('',(0.E0,1.E0,0.E0)); +#6470=VECTOR('',#6469,1.183198E0); +#6471=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,8.E-1)); +#6472=LINE('',#6471,#6470); +#6473=DIRECTION('',(0.E0,1.E0,0.E0)); +#6474=VECTOR('',#6473,1.183198E0); +#6475=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,0.E0)); +#6476=LINE('',#6475,#6474); +#6477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6478=VECTOR('',#6477,8.E-1); +#6479=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,8.E-1)); +#6480=LINE('',#6479,#6478); +#6481=DIRECTION('',(1.E0,0.E0,0.E0)); +#6482=VECTOR('',#6481,2.673203E0); +#6483=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,0.E0)); +#6484=LINE('',#6483,#6482); +#6485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6486=VECTOR('',#6485,8.E-1); +#6487=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,8.E-1)); +#6488=LINE('',#6487,#6486); +#6489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6490=VECTOR('',#6489,1.183198E0); +#6491=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,0.E0)); +#6492=LINE('',#6491,#6490); +#6493=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6494=VECTOR('',#6493,8.E-1); +#6495=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,8.E-1)); +#6496=LINE('',#6495,#6494); +#6497=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6498=VECTOR('',#6497,2.673203E0); +#6499=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,0.E0)); +#6500=LINE('',#6499,#6498); +#6501=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6502=VECTOR('',#6501,8.E-1); +#6503=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,8.E-1)); +#6504=LINE('',#6503,#6502); +#6505=DIRECTION('',(0.E0,1.E0,0.E0)); +#6506=VECTOR('',#6505,1.183198E0); +#6507=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,8.E-1)); +#6508=LINE('',#6507,#6506); +#6509=DIRECTION('',(1.E0,0.E0,0.E0)); +#6510=VECTOR('',#6509,2.673203E0); +#6511=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,8.E-1)); +#6512=LINE('',#6511,#6510); +#6513=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6514=VECTOR('',#6513,1.183198E0); +#6515=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,8.E-1)); +#6516=LINE('',#6515,#6514); +#6517=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6518=VECTOR('',#6517,2.673203E0); +#6519=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,8.E-1)); +#6520=LINE('',#6519,#6518); +#6521=DIRECTION('',(1.E0,0.E0,0.E0)); +#6522=VECTOR('',#6521,1.183198E0); +#6523=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,0.E0)); +#6524=LINE('',#6523,#6522); +#6525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6526=VECTOR('',#6525,8.E-1); +#6527=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,8.E-1)); +#6528=LINE('',#6527,#6526); +#6529=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6530=VECTOR('',#6529,2.673203E0); +#6531=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,0.E0)); +#6532=LINE('',#6531,#6530); +#6533=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6534=VECTOR('',#6533,8.E-1); +#6535=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,8.E-1)); +#6536=LINE('',#6535,#6534); +#6537=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6538=VECTOR('',#6537,1.183198E0); +#6539=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,0.E0)); +#6540=LINE('',#6539,#6538); +#6541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6542=VECTOR('',#6541,8.E-1); +#6543=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,8.E-1)); +#6544=LINE('',#6543,#6542); +#6545=DIRECTION('',(0.E0,1.E0,0.E0)); +#6546=VECTOR('',#6545,2.673203E0); +#6547=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,0.E0)); +#6548=LINE('',#6547,#6546); +#6549=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6550=VECTOR('',#6549,8.E-1); +#6551=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,8.E-1)); +#6552=LINE('',#6551,#6550); +#6553=DIRECTION('',(1.E0,0.E0,0.E0)); +#6554=VECTOR('',#6553,1.183198E0); +#6555=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,8.E-1)); +#6556=LINE('',#6555,#6554); +#6557=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6558=VECTOR('',#6557,2.673203E0); +#6559=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,8.E-1)); +#6560=LINE('',#6559,#6558); +#6561=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6562=VECTOR('',#6561,1.183198E0); +#6563=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,8.E-1)); +#6564=LINE('',#6563,#6562); +#6565=DIRECTION('',(0.E0,1.E0,0.E0)); +#6566=VECTOR('',#6565,2.673203E0); +#6567=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,8.E-1)); +#6568=LINE('',#6567,#6566); +#6569=DIRECTION('',(1.E0,0.E0,0.E0)); +#6570=VECTOR('',#6569,1.6732E0); +#6571=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,0.E0)); +#6572=LINE('',#6571,#6570); +#6573=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6574=VECTOR('',#6573,1.2E0); +#6575=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,1.2E0)); +#6576=LINE('',#6575,#6574); +#6577=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6578=VECTOR('',#6577,3.143199E0); +#6579=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,0.E0)); +#6580=LINE('',#6579,#6578); +#6581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6582=VECTOR('',#6581,1.2E0); +#6583=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,1.2E0)); +#6584=LINE('',#6583,#6582); +#6585=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6586=VECTOR('',#6585,1.6732E0); +#6587=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,0.E0)); +#6588=LINE('',#6587,#6586); +#6589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6590=VECTOR('',#6589,1.2E0); +#6591=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,1.2E0)); +#6592=LINE('',#6591,#6590); +#6593=DIRECTION('',(0.E0,1.E0,0.E0)); +#6594=VECTOR('',#6593,3.143199E0); +#6595=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,0.E0)); +#6596=LINE('',#6595,#6594); +#6597=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6598=VECTOR('',#6597,1.2E0); +#6599=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,1.2E0)); +#6600=LINE('',#6599,#6598); +#6601=DIRECTION('',(1.E0,0.E0,0.E0)); +#6602=VECTOR('',#6601,1.6732E0); +#6603=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,1.2E0)); +#6604=LINE('',#6603,#6602); +#6605=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6606=VECTOR('',#6605,3.143199E0); +#6607=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,1.2E0)); +#6608=LINE('',#6607,#6606); +#6609=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6610=VECTOR('',#6609,1.6732E0); +#6611=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,1.2E0)); +#6612=LINE('',#6611,#6610); +#6613=DIRECTION('',(0.E0,1.E0,0.E0)); +#6614=VECTOR('',#6613,3.143199E0); +#6615=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,1.2E0)); +#6616=LINE('',#6615,#6614); +#6617=DIRECTION('',(1.E0,0.E0,0.E0)); +#6618=VECTOR('',#6617,1.6732E0); +#6619=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,0.E0)); +#6620=LINE('',#6619,#6618); +#6621=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6622=VECTOR('',#6621,1.2E0); +#6623=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,1.2E0)); +#6624=LINE('',#6623,#6622); +#6625=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6626=VECTOR('',#6625,3.143199E0); +#6627=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,0.E0)); +#6628=LINE('',#6627,#6626); +#6629=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6630=VECTOR('',#6629,1.2E0); +#6631=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,1.2E0)); +#6632=LINE('',#6631,#6630); +#6633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6634=VECTOR('',#6633,1.6732E0); +#6635=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,0.E0)); +#6636=LINE('',#6635,#6634); +#6637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6638=VECTOR('',#6637,1.2E0); +#6639=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,1.2E0)); +#6640=LINE('',#6639,#6638); +#6641=DIRECTION('',(0.E0,1.E0,0.E0)); +#6642=VECTOR('',#6641,3.143199E0); +#6643=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,0.E0)); +#6644=LINE('',#6643,#6642); +#6645=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6646=VECTOR('',#6645,1.2E0); +#6647=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,1.2E0)); +#6648=LINE('',#6647,#6646); +#6649=DIRECTION('',(1.E0,0.E0,0.E0)); +#6650=VECTOR('',#6649,1.6732E0); +#6651=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,1.2E0)); +#6652=LINE('',#6651,#6650); +#6653=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6654=VECTOR('',#6653,3.143199E0); +#6655=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,1.2E0)); +#6656=LINE('',#6655,#6654); +#6657=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6658=VECTOR('',#6657,1.6732E0); +#6659=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,1.2E0)); +#6660=LINE('',#6659,#6658); +#6661=DIRECTION('',(0.E0,1.E0,0.E0)); +#6662=VECTOR('',#6661,3.143199E0); +#6663=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,1.2E0)); +#6664=LINE('',#6663,#6662); +#6665=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6666=VECTOR('',#6665,1.6732E0); +#6667=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,0.E0)); +#6668=LINE('',#6667,#6666); +#6669=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6670=VECTOR('',#6669,1.2E0); +#6671=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,1.2E0)); +#6672=LINE('',#6671,#6670); +#6673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6674=VECTOR('',#6673,3.1432E0); +#6675=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,0.E0)); +#6676=LINE('',#6675,#6674); +#6677=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6678=VECTOR('',#6677,1.2E0); +#6679=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,1.2E0)); +#6680=LINE('',#6679,#6678); +#6681=DIRECTION('',(0.E0,1.E0,0.E0)); +#6682=VECTOR('',#6681,1.6732E0); +#6683=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,0.E0)); +#6684=LINE('',#6683,#6682); +#6685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6686=VECTOR('',#6685,1.2E0); +#6687=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,1.2E0)); +#6688=LINE('',#6687,#6686); +#6689=DIRECTION('',(1.E0,0.E0,0.E0)); +#6690=VECTOR('',#6689,3.1432E0); +#6691=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,0.E0)); +#6692=LINE('',#6691,#6690); +#6693=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6694=VECTOR('',#6693,1.2E0); +#6695=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,1.2E0)); +#6696=LINE('',#6695,#6694); +#6697=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6698=VECTOR('',#6697,1.6732E0); +#6699=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,1.2E0)); +#6700=LINE('',#6699,#6698); +#6701=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6702=VECTOR('',#6701,3.1432E0); +#6703=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,1.2E0)); +#6704=LINE('',#6703,#6702); +#6705=DIRECTION('',(0.E0,1.E0,0.E0)); +#6706=VECTOR('',#6705,1.6732E0); +#6707=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,1.2E0)); +#6708=LINE('',#6707,#6706); +#6709=DIRECTION('',(1.E0,0.E0,0.E0)); +#6710=VECTOR('',#6709,3.1432E0); +#6711=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,1.2E0)); +#6712=LINE('',#6711,#6710); +#6713=DIRECTION('',(1.E0,0.E0,0.E0)); +#6714=VECTOR('',#6713,1.673199E0); +#6715=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,0.E0)); +#6716=LINE('',#6715,#6714); +#6717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6718=VECTOR('',#6717,1.2E0); +#6719=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,1.2E0)); +#6720=LINE('',#6719,#6718); +#6721=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6722=VECTOR('',#6721,3.143199E0); +#6723=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,0.E0)); +#6724=LINE('',#6723,#6722); +#6725=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6726=VECTOR('',#6725,1.2E0); +#6727=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,1.2E0)); +#6728=LINE('',#6727,#6726); +#6729=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6730=VECTOR('',#6729,1.673199E0); +#6731=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,0.E0)); +#6732=LINE('',#6731,#6730); +#6733=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6734=VECTOR('',#6733,1.2E0); +#6735=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,1.2E0)); +#6736=LINE('',#6735,#6734); +#6737=DIRECTION('',(0.E0,1.E0,0.E0)); +#6738=VECTOR('',#6737,3.143199E0); +#6739=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,0.E0)); +#6740=LINE('',#6739,#6738); +#6741=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6742=VECTOR('',#6741,1.2E0); +#6743=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,1.2E0)); +#6744=LINE('',#6743,#6742); +#6745=DIRECTION('',(1.E0,0.E0,0.E0)); +#6746=VECTOR('',#6745,1.673199E0); +#6747=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,1.2E0)); +#6748=LINE('',#6747,#6746); +#6749=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6750=VECTOR('',#6749,3.143199E0); +#6751=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,1.2E0)); +#6752=LINE('',#6751,#6750); +#6753=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6754=VECTOR('',#6753,1.673199E0); +#6755=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,1.2E0)); +#6756=LINE('',#6755,#6754); +#6757=DIRECTION('',(0.E0,1.E0,0.E0)); +#6758=VECTOR('',#6757,3.143199E0); +#6759=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,1.2E0)); +#6760=LINE('',#6759,#6758); +#6761=DIRECTION('',(1.E0,0.E0,0.E0)); +#6762=VECTOR('',#6761,3.143199E0); +#6763=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,0.E0)); +#6764=LINE('',#6763,#6762); +#6765=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6766=VECTOR('',#6765,1.2E0); +#6767=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,1.2E0)); +#6768=LINE('',#6767,#6766); +#6769=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6770=VECTOR('',#6769,1.6732E0); +#6771=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,0.E0)); +#6772=LINE('',#6771,#6770); +#6773=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6774=VECTOR('',#6773,1.2E0); +#6775=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,1.2E0)); +#6776=LINE('',#6775,#6774); +#6777=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6778=VECTOR('',#6777,3.143199E0); +#6779=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,0.E0)); +#6780=LINE('',#6779,#6778); +#6781=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6782=VECTOR('',#6781,1.2E0); +#6783=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,1.2E0)); +#6784=LINE('',#6783,#6782); +#6785=DIRECTION('',(0.E0,1.E0,0.E0)); +#6786=VECTOR('',#6785,1.6732E0); +#6787=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,0.E0)); +#6788=LINE('',#6787,#6786); +#6789=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6790=VECTOR('',#6789,1.2E0); +#6791=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,1.2E0)); +#6792=LINE('',#6791,#6790); +#6793=DIRECTION('',(1.E0,0.E0,0.E0)); +#6794=VECTOR('',#6793,3.143199E0); +#6795=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,1.2E0)); +#6796=LINE('',#6795,#6794); +#6797=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6798=VECTOR('',#6797,1.6732E0); +#6799=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,1.2E0)); +#6800=LINE('',#6799,#6798); +#6801=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6802=VECTOR('',#6801,3.143199E0); +#6803=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,1.2E0)); +#6804=LINE('',#6803,#6802); +#6805=DIRECTION('',(0.E0,1.E0,0.E0)); +#6806=VECTOR('',#6805,1.6732E0); +#6807=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,1.2E0)); +#6808=LINE('',#6807,#6806); +#6809=DIRECTION('',(1.E0,0.E0,0.E0)); +#6810=VECTOR('',#6809,4.313199E0); +#6811=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,0.E0)); +#6812=LINE('',#6811,#6810); +#6813=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6814=VECTOR('',#6813,1.5E0); +#6815=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,1.5E0)); +#6816=LINE('',#6815,#6814); +#6817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6818=VECTOR('',#6817,2.003197E0); +#6819=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,0.E0)); +#6820=LINE('',#6819,#6818); +#6821=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6822=VECTOR('',#6821,1.5E0); +#6823=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,1.5E0)); +#6824=LINE('',#6823,#6822); +#6825=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6826=VECTOR('',#6825,4.313199E0); +#6827=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,0.E0)); +#6828=LINE('',#6827,#6826); +#6829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6830=VECTOR('',#6829,1.5E0); +#6831=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,1.5E0)); +#6832=LINE('',#6831,#6830); +#6833=DIRECTION('',(0.E0,1.E0,0.E0)); +#6834=VECTOR('',#6833,2.003197E0); +#6835=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,0.E0)); +#6836=LINE('',#6835,#6834); +#6837=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6838=VECTOR('',#6837,1.5E0); +#6839=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,1.5E0)); +#6840=LINE('',#6839,#6838); +#6841=DIRECTION('',(1.E0,0.E0,0.E0)); +#6842=VECTOR('',#6841,4.313199E0); +#6843=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,1.5E0)); +#6844=LINE('',#6843,#6842); +#6845=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6846=VECTOR('',#6845,2.003197E0); +#6847=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,1.5E0)); +#6848=LINE('',#6847,#6846); +#6849=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6850=VECTOR('',#6849,4.313199E0); +#6851=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,1.5E0)); +#6852=LINE('',#6851,#6850); +#6853=DIRECTION('',(0.E0,1.E0,0.E0)); +#6854=VECTOR('',#6853,2.003197E0); +#6855=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,1.5E0)); +#6856=LINE('',#6855,#6854); +#6857=DIRECTION('',(0.E0,1.E0,0.E0)); +#6858=VECTOR('',#6857,4.3132E0); +#6859=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,0.E0)); +#6860=LINE('',#6859,#6858); +#6861=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6862=VECTOR('',#6861,1.5E0); +#6863=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,1.5E0)); +#6864=LINE('',#6863,#6862); +#6865=DIRECTION('',(1.E0,0.E0,0.E0)); +#6866=VECTOR('',#6865,2.003196E0); +#6867=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,0.E0)); +#6868=LINE('',#6867,#6866); +#6869=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6870=VECTOR('',#6869,1.5E0); +#6871=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,1.5E0)); +#6872=LINE('',#6871,#6870); +#6873=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6874=VECTOR('',#6873,4.3132E0); +#6875=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,0.E0)); +#6876=LINE('',#6875,#6874); +#6877=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6878=VECTOR('',#6877,1.5E0); +#6879=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,1.5E0)); +#6880=LINE('',#6879,#6878); +#6881=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6882=VECTOR('',#6881,2.003196E0); +#6883=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,0.E0)); +#6884=LINE('',#6883,#6882); +#6885=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6886=VECTOR('',#6885,1.5E0); +#6887=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,1.5E0)); +#6888=LINE('',#6887,#6886); +#6889=DIRECTION('',(0.E0,1.E0,0.E0)); +#6890=VECTOR('',#6889,4.3132E0); +#6891=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,1.5E0)); +#6892=LINE('',#6891,#6890); +#6893=DIRECTION('',(1.E0,0.E0,0.E0)); +#6894=VECTOR('',#6893,2.003196E0); +#6895=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,1.5E0)); +#6896=LINE('',#6895,#6894); +#6897=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6898=VECTOR('',#6897,4.3132E0); +#6899=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,1.5E0)); +#6900=LINE('',#6899,#6898); +#6901=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6902=VECTOR('',#6901,2.003196E0); +#6903=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,1.5E0)); +#6904=LINE('',#6903,#6902); +#6905=DIRECTION('',(1.E0,0.E0,0.E0)); +#6906=VECTOR('',#6905,1.033196E0); +#6907=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,0.E0)); +#6908=LINE('',#6907,#6906); +#6909=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6910=VECTOR('',#6909,5.E-1); +#6911=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,5.E-1)); +#6912=LINE('',#6911,#6910); +#6913=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6914=VECTOR('',#6913,9.31598E-1); +#6915=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,0.E0)); +#6916=LINE('',#6915,#6914); +#6917=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6918=VECTOR('',#6917,5.E-1); +#6919=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,5.E-1)); +#6920=LINE('',#6919,#6918); +#6921=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6922=VECTOR('',#6921,5.E-1); +#6923=CARTESIAN_POINT('',(1.020862094434E1,1.9950809E1,5.E-1)); +#6924=LINE('',#6923,#6922); +#6925=DIRECTION('',(1.E0,0.E0,0.E0)); +#6926=VECTOR('',#6925,1.08399E-1); +#6927=CARTESIAN_POINT('',(1.020862094434E1,1.9950809E1,0.E0)); +#6928=LINE('',#6927,#6926); +#6929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6930=VECTOR('',#6929,8.E-1); +#6931=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,8.E-1)); +#6932=LINE('',#6931,#6930); +#6933=DIRECTION('',(1.E0,0.E0,0.E0)); +#6934=VECTOR('',#6933,1.084E-1); +#6935=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,0.E0)); +#6936=LINE('',#6935,#6934); +#6937=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6938=VECTOR('',#6937,1.033196E0); +#6939=CARTESIAN_POINT('',(1.020862094434E1,1.9950809E1,5.E-1)); +#6940=LINE('',#6939,#6938); +#6941=DIRECTION('',(0.E0,1.E0,0.E0)); +#6942=VECTOR('',#6941,9.31598E-1); +#6943=CARTESIAN_POINT('',(9.175424944340E0,1.9950809E1,5.E-1)); +#6944=LINE('',#6943,#6942); +#6945=DIRECTION('',(1.E0,0.E0,0.E0)); +#6946=VECTOR('',#6945,1.033196E0); +#6947=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,5.E-1)); +#6948=LINE('',#6947,#6946); +#6949=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6950=VECTOR('',#6949,9.31598E-1); +#6951=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,5.E-1)); +#6952=LINE('',#6951,#6950); +#6953=DIRECTION('',(0.E0,1.E0,0.E0)); +#6954=VECTOR('',#6953,9.31598E-1); +#6955=CARTESIAN_POINT('',(9.175424944340E0,1.9950809E1,0.E0)); +#6956=LINE('',#6955,#6954); +#6957=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6958=VECTOR('',#6957,5.E-1); +#6959=CARTESIAN_POINT('',(9.175424944340E0,1.9950809E1,5.E-1)); +#6960=LINE('',#6959,#6958); +#6961=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6962=VECTOR('',#6961,1.700002E0); +#6963=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,0.E0)); +#6964=LINE('',#6963,#6962); +#6965=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6966=VECTOR('',#6965,8.E-1); +#6967=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,8.E-1)); +#6968=LINE('',#6967,#6966); +#6969=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6970=VECTOR('',#6969,1.033196E0); +#6971=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,5.E-1)); +#6972=LINE('',#6971,#6970); +#6973=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6974=VECTOR('',#6973,5.E-1); +#6975=CARTESIAN_POINT('',(9.175424944340E0,1.8250807E1,5.E-1)); +#6976=LINE('',#6975,#6974); +#6977=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6978=VECTOR('',#6977,1.084E-1); +#6979=CARTESIAN_POINT('',(9.175424944340E0,1.8250807E1,0.E0)); +#6980=LINE('',#6979,#6978); +#6981=DIRECTION('',(0.E0,0.E0,-1.E0)); +#6982=VECTOR('',#6981,8.E-1); +#6983=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,8.E-1)); +#6984=LINE('',#6983,#6982); +#6985=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6986=VECTOR('',#6985,1.08399E-1); +#6987=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,0.E0)); +#6988=LINE('',#6987,#6986); +#6989=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6990=VECTOR('',#6989,9.31598E-1); +#6991=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,5.E-1)); +#6992=LINE('',#6991,#6990); +#6993=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6994=VECTOR('',#6993,1.033196E0); +#6995=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,5.E-1)); +#6996=LINE('',#6995,#6994); +#6997=DIRECTION('',(0.E0,1.E0,0.E0)); +#6998=VECTOR('',#6997,9.31598E-1); +#6999=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,5.E-1)); +#7000=LINE('',#6999,#6998); +#7001=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7002=VECTOR('',#7001,9.31598E-1); +#7003=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,0.E0)); +#7004=LINE('',#7003,#7002); +#7005=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7006=VECTOR('',#7005,5.E-1); +#7007=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,5.E-1)); +#7008=LINE('',#7007,#7006); +#7009=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7010=VECTOR('',#7009,1.033196E0); +#7011=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,0.E0)); +#7012=LINE('',#7011,#7010); +#7013=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7014=VECTOR('',#7013,5.E-1); +#7015=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,5.E-1)); +#7016=LINE('',#7015,#7014); +#7017=DIRECTION('',(0.E0,1.E0,0.E0)); +#7018=VECTOR('',#7017,9.31598E-1); +#7019=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,0.E0)); +#7020=LINE('',#7019,#7018); +#7021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7022=VECTOR('',#7021,5.E-1); +#7023=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,5.E-1)); +#7024=LINE('',#7023,#7022); +#7025=DIRECTION('',(0.E0,1.E0,0.E0)); +#7026=VECTOR('',#7025,1.700002E0); +#7027=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,0.E0)); +#7028=LINE('',#7027,#7026); +#7029=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7030=VECTOR('',#7029,8.E-1); +#7031=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,8.E-1)); +#7032=LINE('',#7031,#7030); +#7033=DIRECTION('',(1.E0,0.E0,0.E0)); +#7034=VECTOR('',#7033,1.249995E0); +#7035=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,8.E-1)); +#7036=LINE('',#7035,#7034); +#7037=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7038=VECTOR('',#7037,1.700002E0); +#7039=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,8.E-1)); +#7040=LINE('',#7039,#7038); +#7041=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7042=VECTOR('',#7041,1.249995E0); +#7043=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,8.E-1)); +#7044=LINE('',#7043,#7042); +#7045=DIRECTION('',(0.E0,1.E0,0.E0)); +#7046=VECTOR('',#7045,1.700002E0); +#7047=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,8.E-1)); +#7048=LINE('',#7047,#7046); +#7049=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7050=VECTOR('',#7049,9.31598E-1); +#7051=CARTESIAN_POINT('',(8.633825944340E0,1.8250807E1,0.E0)); +#7052=LINE('',#7051,#7050); +#7053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7054=VECTOR('',#7053,5.E-1); +#7055=CARTESIAN_POINT('',(8.633825944340E0,1.8250807E1,5.E-1)); +#7056=LINE('',#7055,#7054); +#7057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7058=VECTOR('',#7057,1.033206E0); +#7059=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,0.E0)); +#7060=LINE('',#7059,#7058); +#7061=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7062=VECTOR('',#7061,5.E-1); +#7063=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,5.E-1)); +#7064=LINE('',#7063,#7062); +#7065=DIRECTION('',(0.E0,1.E0,0.E0)); +#7066=VECTOR('',#7065,9.31598E-1); +#7067=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,0.E0)); +#7068=LINE('',#7067,#7066); +#7069=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7070=VECTOR('',#7069,5.E-1); +#7071=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,5.E-1)); +#7072=LINE('',#7071,#7070); +#7073=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7074=VECTOR('',#7073,5.E-1); +#7075=CARTESIAN_POINT('',(7.600619944340E0,1.8250807E1,5.E-1)); +#7076=LINE('',#7075,#7074); +#7077=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7078=VECTOR('',#7077,1.08395E-1); +#7079=CARTESIAN_POINT('',(7.600619944340E0,1.8250807E1,0.E0)); +#7080=LINE('',#7079,#7078); +#7081=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7082=VECTOR('',#7081,8.E-1); +#7083=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,8.E-1)); +#7084=LINE('',#7083,#7082); +#7085=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7086=VECTOR('',#7085,1.08394E-1); +#7087=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,0.E0)); +#7088=LINE('',#7087,#7086); +#7089=DIRECTION('',(1.E0,0.E0,0.E0)); +#7090=VECTOR('',#7089,1.033206E0); +#7091=CARTESIAN_POINT('',(7.600619944340E0,1.8250807E1,5.E-1)); +#7092=LINE('',#7091,#7090); +#7093=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7094=VECTOR('',#7093,9.31598E-1); +#7095=CARTESIAN_POINT('',(8.633825944340E0,1.8250807E1,5.E-1)); +#7096=LINE('',#7095,#7094); +#7097=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7098=VECTOR('',#7097,1.033206E0); +#7099=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,5.E-1)); +#7100=LINE('',#7099,#7098); +#7101=DIRECTION('',(0.E0,1.E0,0.E0)); +#7102=VECTOR('',#7101,9.31598E-1); +#7103=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,5.E-1)); +#7104=LINE('',#7103,#7102); +#7105=DIRECTION('',(0.E0,1.E0,0.E0)); +#7106=VECTOR('',#7105,1.700002E0); +#7107=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,0.E0)); +#7108=LINE('',#7107,#7106); +#7109=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7110=VECTOR('',#7109,8.E-1); +#7111=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,8.E-1)); +#7112=LINE('',#7111,#7110); +#7113=DIRECTION('',(1.E0,0.E0,0.E0)); +#7114=VECTOR('',#7113,1.033206E0); +#7115=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,5.E-1)); +#7116=LINE('',#7115,#7114); +#7117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7118=VECTOR('',#7117,5.E-1); +#7119=CARTESIAN_POINT('',(8.633825944340E0,1.9950809E1,5.E-1)); +#7120=LINE('',#7119,#7118); +#7121=DIRECTION('',(1.E0,0.E0,0.E0)); +#7122=VECTOR('',#7121,1.08394E-1); +#7123=CARTESIAN_POINT('',(8.633825944340E0,1.9950809E1,0.E0)); +#7124=LINE('',#7123,#7122); +#7125=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7126=VECTOR('',#7125,8.E-1); +#7127=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,8.E-1)); +#7128=LINE('',#7127,#7126); +#7129=DIRECTION('',(1.E0,0.E0,0.E0)); +#7130=VECTOR('',#7129,1.08395E-1); +#7131=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,0.E0)); +#7132=LINE('',#7131,#7130); +#7133=DIRECTION('',(0.E0,1.E0,0.E0)); +#7134=VECTOR('',#7133,9.31598E-1); +#7135=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,5.E-1)); +#7136=LINE('',#7135,#7134); +#7137=DIRECTION('',(1.E0,0.E0,0.E0)); +#7138=VECTOR('',#7137,1.033206E0); +#7139=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,5.E-1)); +#7140=LINE('',#7139,#7138); +#7141=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7142=VECTOR('',#7141,9.31598E-1); +#7143=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,5.E-1)); +#7144=LINE('',#7143,#7142); +#7145=DIRECTION('',(0.E0,1.E0,0.E0)); +#7146=VECTOR('',#7145,9.31598E-1); +#7147=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,0.E0)); +#7148=LINE('',#7147,#7146); +#7149=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7150=VECTOR('',#7149,5.E-1); +#7151=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,5.E-1)); +#7152=LINE('',#7151,#7150); +#7153=DIRECTION('',(1.E0,0.E0,0.E0)); +#7154=VECTOR('',#7153,1.033206E0); +#7155=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,0.E0)); +#7156=LINE('',#7155,#7154); +#7157=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7158=VECTOR('',#7157,5.E-1); +#7159=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,5.E-1)); +#7160=LINE('',#7159,#7158); +#7161=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7162=VECTOR('',#7161,9.31598E-1); +#7163=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,0.E0)); +#7164=LINE('',#7163,#7162); +#7165=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7166=VECTOR('',#7165,5.E-1); +#7167=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,5.E-1)); +#7168=LINE('',#7167,#7166); +#7169=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7170=VECTOR('',#7169,1.700002E0); +#7171=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,0.E0)); +#7172=LINE('',#7171,#7170); +#7173=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7174=VECTOR('',#7173,8.E-1); +#7175=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,8.E-1)); +#7176=LINE('',#7175,#7174); +#7177=DIRECTION('',(1.E0,0.E0,0.E0)); +#7178=VECTOR('',#7177,1.249995E0); +#7179=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,8.E-1)); +#7180=LINE('',#7179,#7178); +#7181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7182=VECTOR('',#7181,1.700002E0); +#7183=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,8.E-1)); +#7184=LINE('',#7183,#7182); +#7185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7186=VECTOR('',#7185,1.249995E0); +#7187=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,8.E-1)); +#7188=LINE('',#7187,#7186); +#7189=DIRECTION('',(0.E0,1.E0,0.E0)); +#7190=VECTOR('',#7189,1.700002E0); +#7191=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,8.E-1)); +#7192=LINE('',#7191,#7190); +#7193=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7194=VECTOR('',#7193,9.144E-1); +#7195=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,0.E0)); +#7196=LINE('',#7195,#7194); +#7197=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7198=VECTOR('',#7197,5.E-1); +#7199=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,5.E-1)); +#7200=LINE('',#7199,#7198); +#7201=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7202=VECTOR('',#7201,8.50001E-1); +#7203=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,0.E0)); +#7204=LINE('',#7203,#7202); +#7205=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7206=VECTOR('',#7205,5.E-1); +#7207=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,5.E-1)); +#7208=LINE('',#7207,#7206); +#7209=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7210=VECTOR('',#7209,8.50001E-1); +#7211=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,5.E-1)); +#7212=LINE('',#7211,#7210); +#7213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7214=VECTOR('',#7213,5.E-1); +#7215=CARTESIAN_POINT('',(3.780621944340E0,1.7522597E1,5.E-1)); +#7216=LINE('',#7215,#7214); +#7217=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7218=VECTOR('',#7217,1.016E-1); +#7219=CARTESIAN_POINT('',(3.780621944340E0,1.7522597E1,0.E0)); +#7220=LINE('',#7219,#7218); +#7221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7222=VECTOR('',#7221,1.2E0); +#7223=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,1.2E0)); +#7224=LINE('',#7223,#7222); +#7225=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7226=VECTOR('',#7225,1.016E-1); +#7227=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,0.E0)); +#7228=LINE('',#7227,#7226); +#7229=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7230=VECTOR('',#7229,9.144E-1); +#7231=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,5.E-1)); +#7232=LINE('',#7231,#7230); +#7233=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7234=VECTOR('',#7233,5.E-1); +#7235=CARTESIAN_POINT('',(3.780621944340E0,1.9390419E1,5.E-1)); +#7236=LINE('',#7235,#7234); +#7237=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7238=VECTOR('',#7237,1.017821E0); +#7239=CARTESIAN_POINT('',(3.780621944340E0,1.9390419E1,0.E0)); +#7240=LINE('',#7239,#7238); +#7241=DIRECTION('',(1.E0,0.E0,0.E0)); +#7242=VECTOR('',#7241,8.50001E-1); +#7243=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,5.E-1)); +#7244=LINE('',#7243,#7242); +#7245=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7246=VECTOR('',#7245,8.50001E-1); +#7247=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,5.E-1)); +#7248=LINE('',#7247,#7246); +#7249=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7250=VECTOR('',#7249,8.50001E-1); +#7251=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,5.E-1)); +#7252=LINE('',#7251,#7250); +#7253=DIRECTION('',(1.E0,0.E0,0.E0)); +#7254=VECTOR('',#7253,8.50001E-1); +#7255=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,0.E0)); +#7256=LINE('',#7255,#7254); +#7257=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7258=VECTOR('',#7257,5.E-1); +#7259=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,5.E-1)); +#7260=LINE('',#7259,#7258); +#7261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7262=VECTOR('',#7261,8.50001E-1); +#7263=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,0.E0)); +#7264=LINE('',#7263,#7262); +#7265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7266=VECTOR('',#7265,5.E-1); +#7267=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,5.E-1)); +#7268=LINE('',#7267,#7266); +#7269=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7270=VECTOR('',#7269,8.50001E-1); +#7271=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,0.E0)); +#7272=LINE('',#7271,#7270); +#7273=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7274=VECTOR('',#7273,5.E-1); +#7275=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,5.E-1)); +#7276=LINE('',#7275,#7274); +#7277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7278=VECTOR('',#7277,1.2E0); +#7279=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,0.E0)); +#7280=LINE('',#7279,#7278); +#7281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7282=VECTOR('',#7281,1.2E0); +#7283=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,1.2E0)); +#7284=LINE('',#7283,#7282); +#7285=DIRECTION('',(0.E0,1.E0,0.E0)); +#7286=VECTOR('',#7285,8.5E-1); +#7287=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,5.E-1)); +#7288=LINE('',#7287,#7286); +#7289=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7290=VECTOR('',#7289,5.E-1); +#7291=CARTESIAN_POINT('',(2.580621944340E0,1.9322608E1,5.E-1)); +#7292=LINE('',#7291,#7290); +#7293=DIRECTION('',(0.E0,1.E0,0.E0)); +#7294=VECTOR('',#7293,1.083811E0); +#7295=CARTESIAN_POINT('',(2.580621944340E0,1.9322608E1,0.E0)); +#7296=LINE('',#7295,#7294); +#7297=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7298=VECTOR('',#7297,1.2E0); +#7299=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,1.2E0)); +#7300=LINE('',#7299,#7298); +#7301=DIRECTION('',(0.E0,1.E0,0.E0)); +#7302=VECTOR('',#7301,1.051611E0); +#7303=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,0.E0)); +#7304=LINE('',#7303,#7302); +#7305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7306=VECTOR('',#7305,9.06998E-1); +#7307=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,5.E-1)); +#7308=LINE('',#7307,#7306); +#7309=DIRECTION('',(0.E0,1.E0,0.E0)); +#7310=VECTOR('',#7309,8.5E-1); +#7311=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,5.E-1)); +#7312=LINE('',#7311,#7310); +#7313=DIRECTION('',(1.E0,0.E0,0.E0)); +#7314=VECTOR('',#7313,9.06998E-1); +#7315=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,5.E-1)); +#7316=LINE('',#7315,#7314); +#7317=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7318=VECTOR('',#7317,9.06998E-1); +#7319=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,0.E0)); +#7320=LINE('',#7319,#7318); +#7321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7322=VECTOR('',#7321,5.E-1); +#7323=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,5.E-1)); +#7324=LINE('',#7323,#7322); +#7325=DIRECTION('',(0.E0,1.E0,0.E0)); +#7326=VECTOR('',#7325,8.5E-1); +#7327=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,0.E0)); +#7328=LINE('',#7327,#7326); +#7329=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7330=VECTOR('',#7329,5.E-1); +#7331=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,5.E-1)); +#7332=LINE('',#7331,#7330); +#7333=DIRECTION('',(1.E0,0.E0,0.E0)); +#7334=VECTOR('',#7333,9.06998E-1); +#7335=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,0.E0)); +#7336=LINE('',#7335,#7334); +#7337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7338=VECTOR('',#7337,5.E-1); +#7339=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,5.E-1)); +#7340=LINE('',#7339,#7338); +#7341=DIRECTION('',(1.E0,0.E0,0.E0)); +#7342=VECTOR('',#7341,1.2E0); +#7343=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,0.E0)); +#7344=LINE('',#7343,#7342); +#7345=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7346=VECTOR('',#7345,1.2E0); +#7347=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,1.2E0)); +#7348=LINE('',#7347,#7346); +#7349=DIRECTION('',(1.E0,0.E0,0.E0)); +#7350=VECTOR('',#7349,1.2E0); +#7351=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,1.2E0)); +#7352=LINE('',#7351,#7350); +#7353=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7354=VECTOR('',#7353,2.985422E0); +#7355=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,1.2E0)); +#7356=LINE('',#7355,#7354); +#7357=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7358=VECTOR('',#7357,1.2E0); +#7359=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,1.2E0)); +#7360=LINE('',#7359,#7358); +#7361=DIRECTION('',(0.E0,1.E0,0.E0)); +#7362=VECTOR('',#7361,2.985422E0); +#7363=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,1.2E0)); +#7364=LINE('',#7363,#7362); +#7365=DIRECTION('',(1.E0,0.E0,0.E0)); +#7366=VECTOR('',#7365,8.50001E-1); +#7367=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,0.E0)); +#7368=LINE('',#7367,#7366); +#7369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7370=VECTOR('',#7369,5.E-1); +#7371=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,5.E-1)); +#7372=LINE('',#7371,#7370); +#7373=DIRECTION('',(1.E0,0.E0,0.E0)); +#7374=VECTOR('',#7373,8.50001E-1); +#7375=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,5.E-1)); +#7376=LINE('',#7375,#7374); +#7377=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7378=VECTOR('',#7377,9.144E-1); +#7379=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,5.E-1)); +#7380=LINE('',#7379,#7378); +#7381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7382=VECTOR('',#7381,8.50001E-1); +#7383=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,5.E-1)); +#7384=LINE('',#7383,#7382); +#7385=DIRECTION('',(1.E0,0.E0,0.E0)); +#7386=VECTOR('',#7385,9.144E-1); +#7387=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,0.E0)); +#7388=LINE('',#7387,#7386); +#7389=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7390=VECTOR('',#7389,5.E-1); +#7391=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,5.E-1)); +#7392=LINE('',#7391,#7390); +#7393=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7394=VECTOR('',#7393,9.144E-1); +#7395=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,0.E0)); +#7396=LINE('',#7395,#7394); +#7397=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7398=VECTOR('',#7397,5.E-1); +#7399=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,5.E-1)); +#7400=LINE('',#7399,#7398); +#7401=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7402=VECTOR('',#7401,9.144E-1); +#7403=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,0.E0)); +#7404=LINE('',#7403,#7402); +#7405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7406=VECTOR('',#7405,5.E-1); +#7407=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,5.E-1)); +#7408=LINE('',#7407,#7406); +#7409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7410=VECTOR('',#7409,9.144E-1); +#7411=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,5.E-1)); +#7412=LINE('',#7411,#7410); +#7413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7414=VECTOR('',#7413,5.E-1); +#7415=CARTESIAN_POINT('',(-2.093577055660E0,1.9827197E1,5.E-1)); +#7416=LINE('',#7415,#7414); +#7417=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7418=VECTOR('',#7417,1.016E-1); +#7419=CARTESIAN_POINT('',(-2.093577055660E0,1.9827197E1,0.E0)); +#7420=LINE('',#7419,#7418); +#7421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7422=VECTOR('',#7421,1.2E0); +#7423=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,1.2E0)); +#7424=LINE('',#7423,#7422); +#7425=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7426=VECTOR('',#7425,1.016E-1); +#7427=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,0.E0)); +#7428=LINE('',#7427,#7426); +#7429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7430=VECTOR('',#7429,9.144E-1); +#7431=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,5.E-1)); +#7432=LINE('',#7431,#7430); +#7433=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7434=VECTOR('',#7433,5.E-1); +#7435=CARTESIAN_POINT('',(-2.093577055660E0,2.1727219E1,5.E-1)); +#7436=LINE('',#7435,#7434); +#7437=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7438=VECTOR('',#7437,9.85622E-1); +#7439=CARTESIAN_POINT('',(-2.093577055660E0,2.1727219E1,0.E0)); +#7440=LINE('',#7439,#7438); +#7441=DIRECTION('',(1.E0,0.E0,0.E0)); +#7442=VECTOR('',#7441,9.144E-1); +#7443=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,5.E-1)); +#7444=LINE('',#7443,#7442); +#7445=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7446=VECTOR('',#7445,9.144E-1); +#7447=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,5.E-1)); +#7448=LINE('',#7447,#7446); +#7449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7450=VECTOR('',#7449,9.144E-1); +#7451=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,5.E-1)); +#7452=LINE('',#7451,#7450); +#7453=DIRECTION('',(1.E0,0.E0,0.E0)); +#7454=VECTOR('',#7453,9.144E-1); +#7455=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,0.E0)); +#7456=LINE('',#7455,#7454); +#7457=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7458=VECTOR('',#7457,5.E-1); +#7459=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,5.E-1)); +#7460=LINE('',#7459,#7458); +#7461=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7462=VECTOR('',#7461,9.144E-1); +#7463=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,0.E0)); +#7464=LINE('',#7463,#7462); +#7465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7466=VECTOR('',#7465,5.E-1); +#7467=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,5.E-1)); +#7468=LINE('',#7467,#7466); +#7469=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7470=VECTOR('',#7469,9.144E-1); +#7471=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,0.E0)); +#7472=LINE('',#7471,#7470); +#7473=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7474=VECTOR('',#7473,5.E-1); +#7475=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,5.E-1)); +#7476=LINE('',#7475,#7474); +#7477=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7478=VECTOR('',#7477,1.2E0); +#7479=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,0.E0)); +#7480=LINE('',#7479,#7478); +#7481=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7482=VECTOR('',#7481,1.2E0); +#7483=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,1.2E0)); +#7484=LINE('',#7483,#7482); +#7485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7486=VECTOR('',#7485,5.E-1); +#7487=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,5.E-1)); +#7488=LINE('',#7487,#7486); +#7489=DIRECTION('',(0.E0,1.E0,0.E0)); +#7490=VECTOR('',#7489,1.051611E0); +#7491=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,0.E0)); +#7492=LINE('',#7491,#7490); +#7493=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7494=VECTOR('',#7493,1.2E0); +#7495=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,1.2E0)); +#7496=LINE('',#7495,#7494); +#7497=DIRECTION('',(0.E0,1.E0,0.E0)); +#7498=VECTOR('',#7497,1.051611E0); +#7499=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,0.E0)); +#7500=LINE('',#7499,#7498); +#7501=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7502=VECTOR('',#7501,9.144E-1); +#7503=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,5.E-1)); +#7504=LINE('',#7503,#7502); +#7505=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7506=VECTOR('',#7505,9.24799E-1); +#7507=CARTESIAN_POINT('',(-3.293577055660E0,2.0777208E1,5.E-1)); +#7508=LINE('',#7507,#7506); +#7509=DIRECTION('',(0.E0,1.E0,0.E0)); +#7510=VECTOR('',#7509,9.144E-1); +#7511=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,5.E-1)); +#7512=LINE('',#7511,#7510); +#7513=DIRECTION('',(1.E0,0.E0,0.E0)); +#7514=VECTOR('',#7513,9.24799E-1); +#7515=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,5.E-1)); +#7516=LINE('',#7515,#7514); +#7517=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7518=VECTOR('',#7517,9.24799E-1); +#7519=CARTESIAN_POINT('',(-3.293577055660E0,2.0777208E1,0.E0)); +#7520=LINE('',#7519,#7518); +#7521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7522=VECTOR('',#7521,5.E-1); +#7523=CARTESIAN_POINT('',(-3.293577055660E0,2.0777208E1,5.E-1)); +#7524=LINE('',#7523,#7522); +#7525=DIRECTION('',(0.E0,1.E0,0.E0)); +#7526=VECTOR('',#7525,9.144E-1); +#7527=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,0.E0)); +#7528=LINE('',#7527,#7526); +#7529=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7530=VECTOR('',#7529,5.E-1); +#7531=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,5.E-1)); +#7532=LINE('',#7531,#7530); +#7533=DIRECTION('',(1.E0,0.E0,0.E0)); +#7534=VECTOR('',#7533,9.24799E-1); +#7535=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,0.E0)); +#7536=LINE('',#7535,#7534); +#7537=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7538=VECTOR('',#7537,5.E-1); +#7539=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,5.E-1)); +#7540=LINE('',#7539,#7538); +#7541=DIRECTION('',(1.E0,0.E0,0.E0)); +#7542=VECTOR('',#7541,1.2E0); +#7543=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,0.E0)); +#7544=LINE('',#7543,#7542); +#7545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7546=VECTOR('',#7545,1.2E0); +#7547=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,1.2E0)); +#7548=LINE('',#7547,#7546); +#7549=DIRECTION('',(1.E0,0.E0,0.E0)); +#7550=VECTOR('',#7549,1.2E0); +#7551=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,1.2E0)); +#7552=LINE('',#7551,#7550); +#7553=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7554=VECTOR('',#7553,3.017622E0); +#7555=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,1.2E0)); +#7556=LINE('',#7555,#7554); +#7557=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7558=VECTOR('',#7557,1.2E0); +#7559=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,1.2E0)); +#7560=LINE('',#7559,#7558); +#7561=DIRECTION('',(0.E0,1.E0,0.E0)); +#7562=VECTOR('',#7561,3.017622E0); +#7563=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,1.2E0)); +#7564=LINE('',#7563,#7562); +#7565=DIRECTION('',(1.E0,0.E0,0.E0)); +#7566=VECTOR('',#7565,9.144E-1); +#7567=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,5.E-1)); +#7568=LINE('',#7567,#7566); +#7569=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7570=VECTOR('',#7569,9.144E-1); +#7571=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,5.E-1)); +#7572=LINE('',#7571,#7570); +#7573=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7574=VECTOR('',#7573,9.144E-1); +#7575=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,5.E-1)); +#7576=LINE('',#7575,#7574); +#7577=DIRECTION('',(1.E0,0.E0,0.E0)); +#7578=VECTOR('',#7577,9.144E-1); +#7579=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,0.E0)); +#7580=LINE('',#7579,#7578); +#7581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7582=VECTOR('',#7581,5.E-1); +#7583=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,5.E-1)); +#7584=LINE('',#7583,#7582); +#7585=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7586=VECTOR('',#7585,9.24799E-1); +#7587=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,0.E0)); +#7588=LINE('',#7587,#7586); +#7589=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7590=VECTOR('',#7589,5.E-1); +#7591=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,5.E-1)); +#7592=LINE('',#7591,#7590); +#7593=DIRECTION('',(1.E0,0.E0,0.E0)); +#7594=VECTOR('',#7593,9.144E-1); +#7595=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,5.E-1)); +#7596=LINE('',#7595,#7594); +#7597=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7598=VECTOR('',#7597,5.E-1); +#7599=CARTESIAN_POINT('',(-3.820777055660E0,1.8243408E1,5.E-1)); +#7600=LINE('',#7599,#7598); +#7601=DIRECTION('',(1.E0,0.E0,0.E0)); +#7602=VECTOR('',#7601,1.05161E0); +#7603=CARTESIAN_POINT('',(-3.820777055660E0,1.8243408E1,0.E0)); +#7604=LINE('',#7603,#7602); +#7605=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7606=VECTOR('',#7605,1.2E0); +#7607=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,1.2E0)); +#7608=LINE('',#7607,#7606); +#7609=DIRECTION('',(1.E0,0.E0,0.E0)); +#7610=VECTOR('',#7609,1.051611E0); +#7611=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,0.E0)); +#7612=LINE('',#7611,#7610); +#7613=DIRECTION('',(0.E0,1.E0,0.E0)); +#7614=VECTOR('',#7613,9.24799E-1); +#7615=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,5.E-1)); +#7616=LINE('',#7615,#7614); +#7617=DIRECTION('',(1.E0,0.E0,0.E0)); +#7618=VECTOR('',#7617,9.144E-1); +#7619=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,5.E-1)); +#7620=LINE('',#7619,#7618); +#7621=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7622=VECTOR('',#7621,9.24799E-1); +#7623=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,5.E-1)); +#7624=LINE('',#7623,#7622); +#7625=DIRECTION('',(0.E0,1.E0,0.E0)); +#7626=VECTOR('',#7625,9.24799E-1); +#7627=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,0.E0)); +#7628=LINE('',#7627,#7626); +#7629=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7630=VECTOR('',#7629,5.E-1); +#7631=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,5.E-1)); +#7632=LINE('',#7631,#7630); +#7633=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7634=VECTOR('',#7633,1.2E0); +#7635=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,0.E0)); +#7636=LINE('',#7635,#7634); +#7637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7638=VECTOR('',#7637,1.2E0); +#7639=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,1.2E0)); +#7640=LINE('',#7639,#7638); +#7641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7642=VECTOR('',#7641,5.E-1); +#7643=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,5.E-1)); +#7644=LINE('',#7643,#7642); +#7645=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7646=VECTOR('',#7645,9.85621E-1); +#7647=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,0.E0)); +#7648=LINE('',#7647,#7646); +#7649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7650=VECTOR('',#7649,5.E-1); +#7651=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,5.E-1)); +#7652=LINE('',#7651,#7650); +#7653=DIRECTION('',(-1.E0,3.496765431890E-14,0.E0)); +#7654=VECTOR('',#7653,1.016E-1); +#7655=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,0.E0)); +#7656=LINE('',#7655,#7654); +#7657=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7658=VECTOR('',#7657,1.2E0); +#7659=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,1.2E0)); +#7660=LINE('',#7659,#7658); +#7661=DIRECTION('',(-1.E0,3.496765431890E-14,0.E0)); +#7662=VECTOR('',#7661,1.016E-1); +#7663=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,0.E0)); +#7664=LINE('',#7663,#7662); +#7665=DIRECTION('',(1.E0,0.E0,0.E0)); +#7666=VECTOR('',#7665,9.144E-1); +#7667=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,5.E-1)); +#7668=LINE('',#7667,#7666); +#7669=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7670=VECTOR('',#7669,9.144E-1); +#7671=CARTESIAN_POINT('',(-2.870767055660E0,1.7043408E1,5.E-1)); +#7672=LINE('',#7671,#7670); +#7673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7674=VECTOR('',#7673,9.144E-1); +#7675=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,5.E-1)); +#7676=LINE('',#7675,#7674); +#7677=DIRECTION('',(0.E0,1.E0,0.E0)); +#7678=VECTOR('',#7677,9.144E-1); +#7679=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,5.E-1)); +#7680=LINE('',#7679,#7678); +#7681=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7682=VECTOR('',#7681,9.144E-1); +#7683=CARTESIAN_POINT('',(-2.870767055660E0,1.7043408E1,0.E0)); +#7684=LINE('',#7683,#7682); +#7685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7686=VECTOR('',#7685,5.E-1); +#7687=CARTESIAN_POINT('',(-2.870767055660E0,1.7043408E1,5.E-1)); +#7688=LINE('',#7687,#7686); +#7689=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7690=VECTOR('',#7689,9.144E-1); +#7691=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,0.E0)); +#7692=LINE('',#7691,#7690); +#7693=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7694=VECTOR('',#7693,5.E-1); +#7695=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,5.E-1)); +#7696=LINE('',#7695,#7694); +#7697=DIRECTION('',(0.E0,1.E0,0.E0)); +#7698=VECTOR('',#7697,9.144E-1); +#7699=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,0.E0)); +#7700=LINE('',#7699,#7698); +#7701=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7702=VECTOR('',#7701,5.E-1); +#7703=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,5.E-1)); +#7704=LINE('',#7703,#7702); +#7705=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7706=VECTOR('',#7705,9.144E-1); +#7707=CARTESIAN_POINT('',(-4.770788055660E0,1.7043408E1,0.E0)); +#7708=LINE('',#7707,#7706); +#7709=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7710=VECTOR('',#7709,5.E-1); +#7711=CARTESIAN_POINT('',(-4.770788055660E0,1.7043408E1,5.E-1)); +#7712=LINE('',#7711,#7710); +#7713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7714=VECTOR('',#7713,9.144E-1); +#7715=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,0.E0)); +#7716=LINE('',#7715,#7714); +#7717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7718=VECTOR('',#7717,5.E-1); +#7719=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,5.E-1)); +#7720=LINE('',#7719,#7718); +#7721=DIRECTION('',(0.E0,1.E0,0.E0)); +#7722=VECTOR('',#7721,9.144E-1); +#7723=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,0.E0)); +#7724=LINE('',#7723,#7722); +#7725=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7726=VECTOR('',#7725,5.E-1); +#7727=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,5.E-1)); +#7728=LINE('',#7727,#7726); +#7729=DIRECTION('',(1.E0,0.E0,0.E0)); +#7730=VECTOR('',#7729,9.144E-1); +#7731=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,5.E-1)); +#7732=LINE('',#7731,#7730); +#7733=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7734=VECTOR('',#7733,9.144E-1); +#7735=CARTESIAN_POINT('',(-4.770788055660E0,1.7043408E1,5.E-1)); +#7736=LINE('',#7735,#7734); +#7737=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7738=VECTOR('',#7737,9.144E-1); +#7739=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,5.E-1)); +#7740=LINE('',#7739,#7738); +#7741=DIRECTION('',(0.E0,1.E0,0.E0)); +#7742=VECTOR('',#7741,9.144E-1); +#7743=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,5.E-1)); +#7744=LINE('',#7743,#7742); +#7745=DIRECTION('',(0.E0,1.E0,0.E0)); +#7746=VECTOR('',#7745,1.2E0); +#7747=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,0.E0)); +#7748=LINE('',#7747,#7746); +#7749=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7750=VECTOR('',#7749,1.2E0); +#7751=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,1.2E0)); +#7752=LINE('',#7751,#7750); +#7753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7754=VECTOR('',#7753,1.2E0); +#7755=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,1.2E0)); +#7756=LINE('',#7755,#7754); +#7757=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7758=VECTOR('',#7757,3.017621E0); +#7759=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,1.2E0)); +#7760=LINE('',#7759,#7758); +#7761=DIRECTION('',(0.E0,1.E0,0.E0)); +#7762=VECTOR('',#7761,1.2E0); +#7763=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,1.2E0)); +#7764=LINE('',#7763,#7762); +#7765=DIRECTION('',(1.E0,0.E0,0.E0)); +#7766=VECTOR('',#7765,3.017621E0); +#7767=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,1.2E0)); +#7768=LINE('',#7767,#7766); +#7769=DIRECTION('',(1.E0,0.E0,0.E0)); +#7770=VECTOR('',#7769,9.247990000004E-1); +#7771=CARTESIAN_POINT('',(-1.884177055660E0,1.4300208E1,0.E0)); +#7772=LINE('',#7771,#7770); +#7773=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7774=VECTOR('',#7773,5.E-1); +#7775=CARTESIAN_POINT('',(-1.884177055660E0,1.4300208E1,5.E-1)); +#7776=LINE('',#7775,#7774); +#7777=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7778=VECTOR('',#7777,9.144E-1); +#7779=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,0.E0)); +#7780=LINE('',#7779,#7778); +#7781=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7782=VECTOR('',#7781,5.E-1); +#7783=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,5.E-1)); +#7784=LINE('',#7783,#7782); +#7785=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7786=VECTOR('',#7785,9.247990000004E-1); +#7787=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,0.E0)); +#7788=LINE('',#7787,#7786); +#7789=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7790=VECTOR('',#7789,5.E-1); +#7791=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,5.E-1)); +#7792=LINE('',#7791,#7790); +#7793=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7794=VECTOR('',#7793,5.E-1); +#7795=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,5.E-1)); +#7796=LINE('',#7795,#7794); +#7797=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7798=VECTOR('',#7797,1.051611E0); +#7799=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,0.E0)); +#7800=LINE('',#7799,#7798); +#7801=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7802=VECTOR('',#7801,1.2E0); +#7803=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,1.2E0)); +#7804=LINE('',#7803,#7802); +#7805=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7806=VECTOR('',#7805,1.051611E0); +#7807=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,0.E0)); +#7808=LINE('',#7807,#7806); +#7809=DIRECTION('',(0.E0,1.E0,0.E0)); +#7810=VECTOR('',#7809,9.144E-1); +#7811=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,5.E-1)); +#7812=LINE('',#7811,#7810); +#7813=DIRECTION('',(1.E0,0.E0,0.E0)); +#7814=VECTOR('',#7813,9.247990000004E-1); +#7815=CARTESIAN_POINT('',(-1.884177055660E0,1.4300208E1,5.E-1)); +#7816=LINE('',#7815,#7814); +#7817=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7818=VECTOR('',#7817,9.144E-1); +#7819=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,5.E-1)); +#7820=LINE('',#7819,#7818); +#7821=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7822=VECTOR('',#7821,9.247990000004E-1); +#7823=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,5.E-1)); +#7824=LINE('',#7823,#7822); +#7825=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7826=VECTOR('',#7825,1.2E0); +#7827=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,0.E0)); +#7828=LINE('',#7827,#7826); +#7829=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7830=VECTOR('',#7829,1.2E0); +#7831=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,1.2E0)); +#7832=LINE('',#7831,#7830); +#7833=DIRECTION('',(0.E0,1.E0,0.E0)); +#7834=VECTOR('',#7833,9.144E-1); +#7835=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,5.E-1)); +#7836=LINE('',#7835,#7834); +#7837=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7838=VECTOR('',#7837,5.E-1); +#7839=CARTESIAN_POINT('',(-3.084177055660E0,1.5250219E1,5.E-1)); +#7840=LINE('',#7839,#7838); +#7841=DIRECTION('',(0.E0,1.E0,0.E0)); +#7842=VECTOR('',#7841,1.016E-1); +#7843=CARTESIAN_POINT('',(-3.084177055660E0,1.5250219E1,0.E0)); +#7844=LINE('',#7843,#7842); +#7845=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7846=VECTOR('',#7845,1.2E0); +#7847=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,1.2E0)); +#7848=LINE('',#7847,#7846); +#7849=DIRECTION('',(0.E0,1.E0,0.E0)); +#7850=VECTOR('',#7849,1.016E-1); +#7851=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,0.E0)); +#7852=LINE('',#7851,#7850); +#7853=DIRECTION('',(0.E0,1.E0,0.E0)); +#7854=VECTOR('',#7853,9.144E-1); +#7855=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,5.E-1)); +#7856=LINE('',#7855,#7854); +#7857=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7858=VECTOR('',#7857,5.E-1); +#7859=CARTESIAN_POINT('',(-3.084177055660E0,1.3350197E1,5.E-1)); +#7860=LINE('',#7859,#7858); +#7861=DIRECTION('',(0.E0,1.E0,0.E0)); +#7862=VECTOR('',#7861,9.85622E-1); +#7863=CARTESIAN_POINT('',(-3.084177055660E0,1.3350197E1,0.E0)); +#7864=LINE('',#7863,#7862); +#7865=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7866=VECTOR('',#7865,9.144E-1); +#7867=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,5.E-1)); +#7868=LINE('',#7867,#7866); +#7869=DIRECTION('',(0.E0,1.E0,0.E0)); +#7870=VECTOR('',#7869,9.144E-1); +#7871=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,5.E-1)); +#7872=LINE('',#7871,#7870); +#7873=DIRECTION('',(1.E0,0.E0,0.E0)); +#7874=VECTOR('',#7873,9.144E-1); +#7875=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,5.E-1)); +#7876=LINE('',#7875,#7874); +#7877=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7878=VECTOR('',#7877,9.144E-1); +#7879=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,0.E0)); +#7880=LINE('',#7879,#7878); +#7881=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7882=VECTOR('',#7881,5.E-1); +#7883=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,5.E-1)); +#7884=LINE('',#7883,#7882); +#7885=DIRECTION('',(0.E0,1.E0,0.E0)); +#7886=VECTOR('',#7885,9.144E-1); +#7887=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,0.E0)); +#7888=LINE('',#7887,#7886); +#7889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7890=VECTOR('',#7889,5.E-1); +#7891=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,5.E-1)); +#7892=LINE('',#7891,#7890); +#7893=DIRECTION('',(1.E0,0.E0,0.E0)); +#7894=VECTOR('',#7893,9.144E-1); +#7895=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,0.E0)); +#7896=LINE('',#7895,#7894); +#7897=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7898=VECTOR('',#7897,5.E-1); +#7899=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,5.E-1)); +#7900=LINE('',#7899,#7898); +#7901=DIRECTION('',(1.E0,0.E0,0.E0)); +#7902=VECTOR('',#7901,1.2E0); +#7903=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,0.E0)); +#7904=LINE('',#7903,#7902); +#7905=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7906=VECTOR('',#7905,1.2E0); +#7907=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,1.2E0)); +#7908=LINE('',#7907,#7906); +#7909=DIRECTION('',(0.E0,1.E0,0.E0)); +#7910=VECTOR('',#7909,3.017622E0); +#7911=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,1.2E0)); +#7912=LINE('',#7911,#7910); +#7913=DIRECTION('',(1.E0,0.E0,0.E0)); +#7914=VECTOR('',#7913,1.2E0); +#7915=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,1.2E0)); +#7916=LINE('',#7915,#7914); +#7917=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7918=VECTOR('',#7917,3.017622E0); +#7919=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,1.2E0)); +#7920=LINE('',#7919,#7918); +#7921=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7922=VECTOR('',#7921,1.2E0); +#7923=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,1.2E0)); +#7924=LINE('',#7923,#7922); +#7925=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7926=VECTOR('',#7925,9.144E-1); +#7927=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,0.E0)); +#7928=LINE('',#7927,#7926); +#7929=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7930=VECTOR('',#7929,5.E-1); +#7931=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,5.E-1)); +#7932=LINE('',#7931,#7930); +#7933=DIRECTION('',(0.E0,1.E0,0.E0)); +#7934=VECTOR('',#7933,9.144E-1); +#7935=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,0.E0)); +#7936=LINE('',#7935,#7934); +#7937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7938=VECTOR('',#7937,5.E-1); +#7939=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,5.E-1)); +#7940=LINE('',#7939,#7938); +#7941=DIRECTION('',(1.E0,0.E0,0.E0)); +#7942=VECTOR('',#7941,9.144E-1); +#7943=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,0.E0)); +#7944=LINE('',#7943,#7942); +#7945=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7946=VECTOR('',#7945,5.E-1); +#7947=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,5.E-1)); +#7948=LINE('',#7947,#7946); +#7949=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7950=VECTOR('',#7949,9.144E-1); +#7951=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,5.E-1)); +#7952=LINE('',#7951,#7950); +#7953=DIRECTION('',(0.E0,1.E0,0.E0)); +#7954=VECTOR('',#7953,9.144E-1); +#7955=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,5.E-1)); +#7956=LINE('',#7955,#7954); +#7957=DIRECTION('',(1.E0,0.E0,0.E0)); +#7958=VECTOR('',#7957,9.144E-1); +#7959=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,5.E-1)); +#7960=LINE('',#7959,#7958); +#7961=DIRECTION('',(1.E0,0.E0,0.E0)); +#7962=VECTOR('',#7961,9.49998E-1); +#7963=CARTESIAN_POINT('',(-4.395780556596E-1,1.1698207E1,0.E0)); +#7964=LINE('',#7963,#7962); +#7965=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7966=VECTOR('',#7965,5.E-1); +#7967=CARTESIAN_POINT('',(-4.395780556596E-1,1.1698207E1,5.E-1)); +#7968=LINE('',#7967,#7966); +#7969=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7970=VECTOR('',#7969,1.399998E0); +#7971=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,0.E0)); +#7972=LINE('',#7971,#7970); +#7973=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7974=VECTOR('',#7973,5.E-1); +#7975=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,5.E-1)); +#7976=LINE('',#7975,#7974); +#7977=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7978=VECTOR('',#7977,9.49998E-1); +#7979=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,0.E0)); +#7980=LINE('',#7979,#7978); +#7981=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7982=VECTOR('',#7981,5.E-1); +#7983=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,5.E-1)); +#7984=LINE('',#7983,#7982); +#7985=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7986=VECTOR('',#7985,5.E-1); +#7987=CARTESIAN_POINT('',(-4.395780556596E-1,1.0298209E1,5.E-1)); +#7988=LINE('',#7987,#7986); +#7989=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7990=VECTOR('',#7989,2.00002E-1); +#7991=CARTESIAN_POINT('',(-4.395780556596E-1,1.0298209E1,0.E0)); +#7992=LINE('',#7991,#7990); +#7993=DIRECTION('',(0.E0,0.E0,-1.E0)); +#7994=VECTOR('',#7993,1.2E0); +#7995=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,1.2E0)); +#7996=LINE('',#7995,#7994); +#7997=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7998=VECTOR('',#7997,2.00002E-1); +#7999=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,0.E0)); +#8000=LINE('',#7999,#7998); +#8001=DIRECTION('',(0.E0,1.E0,0.E0)); +#8002=VECTOR('',#8001,1.399998E0); +#8003=CARTESIAN_POINT('',(-4.395780556596E-1,1.0298209E1,5.E-1)); +#8004=LINE('',#8003,#8002); +#8005=DIRECTION('',(1.E0,0.E0,0.E0)); +#8006=VECTOR('',#8005,9.49998E-1); +#8007=CARTESIAN_POINT('',(-4.395780556596E-1,1.1698207E1,5.E-1)); +#8008=LINE('',#8007,#8006); +#8009=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8010=VECTOR('',#8009,1.399998E0); +#8011=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,5.E-1)); +#8012=LINE('',#8011,#8010); +#8013=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8014=VECTOR('',#8013,9.49998E-1); +#8015=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,5.E-1)); +#8016=LINE('',#8015,#8014); +#8017=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8018=VECTOR('',#8017,2.799999E0); +#8019=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,0.E0)); +#8020=LINE('',#8019,#8018); +#8021=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8022=VECTOR('',#8021,1.2E0); +#8023=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,1.2E0)); +#8024=LINE('',#8023,#8022); +#8025=DIRECTION('',(0.E0,1.E0,0.E0)); +#8026=VECTOR('',#8025,1.399998E0); +#8027=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,5.E-1)); +#8028=LINE('',#8027,#8026); +#8029=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8030=VECTOR('',#8029,5.E-1); +#8031=CARTESIAN_POINT('',(-3.239577055660E0,1.1698207E1,5.E-1)); +#8032=LINE('',#8031,#8030); +#8033=DIRECTION('',(0.E0,1.E0,0.E0)); +#8034=VECTOR('',#8033,2.00002E-1); +#8035=CARTESIAN_POINT('',(-3.239577055660E0,1.1698207E1,0.E0)); +#8036=LINE('',#8035,#8034); +#8037=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8038=VECTOR('',#8037,1.2E0); +#8039=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,1.2E0)); +#8040=LINE('',#8039,#8038); +#8041=DIRECTION('',(0.E0,1.E0,0.E0)); +#8042=VECTOR('',#8041,2.00002E-1); +#8043=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,0.E0)); +#8044=LINE('',#8043,#8042); +#8045=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8046=VECTOR('',#8045,9.49998E-1); +#8047=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,5.E-1)); +#8048=LINE('',#8047,#8046); +#8049=DIRECTION('',(0.E0,1.E0,0.E0)); +#8050=VECTOR('',#8049,1.399998E0); +#8051=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,5.E-1)); +#8052=LINE('',#8051,#8050); +#8053=DIRECTION('',(1.E0,0.E0,0.E0)); +#8054=VECTOR('',#8053,9.49998E-1); +#8055=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,5.E-1)); +#8056=LINE('',#8055,#8054); +#8057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8058=VECTOR('',#8057,9.49998E-1); +#8059=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,0.E0)); +#8060=LINE('',#8059,#8058); +#8061=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8062=VECTOR('',#8061,5.E-1); +#8063=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,5.E-1)); +#8064=LINE('',#8063,#8062); +#8065=DIRECTION('',(0.E0,1.E0,0.E0)); +#8066=VECTOR('',#8065,1.399998E0); +#8067=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,0.E0)); +#8068=LINE('',#8067,#8066); +#8069=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8070=VECTOR('',#8069,5.E-1); +#8071=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,5.E-1)); +#8072=LINE('',#8071,#8070); +#8073=DIRECTION('',(1.E0,0.E0,0.E0)); +#8074=VECTOR('',#8073,9.49998E-1); +#8075=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,0.E0)); +#8076=LINE('',#8075,#8074); +#8077=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8078=VECTOR('',#8077,5.E-1); +#8079=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,5.E-1)); +#8080=LINE('',#8079,#8078); +#8081=DIRECTION('',(1.E0,0.E0,0.E0)); +#8082=VECTOR('',#8081,2.799999E0); +#8083=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,0.E0)); +#8084=LINE('',#8083,#8082); +#8085=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8086=VECTOR('',#8085,1.2E0); +#8087=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,1.2E0)); +#8088=LINE('',#8087,#8086); +#8089=DIRECTION('',(1.E0,0.E0,0.E0)); +#8090=VECTOR('',#8089,2.799999E0); +#8091=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,1.2E0)); +#8092=LINE('',#8091,#8090); +#8093=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8094=VECTOR('',#8093,1.800002E0); +#8095=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,1.2E0)); +#8096=LINE('',#8095,#8094); +#8097=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8098=VECTOR('',#8097,2.799999E0); +#8099=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,1.2E0)); +#8100=LINE('',#8099,#8098); +#8101=DIRECTION('',(0.E0,1.E0,0.E0)); +#8102=VECTOR('',#8101,1.800002E0); +#8103=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,1.2E0)); +#8104=LINE('',#8103,#8102); +#8105=DIRECTION('',(1.E0,0.E0,0.E0)); +#8106=VECTOR('',#8105,1.049998E0); +#8107=CARTESIAN_POINT('',(5.664420944340E0,1.5855005E1,0.E0)); +#8108=LINE('',#8107,#8106); +#8109=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8110=VECTOR('',#8109,5.E-1); +#8111=CARTESIAN_POINT('',(5.664420944340E0,1.5855005E1,5.E-1)); +#8112=LINE('',#8111,#8110); +#8113=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8114=VECTOR('',#8113,5.99998E-1); +#8115=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,0.E0)); +#8116=LINE('',#8115,#8114); +#8117=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8118=VECTOR('',#8117,5.E-1); +#8119=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,5.E-1)); +#8120=LINE('',#8119,#8118); +#8121=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8122=VECTOR('',#8121,1.049998E0); +#8123=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,0.E0)); +#8124=LINE('',#8123,#8122); +#8125=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8126=VECTOR('',#8125,5.E-1); +#8127=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,5.E-1)); +#8128=LINE('',#8127,#8126); +#8129=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8130=VECTOR('',#8129,5.E-1); +#8131=CARTESIAN_POINT('',(5.664420944340E0,1.5255007E1,5.E-1)); +#8132=LINE('',#8131,#8130); +#8133=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8134=VECTOR('',#8133,1.3E0); +#8135=CARTESIAN_POINT('',(5.664420944340E0,1.5255007E1,0.E0)); +#8136=LINE('',#8135,#8134); +#8137=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8138=VECTOR('',#8137,5.E-1); +#8139=CARTESIAN_POINT('',(5.664420944340E0,1.3355008E1,5.E-1)); +#8140=LINE('',#8139,#8138); +#8141=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8142=VECTOR('',#8141,3.00002E-1); +#8143=CARTESIAN_POINT('',(5.664420944340E0,1.3355008E1,0.E0)); +#8144=LINE('',#8143,#8142); +#8145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8146=VECTOR('',#8145,1.5E0); +#8147=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,1.5E0)); +#8148=LINE('',#8147,#8146); +#8149=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8150=VECTOR('',#8149,3.E-1); +#8151=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,0.E0)); +#8152=LINE('',#8151,#8150); +#8153=DIRECTION('',(0.E0,1.E0,0.E0)); +#8154=VECTOR('',#8153,5.99998E-1); +#8155=CARTESIAN_POINT('',(5.664420944340E0,1.5255007E1,5.E-1)); +#8156=LINE('',#8155,#8154); +#8157=DIRECTION('',(1.E0,0.E0,0.E0)); +#8158=VECTOR('',#8157,1.049998E0); +#8159=CARTESIAN_POINT('',(5.664420944340E0,1.5855005E1,5.E-1)); +#8160=LINE('',#8159,#8158); +#8161=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8162=VECTOR('',#8161,5.99998E-1); +#8163=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,5.E-1)); +#8164=LINE('',#8163,#8162); +#8165=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8166=VECTOR('',#8165,1.049998E0); +#8167=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,5.E-1)); +#8168=LINE('',#8167,#8166); +#8169=DIRECTION('',(1.E0,0.E0,0.E0)); +#8170=VECTOR('',#8169,1.049998E0); +#8171=CARTESIAN_POINT('',(5.664420944340E0,1.3955007E1,0.E0)); +#8172=LINE('',#8171,#8170); +#8173=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8174=VECTOR('',#8173,5.E-1); +#8175=CARTESIAN_POINT('',(5.664420944340E0,1.3955007E1,5.E-1)); +#8176=LINE('',#8175,#8174); +#8177=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8178=VECTOR('',#8177,5.99999E-1); +#8179=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,0.E0)); +#8180=LINE('',#8179,#8178); +#8181=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8182=VECTOR('',#8181,5.E-1); +#8183=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,5.E-1)); +#8184=LINE('',#8183,#8182); +#8185=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8186=VECTOR('',#8185,1.049998E0); +#8187=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,0.E0)); +#8188=LINE('',#8187,#8186); +#8189=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8190=VECTOR('',#8189,5.E-1); +#8191=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,5.E-1)); +#8192=LINE('',#8191,#8190); +#8193=DIRECTION('',(0.E0,1.E0,0.E0)); +#8194=VECTOR('',#8193,5.99999E-1); +#8195=CARTESIAN_POINT('',(5.664420944340E0,1.3355008E1,5.E-1)); +#8196=LINE('',#8195,#8194); +#8197=DIRECTION('',(1.E0,0.E0,0.E0)); +#8198=VECTOR('',#8197,1.049998E0); +#8199=CARTESIAN_POINT('',(5.664420944340E0,1.3955007E1,5.E-1)); +#8200=LINE('',#8199,#8198); +#8201=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8202=VECTOR('',#8201,5.99999E-1); +#8203=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,5.E-1)); +#8204=LINE('',#8203,#8202); +#8205=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8206=VECTOR('',#8205,1.049998E0); +#8207=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,5.E-1)); +#8208=LINE('',#8207,#8206); +#8209=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8210=VECTOR('',#8209,1.800002E0); +#8211=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,0.E0)); +#8212=LINE('',#8211,#8210); +#8213=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8214=VECTOR('',#8213,1.5E0); +#8215=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,1.5E0)); +#8216=LINE('',#8215,#8214); +#8217=DIRECTION('',(0.E0,1.E0,0.E0)); +#8218=VECTOR('',#8217,5.99998E-1); +#8219=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,5.E-1)); +#8220=LINE('',#8219,#8218); +#8221=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8222=VECTOR('',#8221,5.E-1); +#8223=CARTESIAN_POINT('',(3.864418944340E0,1.5855005E1,5.E-1)); +#8224=LINE('',#8223,#8222); +#8225=DIRECTION('',(0.E0,1.E0,0.E0)); +#8226=VECTOR('',#8225,3.E-1); +#8227=CARTESIAN_POINT('',(3.864418944340E0,1.5855005E1,0.E0)); +#8228=LINE('',#8227,#8226); +#8229=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8230=VECTOR('',#8229,1.5E0); +#8231=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,1.5E0)); +#8232=LINE('',#8231,#8230); +#8233=DIRECTION('',(0.E0,1.E0,0.E0)); +#8234=VECTOR('',#8233,3.00002E-1); +#8235=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,0.E0)); +#8236=LINE('',#8235,#8234); +#8237=DIRECTION('',(0.E0,1.E0,0.E0)); +#8238=VECTOR('',#8237,5.99999E-1); +#8239=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,5.E-1)); +#8240=LINE('',#8239,#8238); +#8241=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8242=VECTOR('',#8241,5.E-1); +#8243=CARTESIAN_POINT('',(3.864418944340E0,1.3955007E1,5.E-1)); +#8244=LINE('',#8243,#8242); +#8245=DIRECTION('',(0.E0,1.E0,0.E0)); +#8246=VECTOR('',#8245,3.49999E-1); +#8247=CARTESIAN_POINT('',(3.864418944340E0,1.3955007E1,0.E0)); +#8248=LINE('',#8247,#8246); +#8249=DIRECTION('',(0.E0,1.E0,0.E0)); +#8250=VECTOR('',#8249,5.99999E-1); +#8251=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,5.E-1)); +#8252=LINE('',#8251,#8250); +#8253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8254=VECTOR('',#8253,5.E-1); +#8255=CARTESIAN_POINT('',(3.864418944340E0,1.4905005E1,5.E-1)); +#8256=LINE('',#8255,#8254); +#8257=DIRECTION('',(0.E0,1.E0,0.E0)); +#8258=VECTOR('',#8257,3.50002E-1); +#8259=CARTESIAN_POINT('',(3.864418944340E0,1.4905005E1,0.E0)); +#8260=LINE('',#8259,#8258); +#8261=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8262=VECTOR('',#8261,1.049998E0); +#8263=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,5.E-1)); +#8264=LINE('',#8263,#8262); +#8265=DIRECTION('',(0.E0,1.E0,0.E0)); +#8266=VECTOR('',#8265,5.99998E-1); +#8267=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,5.E-1)); +#8268=LINE('',#8267,#8266); +#8269=DIRECTION('',(1.E0,0.E0,0.E0)); +#8270=VECTOR('',#8269,1.049998E0); +#8271=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,5.E-1)); +#8272=LINE('',#8271,#8270); +#8273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8274=VECTOR('',#8273,1.049998E0); +#8275=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,0.E0)); +#8276=LINE('',#8275,#8274); +#8277=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8278=VECTOR('',#8277,5.E-1); +#8279=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,5.E-1)); +#8280=LINE('',#8279,#8278); +#8281=DIRECTION('',(0.E0,1.E0,0.E0)); +#8282=VECTOR('',#8281,5.99998E-1); +#8283=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,0.E0)); +#8284=LINE('',#8283,#8282); +#8285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8286=VECTOR('',#8285,5.E-1); +#8287=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,5.E-1)); +#8288=LINE('',#8287,#8286); +#8289=DIRECTION('',(1.E0,0.E0,0.E0)); +#8290=VECTOR('',#8289,1.049998E0); +#8291=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,0.E0)); +#8292=LINE('',#8291,#8290); +#8293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8294=VECTOR('',#8293,5.E-1); +#8295=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,5.E-1)); +#8296=LINE('',#8295,#8294); +#8297=DIRECTION('',(1.E0,0.E0,0.E0)); +#8298=VECTOR('',#8297,1.800002E0); +#8299=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,0.E0)); +#8300=LINE('',#8299,#8298); +#8301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8302=VECTOR('',#8301,1.5E0); +#8303=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,1.5E0)); +#8304=LINE('',#8303,#8302); +#8305=DIRECTION('',(1.E0,0.E0,0.E0)); +#8306=VECTOR('',#8305,1.800002E0); +#8307=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,1.5E0)); +#8308=LINE('',#8307,#8306); +#8309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8310=VECTOR('',#8309,3.099999E0); +#8311=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,1.5E0)); +#8312=LINE('',#8311,#8310); +#8313=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8314=VECTOR('',#8313,1.800002E0); +#8315=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,1.5E0)); +#8316=LINE('',#8315,#8314); +#8317=DIRECTION('',(0.E0,1.E0,0.E0)); +#8318=VECTOR('',#8317,3.099999E0); +#8319=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,1.5E0)); +#8320=LINE('',#8319,#8318); +#8321=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8322=VECTOR('',#8321,1.049998E0); +#8323=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,0.E0)); +#8324=LINE('',#8323,#8322); +#8325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8326=VECTOR('',#8325,5.E-1); +#8327=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,5.E-1)); +#8328=LINE('',#8327,#8326); +#8329=DIRECTION('',(0.E0,1.E0,0.E0)); +#8330=VECTOR('',#8329,5.99999E-1); +#8331=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,0.E0)); +#8332=LINE('',#8331,#8330); +#8333=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8334=VECTOR('',#8333,5.E-1); +#8335=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,5.E-1)); +#8336=LINE('',#8335,#8334); +#8337=DIRECTION('',(1.E0,0.E0,0.E0)); +#8338=VECTOR('',#8337,1.049998E0); +#8339=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,0.E0)); +#8340=LINE('',#8339,#8338); +#8341=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8342=VECTOR('',#8341,5.E-1); +#8343=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,5.E-1)); +#8344=LINE('',#8343,#8342); +#8345=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8346=VECTOR('',#8345,1.049998E0); +#8347=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,5.E-1)); +#8348=LINE('',#8347,#8346); +#8349=DIRECTION('',(0.E0,1.E0,0.E0)); +#8350=VECTOR('',#8349,5.99999E-1); +#8351=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,5.E-1)); +#8352=LINE('',#8351,#8350); +#8353=DIRECTION('',(1.E0,0.E0,0.E0)); +#8354=VECTOR('',#8353,1.049998E0); +#8355=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,5.E-1)); +#8356=LINE('',#8355,#8354); +#8357=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8358=VECTOR('',#8357,1.049998E0); +#8359=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,0.E0)); +#8360=LINE('',#8359,#8358); +#8361=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8362=VECTOR('',#8361,5.E-1); +#8363=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,5.E-1)); +#8364=LINE('',#8363,#8362); +#8365=DIRECTION('',(0.E0,1.E0,0.E0)); +#8366=VECTOR('',#8365,5.99999E-1); +#8367=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,0.E0)); +#8368=LINE('',#8367,#8366); +#8369=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8370=VECTOR('',#8369,5.E-1); +#8371=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,5.E-1)); +#8372=LINE('',#8371,#8370); +#8373=DIRECTION('',(1.E0,0.E0,0.E0)); +#8374=VECTOR('',#8373,1.049998E0); +#8375=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,0.E0)); +#8376=LINE('',#8375,#8374); +#8377=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8378=VECTOR('',#8377,5.E-1); +#8379=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,5.E-1)); +#8380=LINE('',#8379,#8378); +#8381=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8382=VECTOR('',#8381,1.049998E0); +#8383=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,5.E-1)); +#8384=LINE('',#8383,#8382); +#8385=DIRECTION('',(0.E0,1.E0,0.E0)); +#8386=VECTOR('',#8385,5.99999E-1); +#8387=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,5.E-1)); +#8388=LINE('',#8387,#8386); +#8389=DIRECTION('',(1.E0,0.E0,0.E0)); +#8390=VECTOR('',#8389,1.049998E0); +#8391=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,5.E-1)); +#8392=LINE('',#8391,#8390); +#8393=DIRECTION('',(1.E0,0.E0,0.E0)); +#8394=VECTOR('',#8393,1.5516E0); +#8395=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,0.E0)); +#8396=LINE('',#8395,#8394); +#8397=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8398=VECTOR('',#8397,5.E-1); +#8399=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,5.E-1)); +#8400=LINE('',#8399,#8398); +#8401=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8402=VECTOR('',#8401,7.51602E-1); +#8403=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,0.E0)); +#8404=LINE('',#8403,#8402); +#8405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8406=VECTOR('',#8405,5.E-1); +#8407=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,5.E-1)); +#8408=LINE('',#8407,#8406); +#8409=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8410=VECTOR('',#8409,1.5516E0); +#8411=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,0.E0)); +#8412=LINE('',#8411,#8410); +#8413=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8414=VECTOR('',#8413,5.E-1); +#8415=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,5.E-1)); +#8416=LINE('',#8415,#8414); +#8417=DIRECTION('',(1.299885127594E-14,1.E0,0.E0)); +#8418=VECTOR('',#8417,7.51602E-1); +#8419=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,0.E0)); +#8420=LINE('',#8419,#8418); +#8421=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8422=VECTOR('',#8421,5.E-1); +#8423=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,5.E-1)); +#8424=LINE('',#8423,#8422); +#8425=DIRECTION('',(1.E0,0.E0,0.E0)); +#8426=VECTOR('',#8425,1.5516E0); +#8427=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,5.E-1)); +#8428=LINE('',#8427,#8426); +#8429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8430=VECTOR('',#8429,7.51602E-1); +#8431=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,5.E-1)); +#8432=LINE('',#8431,#8430); +#8433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8434=VECTOR('',#8433,1.5516E0); +#8435=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,5.E-1)); +#8436=LINE('',#8435,#8434); +#8437=DIRECTION('',(1.181713752358E-14,1.E0,0.E0)); +#8438=VECTOR('',#8437,7.51602E-1); +#8439=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,5.E-1)); +#8440=LINE('',#8439,#8438); +#8441=DIRECTION('',(1.E0,0.E0,0.E0)); +#8442=VECTOR('',#8441,1.183198E0); +#8443=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,0.E0)); +#8444=LINE('',#8443,#8442); +#8445=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8446=VECTOR('',#8445,8.E-1); +#8447=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,8.E-1)); +#8448=LINE('',#8447,#8446); +#8449=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8450=VECTOR('',#8449,2.673202E0); +#8451=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,0.E0)); +#8452=LINE('',#8451,#8450); +#8453=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8454=VECTOR('',#8453,8.E-1); +#8455=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,8.E-1)); +#8456=LINE('',#8455,#8454); +#8457=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8458=VECTOR('',#8457,1.183198E0); +#8459=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,0.E0)); +#8460=LINE('',#8459,#8458); +#8461=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8462=VECTOR('',#8461,8.E-1); +#8463=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,8.E-1)); +#8464=LINE('',#8463,#8462); +#8465=DIRECTION('',(0.E0,1.E0,0.E0)); +#8466=VECTOR('',#8465,2.673202E0); +#8467=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,0.E0)); +#8468=LINE('',#8467,#8466); +#8469=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8470=VECTOR('',#8469,8.E-1); +#8471=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,8.E-1)); +#8472=LINE('',#8471,#8470); +#8473=DIRECTION('',(1.E0,0.E0,0.E0)); +#8474=VECTOR('',#8473,1.183198E0); +#8475=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,8.E-1)); +#8476=LINE('',#8475,#8474); +#8477=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8478=VECTOR('',#8477,2.673202E0); +#8479=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,8.E-1)); +#8480=LINE('',#8479,#8478); +#8481=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8482=VECTOR('',#8481,1.183198E0); +#8483=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,8.E-1)); +#8484=LINE('',#8483,#8482); +#8485=DIRECTION('',(0.E0,1.E0,0.E0)); +#8486=VECTOR('',#8485,2.673202E0); +#8487=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,8.E-1)); +#8488=LINE('',#8487,#8486); +#8489=DIRECTION('',(1.E0,0.E0,0.E0)); +#8490=VECTOR('',#8489,5.99999E-1); +#8491=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,0.E0)); +#8492=LINE('',#8491,#8490); +#8493=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8494=VECTOR('',#8493,5.E-1); +#8495=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,5.E-1)); +#8496=LINE('',#8495,#8494); +#8497=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8498=VECTOR('',#8497,4.99999E-1); +#8499=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,0.E0)); +#8500=LINE('',#8499,#8498); +#8501=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8502=VECTOR('',#8501,5.E-1); +#8503=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,5.E-1)); +#8504=LINE('',#8503,#8502); +#8505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8506=VECTOR('',#8505,5.E-1); +#8507=CARTESIAN_POINT('',(-9.296776055660E0,1.5454206E1,5.E-1)); +#8508=LINE('',#8507,#8506); +#8509=DIRECTION('',(1.E0,0.E0,0.E0)); +#8510=VECTOR('',#8509,1.3E0); +#8511=CARTESIAN_POINT('',(-9.296776055660E0,1.5454206E1,0.E0)); +#8512=LINE('',#8511,#8510); +#8513=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8514=VECTOR('',#8513,5.E-1); +#8515=CARTESIAN_POINT('',(-7.396777055660E0,1.5454206E1,5.E-1)); +#8516=LINE('',#8515,#8514); +#8517=DIRECTION('',(1.E0,1.184229998067E-14,0.E0)); +#8518=VECTOR('',#8517,3.00002E-1); +#8519=CARTESIAN_POINT('',(-7.396777055660E0,1.5454206E1,0.E0)); +#8520=LINE('',#8519,#8518); +#8521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8522=VECTOR('',#8521,8.E-1); +#8523=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,8.E-1)); +#8524=LINE('',#8523,#8522); +#8525=DIRECTION('',(1.E0,1.184241840406E-14,0.E0)); +#8526=VECTOR('',#8525,2.99999E-1); +#8527=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,0.E0)); +#8528=LINE('',#8527,#8526); +#8529=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8530=VECTOR('',#8529,5.99999E-1); +#8531=CARTESIAN_POINT('',(-9.296776055660E0,1.5454206E1,5.E-1)); +#8532=LINE('',#8531,#8530); +#8533=DIRECTION('',(0.E0,1.E0,0.E0)); +#8534=VECTOR('',#8533,4.99999E-1); +#8535=CARTESIAN_POINT('',(-9.896775055660E0,1.5454206E1,5.E-1)); +#8536=LINE('',#8535,#8534); +#8537=DIRECTION('',(1.E0,0.E0,0.E0)); +#8538=VECTOR('',#8537,5.99999E-1); +#8539=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,5.E-1)); +#8540=LINE('',#8539,#8538); +#8541=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8542=VECTOR('',#8541,4.99999E-1); +#8543=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,5.E-1)); +#8544=LINE('',#8543,#8542); +#8545=DIRECTION('',(0.E0,1.E0,0.E0)); +#8546=VECTOR('',#8545,4.99999E-1); +#8547=CARTESIAN_POINT('',(-9.896775055660E0,1.5454206E1,0.E0)); +#8548=LINE('',#8547,#8546); +#8549=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8550=VECTOR('',#8549,5.E-1); +#8551=CARTESIAN_POINT('',(-9.896775055660E0,1.5454206E1,5.E-1)); +#8552=LINE('',#8551,#8550); +#8553=DIRECTION('',(1.E0,0.E0,0.E0)); +#8554=VECTOR('',#8553,5.99999E-1); +#8555=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,0.E0)); +#8556=LINE('',#8555,#8554); +#8557=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8558=VECTOR('',#8557,5.E-1); +#8559=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,5.E-1)); +#8560=LINE('',#8559,#8558); +#8561=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8562=VECTOR('',#8561,4.99999E-1); +#8563=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,0.E0)); +#8564=LINE('',#8563,#8562); +#8565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8566=VECTOR('',#8565,5.E-1); +#8567=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,5.E-1)); +#8568=LINE('',#8567,#8566); +#8569=DIRECTION('',(0.E0,1.E0,0.E0)); +#8570=VECTOR('',#8569,4.99999E-1); +#8571=CARTESIAN_POINT('',(-7.996776055660E0,1.5454206E1,0.E0)); +#8572=LINE('',#8571,#8570); +#8573=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8574=VECTOR('',#8573,5.E-1); +#8575=CARTESIAN_POINT('',(-7.996776055660E0,1.5454206E1,5.E-1)); +#8576=LINE('',#8575,#8574); +#8577=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8578=VECTOR('',#8577,5.99999E-1); +#8579=CARTESIAN_POINT('',(-7.396777055660E0,1.5454206E1,5.E-1)); +#8580=LINE('',#8579,#8578); +#8581=DIRECTION('',(0.E0,1.E0,0.E0)); +#8582=VECTOR('',#8581,4.99999E-1); +#8583=CARTESIAN_POINT('',(-7.996776055660E0,1.5454206E1,5.E-1)); +#8584=LINE('',#8583,#8582); +#8585=DIRECTION('',(1.E0,0.E0,0.E0)); +#8586=VECTOR('',#8585,5.99999E-1); +#8587=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,5.E-1)); +#8588=LINE('',#8587,#8586); +#8589=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8590=VECTOR('',#8589,4.99999E-1); +#8591=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,5.E-1)); +#8592=LINE('',#8591,#8590); +#8593=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8594=VECTOR('',#8593,1.800001E0); +#8595=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,0.E0)); +#8596=LINE('',#8595,#8594); +#8597=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8598=VECTOR('',#8597,8.E-1); +#8599=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,8.E-1)); +#8600=LINE('',#8599,#8598); +#8601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8602=VECTOR('',#8601,5.99999E-1); +#8603=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,5.E-1)); +#8604=LINE('',#8603,#8602); +#8605=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8606=VECTOR('',#8605,5.E-1); +#8607=CARTESIAN_POINT('',(-9.896775055660E0,1.3654205E1,5.E-1)); +#8608=LINE('',#8607,#8606); +#8609=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8610=VECTOR('',#8609,2.99999E-1); +#8611=CARTESIAN_POINT('',(-9.896775055660E0,1.3654205E1,0.E0)); +#8612=LINE('',#8611,#8610); +#8613=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8614=VECTOR('',#8613,8.E-1); +#8615=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,8.E-1)); +#8616=LINE('',#8615,#8614); +#8617=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8618=VECTOR('',#8617,3.00002E-1); +#8619=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,0.E0)); +#8620=LINE('',#8619,#8618); +#8621=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8622=VECTOR('',#8621,5.99999E-1); +#8623=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,5.E-1)); +#8624=LINE('',#8623,#8622); +#8625=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8626=VECTOR('',#8625,5.E-1); +#8627=CARTESIAN_POINT('',(-7.996776055660E0,1.3654205E1,5.E-1)); +#8628=LINE('',#8627,#8626); +#8629=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8630=VECTOR('',#8629,3.49999E-1); +#8631=CARTESIAN_POINT('',(-7.996776055660E0,1.3654205E1,0.E0)); +#8632=LINE('',#8631,#8630); +#8633=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8634=VECTOR('',#8633,5.99999E-1); +#8635=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,5.E-1)); +#8636=LINE('',#8635,#8634); +#8637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8638=VECTOR('',#8637,5.E-1); +#8639=CARTESIAN_POINT('',(-8.946774055660E0,1.3654205E1,5.E-1)); +#8640=LINE('',#8639,#8638); +#8641=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8642=VECTOR('',#8641,3.50002E-1); +#8643=CARTESIAN_POINT('',(-8.946774055660E0,1.3654205E1,0.E0)); +#8644=LINE('',#8643,#8642); +#8645=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8646=VECTOR('',#8645,4.99999E-1); +#8647=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,5.E-1)); +#8648=LINE('',#8647,#8646); +#8649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8650=VECTOR('',#8649,5.99999E-1); +#8651=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,5.E-1)); +#8652=LINE('',#8651,#8650); +#8653=DIRECTION('',(0.E0,1.E0,0.E0)); +#8654=VECTOR('',#8653,4.99999E-1); +#8655=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,5.E-1)); +#8656=LINE('',#8655,#8654); +#8657=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8658=VECTOR('',#8657,4.99999E-1); +#8659=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,0.E0)); +#8660=LINE('',#8659,#8658); +#8661=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8662=VECTOR('',#8661,5.E-1); +#8663=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,5.E-1)); +#8664=LINE('',#8663,#8662); +#8665=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8666=VECTOR('',#8665,5.99999E-1); +#8667=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,0.E0)); +#8668=LINE('',#8667,#8666); +#8669=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8670=VECTOR('',#8669,5.E-1); +#8671=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,5.E-1)); +#8672=LINE('',#8671,#8670); +#8673=DIRECTION('',(0.E0,1.E0,0.E0)); +#8674=VECTOR('',#8673,4.99999E-1); +#8675=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,0.E0)); +#8676=LINE('',#8675,#8674); +#8677=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8678=VECTOR('',#8677,5.E-1); +#8679=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,5.E-1)); +#8680=LINE('',#8679,#8678); +#8681=DIRECTION('',(0.E0,1.E0,0.E0)); +#8682=VECTOR('',#8681,1.800001E0); +#8683=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,0.E0)); +#8684=LINE('',#8683,#8682); +#8685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8686=VECTOR('',#8685,8.E-1); +#8687=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,8.E-1)); +#8688=LINE('',#8687,#8686); +#8689=DIRECTION('',(1.E0,0.E0,0.E0)); +#8690=VECTOR('',#8689,3.099999E0); +#8691=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,8.E-1)); +#8692=LINE('',#8691,#8690); +#8693=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8694=VECTOR('',#8693,1.800001E0); +#8695=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,8.E-1)); +#8696=LINE('',#8695,#8694); +#8697=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8698=VECTOR('',#8697,3.099999E0); +#8699=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,8.E-1)); +#8700=LINE('',#8699,#8698); +#8701=DIRECTION('',(0.E0,1.E0,0.E0)); +#8702=VECTOR('',#8701,1.800001E0); +#8703=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,8.E-1)); +#8704=LINE('',#8703,#8702); +#8705=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8706=VECTOR('',#8705,4.99999E-1); +#8707=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,0.E0)); +#8708=LINE('',#8707,#8706); +#8709=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8710=VECTOR('',#8709,5.E-1); +#8711=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,5.E-1)); +#8712=LINE('',#8711,#8710); +#8713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8714=VECTOR('',#8713,5.99999E-1); +#8715=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,0.E0)); +#8716=LINE('',#8715,#8714); +#8717=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8718=VECTOR('',#8717,5.E-1); +#8719=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,5.E-1)); +#8720=LINE('',#8719,#8718); +#8721=DIRECTION('',(0.E0,1.E0,0.E0)); +#8722=VECTOR('',#8721,4.99999E-1); +#8723=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,0.E0)); +#8724=LINE('',#8723,#8722); +#8725=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8726=VECTOR('',#8725,5.E-1); +#8727=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,5.E-1)); +#8728=LINE('',#8727,#8726); +#8729=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8730=VECTOR('',#8729,4.99999E-1); +#8731=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,5.E-1)); +#8732=LINE('',#8731,#8730); +#8733=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8734=VECTOR('',#8733,5.99999E-1); +#8735=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,5.E-1)); +#8736=LINE('',#8735,#8734); +#8737=DIRECTION('',(0.E0,1.E0,0.E0)); +#8738=VECTOR('',#8737,4.99999E-1); +#8739=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,5.E-1)); +#8740=LINE('',#8739,#8738); +#8741=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8742=VECTOR('',#8741,4.99999E-1); +#8743=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,0.E0)); +#8744=LINE('',#8743,#8742); +#8745=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8746=VECTOR('',#8745,5.E-1); +#8747=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,5.E-1)); +#8748=LINE('',#8747,#8746); +#8749=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8750=VECTOR('',#8749,5.99999E-1); +#8751=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,0.E0)); +#8752=LINE('',#8751,#8750); +#8753=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8754=VECTOR('',#8753,5.E-1); +#8755=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,5.E-1)); +#8756=LINE('',#8755,#8754); +#8757=DIRECTION('',(0.E0,1.E0,0.E0)); +#8758=VECTOR('',#8757,4.99999E-1); +#8759=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,0.E0)); +#8760=LINE('',#8759,#8758); +#8761=DIRECTION('',(0.E0,0.E0,-1.E0)); +#8762=VECTOR('',#8761,5.E-1); +#8763=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,5.E-1)); +#8764=LINE('',#8763,#8762); +#8765=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8766=VECTOR('',#8765,4.99999E-1); +#8767=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,5.E-1)); +#8768=LINE('',#8767,#8766); +#8769=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8770=VECTOR('',#8769,5.99999E-1); +#8771=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,5.E-1)); +#8772=LINE('',#8771,#8770); +#8773=DIRECTION('',(0.E0,1.E0,0.E0)); +#8774=VECTOR('',#8773,4.99999E-1); +#8775=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,5.E-1)); +#8776=LINE('',#8775,#8774); +#8777=CARTESIAN_POINT('',(-1.275250863619E1,5.978180685750E1,0.E0)); +#8778=CARTESIAN_POINT('',(-1.175250871665E1,6.0782208E1,0.E0)); +#8779=VERTEX_POINT('',#8777); +#8780=VERTEX_POINT('',#8778); +#8781=CARTESIAN_POINT('',(1.175269346494E1,6.0782208E1,0.E0)); +#8782=VERTEX_POINT('',#8781); +#8783=CARTESIAN_POINT('',(1.275269344151E1,5.978199154887E1,0.E0)); +#8784=VERTEX_POINT('',#8783); +#8785=CARTESIAN_POINT('',(1.273996995174E1,9.997835488872E-1,0.E0)); +#8786=VERTEX_POINT('',#8785); +#8787=CARTESIAN_POINT('',(1.173996997514E1,0.E0,0.E0)); +#8788=VERTEX_POINT('',#8787); +#8789=CARTESIAN_POINT('',(-1.172892866669E1,0.E0,0.E0)); +#8790=VERTEX_POINT('',#8789); +#8791=CARTESIAN_POINT('',(-1.272892858623E1,9.995988573783E-1,0.E0)); +#8792=VERTEX_POINT('',#8791); +#8793=CARTESIAN_POINT('',(-9.115887055660E0,5.766161E1,0.E0)); +#8794=CARTESIAN_POINT('',(-1.106558605566E1,5.766161E1,0.E0)); +#8795=VERTEX_POINT('',#8793); +#8796=VERTEX_POINT('',#8794); +#8797=CARTESIAN_POINT('',(1.086179394434E1,5.7658011E1,0.E0)); +#8798=CARTESIAN_POINT('',(8.979445944340E0,5.7658011E1,0.E0)); +#8799=VERTEX_POINT('',#8797); +#8800=VERTEX_POINT('',#8798); +#8801=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,0.E0)); +#8802=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,0.E0)); +#8803=VERTEX_POINT('',#8801); +#8804=VERTEX_POINT('',#8802); +#8805=CARTESIAN_POINT('',(9.697292944340E0,4.921817E0,0.E0)); +#8806=VERTEX_POINT('',#8805); +#8807=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,0.E0)); +#8808=VERTEX_POINT('',#8807); +#8809=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,0.E0)); +#8810=VERTEX_POINT('',#8809); +#8811=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,0.E0)); +#8812=VERTEX_POINT('',#8811); +#8813=CARTESIAN_POINT('',(8.697294944340E0,4.921817E0,0.E0)); +#8814=VERTEX_POINT('',#8813); +#8815=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,0.E0)); +#8816=VERTEX_POINT('',#8815); +#8817=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,0.E0)); +#8818=VERTEX_POINT('',#8817); +#8819=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,0.E0)); +#8820=VERTEX_POINT('',#8819); +#8821=CARTESIAN_POINT('',(7.697296944340E0,4.921817E0,0.E0)); +#8822=VERTEX_POINT('',#8821); +#8823=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,0.E0)); +#8824=VERTEX_POINT('',#8823); +#8825=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,0.E0)); +#8826=VERTEX_POINT('',#8825); +#8827=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,0.E0)); +#8828=VERTEX_POINT('',#8827); +#8829=CARTESIAN_POINT('',(6.697298944340E0,4.921817E0,0.E0)); +#8830=VERTEX_POINT('',#8829); +#8831=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,0.E0)); +#8832=VERTEX_POINT('',#8831); +#8833=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,0.E0)); +#8834=VERTEX_POINT('',#8833); +#8835=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,0.E0)); +#8836=VERTEX_POINT('',#8835); +#8837=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,0.E0)); +#8838=VERTEX_POINT('',#8837); +#8839=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,0.E0)); +#8840=VERTEX_POINT('',#8839); +#8841=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098999E0,0.E0)); +#8842=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,0.E0)); +#8843=VERTEX_POINT('',#8841); +#8844=VERTEX_POINT('',#8842); +#8845=CARTESIAN_POINT('',(3.048024944341E0,1.166808E0,0.E0)); +#8846=VERTEX_POINT('',#8845); +#8847=CARTESIAN_POINT('',(3.398021944340E0,1.51681E0,0.E0)); +#8848=VERTEX_POINT('',#8847); +#8849=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,0.E0)); +#8850=VERTEX_POINT('',#8849); +#8851=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,0.E0)); +#8852=VERTEX_POINT('',#8851); +#8853=CARTESIAN_POINT('',(2.348021944340E0,5.466807E0,0.E0)); +#8854=VERTEX_POINT('',#8853); +#8855=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,0.E0)); +#8856=VERTEX_POINT('',#8855); +#8857=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,0.E0)); +#8858=VERTEX_POINT('',#8857); +#8859=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,0.E0)); +#8860=VERTEX_POINT('',#8859); +#8861=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,0.E0)); +#8862=VERTEX_POINT('',#8861); +#8863=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,0.E0)); +#8864=VERTEX_POINT('',#8863); +#8865=CARTESIAN_POINT('',(-1.071974055660E0,1.134618586622E0,0.E0)); +#8866=VERTEX_POINT('',#8865); +#8867=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,0.E0)); +#8868=VERTEX_POINT('',#8867); +#8869=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,0.E0)); +#8870=VERTEX_POINT('',#8869); +#8871=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,0.E0)); +#8872=VERTEX_POINT('',#8871); +#8873=CARTESIAN_POINT('',(1.980229443404E-1,1.144541030394E0,0.E0)); +#8874=VERTEX_POINT('',#8873); +#8875=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,0.E0)); +#8876=VERTEX_POINT('',#8875); +#8877=CARTESIAN_POINT('',(1.221762301888E1,1.244094896399E1,0.E0)); +#8878=CARTESIAN_POINT('',(1.251922244434E1,1.296241E1,0.E0)); +#8879=VERTEX_POINT('',#8877); +#8880=VERTEX_POINT('',#8878); +#8881=CARTESIAN_POINT('',(1.131602444434E1,1.296241E1,0.E0)); +#8882=VERTEX_POINT('',#8881); +#8883=CARTESIAN_POINT('',(1.142831881881E1,1.261240665682E1,0.E0)); +#8884=VERTEX_POINT('',#8883); +#8885=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,0.E0)); +#8886=VERTEX_POINT('',#8885); +#8887=CARTESIAN_POINT('',(9.917622991431E0,1.250409625113E1,0.E0)); +#8888=VERTEX_POINT('',#8887); +#8889=CARTESIAN_POINT('',(9.116018444340E0,1.2012405E1,0.E0)); +#8890=VERTEX_POINT('',#8889); +#8891=CARTESIAN_POINT('',(9.917623418972E0,1.152071396626E1,0.E0)); +#8892=VERTEX_POINT('',#8891); +#8893=CARTESIAN_POINT('',(9.917624469696E0,9.104101331556E0,0.E0)); +#8894=VERTEX_POINT('',#8893); +#8895=CARTESIAN_POINT('',(9.116020444340E0,8.612409E0,0.E0)); +#8896=VERTEX_POINT('',#8895); +#8897=CARTESIAN_POINT('',(9.917624897250E0,8.120716885837E0,0.E0)); +#8898=VERTEX_POINT('',#8897); +#8899=CARTESIAN_POINT('',(9.917624944339E0,8.01241E0,0.E0)); +#8900=VERTEX_POINT('',#8899); +#8901=CARTESIAN_POINT('',(1.142831975847E1,8.012410656821E0,0.E0)); +#8902=VERTEX_POINT('',#8901); +#8903=CARTESIAN_POINT('',(1.131602444434E1,7.662406E0,0.E0)); +#8904=VERTEX_POINT('',#8903); +#8905=CARTESIAN_POINT('',(1.251922244434E1,7.662406E0,0.E0)); +#8906=VERTEX_POINT('',#8905); +#8907=CARTESIAN_POINT('',(1.221762486981E1,8.183865971155E0,0.E0)); +#8908=VERTEX_POINT('',#8907); +#8909=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,0.E0)); +#8910=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,0.E0)); +#8911=VERTEX_POINT('',#8909); +#8912=VERTEX_POINT('',#8910); +#8913=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,0.E0)); +#8914=VERTEX_POINT('',#8913); +#8915=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,0.E0)); +#8916=VERTEX_POINT('',#8915); +#8917=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,0.E0)); +#8918=CARTESIAN_POINT('',(-3.073169055660E0,2.7254208E1,0.E0)); +#8919=VERTEX_POINT('',#8917); +#8920=VERTEX_POINT('',#8918); +#8921=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,0.E0)); +#8922=VERTEX_POINT('',#8921); +#8923=CARTESIAN_POINT('',(-4.749569055660E0,2.8067008E1,0.E0)); +#8924=VERTEX_POINT('',#8923); +#8925=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,0.E0)); +#8926=CARTESIAN_POINT('',(-3.073169055660E0,2.5984208E1,0.E0)); +#8927=VERTEX_POINT('',#8925); +#8928=VERTEX_POINT('',#8926); +#8929=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,0.E0)); +#8930=VERTEX_POINT('',#8929); +#8931=CARTESIAN_POINT('',(-4.749569055660E0,2.6797008E1,0.E0)); +#8932=VERTEX_POINT('',#8931); +#8933=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,0.E0)); +#8934=CARTESIAN_POINT('',(-3.073169055660E0,2.4714208E1,0.E0)); +#8935=VERTEX_POINT('',#8933); +#8936=VERTEX_POINT('',#8934); +#8937=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,0.E0)); +#8938=VERTEX_POINT('',#8937); +#8939=CARTESIAN_POINT('',(-4.749569055660E0,2.5527008E1,0.E0)); +#8940=VERTEX_POINT('',#8939); +#8941=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,0.E0)); +#8942=CARTESIAN_POINT('',(-3.073169055660E0,2.3444208E1,0.E0)); +#8943=VERTEX_POINT('',#8941); +#8944=VERTEX_POINT('',#8942); +#8945=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,0.E0)); +#8946=VERTEX_POINT('',#8945); +#8947=CARTESIAN_POINT('',(-4.749569055660E0,2.4257008E1,0.E0)); +#8948=VERTEX_POINT('',#8947); +#8949=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,0.E0)); +#8950=CARTESIAN_POINT('',(2.972030944340E0,2.8067008E1,0.E0)); +#8951=VERTEX_POINT('',#8949); +#8952=VERTEX_POINT('',#8950); +#8953=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,0.E0)); +#8954=VERTEX_POINT('',#8953); +#8955=CARTESIAN_POINT('',(4.648430944340E0,2.7254208E1,0.E0)); +#8956=VERTEX_POINT('',#8955); +#8957=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,0.E0)); +#8958=CARTESIAN_POINT('',(2.972030944340E0,2.6797008E1,0.E0)); +#8959=VERTEX_POINT('',#8957); +#8960=VERTEX_POINT('',#8958); +#8961=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,0.E0)); +#8962=VERTEX_POINT('',#8961); +#8963=CARTESIAN_POINT('',(4.648430944340E0,2.5984208E1,0.E0)); +#8964=VERTEX_POINT('',#8963); +#8965=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,0.E0)); +#8966=CARTESIAN_POINT('',(2.972030944340E0,2.5527008E1,0.E0)); +#8967=VERTEX_POINT('',#8965); +#8968=VERTEX_POINT('',#8966); +#8969=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,0.E0)); +#8970=VERTEX_POINT('',#8969); +#8971=CARTESIAN_POINT('',(4.648430944340E0,2.4714208E1,0.E0)); +#8972=VERTEX_POINT('',#8971); +#8973=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,0.E0)); +#8974=CARTESIAN_POINT('',(2.972030944340E0,2.4257008E1,0.E0)); +#8975=VERTEX_POINT('',#8973); +#8976=VERTEX_POINT('',#8974); +#8977=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,0.E0)); +#8978=VERTEX_POINT('',#8977); +#8979=CARTESIAN_POINT('',(4.648430944340E0,2.3444208E1,0.E0)); +#8980=VERTEX_POINT('',#8979); +#8981=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,0.E0)); +#8982=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,0.E0)); +#8983=VERTEX_POINT('',#8981); +#8984=VERTEX_POINT('',#8982); +#8985=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,0.E0)); +#8986=VERTEX_POINT('',#8985); +#8987=CARTESIAN_POINT('',(3.436901944340E0,4.553695E1,0.E0)); +#8988=VERTEX_POINT('',#8987); +#8989=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,0.E0)); +#8990=VERTEX_POINT('',#8989); +#8991=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,0.E0)); +#8992=VERTEX_POINT('',#8991); +#8993=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,0.E0)); +#8994=VERTEX_POINT('',#8993); +#8995=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,0.E0)); +#8996=VERTEX_POINT('',#8995); +#8997=CARTESIAN_POINT('',(-2.940911055660E0,4.4762326E1,0.E0)); +#8998=VERTEX_POINT('',#8997); +#8999=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,0.E0)); +#9000=VERTEX_POINT('',#8999); +#9001=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,0.E0)); +#9002=VERTEX_POINT('',#9001); +#9003=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,0.E0)); +#9004=VERTEX_POINT('',#9003); +#9005=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,0.E0)); +#9006=VERTEX_POINT('',#9005); +#9007=CARTESIAN_POINT('',(-2.166287055660E0,3.8384513E1,0.E0)); +#9008=VERTEX_POINT('',#9007); +#9009=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,0.E0)); +#9010=VERTEX_POINT('',#9009); +#9011=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,0.E0)); +#9012=VERTEX_POINT('',#9011); +#9013=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,0.E0)); +#9014=VERTEX_POINT('',#9013); +#9015=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,0.E0)); +#9016=VERTEX_POINT('',#9015); +#9017=CARTESIAN_POINT('',(4.211525944340E0,3.9159137E1,0.E0)); +#9018=VERTEX_POINT('',#9017); +#9019=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,0.E0)); +#9020=VERTEX_POINT('',#9019); +#9021=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,0.E0)); +#9022=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,0.E0)); +#9023=VERTEX_POINT('',#9021); +#9024=VERTEX_POINT('',#9022); +#9025=CARTESIAN_POINT('',(2.183614944340E0,3.3308806E1,0.E0)); +#9026=VERTEX_POINT('',#9025); +#9027=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,0.E0)); +#9028=VERTEX_POINT('',#9027); +#9029=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,0.E0)); +#9030=VERTEX_POINT('',#9029); +#9031=CARTESIAN_POINT('',(2.183614944340E0,3.115641E1,0.E0)); +#9032=VERTEX_POINT('',#9031); +#9033=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,0.E0)); +#9034=VERTEX_POINT('',#9033); +#9035=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,0.E0)); +#9036=VERTEX_POINT('',#9035); +#9037=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,0.E0)); +#9038=VERTEX_POINT('',#9037); +#9039=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,0.E0)); +#9040=VERTEX_POINT('',#9039); +#9041=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,0.E0)); +#9042=VERTEX_POINT('',#9041); +#9043=CARTESIAN_POINT('',(4.166846944340E0,3.3308806E1,0.E0)); +#9044=VERTEX_POINT('',#9043); +#9045=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,0.E0)); +#9046=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,0.E0)); +#9047=VERTEX_POINT('',#9045); +#9048=VERTEX_POINT('',#9046); +#9049=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,0.E0)); +#9050=VERTEX_POINT('',#9049); +#9051=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,0.E0)); +#9052=VERTEX_POINT('',#9051); +#9053=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,0.E0)); +#9054=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,0.E0)); +#9055=VERTEX_POINT('',#9053); +#9056=VERTEX_POINT('',#9054); +#9057=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,0.E0)); +#9058=VERTEX_POINT('',#9057); +#9059=CARTESIAN_POINT('',(7.735902944340E0,5.3409647E1,0.E0)); +#9060=VERTEX_POINT('',#9059); +#9061=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,0.E0)); +#9062=VERTEX_POINT('',#9061); +#9063=CARTESIAN_POINT('',(7.177422944340E0,5.2171245E1,0.E0)); +#9064=VERTEX_POINT('',#9063); +#9065=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,0.E0)); +#9066=VERTEX_POINT('',#9065); +#9067=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,0.E0)); +#9068=VERTEX_POINT('',#9067); +#9069=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,0.E0)); +#9070=VERTEX_POINT('',#9069); +#9071=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,0.E0)); +#9072=VERTEX_POINT('',#9071); +#9073=CARTESIAN_POINT('',(7.735902944340E0,4.9889649E1,0.E0)); +#9074=VERTEX_POINT('',#9073); +#9075=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,0.E0)); +#9076=VERTEX_POINT('',#9075); +#9077=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,0.E0)); +#9078=VERTEX_POINT('',#9077); +#9079=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,0.E0)); +#9080=VERTEX_POINT('',#9079); +#9081=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,0.E0)); +#9082=VERTEX_POINT('',#9081); +#9083=CARTESIAN_POINT('',(9.742820047540E0,5.3409647E1,0.E0)); +#9084=VERTEX_POINT('',#9083); +#9085=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,0.E0)); +#9086=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,0.E0)); +#9087=VERTEX_POINT('',#9085); +#9088=VERTEX_POINT('',#9086); +#9089=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,0.E0)); +#9090=VERTEX_POINT('',#9089); +#9091=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,0.E0)); +#9092=VERTEX_POINT('',#9091); +#9093=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,0.E0)); +#9094=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,0.E0)); +#9095=VERTEX_POINT('',#9093); +#9096=VERTEX_POINT('',#9094); +#9097=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,0.E0)); +#9098=VERTEX_POINT('',#9097); +#9099=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,0.E0)); +#9100=VERTEX_POINT('',#9099); +#9101=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,0.E0)); +#9102=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,0.E0)); +#9103=VERTEX_POINT('',#9101); +#9104=VERTEX_POINT('',#9102); +#9105=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,0.E0)); +#9106=VERTEX_POINT('',#9105); +#9107=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,0.E0)); +#9108=VERTEX_POINT('',#9107); +#9109=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,0.E0)); +#9110=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,0.E0)); +#9111=VERTEX_POINT('',#9109); +#9112=VERTEX_POINT('',#9110); +#9113=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,0.E0)); +#9114=VERTEX_POINT('',#9113); +#9115=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,0.E0)); +#9116=VERTEX_POINT('',#9115); +#9117=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,0.E0)); +#9118=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,0.E0)); +#9119=VERTEX_POINT('',#9117); +#9120=VERTEX_POINT('',#9118); +#9121=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,0.E0)); +#9122=VERTEX_POINT('',#9121); +#9123=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,0.E0)); +#9124=VERTEX_POINT('',#9123); +#9125=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,0.E0)); +#9126=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,0.E0)); +#9127=VERTEX_POINT('',#9125); +#9128=VERTEX_POINT('',#9126); +#9129=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,0.E0)); +#9130=VERTEX_POINT('',#9129); +#9131=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,0.E0)); +#9132=VERTEX_POINT('',#9131); +#9133=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,0.E0)); +#9134=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,0.E0)); +#9135=VERTEX_POINT('',#9133); +#9136=VERTEX_POINT('',#9134); +#9137=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,0.E0)); +#9138=VERTEX_POINT('',#9137); +#9139=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,0.E0)); +#9140=VERTEX_POINT('',#9139); +#9141=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,0.E0)); +#9142=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,0.E0)); +#9143=VERTEX_POINT('',#9141); +#9144=VERTEX_POINT('',#9142); +#9145=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,0.E0)); +#9146=VERTEX_POINT('',#9145); +#9147=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,0.E0)); +#9148=VERTEX_POINT('',#9147); +#9149=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,0.E0)); +#9150=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,0.E0)); +#9151=VERTEX_POINT('',#9149); +#9152=VERTEX_POINT('',#9150); +#9153=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,0.E0)); +#9154=VERTEX_POINT('',#9153); +#9155=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,0.E0)); +#9156=VERTEX_POINT('',#9155); +#9157=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,0.E0)); +#9158=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,0.E0)); +#9159=VERTEX_POINT('',#9157); +#9160=VERTEX_POINT('',#9158); +#9161=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,0.E0)); +#9162=VERTEX_POINT('',#9161); +#9163=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,0.E0)); +#9164=VERTEX_POINT('',#9163); +#9165=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,0.E0)); +#9166=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,0.E0)); +#9167=VERTEX_POINT('',#9165); +#9168=VERTEX_POINT('',#9166); +#9169=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,0.E0)); +#9170=VERTEX_POINT('',#9169); +#9171=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,0.E0)); +#9172=VERTEX_POINT('',#9171); +#9173=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,0.E0)); +#9174=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,0.E0)); +#9175=VERTEX_POINT('',#9173); +#9176=VERTEX_POINT('',#9174); +#9177=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,0.E0)); +#9178=VERTEX_POINT('',#9177); +#9179=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,0.E0)); +#9180=VERTEX_POINT('',#9179); +#9181=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,0.E0)); +#9182=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,0.E0)); +#9183=VERTEX_POINT('',#9181); +#9184=VERTEX_POINT('',#9182); +#9185=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,0.E0)); +#9186=VERTEX_POINT('',#9185); +#9187=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,0.E0)); +#9188=VERTEX_POINT('',#9187); +#9189=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,0.E0)); +#9190=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,0.E0)); +#9191=VERTEX_POINT('',#9189); +#9192=VERTEX_POINT('',#9190); +#9193=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,0.E0)); +#9194=VERTEX_POINT('',#9193); +#9195=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,0.E0)); +#9196=VERTEX_POINT('',#9195); +#9197=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,0.E0)); +#9198=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,0.E0)); +#9199=VERTEX_POINT('',#9197); +#9200=VERTEX_POINT('',#9198); +#9201=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,0.E0)); +#9202=VERTEX_POINT('',#9201); +#9203=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,0.E0)); +#9204=VERTEX_POINT('',#9203); +#9205=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,0.E0)); +#9206=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,0.E0)); +#9207=VERTEX_POINT('',#9205); +#9208=VERTEX_POINT('',#9206); +#9209=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,0.E0)); +#9210=VERTEX_POINT('',#9209); +#9211=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,0.E0)); +#9212=VERTEX_POINT('',#9211); +#9213=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,0.E0)); +#9214=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,0.E0)); +#9215=VERTEX_POINT('',#9213); +#9216=VERTEX_POINT('',#9214); +#9217=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,0.E0)); +#9218=VERTEX_POINT('',#9217); +#9219=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,0.E0)); +#9220=VERTEX_POINT('',#9219); +#9221=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,0.E0)); +#9222=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,0.E0)); +#9223=VERTEX_POINT('',#9221); +#9224=VERTEX_POINT('',#9222); +#9225=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,0.E0)); +#9226=VERTEX_POINT('',#9225); +#9227=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,0.E0)); +#9228=VERTEX_POINT('',#9227); +#9229=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,0.E0)); +#9230=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,0.E0)); +#9231=VERTEX_POINT('',#9229); +#9232=VERTEX_POINT('',#9230); +#9233=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,0.E0)); +#9234=VERTEX_POINT('',#9233); +#9235=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,0.E0)); +#9236=VERTEX_POINT('',#9235); +#9237=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,0.E0)); +#9238=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,0.E0)); +#9239=VERTEX_POINT('',#9237); +#9240=VERTEX_POINT('',#9238); +#9241=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,0.E0)); +#9242=VERTEX_POINT('',#9241); +#9243=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,0.E0)); +#9244=VERTEX_POINT('',#9243); +#9245=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,0.E0)); +#9246=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,0.E0)); +#9247=VERTEX_POINT('',#9245); +#9248=VERTEX_POINT('',#9246); +#9249=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,0.E0)); +#9250=VERTEX_POINT('',#9249); +#9251=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,0.E0)); +#9252=VERTEX_POINT('',#9251); +#9253=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,0.E0)); +#9254=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,0.E0)); +#9255=VERTEX_POINT('',#9253); +#9256=VERTEX_POINT('',#9254); +#9257=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,0.E0)); +#9258=VERTEX_POINT('',#9257); +#9259=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,0.E0)); +#9260=VERTEX_POINT('',#9259); +#9261=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,0.E0)); +#9262=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,0.E0)); +#9263=VERTEX_POINT('',#9261); +#9264=VERTEX_POINT('',#9262); +#9265=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,0.E0)); +#9266=VERTEX_POINT('',#9265); +#9267=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,0.E0)); +#9268=VERTEX_POINT('',#9267); +#9269=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,0.E0)); +#9270=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,0.E0)); +#9271=VERTEX_POINT('',#9269); +#9272=VERTEX_POINT('',#9270); +#9273=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,0.E0)); +#9274=VERTEX_POINT('',#9273); +#9275=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,0.E0)); +#9276=VERTEX_POINT('',#9275); +#9277=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,0.E0)); +#9278=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,0.E0)); +#9279=VERTEX_POINT('',#9277); +#9280=VERTEX_POINT('',#9278); +#9281=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,0.E0)); +#9282=VERTEX_POINT('',#9281); +#9283=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,0.E0)); +#9284=VERTEX_POINT('',#9283); +#9285=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,0.E0)); +#9286=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,0.E0)); +#9287=VERTEX_POINT('',#9285); +#9288=VERTEX_POINT('',#9286); +#9289=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,0.E0)); +#9290=VERTEX_POINT('',#9289); +#9291=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,0.E0)); +#9292=VERTEX_POINT('',#9291); +#9293=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,0.E0)); +#9294=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,0.E0)); +#9295=VERTEX_POINT('',#9293); +#9296=VERTEX_POINT('',#9294); +#9297=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,0.E0)); +#9298=VERTEX_POINT('',#9297); +#9299=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,0.E0)); +#9300=VERTEX_POINT('',#9299); +#9301=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,0.E0)); +#9302=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,0.E0)); +#9303=VERTEX_POINT('',#9301); +#9304=VERTEX_POINT('',#9302); +#9305=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,0.E0)); +#9306=VERTEX_POINT('',#9305); +#9307=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,0.E0)); +#9308=VERTEX_POINT('',#9307); +#9309=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,0.E0)); +#9310=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,0.E0)); +#9311=VERTEX_POINT('',#9309); +#9312=VERTEX_POINT('',#9310); +#9313=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,0.E0)); +#9314=VERTEX_POINT('',#9313); +#9315=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,0.E0)); +#9316=VERTEX_POINT('',#9315); +#9317=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,0.E0)); +#9318=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,0.E0)); +#9319=VERTEX_POINT('',#9317); +#9320=VERTEX_POINT('',#9318); +#9321=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,0.E0)); +#9322=VERTEX_POINT('',#9321); +#9323=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,0.E0)); +#9324=VERTEX_POINT('',#9323); +#9325=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,0.E0)); +#9326=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,0.E0)); +#9327=VERTEX_POINT('',#9325); +#9328=VERTEX_POINT('',#9326); +#9329=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,0.E0)); +#9330=VERTEX_POINT('',#9329); +#9331=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,0.E0)); +#9332=VERTEX_POINT('',#9331); +#9333=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,0.E0)); +#9334=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,0.E0)); +#9335=VERTEX_POINT('',#9333); +#9336=VERTEX_POINT('',#9334); +#9337=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,0.E0)); +#9338=VERTEX_POINT('',#9337); +#9339=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,0.E0)); +#9340=VERTEX_POINT('',#9339); +#9341=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,0.E0)); +#9342=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,0.E0)); +#9343=VERTEX_POINT('',#9341); +#9344=VERTEX_POINT('',#9342); +#9345=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,0.E0)); +#9346=VERTEX_POINT('',#9345); +#9347=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,0.E0)); +#9348=VERTEX_POINT('',#9347); +#9349=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,0.E0)); +#9350=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,0.E0)); +#9351=VERTEX_POINT('',#9349); +#9352=VERTEX_POINT('',#9350); +#9353=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,0.E0)); +#9354=VERTEX_POINT('',#9353); +#9355=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,0.E0)); +#9356=VERTEX_POINT('',#9355); +#9357=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,0.E0)); +#9358=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,0.E0)); +#9359=VERTEX_POINT('',#9357); +#9360=VERTEX_POINT('',#9358); +#9361=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,0.E0)); +#9362=VERTEX_POINT('',#9361); +#9363=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,0.E0)); +#9364=VERTEX_POINT('',#9363); +#9365=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,0.E0)); +#9366=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,0.E0)); +#9367=VERTEX_POINT('',#9365); +#9368=VERTEX_POINT('',#9366); +#9369=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,0.E0)); +#9370=VERTEX_POINT('',#9369); +#9371=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,0.E0)); +#9372=VERTEX_POINT('',#9371); +#9373=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,0.E0)); +#9374=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,0.E0)); +#9375=VERTEX_POINT('',#9373); +#9376=VERTEX_POINT('',#9374); +#9377=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,0.E0)); +#9378=VERTEX_POINT('',#9377); +#9379=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,0.E0)); +#9380=VERTEX_POINT('',#9379); +#9381=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,0.E0)); +#9382=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,0.E0)); +#9383=VERTEX_POINT('',#9381); +#9384=VERTEX_POINT('',#9382); +#9385=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,0.E0)); +#9386=VERTEX_POINT('',#9385); +#9387=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,0.E0)); +#9388=VERTEX_POINT('',#9387); +#9389=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,0.E0)); +#9390=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,0.E0)); +#9391=VERTEX_POINT('',#9389); +#9392=VERTEX_POINT('',#9390); +#9393=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,0.E0)); +#9394=VERTEX_POINT('',#9393); +#9395=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,0.E0)); +#9396=VERTEX_POINT('',#9395); +#9397=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,0.E0)); +#9398=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,0.E0)); +#9399=VERTEX_POINT('',#9397); +#9400=VERTEX_POINT('',#9398); +#9401=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,0.E0)); +#9402=VERTEX_POINT('',#9401); +#9403=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,0.E0)); +#9404=VERTEX_POINT('',#9403); +#9405=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,0.E0)); +#9406=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,0.E0)); +#9407=VERTEX_POINT('',#9405); +#9408=VERTEX_POINT('',#9406); +#9409=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,0.E0)); +#9410=VERTEX_POINT('',#9409); +#9411=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,0.E0)); +#9412=VERTEX_POINT('',#9411); +#9413=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,0.E0)); +#9414=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,0.E0)); +#9415=VERTEX_POINT('',#9413); +#9416=VERTEX_POINT('',#9414); +#9417=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,0.E0)); +#9418=VERTEX_POINT('',#9417); +#9419=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,0.E0)); +#9420=VERTEX_POINT('',#9419); +#9421=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,0.E0)); +#9422=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,0.E0)); +#9423=VERTEX_POINT('',#9421); +#9424=VERTEX_POINT('',#9422); +#9425=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,0.E0)); +#9426=VERTEX_POINT('',#9425); +#9427=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,0.E0)); +#9428=VERTEX_POINT('',#9427); +#9429=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,0.E0)); +#9430=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,0.E0)); +#9431=VERTEX_POINT('',#9429); +#9432=VERTEX_POINT('',#9430); +#9433=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,0.E0)); +#9434=VERTEX_POINT('',#9433); +#9435=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,0.E0)); +#9436=VERTEX_POINT('',#9435); +#9437=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,0.E0)); +#9438=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,0.E0)); +#9439=VERTEX_POINT('',#9437); +#9440=VERTEX_POINT('',#9438); +#9441=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,0.E0)); +#9442=VERTEX_POINT('',#9441); +#9443=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,0.E0)); +#9444=VERTEX_POINT('',#9443); +#9445=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,0.E0)); +#9446=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,0.E0)); +#9447=VERTEX_POINT('',#9445); +#9448=VERTEX_POINT('',#9446); +#9449=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,0.E0)); +#9450=VERTEX_POINT('',#9449); +#9451=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,0.E0)); +#9452=VERTEX_POINT('',#9451); +#9453=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,0.E0)); +#9454=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,0.E0)); +#9455=VERTEX_POINT('',#9453); +#9456=VERTEX_POINT('',#9454); +#9457=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,0.E0)); +#9458=VERTEX_POINT('',#9457); +#9459=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,0.E0)); +#9460=VERTEX_POINT('',#9459); +#9461=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,0.E0)); +#9462=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,0.E0)); +#9463=VERTEX_POINT('',#9461); +#9464=VERTEX_POINT('',#9462); +#9465=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,0.E0)); +#9466=VERTEX_POINT('',#9465); +#9467=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,0.E0)); +#9468=VERTEX_POINT('',#9467); +#9469=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,0.E0)); +#9470=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,0.E0)); +#9471=VERTEX_POINT('',#9469); +#9472=VERTEX_POINT('',#9470); +#9473=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,0.E0)); +#9474=VERTEX_POINT('',#9473); +#9475=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,0.E0)); +#9476=VERTEX_POINT('',#9475); +#9477=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,0.E0)); +#9478=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,0.E0)); +#9479=VERTEX_POINT('',#9477); +#9480=VERTEX_POINT('',#9478); +#9481=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,0.E0)); +#9482=VERTEX_POINT('',#9481); +#9483=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,0.E0)); +#9484=VERTEX_POINT('',#9483); +#9485=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,0.E0)); +#9486=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,0.E0)); +#9487=VERTEX_POINT('',#9485); +#9488=VERTEX_POINT('',#9486); +#9489=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,0.E0)); +#9490=VERTEX_POINT('',#9489); +#9491=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,0.E0)); +#9492=VERTEX_POINT('',#9491); +#9493=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,0.E0)); +#9494=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,0.E0)); +#9495=VERTEX_POINT('',#9493); +#9496=VERTEX_POINT('',#9494); +#9497=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,0.E0)); +#9498=VERTEX_POINT('',#9497); +#9499=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,0.E0)); +#9500=VERTEX_POINT('',#9499); +#9501=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,0.E0)); +#9502=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,0.E0)); +#9503=VERTEX_POINT('',#9501); +#9504=VERTEX_POINT('',#9502); +#9505=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,0.E0)); +#9506=VERTEX_POINT('',#9505); +#9507=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,0.E0)); +#9508=VERTEX_POINT('',#9507); +#9509=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,0.E0)); +#9510=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,0.E0)); +#9511=VERTEX_POINT('',#9509); +#9512=VERTEX_POINT('',#9510); +#9513=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,0.E0)); +#9514=VERTEX_POINT('',#9513); +#9515=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,0.E0)); +#9516=VERTEX_POINT('',#9515); +#9517=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,0.E0)); +#9518=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,0.E0)); +#9519=VERTEX_POINT('',#9517); +#9520=VERTEX_POINT('',#9518); +#9521=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,0.E0)); +#9522=VERTEX_POINT('',#9521); +#9523=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,0.E0)); +#9524=VERTEX_POINT('',#9523); +#9525=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,0.E0)); +#9526=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,0.E0)); +#9527=VERTEX_POINT('',#9525); +#9528=VERTEX_POINT('',#9526); +#9529=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,0.E0)); +#9530=VERTEX_POINT('',#9529); +#9531=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,0.E0)); +#9532=VERTEX_POINT('',#9531); +#9533=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,0.E0)); +#9534=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,0.E0)); +#9535=VERTEX_POINT('',#9533); +#9536=VERTEX_POINT('',#9534); +#9537=CARTESIAN_POINT('',(9.175424944340E0,1.9950809E1,0.E0)); +#9538=VERTEX_POINT('',#9537); +#9539=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,0.E0)); +#9540=VERTEX_POINT('',#9539); +#9541=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,0.E0)); +#9542=VERTEX_POINT('',#9541); +#9543=CARTESIAN_POINT('',(9.175424944340E0,1.8250807E1,0.E0)); +#9544=VERTEX_POINT('',#9543); +#9545=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,0.E0)); +#9546=VERTEX_POINT('',#9545); +#9547=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,0.E0)); +#9548=VERTEX_POINT('',#9547); +#9549=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,0.E0)); +#9550=VERTEX_POINT('',#9549); +#9551=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,0.E0)); +#9552=VERTEX_POINT('',#9551); +#9553=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,0.E0)); +#9554=VERTEX_POINT('',#9553); +#9555=CARTESIAN_POINT('',(1.020862094434E1,1.9950809E1,0.E0)); +#9556=VERTEX_POINT('',#9555); +#9557=CARTESIAN_POINT('',(8.633825944340E0,1.8250807E1,0.E0)); +#9558=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,0.E0)); +#9559=VERTEX_POINT('',#9557); +#9560=VERTEX_POINT('',#9558); +#9561=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,0.E0)); +#9562=VERTEX_POINT('',#9561); +#9563=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,0.E0)); +#9564=VERTEX_POINT('',#9563); +#9565=CARTESIAN_POINT('',(8.633825944340E0,1.9950809E1,0.E0)); +#9566=VERTEX_POINT('',#9565); +#9567=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,0.E0)); +#9568=VERTEX_POINT('',#9567); +#9569=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,0.E0)); +#9570=VERTEX_POINT('',#9569); +#9571=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,0.E0)); +#9572=VERTEX_POINT('',#9571); +#9573=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,0.E0)); +#9574=VERTEX_POINT('',#9573); +#9575=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,0.E0)); +#9576=VERTEX_POINT('',#9575); +#9577=CARTESIAN_POINT('',(7.600619944340E0,1.8250807E1,0.E0)); +#9578=VERTEX_POINT('',#9577); +#9579=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,0.E0)); +#9580=VERTEX_POINT('',#9579); +#9581=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,0.E0)); +#9582=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,0.E0)); +#9583=VERTEX_POINT('',#9581); +#9584=VERTEX_POINT('',#9582); +#9585=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,0.E0)); +#9586=VERTEX_POINT('',#9585); +#9587=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,0.E0)); +#9588=VERTEX_POINT('',#9587); +#9589=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,0.E0)); +#9590=VERTEX_POINT('',#9589); +#9591=CARTESIAN_POINT('',(2.580621944340E0,1.9322608E1,0.E0)); +#9592=VERTEX_POINT('',#9591); +#9593=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,0.E0)); +#9594=VERTEX_POINT('',#9593); +#9595=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,0.E0)); +#9596=VERTEX_POINT('',#9595); +#9597=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,0.E0)); +#9598=VERTEX_POINT('',#9597); +#9599=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,0.E0)); +#9600=VERTEX_POINT('',#9599); +#9601=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,0.E0)); +#9602=VERTEX_POINT('',#9601); +#9603=CARTESIAN_POINT('',(3.780621944340E0,1.7522597E1,0.E0)); +#9604=VERTEX_POINT('',#9603); +#9605=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,0.E0)); +#9606=VERTEX_POINT('',#9605); +#9607=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,0.E0)); +#9608=VERTEX_POINT('',#9607); +#9609=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,0.E0)); +#9610=VERTEX_POINT('',#9609); +#9611=CARTESIAN_POINT('',(3.780621944340E0,1.9390419E1,0.E0)); +#9612=VERTEX_POINT('',#9611); +#9613=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,0.E0)); +#9614=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,0.E0)); +#9615=VERTEX_POINT('',#9613); +#9616=VERTEX_POINT('',#9614); +#9617=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,0.E0)); +#9618=VERTEX_POINT('',#9617); +#9619=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,0.E0)); +#9620=VERTEX_POINT('',#9619); +#9621=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,0.E0)); +#9622=VERTEX_POINT('',#9621); +#9623=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,0.E0)); +#9624=VERTEX_POINT('',#9623); +#9625=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,0.E0)); +#9626=VERTEX_POINT('',#9625); +#9627=CARTESIAN_POINT('',(-3.293577055660E0,2.0777208E1,0.E0)); +#9628=VERTEX_POINT('',#9627); +#9629=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,0.E0)); +#9630=VERTEX_POINT('',#9629); +#9631=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,0.E0)); +#9632=VERTEX_POINT('',#9631); +#9633=CARTESIAN_POINT('',(-2.093577055660E0,1.9827197E1,0.E0)); +#9634=VERTEX_POINT('',#9633); +#9635=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,0.E0)); +#9636=VERTEX_POINT('',#9635); +#9637=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,0.E0)); +#9638=VERTEX_POINT('',#9637); +#9639=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,0.E0)); +#9640=VERTEX_POINT('',#9639); +#9641=CARTESIAN_POINT('',(-2.093577055660E0,2.1727219E1,0.E0)); +#9642=VERTEX_POINT('',#9641); +#9643=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,0.E0)); +#9644=VERTEX_POINT('',#9643); +#9645=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,0.E0)); +#9646=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,0.E0)); +#9647=VERTEX_POINT('',#9645); +#9648=VERTEX_POINT('',#9646); +#9649=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,0.E0)); +#9650=VERTEX_POINT('',#9649); +#9651=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,0.E0)); +#9652=VERTEX_POINT('',#9651); +#9653=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,0.E0)); +#9654=VERTEX_POINT('',#9653); +#9655=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,0.E0)); +#9656=VERTEX_POINT('',#9655); +#9657=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,0.E0)); +#9658=VERTEX_POINT('',#9657); +#9659=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,0.E0)); +#9660=VERTEX_POINT('',#9659); +#9661=CARTESIAN_POINT('',(-4.770788055660E0,1.7043408E1,0.E0)); +#9662=VERTEX_POINT('',#9661); +#9663=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,0.E0)); +#9664=VERTEX_POINT('',#9663); +#9665=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,0.E0)); +#9666=VERTEX_POINT('',#9665); +#9667=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,0.E0)); +#9668=VERTEX_POINT('',#9667); +#9669=CARTESIAN_POINT('',(-2.870767055660E0,1.7043408E1,0.E0)); +#9670=VERTEX_POINT('',#9669); +#9671=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,0.E0)); +#9672=VERTEX_POINT('',#9671); +#9673=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,0.E0)); +#9674=VERTEX_POINT('',#9673); +#9675=CARTESIAN_POINT('',(-3.820777055660E0,1.8243408E1,0.E0)); +#9676=VERTEX_POINT('',#9675); +#9677=CARTESIAN_POINT('',(-1.884177055660E0,1.4300208E1,0.E0)); +#9678=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,0.E0)); +#9679=VERTEX_POINT('',#9677); +#9680=VERTEX_POINT('',#9678); +#9681=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,0.E0)); +#9682=VERTEX_POINT('',#9681); +#9683=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,0.E0)); +#9684=VERTEX_POINT('',#9683); +#9685=CARTESIAN_POINT('',(-3.084177055660E0,1.5250219E1,0.E0)); +#9686=VERTEX_POINT('',#9685); +#9687=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,0.E0)); +#9688=VERTEX_POINT('',#9687); +#9689=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,0.E0)); +#9690=VERTEX_POINT('',#9689); +#9691=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,0.E0)); +#9692=VERTEX_POINT('',#9691); +#9693=CARTESIAN_POINT('',(-3.084177055660E0,1.3350197E1,0.E0)); +#9694=VERTEX_POINT('',#9693); +#9695=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,0.E0)); +#9696=VERTEX_POINT('',#9695); +#9697=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,0.E0)); +#9698=VERTEX_POINT('',#9697); +#9699=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,0.E0)); +#9700=VERTEX_POINT('',#9699); +#9701=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,0.E0)); +#9702=VERTEX_POINT('',#9701); +#9703=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,0.E0)); +#9704=VERTEX_POINT('',#9703); +#9705=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,0.E0)); +#9706=VERTEX_POINT('',#9705); +#9707=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,0.E0)); +#9708=VERTEX_POINT('',#9707); +#9709=CARTESIAN_POINT('',(-4.395780556596E-1,1.1698207E1,0.E0)); +#9710=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,0.E0)); +#9711=VERTEX_POINT('',#9709); +#9712=VERTEX_POINT('',#9710); +#9713=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,0.E0)); +#9714=VERTEX_POINT('',#9713); +#9715=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,0.E0)); +#9716=VERTEX_POINT('',#9715); +#9717=CARTESIAN_POINT('',(-3.239577055660E0,1.1698207E1,0.E0)); +#9718=VERTEX_POINT('',#9717); +#9719=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,0.E0)); +#9720=VERTEX_POINT('',#9719); +#9721=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,0.E0)); +#9722=VERTEX_POINT('',#9721); +#9723=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,0.E0)); +#9724=VERTEX_POINT('',#9723); +#9725=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,0.E0)); +#9726=VERTEX_POINT('',#9725); +#9727=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,0.E0)); +#9728=VERTEX_POINT('',#9727); +#9729=CARTESIAN_POINT('',(-4.395780556596E-1,1.0298209E1,0.E0)); +#9730=VERTEX_POINT('',#9729); +#9731=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,0.E0)); +#9732=VERTEX_POINT('',#9731); +#9733=CARTESIAN_POINT('',(5.664420944340E0,1.5855005E1,0.E0)); +#9734=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,0.E0)); +#9735=VERTEX_POINT('',#9733); +#9736=VERTEX_POINT('',#9734); +#9737=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,0.E0)); +#9738=VERTEX_POINT('',#9737); +#9739=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,0.E0)); +#9740=VERTEX_POINT('',#9739); +#9741=CARTESIAN_POINT('',(3.864418944340E0,1.5855005E1,0.E0)); +#9742=VERTEX_POINT('',#9741); +#9743=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,0.E0)); +#9744=VERTEX_POINT('',#9743); +#9745=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,0.E0)); +#9746=VERTEX_POINT('',#9745); +#9747=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,0.E0)); +#9748=VERTEX_POINT('',#9747); +#9749=CARTESIAN_POINT('',(3.864418944340E0,1.4905005E1,0.E0)); +#9750=VERTEX_POINT('',#9749); +#9751=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,0.E0)); +#9752=VERTEX_POINT('',#9751); +#9753=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,0.E0)); +#9754=VERTEX_POINT('',#9753); +#9755=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,0.E0)); +#9756=VERTEX_POINT('',#9755); +#9757=CARTESIAN_POINT('',(3.864418944340E0,1.3955007E1,0.E0)); +#9758=VERTEX_POINT('',#9757); +#9759=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,0.E0)); +#9760=VERTEX_POINT('',#9759); +#9761=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,0.E0)); +#9762=VERTEX_POINT('',#9761); +#9763=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,0.E0)); +#9764=VERTEX_POINT('',#9763); +#9765=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,0.E0)); +#9766=VERTEX_POINT('',#9765); +#9767=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,0.E0)); +#9768=VERTEX_POINT('',#9767); +#9769=CARTESIAN_POINT('',(5.664420944340E0,1.3355008E1,0.E0)); +#9770=VERTEX_POINT('',#9769); +#9771=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,0.E0)); +#9772=VERTEX_POINT('',#9771); +#9773=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,0.E0)); +#9774=VERTEX_POINT('',#9773); +#9775=CARTESIAN_POINT('',(5.664420944340E0,1.3955007E1,0.E0)); +#9776=VERTEX_POINT('',#9775); +#9777=CARTESIAN_POINT('',(5.664420944340E0,1.5255007E1,0.E0)); +#9778=VERTEX_POINT('',#9777); +#9779=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,0.E0)); +#9780=VERTEX_POINT('',#9779); +#9781=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,0.E0)); +#9782=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,0.E0)); +#9783=VERTEX_POINT('',#9781); +#9784=VERTEX_POINT('',#9782); +#9785=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,0.E0)); +#9786=VERTEX_POINT('',#9785); +#9787=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,0.E0)); +#9788=VERTEX_POINT('',#9787); +#9789=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,0.E0)); +#9790=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,0.E0)); +#9791=VERTEX_POINT('',#9789); +#9792=VERTEX_POINT('',#9790); +#9793=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,0.E0)); +#9794=VERTEX_POINT('',#9793); +#9795=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,0.E0)); +#9796=VERTEX_POINT('',#9795); +#9797=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,0.E0)); +#9798=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,0.E0)); +#9799=VERTEX_POINT('',#9797); +#9800=VERTEX_POINT('',#9798); +#9801=CARTESIAN_POINT('',(-7.996776055660E0,1.5454206E1,0.E0)); +#9802=VERTEX_POINT('',#9801); +#9803=CARTESIAN_POINT('',(-9.296776055660E0,1.5454206E1,0.E0)); +#9804=VERTEX_POINT('',#9803); +#9805=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,0.E0)); +#9806=VERTEX_POINT('',#9805); +#9807=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,0.E0)); +#9808=VERTEX_POINT('',#9807); +#9809=CARTESIAN_POINT('',(-9.896775055660E0,1.5454206E1,0.E0)); +#9810=VERTEX_POINT('',#9809); +#9811=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,0.E0)); +#9812=VERTEX_POINT('',#9811); +#9813=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,0.E0)); +#9814=VERTEX_POINT('',#9813); +#9815=CARTESIAN_POINT('',(-9.896775055660E0,1.3654205E1,0.E0)); +#9816=VERTEX_POINT('',#9815); +#9817=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,0.E0)); +#9818=VERTEX_POINT('',#9817); +#9819=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,0.E0)); +#9820=VERTEX_POINT('',#9819); +#9821=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,0.E0)); +#9822=VERTEX_POINT('',#9821); +#9823=CARTESIAN_POINT('',(-8.946774055660E0,1.3654205E1,0.E0)); +#9824=VERTEX_POINT('',#9823); +#9825=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,0.E0)); +#9826=VERTEX_POINT('',#9825); +#9827=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,0.E0)); +#9828=VERTEX_POINT('',#9827); +#9829=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,0.E0)); +#9830=VERTEX_POINT('',#9829); +#9831=CARTESIAN_POINT('',(-7.996776055660E0,1.3654205E1,0.E0)); +#9832=VERTEX_POINT('',#9831); +#9833=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,0.E0)); +#9834=VERTEX_POINT('',#9833); +#9835=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,0.E0)); +#9836=VERTEX_POINT('',#9835); +#9837=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,0.E0)); +#9838=VERTEX_POINT('',#9837); +#9839=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,0.E0)); +#9840=VERTEX_POINT('',#9839); +#9841=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,0.E0)); +#9842=VERTEX_POINT('',#9841); +#9843=CARTESIAN_POINT('',(-7.396777055660E0,1.5454206E1,0.E0)); +#9844=VERTEX_POINT('',#9843); +#9845=CARTESIAN_POINT('',(1.212122044434E1,5.1943008E1,0.E0)); +#9846=CARTESIAN_POINT('',(1.071802244434E1,5.1943008E1,0.E0)); +#9847=VERTEX_POINT('',#9845); +#9848=VERTEX_POINT('',#9846); +#9849=CARTESIAN_POINT('',(1.212122044434E1,4.9403008E1,0.E0)); +#9850=CARTESIAN_POINT('',(1.071802244434E1,4.9403008E1,0.E0)); +#9851=VERTEX_POINT('',#9849); +#9852=VERTEX_POINT('',#9850); +#9853=CARTESIAN_POINT('',(1.212122044434E1,4.6863008E1,0.E0)); +#9854=CARTESIAN_POINT('',(1.071802244434E1,4.6863008E1,0.E0)); +#9855=VERTEX_POINT('',#9853); +#9856=VERTEX_POINT('',#9854); +#9857=CARTESIAN_POINT('',(1.212122044434E1,4.4323008E1,0.E0)); +#9858=CARTESIAN_POINT('',(1.071802244434E1,4.4323008E1,0.E0)); +#9859=VERTEX_POINT('',#9857); +#9860=VERTEX_POINT('',#9858); +#9861=CARTESIAN_POINT('',(1.212122044434E1,4.1783008E1,0.E0)); +#9862=CARTESIAN_POINT('',(1.071802244434E1,4.1783008E1,0.E0)); +#9863=VERTEX_POINT('',#9861); +#9864=VERTEX_POINT('',#9862); +#9865=CARTESIAN_POINT('',(1.212122044434E1,3.9243008E1,0.E0)); +#9866=CARTESIAN_POINT('',(1.071802244434E1,3.9243008E1,0.E0)); +#9867=VERTEX_POINT('',#9865); +#9868=VERTEX_POINT('',#9866); +#9869=CARTESIAN_POINT('',(1.222122044434E1,3.6703008E1,0.E0)); +#9870=CARTESIAN_POINT('',(1.061802244434E1,3.6703008E1,0.E0)); +#9871=VERTEX_POINT('',#9869); +#9872=VERTEX_POINT('',#9870); +#9873=CARTESIAN_POINT('',(1.222122044434E1,3.4163008E1,0.E0)); +#9874=CARTESIAN_POINT('',(1.061802244434E1,3.4163008E1,0.E0)); +#9875=VERTEX_POINT('',#9873); +#9876=VERTEX_POINT('',#9874); +#9877=CARTESIAN_POINT('',(1.222122044434E1,3.1623008E1,0.E0)); +#9878=CARTESIAN_POINT('',(1.061802244434E1,3.1623008E1,0.E0)); +#9879=VERTEX_POINT('',#9877); +#9880=VERTEX_POINT('',#9878); +#9881=CARTESIAN_POINT('',(1.222122044434E1,2.9083008E1,0.E0)); +#9882=CARTESIAN_POINT('',(1.061802244434E1,2.9083008E1,0.E0)); +#9883=VERTEX_POINT('',#9881); +#9884=VERTEX_POINT('',#9882); +#9885=CARTESIAN_POINT('',(1.222122044434E1,2.6543008E1,0.E0)); +#9886=CARTESIAN_POINT('',(1.061802244434E1,2.6543008E1,0.E0)); +#9887=VERTEX_POINT('',#9885); +#9888=VERTEX_POINT('',#9886); +#9889=CARTESIAN_POINT('',(1.222122044434E1,2.4003008E1,0.E0)); +#9890=CARTESIAN_POINT('',(1.061802244434E1,2.4003008E1,0.E0)); +#9891=VERTEX_POINT('',#9889); +#9892=VERTEX_POINT('',#9890); +#9893=CARTESIAN_POINT('',(-1.063877955566E1,5.1943407E1,0.E0)); +#9894=CARTESIAN_POINT('',(-1.224197755566E1,5.1943407E1,0.E0)); +#9895=VERTEX_POINT('',#9893); +#9896=VERTEX_POINT('',#9894); +#9897=CARTESIAN_POINT('',(-1.073877955566E1,4.9403407E1,0.E0)); +#9898=CARTESIAN_POINT('',(-1.214197755566E1,4.9403407E1,0.E0)); +#9899=VERTEX_POINT('',#9897); +#9900=VERTEX_POINT('',#9898); +#9901=CARTESIAN_POINT('',(-1.073877955566E1,4.6863407E1,0.E0)); +#9902=CARTESIAN_POINT('',(-1.214197755566E1,4.6863407E1,0.E0)); +#9903=VERTEX_POINT('',#9901); +#9904=VERTEX_POINT('',#9902); +#9905=CARTESIAN_POINT('',(-1.073877955566E1,4.4323407E1,0.E0)); +#9906=CARTESIAN_POINT('',(-1.214197755566E1,4.4323407E1,0.E0)); +#9907=VERTEX_POINT('',#9905); +#9908=VERTEX_POINT('',#9906); +#9909=CARTESIAN_POINT('',(-1.073877955566E1,4.1783407E1,0.E0)); +#9910=CARTESIAN_POINT('',(-1.214197755566E1,4.1783407E1,0.E0)); +#9911=VERTEX_POINT('',#9909); +#9912=VERTEX_POINT('',#9910); +#9913=CARTESIAN_POINT('',(-1.073877955566E1,3.9243407E1,0.E0)); +#9914=CARTESIAN_POINT('',(-1.214197755566E1,3.9243407E1,0.E0)); +#9915=VERTEX_POINT('',#9913); +#9916=VERTEX_POINT('',#9914); +#9917=CARTESIAN_POINT('',(-1.073877955566E1,3.6703407E1,0.E0)); +#9918=CARTESIAN_POINT('',(-1.214197755566E1,3.6703407E1,0.E0)); +#9919=VERTEX_POINT('',#9917); +#9920=VERTEX_POINT('',#9918); +#9921=CARTESIAN_POINT('',(-1.073877955566E1,3.4163407E1,0.E0)); +#9922=CARTESIAN_POINT('',(-1.214197755566E1,3.4163407E1,0.E0)); +#9923=VERTEX_POINT('',#9921); +#9924=VERTEX_POINT('',#9922); +#9925=CARTESIAN_POINT('',(-1.073877955566E1,3.1623407E1,0.E0)); +#9926=CARTESIAN_POINT('',(-1.214197755566E1,3.1623407E1,0.E0)); +#9927=VERTEX_POINT('',#9925); +#9928=VERTEX_POINT('',#9926); +#9929=CARTESIAN_POINT('',(-1.073877955566E1,2.9083407E1,0.E0)); +#9930=CARTESIAN_POINT('',(-1.214197755566E1,2.9083407E1,0.E0)); +#9931=VERTEX_POINT('',#9929); +#9932=VERTEX_POINT('',#9930); +#9933=CARTESIAN_POINT('',(-1.073877955566E1,2.6543407E1,0.E0)); +#9934=CARTESIAN_POINT('',(-1.214197755566E1,2.6543407E1,0.E0)); +#9935=VERTEX_POINT('',#9933); +#9936=VERTEX_POINT('',#9934); +#9937=CARTESIAN_POINT('',(-1.073877955566E1,2.4003407E1,0.E0)); +#9938=CARTESIAN_POINT('',(-1.214197755566E1,2.4003407E1,0.E0)); +#9939=VERTEX_POINT('',#9937); +#9940=VERTEX_POINT('',#9938); +#9941=CARTESIAN_POINT('',(-1.175250871665E1,6.0782208E1,-1.2E0)); +#9942=CARTESIAN_POINT('',(-1.275250863619E1,5.978180685745E1,-1.2E0)); +#9943=VERTEX_POINT('',#9941); +#9944=VERTEX_POINT('',#9942); +#9945=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.2E0)); +#9946=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.2E0)); +#9947=VERTEX_POINT('',#9945); +#9948=VERTEX_POINT('',#9946); +#9949=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.2E0)); +#9950=VERTEX_POINT('',#9949); +#9951=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-1.2E0)); +#9952=VERTEX_POINT('',#9951); +#9953=CARTESIAN_POINT('',(3.037222944340E0,0.E0,-1.2E0)); +#9954=VERTEX_POINT('',#9953); +#9955=CARTESIAN_POINT('',(1.173996997514E1,0.E0,-1.2E0)); +#9956=VERTEX_POINT('',#9955); +#9957=CARTESIAN_POINT('',(1.273996995171E1,9.997835492410E-1,-1.2E0)); +#9958=VERTEX_POINT('',#9957); +#9959=CARTESIAN_POINT('',(1.275269344151E1,5.978199154876E1,-1.2E0)); +#9960=VERTEX_POINT('',#9959); +#9961=CARTESIAN_POINT('',(1.175269346494E1,6.0782208E1,-1.2E0)); +#9962=VERTEX_POINT('',#9961); +#9963=CARTESIAN_POINT('',(-1.272892858623E1,9.995988574065E-1,-1.2E0)); +#9964=VERTEX_POINT('',#9963); +#9965=CARTESIAN_POINT('',(-1.172892866669E1,0.E0,-1.2E0)); +#9966=VERTEX_POINT('',#9965); +#9967=CARTESIAN_POINT('',(-3.312777055660E0,0.E0,-1.2E0)); +#9968=VERTEX_POINT('',#9967); +#9969=CARTESIAN_POINT('',(-3.312777055660E0,6.3E0,-1.2E0)); +#9970=VERTEX_POINT('',#9969); +#9971=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.2E0)); +#9972=VERTEX_POINT('',#9971); +#9973=CARTESIAN_POINT('',(8.979445944340E0,5.7658011E1,-1.2E0)); +#9974=CARTESIAN_POINT('',(1.086179394434E1,5.7658011E1,-1.2E0)); +#9975=VERTEX_POINT('',#9973); +#9976=VERTEX_POINT('',#9974); +#9977=CARTESIAN_POINT('',(1.071802244434E1,5.1943008E1,-1.2E0)); +#9978=CARTESIAN_POINT('',(1.212122044434E1,5.1943008E1,-1.2E0)); +#9979=VERTEX_POINT('',#9977); +#9980=VERTEX_POINT('',#9978); +#9981=CARTESIAN_POINT('',(1.071802244434E1,4.9403008E1,-1.2E0)); +#9982=CARTESIAN_POINT('',(1.212122044434E1,4.9403008E1,-1.2E0)); +#9983=VERTEX_POINT('',#9981); +#9984=VERTEX_POINT('',#9982); +#9985=CARTESIAN_POINT('',(1.071802244434E1,4.6863008E1,-1.2E0)); +#9986=CARTESIAN_POINT('',(1.212122044434E1,4.6863008E1,-1.2E0)); +#9987=VERTEX_POINT('',#9985); +#9988=VERTEX_POINT('',#9986); +#9989=CARTESIAN_POINT('',(1.071802244434E1,4.4323008E1,-1.2E0)); +#9990=CARTESIAN_POINT('',(1.212122044434E1,4.4323008E1,-1.2E0)); +#9991=VERTEX_POINT('',#9989); +#9992=VERTEX_POINT('',#9990); +#9993=CARTESIAN_POINT('',(1.071802244434E1,4.1783008E1,-1.2E0)); +#9994=CARTESIAN_POINT('',(1.212122044434E1,4.1783008E1,-1.2E0)); +#9995=VERTEX_POINT('',#9993); +#9996=VERTEX_POINT('',#9994); +#9997=CARTESIAN_POINT('',(1.071802244434E1,3.9243008E1,-1.2E0)); +#9998=CARTESIAN_POINT('',(1.212122044434E1,3.9243008E1,-1.2E0)); +#9999=VERTEX_POINT('',#9997); +#10000=VERTEX_POINT('',#9998); +#10001=CARTESIAN_POINT('',(1.061802244434E1,3.6703008E1,-1.2E0)); +#10002=CARTESIAN_POINT('',(1.222122044434E1,3.6703008E1,-1.2E0)); +#10003=VERTEX_POINT('',#10001); +#10004=VERTEX_POINT('',#10002); +#10005=CARTESIAN_POINT('',(1.061802244434E1,3.4163008E1,-1.2E0)); +#10006=CARTESIAN_POINT('',(1.222122044434E1,3.4163008E1,-1.2E0)); +#10007=VERTEX_POINT('',#10005); +#10008=VERTEX_POINT('',#10006); +#10009=CARTESIAN_POINT('',(1.061802244434E1,3.1623008E1,-1.2E0)); +#10010=CARTESIAN_POINT('',(1.222122044434E1,3.1623008E1,-1.2E0)); +#10011=VERTEX_POINT('',#10009); +#10012=VERTEX_POINT('',#10010); +#10013=CARTESIAN_POINT('',(1.061802244434E1,2.9083008E1,-1.2E0)); +#10014=CARTESIAN_POINT('',(1.222122044434E1,2.9083008E1,-1.2E0)); +#10015=VERTEX_POINT('',#10013); +#10016=VERTEX_POINT('',#10014); +#10017=CARTESIAN_POINT('',(1.061802244434E1,2.6543008E1,-1.2E0)); +#10018=CARTESIAN_POINT('',(1.222122044434E1,2.6543008E1,-1.2E0)); +#10019=VERTEX_POINT('',#10017); +#10020=VERTEX_POINT('',#10018); +#10021=CARTESIAN_POINT('',(1.061802244434E1,2.4003008E1,-1.2E0)); +#10022=CARTESIAN_POINT('',(1.222122044434E1,2.4003008E1,-1.2E0)); +#10023=VERTEX_POINT('',#10021); +#10024=VERTEX_POINT('',#10022); +#10025=CARTESIAN_POINT('',(-1.224197755566E1,5.1943407E1,-1.2E0)); +#10026=CARTESIAN_POINT('',(-1.063877955566E1,5.1943407E1,-1.2E0)); +#10027=VERTEX_POINT('',#10025); +#10028=VERTEX_POINT('',#10026); +#10029=CARTESIAN_POINT('',(-1.214197755566E1,4.9403407E1,-1.2E0)); +#10030=CARTESIAN_POINT('',(-1.073877955566E1,4.9403407E1,-1.2E0)); +#10031=VERTEX_POINT('',#10029); +#10032=VERTEX_POINT('',#10030); +#10033=CARTESIAN_POINT('',(-1.214197755566E1,4.6863407E1,-1.2E0)); +#10034=CARTESIAN_POINT('',(-1.073877955566E1,4.6863407E1,-1.2E0)); +#10035=VERTEX_POINT('',#10033); +#10036=VERTEX_POINT('',#10034); +#10037=CARTESIAN_POINT('',(-1.214197755566E1,4.4323407E1,-1.2E0)); +#10038=CARTESIAN_POINT('',(-1.073877955566E1,4.4323407E1,-1.2E0)); +#10039=VERTEX_POINT('',#10037); +#10040=VERTEX_POINT('',#10038); +#10041=CARTESIAN_POINT('',(-1.214197755566E1,4.1783407E1,-1.2E0)); +#10042=CARTESIAN_POINT('',(-1.073877955566E1,4.1783407E1,-1.2E0)); +#10043=VERTEX_POINT('',#10041); +#10044=VERTEX_POINT('',#10042); +#10045=CARTESIAN_POINT('',(-1.214197755566E1,3.9243407E1,-1.2E0)); +#10046=CARTESIAN_POINT('',(-1.073877955566E1,3.9243407E1,-1.2E0)); +#10047=VERTEX_POINT('',#10045); +#10048=VERTEX_POINT('',#10046); +#10049=CARTESIAN_POINT('',(-1.214197755566E1,3.6703407E1,-1.2E0)); +#10050=CARTESIAN_POINT('',(-1.073877955566E1,3.6703407E1,-1.2E0)); +#10051=VERTEX_POINT('',#10049); +#10052=VERTEX_POINT('',#10050); +#10053=CARTESIAN_POINT('',(-1.214197755566E1,3.4163407E1,-1.2E0)); +#10054=CARTESIAN_POINT('',(-1.073877955566E1,3.4163407E1,-1.2E0)); +#10055=VERTEX_POINT('',#10053); +#10056=VERTEX_POINT('',#10054); +#10057=CARTESIAN_POINT('',(-1.214197755566E1,3.1623407E1,-1.2E0)); +#10058=CARTESIAN_POINT('',(-1.073877955566E1,3.1623407E1,-1.2E0)); +#10059=VERTEX_POINT('',#10057); +#10060=VERTEX_POINT('',#10058); +#10061=CARTESIAN_POINT('',(-1.214197755566E1,2.9083407E1,-1.2E0)); +#10062=CARTESIAN_POINT('',(-1.073877955566E1,2.9083407E1,-1.2E0)); +#10063=VERTEX_POINT('',#10061); +#10064=VERTEX_POINT('',#10062); +#10065=CARTESIAN_POINT('',(-1.214197755566E1,2.6543407E1,-1.2E0)); +#10066=CARTESIAN_POINT('',(-1.073877955566E1,2.6543407E1,-1.2E0)); +#10067=VERTEX_POINT('',#10065); +#10068=VERTEX_POINT('',#10066); +#10069=CARTESIAN_POINT('',(-1.214197755566E1,2.4003407E1,-1.2E0)); +#10070=CARTESIAN_POINT('',(-1.073877955566E1,2.4003407E1,-1.2E0)); +#10071=VERTEX_POINT('',#10069); +#10072=VERTEX_POINT('',#10070); +#10073=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.2E0)); +#10074=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.2E0)); +#10075=VERTEX_POINT('',#10073); +#10076=VERTEX_POINT('',#10074); +#10077=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-1.2E0)); +#10078=VERTEX_POINT('',#10077); +#10079=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-1.2E0)); +#10080=VERTEX_POINT('',#10079); +#10081=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.2E0)); +#10082=VERTEX_POINT('',#10081); +#10083=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.2E0)); +#10084=VERTEX_POINT('',#10083); +#10085=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.2E0)); +#10086=VERTEX_POINT('',#10085); +#10087=CARTESIAN_POINT('',(9.249818078140E0,3.5234443E1,-1.2E0)); +#10088=VERTEX_POINT('',#10087); +#10089=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-1.2E0)); +#10090=VERTEX_POINT('',#10089); +#10091=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-1.2E0)); +#10092=VERTEX_POINT('',#10091); +#10093=CARTESIAN_POINT('',(-9.250181921890E0,3.5134545E1,-1.2E0)); +#10094=VERTEX_POINT('',#10093); +#10095=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.2E0)); +#10096=VERTEX_POINT('',#10095); +#10097=CARTESIAN_POINT('',(-1.106558605566E1,5.766161E1,-1.2E0)); +#10098=CARTESIAN_POINT('',(-9.115887055660E0,5.766161E1,-1.2E0)); +#10099=VERTEX_POINT('',#10097); +#10100=VERTEX_POINT('',#10098); +#10101=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-1.2E0)); +#10102=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-1.2E0)); +#10103=VERTEX_POINT('',#10101); +#10104=VERTEX_POINT('',#10102); +#10105=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-1.2E0)); +#10106=VERTEX_POINT('',#10105); +#10107=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-1.2E0)); +#10108=VERTEX_POINT('',#10107); +#10109=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-1.2E0)); +#10110=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-1.2E0)); +#10111=VERTEX_POINT('',#10109); +#10112=VERTEX_POINT('',#10110); +#10113=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-1.2E0)); +#10114=VERTEX_POINT('',#10113); +#10115=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-1.2E0)); +#10116=VERTEX_POINT('',#10115); +#10117=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.5E0)); +#10118=VERTEX_POINT('',#10117); +#10119=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.5E0)); +#10120=VERTEX_POINT('',#10119); +#10121=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.271988767641E0)); +#10122=VERTEX_POINT('',#10121); +#10123=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.5E0)); +#10124=VERTEX_POINT('',#10123); +#10125=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-1.2E0)); +#10126=VERTEX_POINT('',#10125); +#10127=CARTESIAN_POINT('',(-4.612777055660E0,-1.2E0,-2.5E0)); +#10128=VERTEX_POINT('',#10127); +#10129=CARTESIAN_POINT('',(-4.612777055660E0,6.3E0,-2.5E0)); +#10130=VERTEX_POINT('',#10129); +#10131=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-1.2E0)); +#10132=VERTEX_POINT('',#10131); +#10133=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.271988420250E0)); +#10134=VERTEX_POINT('',#10133); +#10135=CARTESIAN_POINT('',(4.337222944340E0,6.3E0,-2.5E0)); +#10136=VERTEX_POINT('',#10135); +#10137=CARTESIAN_POINT('',(4.337222944340E0,-1.2E0,-2.5E0)); +#10138=VERTEX_POINT('',#10137); +#10139=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.5E0)); +#10140=VERTEX_POINT('',#10139); +#10141=CARTESIAN_POINT('',(-4.612777055660E0,6.3E0,-3.06E0)); +#10142=VERTEX_POINT('',#10141); +#10143=CARTESIAN_POINT('',(-3.312777055659E0,6.3E0,-4.36E0)); +#10144=VERTEX_POINT('',#10143); +#10145=CARTESIAN_POINT('',(3.037222944340E0,6.3E0,-4.36E0)); +#10146=VERTEX_POINT('',#10145); +#10147=CARTESIAN_POINT('',(4.337222944340E0,6.3E0,-3.06E0)); +#10148=VERTEX_POINT('',#10147); +#10149=CARTESIAN_POINT('',(-4.612777055660E0,-1.2E0,-3.06E0)); +#10150=VERTEX_POINT('',#10149); +#10151=CARTESIAN_POINT('',(-3.312777055659E0,-1.2E0,-4.36E0)); +#10152=VERTEX_POINT('',#10151); +#10153=CARTESIAN_POINT('',(4.337222944340E0,-1.2E0,-3.06E0)); +#10154=VERTEX_POINT('',#10153); +#10155=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-4.36E0)); +#10156=VERTEX_POINT('',#10155); +#10157=CARTESIAN_POINT('',(-3.312777055660E0,-1.2E0,-1.5E0)); +#10158=CARTESIAN_POINT('',(-4.312777055660E0,-1.2E0,-2.5E0)); +#10159=VERTEX_POINT('',#10157); +#10160=VERTEX_POINT('',#10158); +#10161=CARTESIAN_POINT('',(-4.312777055660E0,-1.2E0,-3.06E0)); +#10162=VERTEX_POINT('',#10161); +#10163=CARTESIAN_POINT('',(-3.312777055659E0,-1.2E0,-4.06E0)); +#10164=VERTEX_POINT('',#10163); +#10165=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-4.06E0)); +#10166=VERTEX_POINT('',#10165); +#10167=CARTESIAN_POINT('',(4.037222944340E0,-1.2E0,-3.06E0)); +#10168=VERTEX_POINT('',#10167); +#10169=CARTESIAN_POINT('',(4.037222944340E0,-1.2E0,-2.5E0)); +#10170=VERTEX_POINT('',#10169); +#10171=CARTESIAN_POINT('',(3.037222944340E0,-1.2E0,-1.5E0)); +#10172=VERTEX_POINT('',#10171); +#10173=CARTESIAN_POINT('',(-3.312777055660E0,6.E0,-1.5E0)); +#10174=VERTEX_POINT('',#10173); +#10175=CARTESIAN_POINT('',(-4.312777055660E0,6.E0,-2.5E0)); +#10176=VERTEX_POINT('',#10175); +#10177=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-1.5E0)); +#10178=VERTEX_POINT('',#10177); +#10179=CARTESIAN_POINT('',(4.037222944340E0,6.E0,-2.5E0)); +#10180=VERTEX_POINT('',#10179); +#10181=CARTESIAN_POINT('',(4.037222944340E0,6.E0,-3.06E0)); +#10182=VERTEX_POINT('',#10181); +#10183=CARTESIAN_POINT('',(3.037222944340E0,6.E0,-4.06E0)); +#10184=VERTEX_POINT('',#10183); +#10185=CARTESIAN_POINT('',(-3.312777055659E0,6.E0,-4.06E0)); +#10186=VERTEX_POINT('',#10185); +#10187=CARTESIAN_POINT('',(-4.312777055660E0,6.E0,-3.06E0)); +#10188=VERTEX_POINT('',#10187); +#10189=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.7E0)); +#10190=VERTEX_POINT('',#10189); +#10191=CARTESIAN_POINT('',(-9.250181921890E0,3.6837742E1,-1.7E0)); +#10192=VERTEX_POINT('',#10191); +#10193=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.7E0)); +#10194=VERTEX_POINT('',#10193); +#10195=CARTESIAN_POINT('',(-9.250181921890E0,3.5134545E1,-1.7E0)); +#10196=VERTEX_POINT('',#10195); +#10197=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.2E0)); +#10198=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-2.2E0)); +#10199=VERTEX_POINT('',#10197); +#10200=VERTEX_POINT('',#10198); +#10201=CARTESIAN_POINT('',(-9.250181921890E0,3.5004866E1,-2.8E0)); +#10202=VERTEX_POINT('',#10201); +#10203=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-2.8E0)); +#10204=VERTEX_POINT('',#10203); +#10205=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-3.2E0)); +#10206=VERTEX_POINT('',#10205); +#10207=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-3.2E0)); +#10208=VERTEX_POINT('',#10207); +#10209=CARTESIAN_POINT('',(9.249818078140E0,3.5004866E1,-2.2E0)); +#10210=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-2.2E0)); +#10211=VERTEX_POINT('',#10209); +#10212=VERTEX_POINT('',#10210); +#10213=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.2E0)); +#10214=VERTEX_POINT('',#10213); +#10215=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.2E0)); +#10216=VERTEX_POINT('',#10215); +#10217=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.2E0)); +#10218=VERTEX_POINT('',#10217); +#10219=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.2E0)); +#10220=VERTEX_POINT('',#10219); +#10221=CARTESIAN_POINT('',(9.249818078140E0,3.5234443E1,-1.7E0)); +#10222=VERTEX_POINT('',#10221); +#10223=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.7E0)); +#10224=VERTEX_POINT('',#10223); +#10225=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-3.2E0)); +#10226=VERTEX_POINT('',#10225); +#10227=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-3.2E0)); +#10228=VERTEX_POINT('',#10227); +#10229=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-2.8E0)); +#10230=VERTEX_POINT('',#10229); +#10231=CARTESIAN_POINT('',(9.249818078140E0,3.5004866E1,-2.8E0)); +#10232=VERTEX_POINT('',#10231); +#10233=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.7E0)); +#10234=VERTEX_POINT('',#10233); +#10235=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.7E0)); +#10236=VERTEX_POINT('',#10235); +#10237=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.8E0)); +#10238=VERTEX_POINT('',#10237); +#10239=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.8E0)); +#10240=VERTEX_POINT('',#10239); +#10241=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.8E0)); +#10242=VERTEX_POINT('',#10241); +#10243=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.8E0)); +#10244=VERTEX_POINT('',#10243); +#10245=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-2.7E0)); +#10246=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-2.7E0)); +#10247=VERTEX_POINT('',#10245); +#10248=VERTEX_POINT('',#10246); +#10249=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-2.7E0)); +#10250=VERTEX_POINT('',#10249); +#10251=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-2.7E0)); +#10252=VERTEX_POINT('',#10251); +#10253=CARTESIAN_POINT('',(-9.638377555660E0,1.2692085E0,-2.7E0)); +#10254=CARTESIAN_POINT('',(-9.638377555660E0,3.3692085E0,-2.7E0)); +#10255=VERTEX_POINT('',#10253); +#10256=VERTEX_POINT('',#10254); +#10257=CARTESIAN_POINT('',(-8.938377555860E0,3.3692085E0,-2.7E0)); +#10258=VERTEX_POINT('',#10257); +#10259=CARTESIAN_POINT('',(-8.938377555660E0,1.2692085E0,-2.7E0)); +#10260=VERTEX_POINT('',#10259); +#10261=CARTESIAN_POINT('',(-9.742409743675E0,3.364042124965E0,-3.4E0)); +#10262=CARTESIAN_POINT('',(-9.638377555660E0,1.2692085E0,-3.4E0)); +#10263=VERTEX_POINT('',#10261); +#10264=VERTEX_POINT('',#10262); +#10265=CARTESIAN_POINT('',(-9.638377555622E0,3.3692085E0,-3.4E0)); +#10266=VERTEX_POINT('',#10265); +#10267=CARTESIAN_POINT('',(-9.638377555660E0,3.0692085E0,-3.7E0)); +#10268=CARTESIAN_POINT('',(-9.638377555660E0,1.5692085E0,-3.7E0)); +#10269=VERTEX_POINT('',#10267); +#10270=VERTEX_POINT('',#10268); +#10271=CARTESIAN_POINT('',(-8.938377555660E0,1.5692085E0,-3.7E0)); +#10272=VERTEX_POINT('',#10271); +#10273=CARTESIAN_POINT('',(-8.938377555660E0,3.0692085E0,-3.7E0)); +#10274=VERTEX_POINT('',#10273); +#10275=CARTESIAN_POINT('',(-8.938377555664E0,1.2692085E0,-3.4E0)); +#10276=VERTEX_POINT('',#10275); +#10277=CARTESIAN_POINT('',(-8.834345367645E0,1.274374875035E0,-3.4E0)); +#10278=CARTESIAN_POINT('',(-8.938377555968E0,3.3692085E0,-3.4E0)); +#10279=VERTEX_POINT('',#10277); +#10280=VERTEX_POINT('',#10278); +#10281=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-2.7E0)); +#10282=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-2.7E0)); +#10283=VERTEX_POINT('',#10281); +#10284=VERTEX_POINT('',#10282); +#10285=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-2.7E0)); +#10286=VERTEX_POINT('',#10285); +#10287=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-2.7E0)); +#10288=VERTEX_POINT('',#10287); +#10289=CARTESIAN_POINT('',(8.459622944340E0,1.1520075E0,-2.7E0)); +#10290=CARTESIAN_POINT('',(8.459622944340E0,3.2520075E0,-2.7E0)); +#10291=VERTEX_POINT('',#10289); +#10292=VERTEX_POINT('',#10290); +#10293=CARTESIAN_POINT('',(9.159622944142E0,3.2520075E0,-2.7E0)); +#10294=VERTEX_POINT('',#10293); +#10295=CARTESIAN_POINT('',(9.159622944340E0,1.1520075E0,-2.7E0)); +#10296=VERTEX_POINT('',#10295); +#10297=CARTESIAN_POINT('',(8.355590756325E0,3.246841124965E0,-3.4E0)); +#10298=CARTESIAN_POINT('',(8.459622944340E0,1.1520075E0,-3.4E0)); +#10299=VERTEX_POINT('',#10297); +#10300=VERTEX_POINT('',#10298); +#10301=CARTESIAN_POINT('',(8.459622944395E0,3.2520075E0,-3.4E0)); +#10302=VERTEX_POINT('',#10301); +#10303=CARTESIAN_POINT('',(8.459622944340E0,2.9520075E0,-3.7E0)); +#10304=CARTESIAN_POINT('',(8.459622944340E0,1.4520075E0,-3.7E0)); +#10305=VERTEX_POINT('',#10303); +#10306=VERTEX_POINT('',#10304); +#10307=CARTESIAN_POINT('',(9.159622944340E0,1.4520075E0,-3.7E0)); +#10308=VERTEX_POINT('',#10307); +#10309=CARTESIAN_POINT('',(9.159622944340E0,2.9520075E0,-3.7E0)); +#10310=VERTEX_POINT('',#10309); +#10311=CARTESIAN_POINT('',(9.159622944335E0,1.1520075E0,-3.4E0)); +#10312=VERTEX_POINT('',#10311); +#10313=CARTESIAN_POINT('',(9.263655132355E0,1.157173875035E0,-3.4E0)); +#10314=CARTESIAN_POINT('',(9.159622944035E0,3.2520075E0,-3.4E0)); +#10315=VERTEX_POINT('',#10313); +#10316=VERTEX_POINT('',#10314); +#10317=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,5.E-1)); +#10318=VERTEX_POINT('',#10317); +#10319=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,5.E-1)); +#10320=VERTEX_POINT('',#10319); +#10321=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,5.E-1)); +#10322=VERTEX_POINT('',#10321); +#10323=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,5.E-1)); +#10324=CARTESIAN_POINT('',(6.697298944340E0,4.921817E0,5.E-1)); +#10325=VERTEX_POINT('',#10323); +#10326=VERTEX_POINT('',#10324); +#10327=CARTESIAN_POINT('',(7.697296944340E0,4.921817E0,5.E-1)); +#10328=VERTEX_POINT('',#10327); +#10329=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,5.E-1)); +#10330=VERTEX_POINT('',#10329); +#10331=CARTESIAN_POINT('',(8.697294944340E0,4.921817E0,5.E-1)); +#10332=VERTEX_POINT('',#10331); +#10333=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,5.E-1)); +#10334=VERTEX_POINT('',#10333); +#10335=CARTESIAN_POINT('',(9.697292944340E0,4.921817E0,5.E-1)); +#10336=VERTEX_POINT('',#10335); +#10337=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,2.8E0)); +#10338=VERTEX_POINT('',#10337); +#10339=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,2.8E0)); +#10340=VERTEX_POINT('',#10339); +#10341=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,5.E-1)); +#10342=VERTEX_POINT('',#10341); +#10343=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,5.E-1)); +#10344=VERTEX_POINT('',#10343); +#10345=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,5.E-1)); +#10346=VERTEX_POINT('',#10345); +#10347=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,5.E-1)); +#10348=VERTEX_POINT('',#10347); +#10349=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,5.E-1)); +#10350=VERTEX_POINT('',#10349); +#10351=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,5.E-1)); +#10352=VERTEX_POINT('',#10351); +#10353=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,2.8E0)); +#10354=VERTEX_POINT('',#10353); +#10355=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,2.8E0)); +#10356=VERTEX_POINT('',#10355); +#10357=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,1.5E0)); +#10358=CARTESIAN_POINT('',(5.886830444340E0,2.22817E-1,2.E0)); +#10359=VERTEX_POINT('',#10357); +#10360=VERTEX_POINT('',#10358); +#10361=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,1.5E0)); +#10362=VERTEX_POINT('',#10361); +#10363=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,5.5E-1)); +#10364=VERTEX_POINT('',#10363); +#10365=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,5.5E-1)); +#10366=VERTEX_POINT('',#10365); +#10367=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,1.5E0)); +#10368=VERTEX_POINT('',#10367); +#10369=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,1.5E0)); +#10370=VERTEX_POINT('',#10369); +#10371=CARTESIAN_POINT('',(1.108683044434E1,2.22817E-1,2.E0)); +#10372=VERTEX_POINT('',#10371); +#10373=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.E0)); +#10374=VERTEX_POINT('',#10373); +#10375=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.4E0)); +#10376=VERTEX_POINT('',#10375); +#10377=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.4E0)); +#10378=VERTEX_POINT('',#10377); +#10379=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.E0)); +#10380=VERTEX_POINT('',#10379); +#10381=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,2.E0)); +#10382=VERTEX_POINT('',#10381); +#10383=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,1.5E0)); +#10384=VERTEX_POINT('',#10383); +#10385=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,2.E0)); +#10386=VERTEX_POINT('',#10385); +#10387=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,2.4E0)); +#10388=VERTEX_POINT('',#10387); +#10389=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,2.4E0)); +#10390=VERTEX_POINT('',#10389); +#10391=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,2.E0)); +#10392=VERTEX_POINT('',#10391); +#10393=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,2.E0)); +#10394=VERTEX_POINT('',#10393); +#10395=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,1.5E0)); +#10396=VERTEX_POINT('',#10395); +#10397=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,1.5E0)); +#10398=VERTEX_POINT('',#10397); +#10399=CARTESIAN_POINT('',(1.068683044434E1,3.722817E0,5.5E-1)); +#10400=VERTEX_POINT('',#10399); +#10401=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,5.5E-1)); +#10402=VERTEX_POINT('',#10401); +#10403=CARTESIAN_POINT('',(6.286830444340E0,3.722817E0,1.5E0)); +#10404=VERTEX_POINT('',#10403); +#10405=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,5.E-1)); +#10406=VERTEX_POINT('',#10405); +#10407=CARTESIAN_POINT('',(8.980219443404E-1,1.150010098998E0,5.E-1)); +#10408=VERTEX_POINT('',#10407); +#10409=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,5.E-1)); +#10410=VERTEX_POINT('',#10409); +#10411=CARTESIAN_POINT('',(1.980229443404E-1,1.144541030394E0,5.E-1)); +#10412=VERTEX_POINT('',#10411); +#10413=CARTESIAN_POINT('',(-2.551976055660E0,1.123055380860E0,2.8E0)); +#10414=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,2.8E0)); +#10415=VERTEX_POINT('',#10413); +#10416=VERTEX_POINT('',#10414); +#10417=CARTESIAN_POINT('',(-2.551976055660E0,1.123055380860E0,3.5E0)); +#10418=VERTEX_POINT('',#10417); +#10419=CARTESIAN_POINT('',(2.348021944340E0,1.161338900146E0,3.5E0)); +#10420=VERTEX_POINT('',#10419); +#10421=CARTESIAN_POINT('',(2.348021944340E0,1.161338900146E0,2.8E0)); +#10422=VERTEX_POINT('',#10421); +#10423=CARTESIAN_POINT('',(3.048024944342E0,1.166808000001E0,2.8E0)); +#10424=VERTEX_POINT('',#10423); +#10425=CARTESIAN_POINT('',(-3.719760556596E-1,1.140087647411E0,5.E-1)); +#10426=VERTEX_POINT('',#10425); +#10427=CARTESIAN_POINT('',(-1.071974055660E0,1.134618586622E0,5.E-1)); +#10428=VERTEX_POINT('',#10427); +#10429=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,2.8E0)); +#10430=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,2.8E0)); +#10431=VERTEX_POINT('',#10429); +#10432=VERTEX_POINT('',#10430); +#10433=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,2.8E0)); +#10434=VERTEX_POINT('',#10433); +#10435=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,3.1E0)); +#10436=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,3.1E0)); +#10437=VERTEX_POINT('',#10435); +#10438=VERTEX_POINT('',#10436); +#10439=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,3.5E0)); +#10440=VERTEX_POINT('',#10439); +#10441=CARTESIAN_POINT('',(-2.151976055660E0,4.273809E0,3.1E0)); +#10442=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,3.1E0)); +#10443=VERTEX_POINT('',#10441); +#10444=VERTEX_POINT('',#10442); +#10445=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,4.E-1)); +#10446=VERTEX_POINT('',#10445); +#10447=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,4.E-1)); +#10448=VERTEX_POINT('',#10447); +#10449=CARTESIAN_POINT('',(-2.151976055660E0,2.766807E0,3.1E0)); +#10450=VERTEX_POINT('',#10449); +#10451=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,4.E-1)); +#10452=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,3.1E0)); +#10453=VERTEX_POINT('',#10451); +#10454=VERTEX_POINT('',#10452); +#10455=CARTESIAN_POINT('',(2.348021944340E0,5.466807E0,3.1E0)); +#10456=VERTEX_POINT('',#10455); +#10457=CARTESIAN_POINT('',(1.948021944340E0,4.273809E0,3.1E0)); +#10458=VERTEX_POINT('',#10457); +#10459=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,3.1E0)); +#10460=VERTEX_POINT('',#10459); +#10461=CARTESIAN_POINT('',(1.948021944340E0,2.766807E0,4.E-1)); +#10462=VERTEX_POINT('',#10461); +#10463=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.1E0)); +#10464=VERTEX_POINT('',#10463); +#10465=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,2.8E0)); +#10466=VERTEX_POINT('',#10465); +#10467=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,3.5E0)); +#10468=VERTEX_POINT('',#10467); +#10469=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,2.8E0)); +#10470=VERTEX_POINT('',#10469); +#10471=CARTESIAN_POINT('',(3.398021944340E0,1.51681E0,2.8E0)); +#10472=VERTEX_POINT('',#10471); +#10473=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,5.E-1)); +#10474=VERTEX_POINT('',#10473); +#10475=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,5.E-1)); +#10476=VERTEX_POINT('',#10475); +#10477=CARTESIAN_POINT('',(1.221762301888E1,1.244094896398E1,5.E-1)); +#10478=VERTEX_POINT('',#10477); +#10479=CARTESIAN_POINT('',(1.251922244434E1,1.296241E1,5.E-1)); +#10480=VERTEX_POINT('',#10479); +#10481=CARTESIAN_POINT('',(1.131602444434E1,1.296241E1,5.E-1)); +#10482=VERTEX_POINT('',#10481); +#10483=CARTESIAN_POINT('',(1.142831881881E1,1.261240665682E1,5.E-1)); +#10484=VERTEX_POINT('',#10483); +#10485=CARTESIAN_POINT('',(1.221762486980E1,8.183865971155E0,5.E-1)); +#10486=CARTESIAN_POINT('',(1.221762494434E1,8.012411E0,5.E-1)); +#10487=VERTEX_POINT('',#10485); +#10488=VERTEX_POINT('',#10486); +#10489=CARTESIAN_POINT('',(1.221762494434E1,8.012411000001E0,1.8E0)); +#10490=VERTEX_POINT('',#10489); +#10491=CARTESIAN_POINT('',(1.221762294434E1,1.2612407E1,1.8E0)); +#10492=VERTEX_POINT('',#10491); +#10493=CARTESIAN_POINT('',(1.221762294433E1,1.2612407E1,5.E-1)); +#10494=VERTEX_POINT('',#10493); +#10495=CARTESIAN_POINT('',(1.221762434652E1,9.387409E0,6.E-1)); +#10496=CARTESIAN_POINT('',(1.221762434651E1,9.387409E0,1.4E0)); +#10497=VERTEX_POINT('',#10495); +#10498=VERTEX_POINT('',#10496); +#10499=CARTESIAN_POINT('',(1.221762354217E1,1.1237409E1,6.E-1)); +#10500=VERTEX_POINT('',#10499); +#10501=CARTESIAN_POINT('',(1.221762354216E1,1.1237409E1,1.4E0)); +#10502=VERTEX_POINT('',#10501); +#10503=CARTESIAN_POINT('',(1.142831975847E1,8.012410656820E0,5.E-1)); +#10504=VERTEX_POINT('',#10503); +#10505=CARTESIAN_POINT('',(1.251922244434E1,7.662406E0,5.E-1)); +#10506=VERTEX_POINT('',#10505); +#10507=CARTESIAN_POINT('',(1.131602444434E1,7.662406E0,5.E-1)); +#10508=VERTEX_POINT('',#10507); +#10509=CARTESIAN_POINT('',(9.917624944340E0,8.01241E0,1.8E0)); +#10510=VERTEX_POINT('',#10509); +#10511=CARTESIAN_POINT('',(9.917623418980E0,1.152071396626E1,5.E-1)); +#10512=CARTESIAN_POINT('',(9.917622991432E0,1.250409625113E1,5.E-1)); +#10513=VERTEX_POINT('',#10511); +#10514=VERTEX_POINT('',#10512); +#10515=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,1.8E0)); +#10516=VERTEX_POINT('',#10515); +#10517=CARTESIAN_POINT('',(9.917624897253E0,8.120716885842E0,5.E-1)); +#10518=VERTEX_POINT('',#10517); +#10519=CARTESIAN_POINT('',(9.917624469699E0,9.104101331550E0,5.E-1)); +#10520=VERTEX_POINT('',#10519); +#10521=CARTESIAN_POINT('',(9.116018444340E0,1.2012405E1,5.E-1)); +#10522=VERTEX_POINT('',#10521); +#10523=CARTESIAN_POINT('',(9.116020444340E0,8.612409E0,5.E-1)); +#10524=VERTEX_POINT('',#10523); +#10525=CARTESIAN_POINT('',(1.333762434649E1,9.387409486958E0,1.4E0)); +#10526=VERTEX_POINT('',#10525); +#10527=CARTESIAN_POINT('',(1.333762434650E1,9.387409486958E0,6.E-1)); +#10528=VERTEX_POINT('',#10527); +#10529=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,1.4E0)); +#10530=VERTEX_POINT('',#10529); +#10531=CARTESIAN_POINT('',(1.333762354214E1,1.123740948696E1,6.E-1)); +#10532=VERTEX_POINT('',#10531); +#10533=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,2.E0)); +#10534=VERTEX_POINT('',#10533); +#10535=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,2.E0)); +#10536=VERTEX_POINT('',#10535); +#10537=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,2.E0)); +#10538=VERTEX_POINT('',#10537); +#10539=CARTESIAN_POINT('',(2.489430944340E0,2.7254208E1,1.E0)); +#10540=CARTESIAN_POINT('',(2.489430944340E0,2.8067008E1,1.E0)); +#10541=VERTEX_POINT('',#10539); +#10542=VERTEX_POINT('',#10540); +#10543=CARTESIAN_POINT('',(2.489430944340E0,2.8067008E1,1.5E0)); +#10544=VERTEX_POINT('',#10543); +#10545=CARTESIAN_POINT('',(2.489430944340E0,2.7254208E1,1.5E0)); +#10546=VERTEX_POINT('',#10545); +#10547=CARTESIAN_POINT('',(2.489430944340E0,2.5984208E1,1.E0)); +#10548=CARTESIAN_POINT('',(2.489430944340E0,2.6797008E1,1.E0)); +#10549=VERTEX_POINT('',#10547); +#10550=VERTEX_POINT('',#10548); +#10551=CARTESIAN_POINT('',(2.489430944340E0,2.6797008E1,1.5E0)); +#10552=VERTEX_POINT('',#10551); +#10553=CARTESIAN_POINT('',(2.489430944340E0,2.5984208E1,1.5E0)); +#10554=VERTEX_POINT('',#10553); +#10555=CARTESIAN_POINT('',(2.489430944340E0,2.4714208E1,1.E0)); +#10556=CARTESIAN_POINT('',(2.489430944340E0,2.5527008E1,1.E0)); +#10557=VERTEX_POINT('',#10555); +#10558=VERTEX_POINT('',#10556); +#10559=CARTESIAN_POINT('',(2.489430944340E0,2.5527008E1,1.5E0)); +#10560=VERTEX_POINT('',#10559); +#10561=CARTESIAN_POINT('',(2.489430944340E0,2.4714208E1,1.5E0)); +#10562=VERTEX_POINT('',#10561); +#10563=CARTESIAN_POINT('',(2.489430944340E0,2.3444208E1,1.E0)); +#10564=CARTESIAN_POINT('',(2.489430944340E0,2.4257008E1,1.E0)); +#10565=VERTEX_POINT('',#10563); +#10566=VERTEX_POINT('',#10564); +#10567=CARTESIAN_POINT('',(2.489430944340E0,2.4257008E1,1.5E0)); +#10568=VERTEX_POINT('',#10567); +#10569=CARTESIAN_POINT('',(2.489430944340E0,2.3444208E1,1.5E0)); +#10570=VERTEX_POINT('',#10569); +#10571=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,2.E0)); +#10572=VERTEX_POINT('',#10571); +#10573=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.E0)); +#10574=CARTESIAN_POINT('',(-2.590569055660E0,2.8067008E1,1.E0)); +#10575=VERTEX_POINT('',#10573); +#10576=VERTEX_POINT('',#10574); +#10577=CARTESIAN_POINT('',(-2.590569055660E0,2.7254208E1,1.5E0)); +#10578=VERTEX_POINT('',#10577); +#10579=CARTESIAN_POINT('',(-2.590569055660E0,2.8067008E1,1.5E0)); +#10580=VERTEX_POINT('',#10579); +#10581=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.E0)); +#10582=CARTESIAN_POINT('',(-2.590569055660E0,2.6797008E1,1.E0)); +#10583=VERTEX_POINT('',#10581); +#10584=VERTEX_POINT('',#10582); +#10585=CARTESIAN_POINT('',(-2.590569055660E0,2.5984208E1,1.5E0)); +#10586=VERTEX_POINT('',#10585); +#10587=CARTESIAN_POINT('',(-2.590569055660E0,2.6797008E1,1.5E0)); +#10588=VERTEX_POINT('',#10587); +#10589=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.E0)); +#10590=CARTESIAN_POINT('',(-2.590569055660E0,2.5527008E1,1.E0)); +#10591=VERTEX_POINT('',#10589); +#10592=VERTEX_POINT('',#10590); +#10593=CARTESIAN_POINT('',(-2.590569055660E0,2.4714208E1,1.5E0)); +#10594=VERTEX_POINT('',#10593); +#10595=CARTESIAN_POINT('',(-2.590569055660E0,2.5527008E1,1.5E0)); +#10596=VERTEX_POINT('',#10595); +#10597=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.E0)); +#10598=CARTESIAN_POINT('',(-2.590569055660E0,2.4257008E1,1.E0)); +#10599=VERTEX_POINT('',#10597); +#10600=VERTEX_POINT('',#10598); +#10601=CARTESIAN_POINT('',(-2.590569055660E0,2.3444208E1,1.5E0)); +#10602=VERTEX_POINT('',#10601); +#10603=CARTESIAN_POINT('',(-2.590569055660E0,2.4257008E1,1.5E0)); +#10604=VERTEX_POINT('',#10603); +#10605=CARTESIAN_POINT('',(-3.073169055660E0,2.8067008E1,1.E0)); +#10606=VERTEX_POINT('',#10605); +#10607=CARTESIAN_POINT('',(-3.073169055660E0,2.7254208E1,1.E0)); +#10608=VERTEX_POINT('',#10607); +#10609=CARTESIAN_POINT('',(-3.479569055660E0,2.8067008E1,1.5E0)); +#10610=CARTESIAN_POINT('',(-3.479569055660E0,2.8067008E1,5.E-1)); +#10611=VERTEX_POINT('',#10609); +#10612=VERTEX_POINT('',#10610); +#10613=CARTESIAN_POINT('',(-4.749569055660E0,2.8067008E1,5.E-1)); +#10614=VERTEX_POINT('',#10613); +#10615=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,1.5E0)); +#10616=VERTEX_POINT('',#10615); +#10617=CARTESIAN_POINT('',(-3.479569055660E0,2.7254208E1,5.E-1)); +#10618=VERTEX_POINT('',#10617); +#10619=CARTESIAN_POINT('',(-4.749569055660E0,2.7254208E1,5.E-1)); +#10620=VERTEX_POINT('',#10619); +#10621=CARTESIAN_POINT('',(-3.073169055660E0,2.6797008E1,1.E0)); +#10622=VERTEX_POINT('',#10621); +#10623=CARTESIAN_POINT('',(-3.073169055660E0,2.5984208E1,1.E0)); +#10624=VERTEX_POINT('',#10623); +#10625=CARTESIAN_POINT('',(-3.479569055660E0,2.6797008E1,1.5E0)); +#10626=CARTESIAN_POINT('',(-3.479569055660E0,2.6797008E1,5.E-1)); +#10627=VERTEX_POINT('',#10625); +#10628=VERTEX_POINT('',#10626); +#10629=CARTESIAN_POINT('',(-4.749569055660E0,2.6797008E1,5.E-1)); +#10630=VERTEX_POINT('',#10629); +#10631=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,1.5E0)); +#10632=VERTEX_POINT('',#10631); +#10633=CARTESIAN_POINT('',(-3.479569055660E0,2.5984208E1,5.E-1)); +#10634=VERTEX_POINT('',#10633); +#10635=CARTESIAN_POINT('',(-4.749569055660E0,2.5984208E1,5.E-1)); +#10636=VERTEX_POINT('',#10635); +#10637=CARTESIAN_POINT('',(-3.073169055660E0,2.5527008E1,1.E0)); +#10638=VERTEX_POINT('',#10637); +#10639=CARTESIAN_POINT('',(-3.073169055660E0,2.4714208E1,1.E0)); +#10640=VERTEX_POINT('',#10639); +#10641=CARTESIAN_POINT('',(-3.479569055660E0,2.5527008E1,1.5E0)); +#10642=CARTESIAN_POINT('',(-3.479569055660E0,2.5527008E1,5.E-1)); +#10643=VERTEX_POINT('',#10641); +#10644=VERTEX_POINT('',#10642); +#10645=CARTESIAN_POINT('',(-4.749569055660E0,2.5527008E1,5.E-1)); +#10646=VERTEX_POINT('',#10645); +#10647=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,1.5E0)); +#10648=VERTEX_POINT('',#10647); +#10649=CARTESIAN_POINT('',(-3.479569055660E0,2.4714208E1,5.E-1)); +#10650=VERTEX_POINT('',#10649); +#10651=CARTESIAN_POINT('',(-4.749569055660E0,2.4714208E1,5.E-1)); +#10652=VERTEX_POINT('',#10651); +#10653=CARTESIAN_POINT('',(-3.073169055660E0,2.4257008E1,1.E0)); +#10654=VERTEX_POINT('',#10653); +#10655=CARTESIAN_POINT('',(-3.073169055660E0,2.3444208E1,1.E0)); +#10656=VERTEX_POINT('',#10655); +#10657=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,1.5E0)); +#10658=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,5.E-1)); +#10659=VERTEX_POINT('',#10657); +#10660=VERTEX_POINT('',#10658); +#10661=CARTESIAN_POINT('',(-4.749569055660E0,2.4257008E1,5.E-1)); +#10662=VERTEX_POINT('',#10661); +#10663=CARTESIAN_POINT('',(-3.479569055660E0,2.3444208E1,1.5E0)); +#10664=VERTEX_POINT('',#10663); +#10665=CARTESIAN_POINT('',(-3.479569055660E0,2.3444208E1,5.E-1)); +#10666=VERTEX_POINT('',#10665); +#10667=CARTESIAN_POINT('',(-4.749569055660E0,2.3444208E1,5.E-1)); +#10668=VERTEX_POINT('',#10667); +#10669=CARTESIAN_POINT('',(2.972030944340E0,2.7254208E1,1.E0)); +#10670=VERTEX_POINT('',#10669); +#10671=CARTESIAN_POINT('',(2.972030944340E0,2.8067008E1,1.E0)); +#10672=VERTEX_POINT('',#10671); +#10673=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,1.5E0)); +#10674=VERTEX_POINT('',#10673); +#10675=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,5.E-1)); +#10676=VERTEX_POINT('',#10675); +#10677=CARTESIAN_POINT('',(4.648430944340E0,2.7254208E1,5.E-1)); +#10678=VERTEX_POINT('',#10677); +#10679=CARTESIAN_POINT('',(3.378430944340E0,2.8067008E1,1.5E0)); +#10680=CARTESIAN_POINT('',(3.378430944340E0,2.8067008E1,5.E-1)); +#10681=VERTEX_POINT('',#10679); +#10682=VERTEX_POINT('',#10680); +#10683=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,5.E-1)); +#10684=VERTEX_POINT('',#10683); +#10685=CARTESIAN_POINT('',(2.972030944340E0,2.5984208E1,1.E0)); +#10686=VERTEX_POINT('',#10685); +#10687=CARTESIAN_POINT('',(2.972030944340E0,2.6797008E1,1.E0)); +#10688=VERTEX_POINT('',#10687); +#10689=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,1.5E0)); +#10690=VERTEX_POINT('',#10689); +#10691=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,5.E-1)); +#10692=VERTEX_POINT('',#10691); +#10693=CARTESIAN_POINT('',(4.648430944340E0,2.5984208E1,5.E-1)); +#10694=VERTEX_POINT('',#10693); +#10695=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,1.5E0)); +#10696=CARTESIAN_POINT('',(3.378430944340E0,2.6797008E1,5.E-1)); +#10697=VERTEX_POINT('',#10695); +#10698=VERTEX_POINT('',#10696); +#10699=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,5.E-1)); +#10700=VERTEX_POINT('',#10699); +#10701=CARTESIAN_POINT('',(2.972030944340E0,2.4714208E1,1.E0)); +#10702=VERTEX_POINT('',#10701); +#10703=CARTESIAN_POINT('',(2.972030944340E0,2.5527008E1,1.E0)); +#10704=VERTEX_POINT('',#10703); +#10705=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,1.5E0)); +#10706=VERTEX_POINT('',#10705); +#10707=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,5.E-1)); +#10708=VERTEX_POINT('',#10707); +#10709=CARTESIAN_POINT('',(4.648430944340E0,2.4714208E1,5.E-1)); +#10710=VERTEX_POINT('',#10709); +#10711=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,1.5E0)); +#10712=CARTESIAN_POINT('',(3.378430944340E0,2.5527008E1,5.E-1)); +#10713=VERTEX_POINT('',#10711); +#10714=VERTEX_POINT('',#10712); +#10715=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,5.E-1)); +#10716=VERTEX_POINT('',#10715); +#10717=CARTESIAN_POINT('',(2.972030944340E0,2.3444208E1,1.E0)); +#10718=VERTEX_POINT('',#10717); +#10719=CARTESIAN_POINT('',(2.972030944340E0,2.4257008E1,1.E0)); +#10720=VERTEX_POINT('',#10719); +#10721=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,1.5E0)); +#10722=VERTEX_POINT('',#10721); +#10723=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,5.E-1)); +#10724=VERTEX_POINT('',#10723); +#10725=CARTESIAN_POINT('',(4.648430944340E0,2.3444208E1,5.E-1)); +#10726=VERTEX_POINT('',#10725); +#10727=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,1.5E0)); +#10728=CARTESIAN_POINT('',(3.378430944340E0,2.4257008E1,5.E-1)); +#10729=VERTEX_POINT('',#10727); +#10730=VERTEX_POINT('',#10728); +#10731=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,5.E-1)); +#10732=VERTEX_POINT('',#10731); +#10733=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,2.E-1)); +#10734=VERTEX_POINT('',#10733); +#10735=CARTESIAN_POINT('',(4.211525944340E0,4.4762326E1,2.E-1)); +#10736=VERTEX_POINT('',#10735); +#10737=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,2.E-1)); +#10738=VERTEX_POINT('',#10737); +#10739=CARTESIAN_POINT('',(4.211525944340E0,3.9159137E1,2.E-1)); +#10740=VERTEX_POINT('',#10739); +#10741=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,8.E-1)); +#10742=VERTEX_POINT('',#10741); +#10743=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,8.E-1)); +#10744=VERTEX_POINT('',#10743); +#10745=CARTESIAN_POINT('',(3.436901944340E0,3.8384513E1,2.E-1)); +#10746=CARTESIAN_POINT('',(-2.166287055660E0,3.8384513E1,2.E-1)); +#10747=VERTEX_POINT('',#10745); +#10748=VERTEX_POINT('',#10746); +#10749=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,8.E-1)); +#10750=VERTEX_POINT('',#10749); +#10751=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,2.E-1)); +#10752=VERTEX_POINT('',#10751); +#10753=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,2.E-1)); +#10754=VERTEX_POINT('',#10753); +#10755=CARTESIAN_POINT('',(-2.940911055660E0,3.9159137E1,2.E-1)); +#10756=CARTESIAN_POINT('',(-2.940911055660E0,4.4762326E1,2.E-1)); +#10757=VERTEX_POINT('',#10755); +#10758=VERTEX_POINT('',#10756); +#10759=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,8.E-1)); +#10760=VERTEX_POINT('',#10759); +#10761=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,2.E-1)); +#10762=VERTEX_POINT('',#10761); +#10763=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,2.E-1)); +#10764=VERTEX_POINT('',#10763); +#10765=CARTESIAN_POINT('',(-2.166287055660E0,4.553695E1,2.E-1)); +#10766=CARTESIAN_POINT('',(3.436901944340E0,4.553695E1,2.E-1)); +#10767=VERTEX_POINT('',#10765); +#10768=VERTEX_POINT('',#10766); +#10769=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,2.E-1)); +#10770=VERTEX_POINT('',#10769); +#10771=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,2.E-1)); +#10772=VERTEX_POINT('',#10771); +#10773=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,2.E-1)); +#10774=VERTEX_POINT('',#10773); +#10775=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,2.E-1)); +#10776=VERTEX_POINT('',#10775); +#10777=CARTESIAN_POINT('',(4.166846944340E0,3.3308806E1,2.E-1)); +#10778=VERTEX_POINT('',#10777); +#10779=CARTESIAN_POINT('',(2.183614944340E0,3.3308806E1,2.E-1)); +#10780=VERTEX_POINT('',#10779); +#10781=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,8.E-1)); +#10782=VERTEX_POINT('',#10781); +#10783=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,8.E-1)); +#10784=VERTEX_POINT('',#10783); +#10785=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,8.E-1)); +#10786=VERTEX_POINT('',#10785); +#10787=CARTESIAN_POINT('',(4.166846944340E0,3.115641E1,2.E-1)); +#10788=CARTESIAN_POINT('',(2.183614944340E0,3.115641E1,2.E-1)); +#10789=VERTEX_POINT('',#10787); +#10790=VERTEX_POINT('',#10788); +#10791=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,8.E-1)); +#10792=VERTEX_POINT('',#10791); +#10793=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,2.E-1)); +#10794=VERTEX_POINT('',#10793); +#10795=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,2.E-1)); +#10796=VERTEX_POINT('',#10795); +#10797=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,5.E-1)); +#10798=VERTEX_POINT('',#10797); +#10799=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,5.E-1)); +#10800=VERTEX_POINT('',#10799); +#10801=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,5.E-1)); +#10802=VERTEX_POINT('',#10801); +#10803=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,5.E-1)); +#10804=VERTEX_POINT('',#10803); +#10805=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,2.E-1)); +#10806=VERTEX_POINT('',#10805); +#10807=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,2.E-1)); +#10808=VERTEX_POINT('',#10807); +#10809=CARTESIAN_POINT('',(7.735902944340E0,5.3409647E1,2.E-1)); +#10810=VERTEX_POINT('',#10809); +#10811=CARTESIAN_POINT('',(9.742820047539E0,5.3409647E1,3.E-1)); +#10812=VERTEX_POINT('',#10811); +#10813=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,3.E-1)); +#10814=VERTEX_POINT('',#10813); +#10815=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,2.E-1)); +#10816=VERTEX_POINT('',#10815); +#10817=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,3.E-1)); +#10818=VERTEX_POINT('',#10817); +#10819=VERTEX_POINT('',#4106); +#10820=CARTESIAN_POINT('',(9.220430944340E0,4.9889649E1,2.E-1)); +#10821=CARTESIAN_POINT('',(7.735902944340E0,4.9889649E1,2.E-1)); +#10822=VERTEX_POINT('',#10820); +#10823=VERTEX_POINT('',#10821); +#10824=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,3.E-1)); +#10825=VERTEX_POINT('',#10824); +#10826=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,2.E-1)); +#10827=VERTEX_POINT('',#10826); +#10828=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,2.E-1)); +#10829=VERTEX_POINT('',#10828); +#10830=CARTESIAN_POINT('',(7.177422944340E0,5.1168046E1,2.E-1)); +#10831=CARTESIAN_POINT('',(7.177422944340E0,5.2171245E1,2.E-1)); +#10832=VERTEX_POINT('',#10830); +#10833=VERTEX_POINT('',#10831); +#10834=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,2.E-1)); +#10835=VERTEX_POINT('',#10834); +#10836=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,2.E-1)); +#10837=VERTEX_POINT('',#10836); +#10838=CARTESIAN_POINT('',(8.737500944340E0,5.26696455E1,3.E-1)); +#10839=VERTEX_POINT('',#10838); +#10840=CARTESIAN_POINT('',(8.737500944340E0,5.06696455E1,3.E-1)); +#10841=VERTEX_POINT('',#10840); +#10842=CARTESIAN_POINT('',(8.737500944340E0,5.06696455E1,1.2E0)); +#10843=VERTEX_POINT('',#10842); +#10844=CARTESIAN_POINT('',(8.737500944340E0,5.26696455E1,1.2E0)); +#10845=VERTEX_POINT('',#10844); +#10846=CARTESIAN_POINT('',(8.737500944340E0,5.08696455E1,1.2E0)); +#10847=CARTESIAN_POINT('',(8.737500944340E0,5.24696455E1,1.2E0)); +#10848=VERTEX_POINT('',#10846); +#10849=VERTEX_POINT('',#10847); +#10850=CARTESIAN_POINT('',(8.737500944340E0,5.08696455E1,0.E0)); +#10851=VERTEX_POINT('',#10850); +#10852=CARTESIAN_POINT('',(8.737500944340E0,5.24696455E1,0.E0)); +#10853=VERTEX_POINT('',#10852); +#10854=CARTESIAN_POINT('',(8.737500944340E0,5.19196455E1,0.E0)); +#10855=CARTESIAN_POINT('',(8.737500944340E0,5.14196455E1,0.E0)); +#10856=VERTEX_POINT('',#10854); +#10857=VERTEX_POINT('',#10855); +#10858=CARTESIAN_POINT('',(8.737500944340E0,5.14196455E1,1.2E0)); +#10859=CARTESIAN_POINT('',(8.737500944340E0,5.19196455E1,1.2E0)); +#10860=VERTEX_POINT('',#10858); +#10861=VERTEX_POINT('',#10859); +#10862=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,5.E-1)); +#10863=VERTEX_POINT('',#10862); +#10864=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,5.E-1)); +#10865=VERTEX_POINT('',#10864); +#10866=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,5.E-1)); +#10867=VERTEX_POINT('',#10866); +#10868=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,5.E-1)); +#10869=VERTEX_POINT('',#10868); +#10870=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,5.E-1)); +#10871=VERTEX_POINT('',#10870); +#10872=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,5.E-1)); +#10873=VERTEX_POINT('',#10872); +#10874=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,5.E-1)); +#10875=VERTEX_POINT('',#10874); +#10876=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,5.E-1)); +#10877=VERTEX_POINT('',#10876); +#10878=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,4.E-1)); +#10879=VERTEX_POINT('',#10878); +#10880=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,4.E-1)); +#10881=VERTEX_POINT('',#10880); +#10882=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,4.E-1)); +#10883=VERTEX_POINT('',#10882); +#10884=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,4.E-1)); +#10885=VERTEX_POINT('',#10884); +#10886=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,4.E-1)); +#10887=VERTEX_POINT('',#10886); +#10888=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,4.E-1)); +#10889=VERTEX_POINT('',#10888); +#10890=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,4.E-1)); +#10891=VERTEX_POINT('',#10890); +#10892=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,4.E-1)); +#10893=VERTEX_POINT('',#10892); +#10894=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,4.E-1)); +#10895=VERTEX_POINT('',#10894); +#10896=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,4.E-1)); +#10897=VERTEX_POINT('',#10896); +#10898=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,4.E-1)); +#10899=VERTEX_POINT('',#10898); +#10900=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,4.E-1)); +#10901=VERTEX_POINT('',#10900); +#10902=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,4.E-1)); +#10903=VERTEX_POINT('',#10902); +#10904=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,4.E-1)); +#10905=VERTEX_POINT('',#10904); +#10906=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,4.E-1)); +#10907=VERTEX_POINT('',#10906); +#10908=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,4.E-1)); +#10909=VERTEX_POINT('',#10908); +#10910=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,4.E-1)); +#10911=VERTEX_POINT('',#10910); +#10912=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,4.E-1)); +#10913=VERTEX_POINT('',#10912); +#10914=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,4.E-1)); +#10915=VERTEX_POINT('',#10914); +#10916=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,4.E-1)); +#10917=VERTEX_POINT('',#10916); +#10918=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,4.E-1)); +#10919=VERTEX_POINT('',#10918); +#10920=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,4.E-1)); +#10921=VERTEX_POINT('',#10920); +#10922=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,4.E-1)); +#10923=VERTEX_POINT('',#10922); +#10924=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,4.E-1)); +#10925=VERTEX_POINT('',#10924); +#10926=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,4.E-1)); +#10927=VERTEX_POINT('',#10926); +#10928=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,4.E-1)); +#10929=VERTEX_POINT('',#10928); +#10930=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,4.E-1)); +#10931=VERTEX_POINT('',#10930); +#10932=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,4.E-1)); +#10933=VERTEX_POINT('',#10932); +#10934=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,4.E-1)); +#10935=VERTEX_POINT('',#10934); +#10936=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,4.E-1)); +#10937=VERTEX_POINT('',#10936); +#10938=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,4.E-1)); +#10939=VERTEX_POINT('',#10938); +#10940=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,4.E-1)); +#10941=VERTEX_POINT('',#10940); +#10942=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,4.E-1)); +#10943=VERTEX_POINT('',#10942); +#10944=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,4.E-1)); +#10945=VERTEX_POINT('',#10944); +#10946=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,4.E-1)); +#10947=VERTEX_POINT('',#10946); +#10948=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,4.E-1)); +#10949=VERTEX_POINT('',#10948); +#10950=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,4.E-1)); +#10951=VERTEX_POINT('',#10950); +#10952=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,4.E-1)); +#10953=VERTEX_POINT('',#10952); +#10954=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,4.E-1)); +#10955=VERTEX_POINT('',#10954); +#10956=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,4.E-1)); +#10957=VERTEX_POINT('',#10956); +#10958=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,4.E-1)); +#10959=VERTEX_POINT('',#10958); +#10960=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,4.E-1)); +#10961=VERTEX_POINT('',#10960); +#10962=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,4.E-1)); +#10963=VERTEX_POINT('',#10962); +#10964=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,4.E-1)); +#10965=VERTEX_POINT('',#10964); +#10966=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,4.E-1)); +#10967=VERTEX_POINT('',#10966); +#10968=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,4.E-1)); +#10969=VERTEX_POINT('',#10968); +#10970=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,4.E-1)); +#10971=VERTEX_POINT('',#10970); +#10972=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,4.E-1)); +#10973=VERTEX_POINT('',#10972); +#10974=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,4.E-1)); +#10975=VERTEX_POINT('',#10974); +#10976=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,4.E-1)); +#10977=VERTEX_POINT('',#10976); +#10978=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,4.E-1)); +#10979=VERTEX_POINT('',#10978); +#10980=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,4.E-1)); +#10981=VERTEX_POINT('',#10980); +#10982=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,4.E-1)); +#10983=VERTEX_POINT('',#10982); +#10984=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,4.E-1)); +#10985=VERTEX_POINT('',#10984); +#10986=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,4.E-1)); +#10987=VERTEX_POINT('',#10986); +#10988=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,4.E-1)); +#10989=VERTEX_POINT('',#10988); +#10990=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,4.E-1)); +#10991=VERTEX_POINT('',#10990); +#10992=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,4.E-1)); +#10993=VERTEX_POINT('',#10992); +#10994=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,4.E-1)); +#10995=VERTEX_POINT('',#10994); +#10996=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,4.E-1)); +#10997=VERTEX_POINT('',#10996); +#10998=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,4.E-1)); +#10999=VERTEX_POINT('',#10998); +#11000=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,4.E-1)); +#11001=VERTEX_POINT('',#11000); +#11002=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,4.E-1)); +#11003=VERTEX_POINT('',#11002); +#11004=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,4.E-1)); +#11005=VERTEX_POINT('',#11004); +#11006=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,4.E-1)); +#11007=VERTEX_POINT('',#11006); +#11008=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,4.E-1)); +#11009=VERTEX_POINT('',#11008); +#11010=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,4.E-1)); +#11011=VERTEX_POINT('',#11010); +#11012=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,4.E-1)); +#11013=VERTEX_POINT('',#11012); +#11014=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,4.E-1)); +#11015=VERTEX_POINT('',#11014); +#11016=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,4.E-1)); +#11017=VERTEX_POINT('',#11016); +#11018=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,4.E-1)); +#11019=VERTEX_POINT('',#11018); +#11020=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,4.E-1)); +#11021=VERTEX_POINT('',#11020); +#11022=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,4.E-1)); +#11023=VERTEX_POINT('',#11022); +#11024=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,4.E-1)); +#11025=VERTEX_POINT('',#11024); +#11026=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,4.E-1)); +#11027=VERTEX_POINT('',#11026); +#11028=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,4.E-1)); +#11029=VERTEX_POINT('',#11028); +#11030=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,4.E-1)); +#11031=VERTEX_POINT('',#11030); +#11032=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,4.E-1)); +#11033=VERTEX_POINT('',#11032); +#11034=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,4.E-1)); +#11035=VERTEX_POINT('',#11034); +#11036=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,4.E-1)); +#11037=VERTEX_POINT('',#11036); +#11038=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,5.E-1)); +#11039=VERTEX_POINT('',#11038); +#11040=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,5.E-1)); +#11041=VERTEX_POINT('',#11040); +#11042=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,5.E-1)); +#11043=VERTEX_POINT('',#11042); +#11044=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,5.E-1)); +#11045=VERTEX_POINT('',#11044); +#11046=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,5.E-1)); +#11047=VERTEX_POINT('',#11046); +#11048=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,5.E-1)); +#11049=VERTEX_POINT('',#11048); +#11050=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,5.E-1)); +#11051=VERTEX_POINT('',#11050); +#11052=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,5.E-1)); +#11053=VERTEX_POINT('',#11052); +#11054=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,5.E-1)); +#11055=VERTEX_POINT('',#11054); +#11056=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,5.E-1)); +#11057=VERTEX_POINT('',#11056); +#11058=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,5.E-1)); +#11059=VERTEX_POINT('',#11058); +#11060=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,5.E-1)); +#11061=VERTEX_POINT('',#11060); +#11062=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,5.E-1)); +#11063=VERTEX_POINT('',#11062); +#11064=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,5.E-1)); +#11065=VERTEX_POINT('',#11064); +#11066=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,5.E-1)); +#11067=VERTEX_POINT('',#11066); +#11068=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,5.E-1)); +#11069=VERTEX_POINT('',#11068); +#11070=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,5.E-1)); +#11071=VERTEX_POINT('',#11070); +#11072=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,5.E-1)); +#11073=VERTEX_POINT('',#11072); +#11074=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,5.E-1)); +#11075=VERTEX_POINT('',#11074); +#11076=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,5.E-1)); +#11077=VERTEX_POINT('',#11076); +#11078=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,5.E-1)); +#11079=VERTEX_POINT('',#11078); +#11080=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,5.E-1)); +#11081=VERTEX_POINT('',#11080); +#11082=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,5.E-1)); +#11083=VERTEX_POINT('',#11082); +#11084=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,5.E-1)); +#11085=VERTEX_POINT('',#11084); +#11086=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,5.E-1)); +#11087=VERTEX_POINT('',#11086); +#11088=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,5.E-1)); +#11089=VERTEX_POINT('',#11088); +#11090=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,5.E-1)); +#11091=VERTEX_POINT('',#11090); +#11092=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,5.E-1)); +#11093=VERTEX_POINT('',#11092); +#11094=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,5.E-1)); +#11095=VERTEX_POINT('',#11094); +#11096=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,5.E-1)); +#11097=VERTEX_POINT('',#11096); +#11098=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,5.E-1)); +#11099=VERTEX_POINT('',#11098); +#11100=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,5.E-1)); +#11101=VERTEX_POINT('',#11100); +#11102=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,5.E-1)); +#11103=VERTEX_POINT('',#11102); +#11104=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,5.E-1)); +#11105=VERTEX_POINT('',#11104); +#11106=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,5.E-1)); +#11107=VERTEX_POINT('',#11106); +#11108=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,5.E-1)); +#11109=VERTEX_POINT('',#11108); +#11110=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,5.E-1)); +#11111=VERTEX_POINT('',#11110); +#11112=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,5.E-1)); +#11113=VERTEX_POINT('',#11112); +#11114=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,5.E-1)); +#11115=VERTEX_POINT('',#11114); +#11116=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,5.E-1)); +#11117=VERTEX_POINT('',#11116); +#11118=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,5.E-1)); +#11119=VERTEX_POINT('',#11118); +#11120=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,5.E-1)); +#11121=VERTEX_POINT('',#11120); +#11122=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,5.E-1)); +#11123=VERTEX_POINT('',#11122); +#11124=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,5.E-1)); +#11125=VERTEX_POINT('',#11124); +#11126=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,5.E-1)); +#11127=VERTEX_POINT('',#11126); +#11128=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,5.E-1)); +#11129=VERTEX_POINT('',#11128); +#11130=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,5.E-1)); +#11131=VERTEX_POINT('',#11130); +#11132=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,5.E-1)); +#11133=VERTEX_POINT('',#11132); +#11134=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,5.E-1)); +#11135=VERTEX_POINT('',#11134); +#11136=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,5.E-1)); +#11137=VERTEX_POINT('',#11136); +#11138=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,5.E-1)); +#11139=VERTEX_POINT('',#11138); +#11140=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,5.E-1)); +#11141=VERTEX_POINT('',#11140); +#11142=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,5.E-1)); +#11143=VERTEX_POINT('',#11142); +#11144=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,5.E-1)); +#11145=VERTEX_POINT('',#11144); +#11146=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,5.E-1)); +#11147=VERTEX_POINT('',#11146); +#11148=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,5.E-1)); +#11149=VERTEX_POINT('',#11148); +#11150=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,5.E-1)); +#11151=VERTEX_POINT('',#11150); +#11152=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,5.E-1)); +#11153=VERTEX_POINT('',#11152); +#11154=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,5.E-1)); +#11155=VERTEX_POINT('',#11154); +#11156=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,5.E-1)); +#11157=VERTEX_POINT('',#11156); +#11158=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,5.E-1)); +#11159=VERTEX_POINT('',#11158); +#11160=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,5.E-1)); +#11161=VERTEX_POINT('',#11160); +#11162=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,5.E-1)); +#11163=VERTEX_POINT('',#11162); +#11164=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,5.E-1)); +#11165=VERTEX_POINT('',#11164); +#11166=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,5.E-1)); +#11167=VERTEX_POINT('',#11166); +#11168=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,5.E-1)); +#11169=VERTEX_POINT('',#11168); +#11170=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,5.E-1)); +#11171=VERTEX_POINT('',#11170); +#11172=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,5.E-1)); +#11173=VERTEX_POINT('',#11172); +#11174=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,5.E-1)); +#11175=VERTEX_POINT('',#11174); +#11176=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,5.E-1)); +#11177=VERTEX_POINT('',#11176); +#11178=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,5.E-1)); +#11179=VERTEX_POINT('',#11178); +#11180=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,5.E-1)); +#11181=VERTEX_POINT('',#11180); +#11182=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,5.E-1)); +#11183=VERTEX_POINT('',#11182); +#11184=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,5.E-1)); +#11185=VERTEX_POINT('',#11184); +#11186=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,5.E-1)); +#11187=VERTEX_POINT('',#11186); +#11188=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,5.E-1)); +#11189=VERTEX_POINT('',#11188); +#11190=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,8.E-1)); +#11191=VERTEX_POINT('',#11190); +#11192=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,8.E-1)); +#11193=VERTEX_POINT('',#11192); +#11194=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,8.E-1)); +#11195=VERTEX_POINT('',#11194); +#11196=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,8.E-1)); +#11197=VERTEX_POINT('',#11196); +#11198=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,8.E-1)); +#11199=VERTEX_POINT('',#11198); +#11200=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,8.E-1)); +#11201=VERTEX_POINT('',#11200); +#11202=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,8.E-1)); +#11203=VERTEX_POINT('',#11202); +#11204=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,8.E-1)); +#11205=VERTEX_POINT('',#11204); +#11206=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,8.E-1)); +#11207=VERTEX_POINT('',#11206); +#11208=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,8.E-1)); +#11209=VERTEX_POINT('',#11208); +#11210=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,8.E-1)); +#11211=VERTEX_POINT('',#11210); +#11212=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,8.E-1)); +#11213=VERTEX_POINT('',#11212); +#11214=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,8.E-1)); +#11215=VERTEX_POINT('',#11214); +#11216=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,8.E-1)); +#11217=VERTEX_POINT('',#11216); +#11218=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,8.E-1)); +#11219=VERTEX_POINT('',#11218); +#11220=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,8.E-1)); +#11221=VERTEX_POINT('',#11220); +#11222=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,8.E-1)); +#11223=VERTEX_POINT('',#11222); +#11224=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,8.E-1)); +#11225=VERTEX_POINT('',#11224); +#11226=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,8.E-1)); +#11227=VERTEX_POINT('',#11226); +#11228=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,8.E-1)); +#11229=VERTEX_POINT('',#11228); +#11230=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,8.E-1)); +#11231=VERTEX_POINT('',#11230); +#11232=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,8.E-1)); +#11233=VERTEX_POINT('',#11232); +#11234=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,8.E-1)); +#11235=VERTEX_POINT('',#11234); +#11236=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,8.E-1)); +#11237=VERTEX_POINT('',#11236); +#11238=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,8.E-1)); +#11239=VERTEX_POINT('',#11238); +#11240=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,8.E-1)); +#11241=VERTEX_POINT('',#11240); +#11242=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,8.E-1)); +#11243=VERTEX_POINT('',#11242); +#11244=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,8.E-1)); +#11245=VERTEX_POINT('',#11244); +#11246=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,8.E-1)); +#11247=VERTEX_POINT('',#11246); +#11248=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,8.E-1)); +#11249=VERTEX_POINT('',#11248); +#11250=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,8.E-1)); +#11251=VERTEX_POINT('',#11250); +#11252=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,8.E-1)); +#11253=VERTEX_POINT('',#11252); +#11254=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,1.2E0)); +#11255=VERTEX_POINT('',#11254); +#11256=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,1.2E0)); +#11257=VERTEX_POINT('',#11256); +#11258=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,1.2E0)); +#11259=VERTEX_POINT('',#11258); +#11260=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,1.2E0)); +#11261=VERTEX_POINT('',#11260); +#11262=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,1.2E0)); +#11263=VERTEX_POINT('',#11262); +#11264=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,1.2E0)); +#11265=VERTEX_POINT('',#11264); +#11266=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,1.2E0)); +#11267=VERTEX_POINT('',#11266); +#11268=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,1.2E0)); +#11269=VERTEX_POINT('',#11268); +#11270=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,1.2E0)); +#11271=VERTEX_POINT('',#11270); +#11272=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,1.2E0)); +#11273=VERTEX_POINT('',#11272); +#11274=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,1.2E0)); +#11275=VERTEX_POINT('',#11274); +#11276=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,1.2E0)); +#11277=VERTEX_POINT('',#11276); +#11278=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,1.2E0)); +#11279=VERTEX_POINT('',#11278); +#11280=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,1.2E0)); +#11281=VERTEX_POINT('',#11280); +#11282=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,1.2E0)); +#11283=VERTEX_POINT('',#11282); +#11284=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,1.2E0)); +#11285=VERTEX_POINT('',#11284); +#11286=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,1.2E0)); +#11287=VERTEX_POINT('',#11286); +#11288=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,1.2E0)); +#11289=VERTEX_POINT('',#11288); +#11290=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,1.2E0)); +#11291=VERTEX_POINT('',#11290); +#11292=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,1.2E0)); +#11293=VERTEX_POINT('',#11292); +#11294=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,1.5E0)); +#11295=VERTEX_POINT('',#11294); +#11296=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,1.5E0)); +#11297=VERTEX_POINT('',#11296); +#11298=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,1.5E0)); +#11299=VERTEX_POINT('',#11298); +#11300=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,1.5E0)); +#11301=VERTEX_POINT('',#11300); +#11302=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,1.5E0)); +#11303=VERTEX_POINT('',#11302); +#11304=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,1.5E0)); +#11305=VERTEX_POINT('',#11304); +#11306=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,1.5E0)); +#11307=VERTEX_POINT('',#11306); +#11308=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,1.5E0)); +#11309=VERTEX_POINT('',#11308); +#11310=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,5.E-1)); +#11311=VERTEX_POINT('',#11310); +#11312=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,5.E-1)); +#11313=VERTEX_POINT('',#11312); +#11314=CARTESIAN_POINT('',(1.020862094434E1,1.9950809E1,5.E-1)); +#11315=VERTEX_POINT('',#11314); +#11316=CARTESIAN_POINT('',(9.175424944340E0,1.9950809E1,5.E-1)); +#11317=VERTEX_POINT('',#11316); +#11318=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,8.E-1)); +#11319=VERTEX_POINT('',#11318); +#11320=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,8.E-1)); +#11321=VERTEX_POINT('',#11320); +#11322=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,8.E-1)); +#11323=VERTEX_POINT('',#11322); +#11324=CARTESIAN_POINT('',(1.020862094434E1,1.8250807E1,5.E-1)); +#11325=CARTESIAN_POINT('',(9.175424944340E0,1.8250807E1,5.E-1)); +#11326=VERTEX_POINT('',#11324); +#11327=VERTEX_POINT('',#11325); +#11328=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,8.E-1)); +#11329=VERTEX_POINT('',#11328); +#11330=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,5.E-1)); +#11331=VERTEX_POINT('',#11330); +#11332=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,5.E-1)); +#11333=VERTEX_POINT('',#11332); +#11334=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,5.E-1)); +#11335=VERTEX_POINT('',#11334); +#11336=CARTESIAN_POINT('',(8.633825944340E0,1.8250807E1,5.E-1)); +#11337=VERTEX_POINT('',#11336); +#11338=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,5.E-1)); +#11339=VERTEX_POINT('',#11338); +#11340=CARTESIAN_POINT('',(7.600619944340E0,1.8250807E1,5.E-1)); +#11341=VERTEX_POINT('',#11340); +#11342=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,8.E-1)); +#11343=VERTEX_POINT('',#11342); +#11344=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,8.E-1)); +#11345=VERTEX_POINT('',#11344); +#11346=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,8.E-1)); +#11347=VERTEX_POINT('',#11346); +#11348=CARTESIAN_POINT('',(7.600619944340E0,1.9950809E1,5.E-1)); +#11349=CARTESIAN_POINT('',(8.633825944340E0,1.9950809E1,5.E-1)); +#11350=VERTEX_POINT('',#11348); +#11351=VERTEX_POINT('',#11349); +#11352=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,8.E-1)); +#11353=VERTEX_POINT('',#11352); +#11354=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,5.E-1)); +#11355=VERTEX_POINT('',#11354); +#11356=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,5.E-1)); +#11357=VERTEX_POINT('',#11356); +#11358=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,5.E-1)); +#11359=VERTEX_POINT('',#11358); +#11360=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,5.E-1)); +#11361=VERTEX_POINT('',#11360); +#11362=CARTESIAN_POINT('',(3.780621944340E0,1.9390419E1,5.E-1)); +#11363=VERTEX_POINT('',#11362); +#11364=CARTESIAN_POINT('',(3.780621944340E0,1.8372598E1,5.E-1)); +#11365=CARTESIAN_POINT('',(3.780621944340E0,1.7522597E1,5.E-1)); +#11366=VERTEX_POINT('',#11364); +#11367=VERTEX_POINT('',#11365); +#11368=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,1.2E0)); +#11369=VERTEX_POINT('',#11368); +#11370=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,1.2E0)); +#11371=VERTEX_POINT('',#11370); +#11372=CARTESIAN_POINT('',(3.780621944340E0,2.0304819E1,5.E-1)); +#11373=VERTEX_POINT('',#11372); +#11374=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,5.E-1)); +#11375=VERTEX_POINT('',#11374); +#11376=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,5.E-1)); +#11377=VERTEX_POINT('',#11376); +#11378=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,1.2E0)); +#11379=VERTEX_POINT('',#11378); +#11380=CARTESIAN_POINT('',(2.580621944340E0,1.8472608E1,5.E-1)); +#11381=CARTESIAN_POINT('',(2.580621944340E0,1.9322608E1,5.E-1)); +#11382=VERTEX_POINT('',#11380); +#11383=VERTEX_POINT('',#11381); +#11384=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,1.2E0)); +#11385=VERTEX_POINT('',#11384); +#11386=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,5.E-1)); +#11387=VERTEX_POINT('',#11386); +#11388=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,5.E-1)); +#11389=VERTEX_POINT('',#11388); +#11390=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,5.E-1)); +#11391=VERTEX_POINT('',#11390); +#11392=CARTESIAN_POINT('',(-2.093577055660E0,2.2641619E1,5.E-1)); +#11393=VERTEX_POINT('',#11392); +#11394=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,5.E-1)); +#11395=VERTEX_POINT('',#11394); +#11396=CARTESIAN_POINT('',(-2.093577055660E0,2.1727219E1,5.E-1)); +#11397=VERTEX_POINT('',#11396); +#11398=CARTESIAN_POINT('',(-2.093577055660E0,2.0741597E1,5.E-1)); +#11399=CARTESIAN_POINT('',(-2.093577055660E0,1.9827197E1,5.E-1)); +#11400=VERTEX_POINT('',#11398); +#11401=VERTEX_POINT('',#11399); +#11402=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,1.2E0)); +#11403=VERTEX_POINT('',#11402); +#11404=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,1.2E0)); +#11405=VERTEX_POINT('',#11404); +#11406=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,5.E-1)); +#11407=VERTEX_POINT('',#11406); +#11408=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,5.E-1)); +#11409=VERTEX_POINT('',#11408); +#11410=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,1.2E0)); +#11411=VERTEX_POINT('',#11410); +#11412=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,5.E-1)); +#11413=CARTESIAN_POINT('',(-3.293577055660E0,2.0777208E1,5.E-1)); +#11414=VERTEX_POINT('',#11412); +#11415=VERTEX_POINT('',#11413); +#11416=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,1.2E0)); +#11417=VERTEX_POINT('',#11416); +#11418=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,5.E-1)); +#11419=VERTEX_POINT('',#11418); +#11420=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,5.E-1)); +#11421=VERTEX_POINT('',#11420); +#11422=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,5.E-1)); +#11423=VERTEX_POINT('',#11422); +#11424=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,5.E-1)); +#11425=VERTEX_POINT('',#11424); +#11426=CARTESIAN_POINT('',(-3.820777055660E0,1.8243408E1,5.E-1)); +#11427=VERTEX_POINT('',#11426); +#11428=CARTESIAN_POINT('',(-4.735177055660E0,1.8243408E1,5.E-1)); +#11429=VERTEX_POINT('',#11428); +#11430=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,1.2E0)); +#11431=VERTEX_POINT('',#11430); +#11432=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,1.2E0)); +#11433=VERTEX_POINT('',#11432); +#11434=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,1.2E0)); +#11435=VERTEX_POINT('',#11434); +#11436=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,5.E-1)); +#11437=CARTESIAN_POINT('',(-2.870767055660E0,1.7043408E1,5.E-1)); +#11438=VERTEX_POINT('',#11436); +#11439=VERTEX_POINT('',#11437); +#11440=CARTESIAN_POINT('',(-4.770788055660E0,1.7043408E1,5.E-1)); +#11441=VERTEX_POINT('',#11440); +#11442=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,5.E-1)); +#11443=VERTEX_POINT('',#11442); +#11444=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,1.2E0)); +#11445=VERTEX_POINT('',#11444); +#11446=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,5.E-1)); +#11447=VERTEX_POINT('',#11446); +#11448=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,5.E-1)); +#11449=VERTEX_POINT('',#11448); +#11450=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,5.E-1)); +#11451=VERTEX_POINT('',#11450); +#11452=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,5.E-1)); +#11453=VERTEX_POINT('',#11452); +#11454=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,5.E-1)); +#11455=VERTEX_POINT('',#11454); +#11456=CARTESIAN_POINT('',(-1.884177055660E0,1.4300208E1,5.E-1)); +#11457=VERTEX_POINT('',#11456); +#11458=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,5.E-1)); +#11459=VERTEX_POINT('',#11458); +#11460=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,5.E-1)); +#11461=VERTEX_POINT('',#11460); +#11462=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,1.2E0)); +#11463=VERTEX_POINT('',#11462); +#11464=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,1.2E0)); +#11465=VERTEX_POINT('',#11464); +#11466=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,1.2E0)); +#11467=VERTEX_POINT('',#11466); +#11468=CARTESIAN_POINT('',(-3.084177055660E0,1.4335819E1,5.E-1)); +#11469=CARTESIAN_POINT('',(-3.084177055660E0,1.5250219E1,5.E-1)); +#11470=VERTEX_POINT('',#11468); +#11471=VERTEX_POINT('',#11469); +#11472=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,1.2E0)); +#11473=VERTEX_POINT('',#11472); +#11474=CARTESIAN_POINT('',(-3.084177055660E0,1.2435797E1,5.E-1)); +#11475=VERTEX_POINT('',#11474); +#11476=CARTESIAN_POINT('',(-3.084177055660E0,1.3350197E1,5.E-1)); +#11477=VERTEX_POINT('',#11476); +#11478=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,5.E-1)); +#11479=VERTEX_POINT('',#11478); +#11480=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,5.E-1)); +#11481=VERTEX_POINT('',#11480); +#11482=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,5.E-1)); +#11483=VERTEX_POINT('',#11482); +#11484=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,5.E-1)); +#11485=VERTEX_POINT('',#11484); +#11486=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,5.E-1)); +#11487=VERTEX_POINT('',#11486); +#11488=CARTESIAN_POINT('',(-4.395780556596E-1,1.1698207E1,5.E-1)); +#11489=VERTEX_POINT('',#11488); +#11490=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,5.E-1)); +#11491=VERTEX_POINT('',#11490); +#11492=CARTESIAN_POINT('',(-4.395780556596E-1,1.0298209E1,5.E-1)); +#11493=VERTEX_POINT('',#11492); +#11494=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,1.2E0)); +#11495=VERTEX_POINT('',#11494); +#11496=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,1.2E0)); +#11497=VERTEX_POINT('',#11496); +#11498=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,1.2E0)); +#11499=VERTEX_POINT('',#11498); +#11500=CARTESIAN_POINT('',(-3.239577055660E0,1.0298209E1,5.E-1)); +#11501=CARTESIAN_POINT('',(-3.239577055660E0,1.1698207E1,5.E-1)); +#11502=VERTEX_POINT('',#11500); +#11503=VERTEX_POINT('',#11501); +#11504=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,1.2E0)); +#11505=VERTEX_POINT('',#11504); +#11506=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,5.E-1)); +#11507=VERTEX_POINT('',#11506); +#11508=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,5.E-1)); +#11509=VERTEX_POINT('',#11508); +#11510=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,5.E-1)); +#11511=VERTEX_POINT('',#11510); +#11512=CARTESIAN_POINT('',(5.664420944340E0,1.5855005E1,5.E-1)); +#11513=VERTEX_POINT('',#11512); +#11514=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,5.E-1)); +#11515=VERTEX_POINT('',#11514); +#11516=CARTESIAN_POINT('',(5.664420944340E0,1.5255007E1,5.E-1)); +#11517=VERTEX_POINT('',#11516); +#11518=CARTESIAN_POINT('',(5.664420944340E0,1.3955007E1,5.E-1)); +#11519=VERTEX_POINT('',#11518); +#11520=CARTESIAN_POINT('',(5.664420944340E0,1.3355008E1,5.E-1)); +#11521=VERTEX_POINT('',#11520); +#11522=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,1.5E0)); +#11523=VERTEX_POINT('',#11522); +#11524=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,1.5E0)); +#11525=VERTEX_POINT('',#11524); +#11526=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,5.E-1)); +#11527=VERTEX_POINT('',#11526); +#11528=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,5.E-1)); +#11529=VERTEX_POINT('',#11528); +#11530=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,1.5E0)); +#11531=VERTEX_POINT('',#11530); +#11532=CARTESIAN_POINT('',(3.864418944340E0,1.5255007E1,5.E-1)); +#11533=CARTESIAN_POINT('',(3.864418944340E0,1.5855005E1,5.E-1)); +#11534=VERTEX_POINT('',#11532); +#11535=VERTEX_POINT('',#11533); +#11536=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,1.5E0)); +#11537=VERTEX_POINT('',#11536); +#11538=CARTESIAN_POINT('',(3.864418944340E0,1.3355008E1,5.E-1)); +#11539=VERTEX_POINT('',#11538); +#11540=CARTESIAN_POINT('',(3.864418944340E0,1.3955007E1,5.E-1)); +#11541=VERTEX_POINT('',#11540); +#11542=CARTESIAN_POINT('',(3.864418944340E0,1.4305006E1,5.E-1)); +#11543=VERTEX_POINT('',#11542); +#11544=CARTESIAN_POINT('',(3.864418944340E0,1.4905005E1,5.E-1)); +#11545=VERTEX_POINT('',#11544); +#11546=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,5.E-1)); +#11547=VERTEX_POINT('',#11546); +#11548=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,5.E-1)); +#11549=VERTEX_POINT('',#11548); +#11550=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,5.E-1)); +#11551=VERTEX_POINT('',#11550); +#11552=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,5.E-1)); +#11553=VERTEX_POINT('',#11552); +#11554=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,5.E-1)); +#11555=VERTEX_POINT('',#11554); +#11556=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,5.E-1)); +#11557=VERTEX_POINT('',#11556); +#11558=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,5.E-1)); +#11559=VERTEX_POINT('',#11558); +#11560=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,5.E-1)); +#11561=VERTEX_POINT('',#11560); +#11562=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,5.E-1)); +#11563=VERTEX_POINT('',#11562); +#11564=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,5.E-1)); +#11565=VERTEX_POINT('',#11564); +#11566=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,8.E-1)); +#11567=VERTEX_POINT('',#11566); +#11568=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,8.E-1)); +#11569=VERTEX_POINT('',#11568); +#11570=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,8.E-1)); +#11571=VERTEX_POINT('',#11570); +#11572=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,8.E-1)); +#11573=VERTEX_POINT('',#11572); +#11574=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,5.E-1)); +#11575=VERTEX_POINT('',#11574); +#11576=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,5.E-1)); +#11577=VERTEX_POINT('',#11576); +#11578=CARTESIAN_POINT('',(-7.396777055660E0,1.5454206E1,5.E-1)); +#11579=VERTEX_POINT('',#11578); +#11580=CARTESIAN_POINT('',(-9.296776055660E0,1.5454206E1,5.E-1)); +#11581=CARTESIAN_POINT('',(-9.896775055660E0,1.5454206E1,5.E-1)); +#11582=VERTEX_POINT('',#11580); +#11583=VERTEX_POINT('',#11581); +#11584=CARTESIAN_POINT('',(-7.996776055660E0,1.5454206E1,5.E-1)); +#11585=VERTEX_POINT('',#11584); +#11586=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,8.E-1)); +#11587=VERTEX_POINT('',#11586); +#11588=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,8.E-1)); +#11589=VERTEX_POINT('',#11588); +#11590=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,5.E-1)); +#11591=VERTEX_POINT('',#11590); +#11592=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,5.E-1)); +#11593=VERTEX_POINT('',#11592); +#11594=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,8.E-1)); +#11595=VERTEX_POINT('',#11594); +#11596=CARTESIAN_POINT('',(-9.296776055660E0,1.3654205E1,5.E-1)); +#11597=CARTESIAN_POINT('',(-9.896775055660E0,1.3654205E1,5.E-1)); +#11598=VERTEX_POINT('',#11596); +#11599=VERTEX_POINT('',#11597); +#11600=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,8.E-1)); +#11601=VERTEX_POINT('',#11600); +#11602=CARTESIAN_POINT('',(-7.396777055660E0,1.3654205E1,5.E-1)); +#11603=VERTEX_POINT('',#11602); +#11604=CARTESIAN_POINT('',(-7.996776055660E0,1.3654205E1,5.E-1)); +#11605=VERTEX_POINT('',#11604); +#11606=CARTESIAN_POINT('',(-8.346775055660E0,1.3654205E1,5.E-1)); +#11607=VERTEX_POINT('',#11606); +#11608=CARTESIAN_POINT('',(-8.946774055660E0,1.3654205E1,5.E-1)); +#11609=VERTEX_POINT('',#11608); +#11610=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,5.E-1)); +#11611=VERTEX_POINT('',#11610); +#11612=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,5.E-1)); +#11613=VERTEX_POINT('',#11612); +#11614=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,5.E-1)); +#11615=VERTEX_POINT('',#11614); +#11616=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,5.E-1)); +#11617=VERTEX_POINT('',#11616); +#11618=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,5.E-1)); +#11619=VERTEX_POINT('',#11618); +#11620=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,5.E-1)); +#11621=VERTEX_POINT('',#11620); +#11622=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,0.E0)); +#11623=DIRECTION('',(0.E0,0.E0,1.E0)); +#11624=DIRECTION('',(1.E0,0.E0,0.E0)); +#11625=AXIS2_PLACEMENT_3D('',#11622,#11623,#11624); +#11626=PLANE('',#11625); +#11628=ORIENTED_EDGE('',*,*,#11627,.T.); +#11630=ORIENTED_EDGE('',*,*,#11629,.T.); +#11632=ORIENTED_EDGE('',*,*,#11631,.T.); +#11634=ORIENTED_EDGE('',*,*,#11633,.T.); +#11636=ORIENTED_EDGE('',*,*,#11635,.T.); +#11638=ORIENTED_EDGE('',*,*,#11637,.T.); +#11640=ORIENTED_EDGE('',*,*,#11639,.T.); +#11642=ORIENTED_EDGE('',*,*,#11641,.T.); +#11643=EDGE_LOOP('',(#11628,#11630,#11632,#11634,#11636,#11638,#11640,#11642)); +#11644=FACE_OUTER_BOUND('',#11643,.F.); +#11646=ORIENTED_EDGE('',*,*,#11645,.T.); +#11648=ORIENTED_EDGE('',*,*,#11647,.T.); +#11649=EDGE_LOOP('',(#11646,#11648)); +#11650=FACE_BOUND('',#11649,.F.); +#11652=ORIENTED_EDGE('',*,*,#11651,.T.); +#11654=ORIENTED_EDGE('',*,*,#11653,.T.); +#11655=EDGE_LOOP('',(#11652,#11654)); +#11656=FACE_BOUND('',#11655,.F.); +#11658=ORIENTED_EDGE('',*,*,#11657,.F.); +#11660=ORIENTED_EDGE('',*,*,#11659,.F.); +#11662=ORIENTED_EDGE('',*,*,#11661,.F.); +#11664=ORIENTED_EDGE('',*,*,#11663,.F.); +#11666=ORIENTED_EDGE('',*,*,#11665,.F.); +#11668=ORIENTED_EDGE('',*,*,#11667,.F.); +#11670=ORIENTED_EDGE('',*,*,#11669,.F.); +#11672=ORIENTED_EDGE('',*,*,#11671,.F.); +#11674=ORIENTED_EDGE('',*,*,#11673,.F.); +#11676=ORIENTED_EDGE('',*,*,#11675,.F.); +#11678=ORIENTED_EDGE('',*,*,#11677,.F.); +#11680=ORIENTED_EDGE('',*,*,#11679,.F.); +#11682=ORIENTED_EDGE('',*,*,#11681,.F.); +#11684=ORIENTED_EDGE('',*,*,#11683,.F.); +#11686=ORIENTED_EDGE('',*,*,#11685,.F.); +#11688=ORIENTED_EDGE('',*,*,#11687,.F.); +#11690=ORIENTED_EDGE('',*,*,#11689,.F.); +#11692=ORIENTED_EDGE('',*,*,#11691,.F.); +#11694=ORIENTED_EDGE('',*,*,#11693,.F.); +#11696=ORIENTED_EDGE('',*,*,#11695,.F.); +#11697=EDGE_LOOP('',(#11658,#11660,#11662,#11664,#11666,#11668,#11670,#11672, +#11674,#11676,#11678,#11680,#11682,#11684,#11686,#11688,#11690,#11692,#11694, +#11696)); +#11698=FACE_BOUND('',#11697,.F.); +#11700=ORIENTED_EDGE('',*,*,#11699,.F.); +#11702=ORIENTED_EDGE('',*,*,#11701,.T.); +#11704=ORIENTED_EDGE('',*,*,#11703,.T.); +#11706=ORIENTED_EDGE('',*,*,#11705,.F.); +#11708=ORIENTED_EDGE('',*,*,#11707,.F.); +#11710=ORIENTED_EDGE('',*,*,#11709,.F.); +#11712=ORIENTED_EDGE('',*,*,#11711,.F.); +#11714=ORIENTED_EDGE('',*,*,#11713,.F.); +#11716=ORIENTED_EDGE('',*,*,#11715,.F.); +#11718=ORIENTED_EDGE('',*,*,#11717,.F.); +#11720=ORIENTED_EDGE('',*,*,#11719,.T.); +#11722=ORIENTED_EDGE('',*,*,#11721,.T.); +#11724=ORIENTED_EDGE('',*,*,#11723,.F.); +#11726=ORIENTED_EDGE('',*,*,#11725,.F.); +#11728=ORIENTED_EDGE('',*,*,#11727,.F.); +#11730=ORIENTED_EDGE('',*,*,#11729,.F.); +#11732=ORIENTED_EDGE('',*,*,#11731,.F.); +#11734=ORIENTED_EDGE('',*,*,#11733,.F.); +#11735=EDGE_LOOP('',(#11700,#11702,#11704,#11706,#11708,#11710,#11712,#11714, +#11716,#11718,#11720,#11722,#11724,#11726,#11728,#11730,#11732,#11734)); +#11736=FACE_BOUND('',#11735,.F.); +#11738=ORIENTED_EDGE('',*,*,#11737,.T.); +#11740=ORIENTED_EDGE('',*,*,#11739,.T.); +#11742=ORIENTED_EDGE('',*,*,#11741,.T.); +#11744=ORIENTED_EDGE('',*,*,#11743,.F.); +#11746=ORIENTED_EDGE('',*,*,#11745,.F.); +#11748=ORIENTED_EDGE('',*,*,#11747,.T.); +#11750=ORIENTED_EDGE('',*,*,#11749,.T.); +#11752=ORIENTED_EDGE('',*,*,#11751,.F.); +#11754=ORIENTED_EDGE('',*,*,#11753,.T.); +#11756=ORIENTED_EDGE('',*,*,#11755,.T.); +#11758=ORIENTED_EDGE('',*,*,#11757,.F.); +#11760=ORIENTED_EDGE('',*,*,#11759,.F.); +#11762=ORIENTED_EDGE('',*,*,#11761,.T.); +#11764=ORIENTED_EDGE('',*,*,#11763,.T.); +#11766=ORIENTED_EDGE('',*,*,#11765,.T.); +#11768=ORIENTED_EDGE('',*,*,#11767,.F.); +#11769=EDGE_LOOP('',(#11738,#11740,#11742,#11744,#11746,#11748,#11750,#11752, +#11754,#11756,#11758,#11760,#11762,#11764,#11766,#11768)); +#11770=FACE_BOUND('',#11769,.F.); +#11772=ORIENTED_EDGE('',*,*,#11771,.F.); +#11774=ORIENTED_EDGE('',*,*,#11773,.F.); +#11776=ORIENTED_EDGE('',*,*,#11775,.F.); +#11778=ORIENTED_EDGE('',*,*,#11777,.F.); +#11779=EDGE_LOOP('',(#11772,#11774,#11776,#11778)); +#11780=FACE_BOUND('',#11779,.F.); +#11782=ORIENTED_EDGE('',*,*,#11781,.T.); +#11784=ORIENTED_EDGE('',*,*,#11783,.F.); +#11786=ORIENTED_EDGE('',*,*,#11785,.T.); +#11788=ORIENTED_EDGE('',*,*,#11787,.F.); +#11789=EDGE_LOOP('',(#11782,#11784,#11786,#11788)); +#11790=FACE_BOUND('',#11789,.F.); +#11792=ORIENTED_EDGE('',*,*,#11791,.T.); +#11794=ORIENTED_EDGE('',*,*,#11793,.F.); +#11796=ORIENTED_EDGE('',*,*,#11795,.T.); +#11798=ORIENTED_EDGE('',*,*,#11797,.F.); +#11799=EDGE_LOOP('',(#11792,#11794,#11796,#11798)); +#11800=FACE_BOUND('',#11799,.F.); +#11802=ORIENTED_EDGE('',*,*,#11801,.T.); +#11804=ORIENTED_EDGE('',*,*,#11803,.F.); +#11806=ORIENTED_EDGE('',*,*,#11805,.T.); +#11808=ORIENTED_EDGE('',*,*,#11807,.F.); +#11809=EDGE_LOOP('',(#11802,#11804,#11806,#11808)); +#11810=FACE_BOUND('',#11809,.F.); +#11812=ORIENTED_EDGE('',*,*,#11811,.T.); +#11814=ORIENTED_EDGE('',*,*,#11813,.F.); +#11816=ORIENTED_EDGE('',*,*,#11815,.T.); +#11818=ORIENTED_EDGE('',*,*,#11817,.F.); +#11819=EDGE_LOOP('',(#11812,#11814,#11816,#11818)); +#11820=FACE_BOUND('',#11819,.F.); +#11822=ORIENTED_EDGE('',*,*,#11821,.T.); +#11824=ORIENTED_EDGE('',*,*,#11823,.F.); +#11826=ORIENTED_EDGE('',*,*,#11825,.T.); +#11828=ORIENTED_EDGE('',*,*,#11827,.F.); +#11829=EDGE_LOOP('',(#11822,#11824,#11826,#11828)); +#11830=FACE_BOUND('',#11829,.F.); +#11832=ORIENTED_EDGE('',*,*,#11831,.T.); +#11834=ORIENTED_EDGE('',*,*,#11833,.F.); +#11836=ORIENTED_EDGE('',*,*,#11835,.T.); +#11838=ORIENTED_EDGE('',*,*,#11837,.F.); +#11839=EDGE_LOOP('',(#11832,#11834,#11836,#11838)); +#11840=FACE_BOUND('',#11839,.F.); +#11842=ORIENTED_EDGE('',*,*,#11841,.T.); +#11844=ORIENTED_EDGE('',*,*,#11843,.F.); +#11846=ORIENTED_EDGE('',*,*,#11845,.T.); +#11848=ORIENTED_EDGE('',*,*,#11847,.F.); +#11849=EDGE_LOOP('',(#11842,#11844,#11846,#11848)); +#11850=FACE_BOUND('',#11849,.F.); +#11852=ORIENTED_EDGE('',*,*,#11851,.T.); +#11854=ORIENTED_EDGE('',*,*,#11853,.F.); +#11856=ORIENTED_EDGE('',*,*,#11855,.T.); +#11858=ORIENTED_EDGE('',*,*,#11857,.F.); +#11859=EDGE_LOOP('',(#11852,#11854,#11856,#11858)); +#11860=FACE_BOUND('',#11859,.F.); +#11862=ORIENTED_EDGE('',*,*,#11861,.F.); +#11864=ORIENTED_EDGE('',*,*,#11863,.F.); +#11866=ORIENTED_EDGE('',*,*,#11865,.F.); +#11868=ORIENTED_EDGE('',*,*,#11867,.F.); +#11870=ORIENTED_EDGE('',*,*,#11869,.F.); +#11872=ORIENTED_EDGE('',*,*,#11871,.F.); +#11874=ORIENTED_EDGE('',*,*,#11873,.F.); +#11876=ORIENTED_EDGE('',*,*,#11875,.F.); +#11878=ORIENTED_EDGE('',*,*,#11877,.F.); +#11880=ORIENTED_EDGE('',*,*,#11879,.F.); +#11882=ORIENTED_EDGE('',*,*,#11881,.F.); +#11884=ORIENTED_EDGE('',*,*,#11883,.F.); +#11886=ORIENTED_EDGE('',*,*,#11885,.F.); +#11888=ORIENTED_EDGE('',*,*,#11887,.F.); +#11890=ORIENTED_EDGE('',*,*,#11889,.F.); +#11892=ORIENTED_EDGE('',*,*,#11891,.F.); +#11894=ORIENTED_EDGE('',*,*,#11893,.F.); +#11896=ORIENTED_EDGE('',*,*,#11895,.F.); +#11898=ORIENTED_EDGE('',*,*,#11897,.F.); +#11900=ORIENTED_EDGE('',*,*,#11899,.F.); +#11901=EDGE_LOOP('',(#11862,#11864,#11866,#11868,#11870,#11872,#11874,#11876, +#11878,#11880,#11882,#11884,#11886,#11888,#11890,#11892,#11894,#11896,#11898, +#11900)); +#11902=FACE_BOUND('',#11901,.F.); +#11904=ORIENTED_EDGE('',*,*,#11903,.F.); +#11906=ORIENTED_EDGE('',*,*,#11905,.F.); +#11908=ORIENTED_EDGE('',*,*,#11907,.F.); +#11910=ORIENTED_EDGE('',*,*,#11909,.F.); +#11912=ORIENTED_EDGE('',*,*,#11911,.F.); +#11914=ORIENTED_EDGE('',*,*,#11913,.F.); +#11916=ORIENTED_EDGE('',*,*,#11915,.F.); +#11918=ORIENTED_EDGE('',*,*,#11917,.F.); +#11920=ORIENTED_EDGE('',*,*,#11919,.F.); +#11922=ORIENTED_EDGE('',*,*,#11921,.F.); +#11924=ORIENTED_EDGE('',*,*,#11923,.F.); +#11926=ORIENTED_EDGE('',*,*,#11925,.F.); +#11927=EDGE_LOOP('',(#11904,#11906,#11908,#11910,#11912,#11914,#11916,#11918, +#11920,#11922,#11924,#11926)); +#11928=FACE_BOUND('',#11927,.F.); +#11930=ORIENTED_EDGE('',*,*,#11929,.F.); +#11932=ORIENTED_EDGE('',*,*,#11931,.F.); +#11934=ORIENTED_EDGE('',*,*,#11933,.F.); +#11936=ORIENTED_EDGE('',*,*,#11935,.F.); +#11937=EDGE_LOOP('',(#11930,#11932,#11934,#11936)); +#11938=FACE_BOUND('',#11937,.F.); +#11940=ORIENTED_EDGE('',*,*,#11939,.F.); +#11942=ORIENTED_EDGE('',*,*,#11941,.F.); +#11944=ORIENTED_EDGE('',*,*,#11943,.F.); +#11946=ORIENTED_EDGE('',*,*,#11945,.F.); +#11948=ORIENTED_EDGE('',*,*,#11947,.F.); +#11950=ORIENTED_EDGE('',*,*,#11949,.F.); +#11952=ORIENTED_EDGE('',*,*,#11951,.F.); +#11954=ORIENTED_EDGE('',*,*,#11953,.F.); +#11956=ORIENTED_EDGE('',*,*,#11955,.F.); +#11958=ORIENTED_EDGE('',*,*,#11957,.F.); +#11960=ORIENTED_EDGE('',*,*,#11959,.F.); +#11962=ORIENTED_EDGE('',*,*,#11961,.F.); +#11964=ORIENTED_EDGE('',*,*,#11963,.F.); +#11966=ORIENTED_EDGE('',*,*,#11965,.F.); +#11968=ORIENTED_EDGE('',*,*,#11967,.F.); +#11970=ORIENTED_EDGE('',*,*,#11969,.F.); +#11971=EDGE_LOOP('',(#11940,#11942,#11944,#11946,#11948,#11950,#11952,#11954, +#11956,#11958,#11960,#11962,#11964,#11966,#11968,#11970)); +#11972=FACE_BOUND('',#11971,.F.); +#11974=ORIENTED_EDGE('',*,*,#11973,.F.); +#11976=ORIENTED_EDGE('',*,*,#11975,.F.); +#11978=ORIENTED_EDGE('',*,*,#11977,.F.); +#11980=ORIENTED_EDGE('',*,*,#11979,.F.); +#11981=EDGE_LOOP('',(#11974,#11976,#11978,#11980)); +#11982=FACE_BOUND('',#11981,.F.); +#11984=ORIENTED_EDGE('',*,*,#11983,.F.); +#11986=ORIENTED_EDGE('',*,*,#11985,.F.); +#11988=ORIENTED_EDGE('',*,*,#11987,.F.); +#11990=ORIENTED_EDGE('',*,*,#11989,.F.); +#11991=EDGE_LOOP('',(#11984,#11986,#11988,#11990)); +#11992=FACE_BOUND('',#11991,.F.); +#11994=ORIENTED_EDGE('',*,*,#11993,.F.); +#11996=ORIENTED_EDGE('',*,*,#11995,.F.); +#11998=ORIENTED_EDGE('',*,*,#11997,.F.); +#12000=ORIENTED_EDGE('',*,*,#11999,.F.); +#12001=EDGE_LOOP('',(#11994,#11996,#11998,#12000)); +#12002=FACE_BOUND('',#12001,.F.); +#12004=ORIENTED_EDGE('',*,*,#12003,.F.); +#12006=ORIENTED_EDGE('',*,*,#12005,.F.); +#12008=ORIENTED_EDGE('',*,*,#12007,.F.); +#12010=ORIENTED_EDGE('',*,*,#12009,.F.); +#12011=EDGE_LOOP('',(#12004,#12006,#12008,#12010)); +#12012=FACE_BOUND('',#12011,.F.); +#12014=ORIENTED_EDGE('',*,*,#12013,.F.); +#12016=ORIENTED_EDGE('',*,*,#12015,.F.); +#12018=ORIENTED_EDGE('',*,*,#12017,.F.); +#12020=ORIENTED_EDGE('',*,*,#12019,.F.); +#12021=EDGE_LOOP('',(#12014,#12016,#12018,#12020)); +#12022=FACE_BOUND('',#12021,.F.); +#12024=ORIENTED_EDGE('',*,*,#12023,.F.); +#12026=ORIENTED_EDGE('',*,*,#12025,.F.); +#12028=ORIENTED_EDGE('',*,*,#12027,.F.); +#12030=ORIENTED_EDGE('',*,*,#12029,.F.); +#12031=EDGE_LOOP('',(#12024,#12026,#12028,#12030)); +#12032=FACE_BOUND('',#12031,.F.); +#12034=ORIENTED_EDGE('',*,*,#12033,.F.); +#12036=ORIENTED_EDGE('',*,*,#12035,.F.); +#12038=ORIENTED_EDGE('',*,*,#12037,.F.); +#12040=ORIENTED_EDGE('',*,*,#12039,.F.); +#12041=EDGE_LOOP('',(#12034,#12036,#12038,#12040)); +#12042=FACE_BOUND('',#12041,.F.); +#12044=ORIENTED_EDGE('',*,*,#12043,.F.); +#12046=ORIENTED_EDGE('',*,*,#12045,.F.); +#12048=ORIENTED_EDGE('',*,*,#12047,.F.); +#12050=ORIENTED_EDGE('',*,*,#12049,.F.); +#12051=EDGE_LOOP('',(#12044,#12046,#12048,#12050)); +#12052=FACE_BOUND('',#12051,.F.); +#12054=ORIENTED_EDGE('',*,*,#12053,.F.); +#12056=ORIENTED_EDGE('',*,*,#12055,.F.); +#12058=ORIENTED_EDGE('',*,*,#12057,.F.); +#12060=ORIENTED_EDGE('',*,*,#12059,.F.); +#12061=EDGE_LOOP('',(#12054,#12056,#12058,#12060)); +#12062=FACE_BOUND('',#12061,.F.); +#12064=ORIENTED_EDGE('',*,*,#12063,.F.); +#12066=ORIENTED_EDGE('',*,*,#12065,.F.); +#12068=ORIENTED_EDGE('',*,*,#12067,.F.); +#12070=ORIENTED_EDGE('',*,*,#12069,.F.); +#12071=EDGE_LOOP('',(#12064,#12066,#12068,#12070)); +#12072=FACE_BOUND('',#12071,.F.); +#12074=ORIENTED_EDGE('',*,*,#12073,.F.); +#12076=ORIENTED_EDGE('',*,*,#12075,.F.); +#12078=ORIENTED_EDGE('',*,*,#12077,.F.); +#12080=ORIENTED_EDGE('',*,*,#12079,.F.); +#12081=EDGE_LOOP('',(#12074,#12076,#12078,#12080)); +#12082=FACE_BOUND('',#12081,.F.); +#12084=ORIENTED_EDGE('',*,*,#12083,.F.); +#12086=ORIENTED_EDGE('',*,*,#12085,.F.); +#12088=ORIENTED_EDGE('',*,*,#12087,.F.); +#12090=ORIENTED_EDGE('',*,*,#12089,.F.); +#12091=EDGE_LOOP('',(#12084,#12086,#12088,#12090)); +#12092=FACE_BOUND('',#12091,.F.); +#12094=ORIENTED_EDGE('',*,*,#12093,.F.); +#12096=ORIENTED_EDGE('',*,*,#12095,.F.); +#12098=ORIENTED_EDGE('',*,*,#12097,.F.); +#12100=ORIENTED_EDGE('',*,*,#12099,.F.); +#12101=EDGE_LOOP('',(#12094,#12096,#12098,#12100)); +#12102=FACE_BOUND('',#12101,.F.); +#12104=ORIENTED_EDGE('',*,*,#12103,.F.); +#12106=ORIENTED_EDGE('',*,*,#12105,.F.); +#12108=ORIENTED_EDGE('',*,*,#12107,.F.); +#12110=ORIENTED_EDGE('',*,*,#12109,.F.); +#12111=EDGE_LOOP('',(#12104,#12106,#12108,#12110)); +#12112=FACE_BOUND('',#12111,.F.); +#12114=ORIENTED_EDGE('',*,*,#12113,.F.); +#12116=ORIENTED_EDGE('',*,*,#12115,.F.); +#12118=ORIENTED_EDGE('',*,*,#12117,.F.); +#12120=ORIENTED_EDGE('',*,*,#12119,.F.); +#12121=EDGE_LOOP('',(#12114,#12116,#12118,#12120)); +#12122=FACE_BOUND('',#12121,.F.); +#12124=ORIENTED_EDGE('',*,*,#12123,.F.); +#12126=ORIENTED_EDGE('',*,*,#12125,.F.); +#12128=ORIENTED_EDGE('',*,*,#12127,.F.); +#12130=ORIENTED_EDGE('',*,*,#12129,.F.); +#12131=EDGE_LOOP('',(#12124,#12126,#12128,#12130)); +#12132=FACE_BOUND('',#12131,.F.); +#12134=ORIENTED_EDGE('',*,*,#12133,.F.); +#12136=ORIENTED_EDGE('',*,*,#12135,.F.); +#12138=ORIENTED_EDGE('',*,*,#12137,.F.); +#12140=ORIENTED_EDGE('',*,*,#12139,.F.); +#12141=EDGE_LOOP('',(#12134,#12136,#12138,#12140)); +#12142=FACE_BOUND('',#12141,.F.); +#12144=ORIENTED_EDGE('',*,*,#12143,.F.); +#12146=ORIENTED_EDGE('',*,*,#12145,.F.); +#12148=ORIENTED_EDGE('',*,*,#12147,.F.); +#12150=ORIENTED_EDGE('',*,*,#12149,.F.); +#12151=EDGE_LOOP('',(#12144,#12146,#12148,#12150)); +#12152=FACE_BOUND('',#12151,.F.); +#12154=ORIENTED_EDGE('',*,*,#12153,.F.); +#12156=ORIENTED_EDGE('',*,*,#12155,.F.); +#12158=ORIENTED_EDGE('',*,*,#12157,.F.); +#12160=ORIENTED_EDGE('',*,*,#12159,.F.); +#12161=EDGE_LOOP('',(#12154,#12156,#12158,#12160)); +#12162=FACE_BOUND('',#12161,.F.); +#12164=ORIENTED_EDGE('',*,*,#12163,.F.); +#12166=ORIENTED_EDGE('',*,*,#12165,.F.); +#12168=ORIENTED_EDGE('',*,*,#12167,.F.); +#12170=ORIENTED_EDGE('',*,*,#12169,.F.); +#12171=EDGE_LOOP('',(#12164,#12166,#12168,#12170)); +#12172=FACE_BOUND('',#12171,.F.); +#12174=ORIENTED_EDGE('',*,*,#12173,.F.); +#12176=ORIENTED_EDGE('',*,*,#12175,.F.); +#12178=ORIENTED_EDGE('',*,*,#12177,.F.); +#12180=ORIENTED_EDGE('',*,*,#12179,.F.); +#12181=EDGE_LOOP('',(#12174,#12176,#12178,#12180)); +#12182=FACE_BOUND('',#12181,.F.); +#12184=ORIENTED_EDGE('',*,*,#12183,.F.); +#12186=ORIENTED_EDGE('',*,*,#12185,.F.); +#12188=ORIENTED_EDGE('',*,*,#12187,.F.); +#12190=ORIENTED_EDGE('',*,*,#12189,.F.); +#12191=EDGE_LOOP('',(#12184,#12186,#12188,#12190)); +#12192=FACE_BOUND('',#12191,.F.); +#12194=ORIENTED_EDGE('',*,*,#12193,.F.); +#12196=ORIENTED_EDGE('',*,*,#12195,.F.); +#12198=ORIENTED_EDGE('',*,*,#12197,.F.); +#12200=ORIENTED_EDGE('',*,*,#12199,.F.); +#12201=EDGE_LOOP('',(#12194,#12196,#12198,#12200)); +#12202=FACE_BOUND('',#12201,.F.); +#12204=ORIENTED_EDGE('',*,*,#12203,.F.); +#12206=ORIENTED_EDGE('',*,*,#12205,.F.); +#12208=ORIENTED_EDGE('',*,*,#12207,.F.); +#12210=ORIENTED_EDGE('',*,*,#12209,.F.); +#12211=EDGE_LOOP('',(#12204,#12206,#12208,#12210)); +#12212=FACE_BOUND('',#12211,.F.); +#12214=ORIENTED_EDGE('',*,*,#12213,.F.); +#12216=ORIENTED_EDGE('',*,*,#12215,.F.); +#12218=ORIENTED_EDGE('',*,*,#12217,.F.); +#12220=ORIENTED_EDGE('',*,*,#12219,.F.); +#12221=EDGE_LOOP('',(#12214,#12216,#12218,#12220)); +#12222=FACE_BOUND('',#12221,.F.); +#12224=ORIENTED_EDGE('',*,*,#12223,.F.); +#12226=ORIENTED_EDGE('',*,*,#12225,.F.); +#12228=ORIENTED_EDGE('',*,*,#12227,.F.); +#12230=ORIENTED_EDGE('',*,*,#12229,.F.); +#12231=EDGE_LOOP('',(#12224,#12226,#12228,#12230)); +#12232=FACE_BOUND('',#12231,.F.); +#12234=ORIENTED_EDGE('',*,*,#12233,.F.); +#12236=ORIENTED_EDGE('',*,*,#12235,.F.); +#12238=ORIENTED_EDGE('',*,*,#12237,.F.); +#12240=ORIENTED_EDGE('',*,*,#12239,.F.); +#12241=EDGE_LOOP('',(#12234,#12236,#12238,#12240)); +#12242=FACE_BOUND('',#12241,.F.); +#12244=ORIENTED_EDGE('',*,*,#12243,.F.); +#12246=ORIENTED_EDGE('',*,*,#12245,.F.); +#12248=ORIENTED_EDGE('',*,*,#12247,.F.); +#12250=ORIENTED_EDGE('',*,*,#12249,.F.); +#12251=EDGE_LOOP('',(#12244,#12246,#12248,#12250)); +#12252=FACE_BOUND('',#12251,.F.); +#12254=ORIENTED_EDGE('',*,*,#12253,.F.); +#12256=ORIENTED_EDGE('',*,*,#12255,.F.); +#12258=ORIENTED_EDGE('',*,*,#12257,.F.); +#12260=ORIENTED_EDGE('',*,*,#12259,.F.); +#12261=EDGE_LOOP('',(#12254,#12256,#12258,#12260)); +#12262=FACE_BOUND('',#12261,.F.); +#12264=ORIENTED_EDGE('',*,*,#12263,.F.); +#12266=ORIENTED_EDGE('',*,*,#12265,.F.); +#12268=ORIENTED_EDGE('',*,*,#12267,.F.); +#12270=ORIENTED_EDGE('',*,*,#12269,.F.); +#12271=EDGE_LOOP('',(#12264,#12266,#12268,#12270)); +#12272=FACE_BOUND('',#12271,.F.); +#12274=ORIENTED_EDGE('',*,*,#12273,.F.); +#12276=ORIENTED_EDGE('',*,*,#12275,.F.); +#12278=ORIENTED_EDGE('',*,*,#12277,.F.); +#12280=ORIENTED_EDGE('',*,*,#12279,.F.); +#12281=EDGE_LOOP('',(#12274,#12276,#12278,#12280)); +#12282=FACE_BOUND('',#12281,.F.); +#12284=ORIENTED_EDGE('',*,*,#12283,.F.); +#12286=ORIENTED_EDGE('',*,*,#12285,.F.); +#12288=ORIENTED_EDGE('',*,*,#12287,.F.); +#12290=ORIENTED_EDGE('',*,*,#12289,.F.); +#12291=EDGE_LOOP('',(#12284,#12286,#12288,#12290)); +#12292=FACE_BOUND('',#12291,.F.); +#12294=ORIENTED_EDGE('',*,*,#12293,.F.); +#12296=ORIENTED_EDGE('',*,*,#12295,.F.); +#12298=ORIENTED_EDGE('',*,*,#12297,.F.); +#12300=ORIENTED_EDGE('',*,*,#12299,.F.); +#12301=EDGE_LOOP('',(#12294,#12296,#12298,#12300)); +#12302=FACE_BOUND('',#12301,.F.); +#12304=ORIENTED_EDGE('',*,*,#12303,.F.); +#12306=ORIENTED_EDGE('',*,*,#12305,.F.); +#12308=ORIENTED_EDGE('',*,*,#12307,.F.); +#12310=ORIENTED_EDGE('',*,*,#12309,.F.); +#12311=EDGE_LOOP('',(#12304,#12306,#12308,#12310)); +#12312=FACE_BOUND('',#12311,.F.); +#12314=ORIENTED_EDGE('',*,*,#12313,.F.); +#12316=ORIENTED_EDGE('',*,*,#12315,.F.); +#12318=ORIENTED_EDGE('',*,*,#12317,.F.); +#12320=ORIENTED_EDGE('',*,*,#12319,.F.); +#12321=EDGE_LOOP('',(#12314,#12316,#12318,#12320)); +#12322=FACE_BOUND('',#12321,.F.); +#12324=ORIENTED_EDGE('',*,*,#12323,.F.); +#12326=ORIENTED_EDGE('',*,*,#12325,.F.); +#12328=ORIENTED_EDGE('',*,*,#12327,.F.); +#12330=ORIENTED_EDGE('',*,*,#12329,.F.); +#12331=EDGE_LOOP('',(#12324,#12326,#12328,#12330)); +#12332=FACE_BOUND('',#12331,.F.); +#12334=ORIENTED_EDGE('',*,*,#12333,.F.); +#12336=ORIENTED_EDGE('',*,*,#12335,.F.); +#12338=ORIENTED_EDGE('',*,*,#12337,.F.); +#12340=ORIENTED_EDGE('',*,*,#12339,.F.); +#12341=EDGE_LOOP('',(#12334,#12336,#12338,#12340)); +#12342=FACE_BOUND('',#12341,.F.); +#12344=ORIENTED_EDGE('',*,*,#12343,.F.); +#12346=ORIENTED_EDGE('',*,*,#12345,.F.); +#12348=ORIENTED_EDGE('',*,*,#12347,.F.); +#12350=ORIENTED_EDGE('',*,*,#12349,.F.); +#12351=EDGE_LOOP('',(#12344,#12346,#12348,#12350)); +#12352=FACE_BOUND('',#12351,.F.); +#12354=ORIENTED_EDGE('',*,*,#12353,.F.); +#12356=ORIENTED_EDGE('',*,*,#12355,.F.); +#12358=ORIENTED_EDGE('',*,*,#12357,.F.); +#12360=ORIENTED_EDGE('',*,*,#12359,.F.); +#12361=EDGE_LOOP('',(#12354,#12356,#12358,#12360)); +#12362=FACE_BOUND('',#12361,.F.); +#12364=ORIENTED_EDGE('',*,*,#12363,.F.); +#12366=ORIENTED_EDGE('',*,*,#12365,.F.); +#12368=ORIENTED_EDGE('',*,*,#12367,.F.); +#12370=ORIENTED_EDGE('',*,*,#12369,.F.); +#12371=EDGE_LOOP('',(#12364,#12366,#12368,#12370)); +#12372=FACE_BOUND('',#12371,.F.); +#12374=ORIENTED_EDGE('',*,*,#12373,.F.); +#12376=ORIENTED_EDGE('',*,*,#12375,.F.); +#12378=ORIENTED_EDGE('',*,*,#12377,.F.); +#12380=ORIENTED_EDGE('',*,*,#12379,.F.); +#12381=EDGE_LOOP('',(#12374,#12376,#12378,#12380)); +#12382=FACE_BOUND('',#12381,.F.); +#12384=ORIENTED_EDGE('',*,*,#12383,.F.); +#12386=ORIENTED_EDGE('',*,*,#12385,.F.); +#12388=ORIENTED_EDGE('',*,*,#12387,.F.); +#12390=ORIENTED_EDGE('',*,*,#12389,.F.); +#12391=EDGE_LOOP('',(#12384,#12386,#12388,#12390)); +#12392=FACE_BOUND('',#12391,.F.); +#12394=ORIENTED_EDGE('',*,*,#12393,.F.); +#12396=ORIENTED_EDGE('',*,*,#12395,.F.); +#12398=ORIENTED_EDGE('',*,*,#12397,.F.); +#12400=ORIENTED_EDGE('',*,*,#12399,.F.); +#12401=EDGE_LOOP('',(#12394,#12396,#12398,#12400)); +#12402=FACE_BOUND('',#12401,.F.); +#12404=ORIENTED_EDGE('',*,*,#12403,.F.); +#12406=ORIENTED_EDGE('',*,*,#12405,.F.); +#12408=ORIENTED_EDGE('',*,*,#12407,.F.); +#12410=ORIENTED_EDGE('',*,*,#12409,.F.); +#12411=EDGE_LOOP('',(#12404,#12406,#12408,#12410)); +#12412=FACE_BOUND('',#12411,.F.); +#12414=ORIENTED_EDGE('',*,*,#12413,.F.); +#12416=ORIENTED_EDGE('',*,*,#12415,.F.); +#12418=ORIENTED_EDGE('',*,*,#12417,.F.); +#12420=ORIENTED_EDGE('',*,*,#12419,.F.); +#12421=EDGE_LOOP('',(#12414,#12416,#12418,#12420)); +#12422=FACE_BOUND('',#12421,.F.); +#12424=ORIENTED_EDGE('',*,*,#12423,.F.); +#12426=ORIENTED_EDGE('',*,*,#12425,.F.); +#12428=ORIENTED_EDGE('',*,*,#12427,.F.); +#12430=ORIENTED_EDGE('',*,*,#12429,.F.); +#12431=EDGE_LOOP('',(#12424,#12426,#12428,#12430)); +#12432=FACE_BOUND('',#12431,.F.); +#12434=ORIENTED_EDGE('',*,*,#12433,.F.); +#12436=ORIENTED_EDGE('',*,*,#12435,.F.); +#12438=ORIENTED_EDGE('',*,*,#12437,.F.); +#12440=ORIENTED_EDGE('',*,*,#12439,.F.); +#12441=EDGE_LOOP('',(#12434,#12436,#12438,#12440)); +#12442=FACE_BOUND('',#12441,.F.); +#12444=ORIENTED_EDGE('',*,*,#12443,.F.); +#12446=ORIENTED_EDGE('',*,*,#12445,.F.); +#12448=ORIENTED_EDGE('',*,*,#12447,.F.); +#12450=ORIENTED_EDGE('',*,*,#12449,.F.); +#12451=EDGE_LOOP('',(#12444,#12446,#12448,#12450)); +#12452=FACE_BOUND('',#12451,.F.); +#12454=ORIENTED_EDGE('',*,*,#12453,.F.); +#12456=ORIENTED_EDGE('',*,*,#12455,.F.); +#12458=ORIENTED_EDGE('',*,*,#12457,.F.); +#12460=ORIENTED_EDGE('',*,*,#12459,.F.); +#12461=EDGE_LOOP('',(#12454,#12456,#12458,#12460)); +#12462=FACE_BOUND('',#12461,.F.); +#12464=ORIENTED_EDGE('',*,*,#12463,.F.); +#12466=ORIENTED_EDGE('',*,*,#12465,.F.); +#12468=ORIENTED_EDGE('',*,*,#12467,.F.); +#12470=ORIENTED_EDGE('',*,*,#12469,.F.); +#12471=EDGE_LOOP('',(#12464,#12466,#12468,#12470)); +#12472=FACE_BOUND('',#12471,.F.); +#12474=ORIENTED_EDGE('',*,*,#12473,.F.); +#12476=ORIENTED_EDGE('',*,*,#12475,.F.); +#12478=ORIENTED_EDGE('',*,*,#12477,.F.); +#12480=ORIENTED_EDGE('',*,*,#12479,.F.); +#12481=EDGE_LOOP('',(#12474,#12476,#12478,#12480)); +#12482=FACE_BOUND('',#12481,.F.); +#12484=ORIENTED_EDGE('',*,*,#12483,.F.); +#12486=ORIENTED_EDGE('',*,*,#12485,.F.); +#12488=ORIENTED_EDGE('',*,*,#12487,.F.); +#12490=ORIENTED_EDGE('',*,*,#12489,.F.); +#12491=EDGE_LOOP('',(#12484,#12486,#12488,#12490)); +#12492=FACE_BOUND('',#12491,.F.); +#12494=ORIENTED_EDGE('',*,*,#12493,.F.); +#12496=ORIENTED_EDGE('',*,*,#12495,.F.); +#12498=ORIENTED_EDGE('',*,*,#12497,.F.); +#12500=ORIENTED_EDGE('',*,*,#12499,.F.); +#12501=EDGE_LOOP('',(#12494,#12496,#12498,#12500)); +#12502=FACE_BOUND('',#12501,.F.); +#12504=ORIENTED_EDGE('',*,*,#12503,.F.); +#12506=ORIENTED_EDGE('',*,*,#12505,.F.); +#12508=ORIENTED_EDGE('',*,*,#12507,.F.); +#12510=ORIENTED_EDGE('',*,*,#12509,.F.); +#12511=EDGE_LOOP('',(#12504,#12506,#12508,#12510)); +#12512=FACE_BOUND('',#12511,.F.); +#12514=ORIENTED_EDGE('',*,*,#12513,.F.); +#12516=ORIENTED_EDGE('',*,*,#12515,.F.); +#12518=ORIENTED_EDGE('',*,*,#12517,.F.); +#12520=ORIENTED_EDGE('',*,*,#12519,.F.); +#12521=EDGE_LOOP('',(#12514,#12516,#12518,#12520)); +#12522=FACE_BOUND('',#12521,.F.); +#12524=ORIENTED_EDGE('',*,*,#12523,.F.); +#12526=ORIENTED_EDGE('',*,*,#12525,.F.); +#12528=ORIENTED_EDGE('',*,*,#12527,.F.); +#12530=ORIENTED_EDGE('',*,*,#12529,.F.); +#12531=EDGE_LOOP('',(#12524,#12526,#12528,#12530)); +#12532=FACE_BOUND('',#12531,.F.); +#12534=ORIENTED_EDGE('',*,*,#12533,.F.); +#12536=ORIENTED_EDGE('',*,*,#12535,.F.); +#12538=ORIENTED_EDGE('',*,*,#12537,.F.); +#12540=ORIENTED_EDGE('',*,*,#12539,.F.); +#12542=ORIENTED_EDGE('',*,*,#12541,.F.); +#12544=ORIENTED_EDGE('',*,*,#12543,.F.); +#12546=ORIENTED_EDGE('',*,*,#12545,.F.); +#12548=ORIENTED_EDGE('',*,*,#12547,.F.); +#12550=ORIENTED_EDGE('',*,*,#12549,.F.); +#12552=ORIENTED_EDGE('',*,*,#12551,.F.); +#12554=ORIENTED_EDGE('',*,*,#12553,.F.); +#12556=ORIENTED_EDGE('',*,*,#12555,.F.); +#12557=EDGE_LOOP('',(#12534,#12536,#12538,#12540,#12542,#12544,#12546,#12548, +#12550,#12552,#12554,#12556)); +#12558=FACE_BOUND('',#12557,.F.); +#12560=ORIENTED_EDGE('',*,*,#12559,.F.); +#12562=ORIENTED_EDGE('',*,*,#12561,.F.); +#12564=ORIENTED_EDGE('',*,*,#12563,.F.); +#12566=ORIENTED_EDGE('',*,*,#12565,.F.); +#12568=ORIENTED_EDGE('',*,*,#12567,.F.); +#12570=ORIENTED_EDGE('',*,*,#12569,.F.); +#12572=ORIENTED_EDGE('',*,*,#12571,.F.); +#12574=ORIENTED_EDGE('',*,*,#12573,.F.); +#12576=ORIENTED_EDGE('',*,*,#12575,.F.); +#12578=ORIENTED_EDGE('',*,*,#12577,.F.); +#12580=ORIENTED_EDGE('',*,*,#12579,.F.); +#12582=ORIENTED_EDGE('',*,*,#12581,.F.); +#12583=EDGE_LOOP('',(#12560,#12562,#12564,#12566,#12568,#12570,#12572,#12574, +#12576,#12578,#12580,#12582)); +#12584=FACE_BOUND('',#12583,.F.); +#12586=ORIENTED_EDGE('',*,*,#12585,.F.); +#12588=ORIENTED_EDGE('',*,*,#12587,.F.); +#12590=ORIENTED_EDGE('',*,*,#12589,.F.); +#12592=ORIENTED_EDGE('',*,*,#12591,.F.); +#12594=ORIENTED_EDGE('',*,*,#12593,.F.); +#12596=ORIENTED_EDGE('',*,*,#12595,.F.); +#12598=ORIENTED_EDGE('',*,*,#12597,.F.); +#12600=ORIENTED_EDGE('',*,*,#12599,.F.); +#12602=ORIENTED_EDGE('',*,*,#12601,.F.); +#12604=ORIENTED_EDGE('',*,*,#12603,.F.); +#12606=ORIENTED_EDGE('',*,*,#12605,.F.); +#12608=ORIENTED_EDGE('',*,*,#12607,.F.); +#12610=ORIENTED_EDGE('',*,*,#12609,.F.); +#12612=ORIENTED_EDGE('',*,*,#12611,.F.); +#12614=ORIENTED_EDGE('',*,*,#12613,.F.); +#12616=ORIENTED_EDGE('',*,*,#12615,.F.); +#12617=EDGE_LOOP('',(#12586,#12588,#12590,#12592,#12594,#12596,#12598,#12600, +#12602,#12604,#12606,#12608,#12610,#12612,#12614,#12616)); +#12618=FACE_BOUND('',#12617,.F.); +#12620=ORIENTED_EDGE('',*,*,#12619,.F.); +#12622=ORIENTED_EDGE('',*,*,#12621,.F.); +#12624=ORIENTED_EDGE('',*,*,#12623,.F.); +#12626=ORIENTED_EDGE('',*,*,#12625,.F.); +#12628=ORIENTED_EDGE('',*,*,#12627,.F.); +#12630=ORIENTED_EDGE('',*,*,#12629,.F.); +#12632=ORIENTED_EDGE('',*,*,#12631,.F.); +#12634=ORIENTED_EDGE('',*,*,#12633,.F.); +#12636=ORIENTED_EDGE('',*,*,#12635,.F.); +#12638=ORIENTED_EDGE('',*,*,#12637,.F.); +#12640=ORIENTED_EDGE('',*,*,#12639,.F.); +#12642=ORIENTED_EDGE('',*,*,#12641,.F.); +#12644=ORIENTED_EDGE('',*,*,#12643,.F.); +#12646=ORIENTED_EDGE('',*,*,#12645,.F.); +#12648=ORIENTED_EDGE('',*,*,#12647,.F.); +#12650=ORIENTED_EDGE('',*,*,#12649,.F.); +#12651=EDGE_LOOP('',(#12620,#12622,#12624,#12626,#12628,#12630,#12632,#12634, +#12636,#12638,#12640,#12642,#12644,#12646,#12648,#12650)); +#12652=FACE_BOUND('',#12651,.F.); +#12654=ORIENTED_EDGE('',*,*,#12653,.F.); +#12656=ORIENTED_EDGE('',*,*,#12655,.F.); +#12658=ORIENTED_EDGE('',*,*,#12657,.F.); +#12660=ORIENTED_EDGE('',*,*,#12659,.F.); +#12662=ORIENTED_EDGE('',*,*,#12661,.F.); +#12664=ORIENTED_EDGE('',*,*,#12663,.F.); +#12666=ORIENTED_EDGE('',*,*,#12665,.F.); +#12668=ORIENTED_EDGE('',*,*,#12667,.F.); +#12670=ORIENTED_EDGE('',*,*,#12669,.F.); +#12672=ORIENTED_EDGE('',*,*,#12671,.F.); +#12674=ORIENTED_EDGE('',*,*,#12673,.F.); +#12676=ORIENTED_EDGE('',*,*,#12675,.F.); +#12678=ORIENTED_EDGE('',*,*,#12677,.F.); +#12680=ORIENTED_EDGE('',*,*,#12679,.F.); +#12682=ORIENTED_EDGE('',*,*,#12681,.F.); +#12684=ORIENTED_EDGE('',*,*,#12683,.F.); +#12685=EDGE_LOOP('',(#12654,#12656,#12658,#12660,#12662,#12664,#12666,#12668, +#12670,#12672,#12674,#12676,#12678,#12680,#12682,#12684)); +#12686=FACE_BOUND('',#12685,.F.); +#12688=ORIENTED_EDGE('',*,*,#12687,.F.); +#12690=ORIENTED_EDGE('',*,*,#12689,.F.); +#12692=ORIENTED_EDGE('',*,*,#12691,.F.); +#12694=ORIENTED_EDGE('',*,*,#12693,.F.); +#12696=ORIENTED_EDGE('',*,*,#12695,.F.); +#12698=ORIENTED_EDGE('',*,*,#12697,.F.); +#12700=ORIENTED_EDGE('',*,*,#12699,.F.); +#12702=ORIENTED_EDGE('',*,*,#12701,.F.); +#12704=ORIENTED_EDGE('',*,*,#12703,.F.); +#12706=ORIENTED_EDGE('',*,*,#12705,.F.); +#12708=ORIENTED_EDGE('',*,*,#12707,.F.); +#12710=ORIENTED_EDGE('',*,*,#12709,.F.); +#12712=ORIENTED_EDGE('',*,*,#12711,.F.); +#12714=ORIENTED_EDGE('',*,*,#12713,.F.); +#12716=ORIENTED_EDGE('',*,*,#12715,.F.); +#12718=ORIENTED_EDGE('',*,*,#12717,.F.); +#12719=EDGE_LOOP('',(#12688,#12690,#12692,#12694,#12696,#12698,#12700,#12702, +#12704,#12706,#12708,#12710,#12712,#12714,#12716,#12718)); +#12720=FACE_BOUND('',#12719,.F.); +#12722=ORIENTED_EDGE('',*,*,#12721,.F.); +#12724=ORIENTED_EDGE('',*,*,#12723,.F.); +#12726=ORIENTED_EDGE('',*,*,#12725,.F.); +#12728=ORIENTED_EDGE('',*,*,#12727,.F.); +#12730=ORIENTED_EDGE('',*,*,#12729,.F.); +#12732=ORIENTED_EDGE('',*,*,#12731,.F.); +#12734=ORIENTED_EDGE('',*,*,#12733,.F.); +#12736=ORIENTED_EDGE('',*,*,#12735,.F.); +#12738=ORIENTED_EDGE('',*,*,#12737,.F.); +#12740=ORIENTED_EDGE('',*,*,#12739,.F.); +#12742=ORIENTED_EDGE('',*,*,#12741,.F.); +#12744=ORIENTED_EDGE('',*,*,#12743,.F.); +#12745=EDGE_LOOP('',(#12722,#12724,#12726,#12728,#12730,#12732,#12734,#12736, +#12738,#12740,#12742,#12744)); +#12746=FACE_BOUND('',#12745,.F.); +#12748=ORIENTED_EDGE('',*,*,#12747,.F.); +#12750=ORIENTED_EDGE('',*,*,#12749,.F.); +#12752=ORIENTED_EDGE('',*,*,#12751,.F.); +#12754=ORIENTED_EDGE('',*,*,#12753,.F.); +#12756=ORIENTED_EDGE('',*,*,#12755,.F.); +#12758=ORIENTED_EDGE('',*,*,#12757,.F.); +#12760=ORIENTED_EDGE('',*,*,#12759,.F.); +#12762=ORIENTED_EDGE('',*,*,#12761,.F.); +#12764=ORIENTED_EDGE('',*,*,#12763,.F.); +#12766=ORIENTED_EDGE('',*,*,#12765,.F.); +#12768=ORIENTED_EDGE('',*,*,#12767,.F.); +#12770=ORIENTED_EDGE('',*,*,#12769,.F.); +#12772=ORIENTED_EDGE('',*,*,#12771,.F.); +#12774=ORIENTED_EDGE('',*,*,#12773,.F.); +#12776=ORIENTED_EDGE('',*,*,#12775,.F.); +#12778=ORIENTED_EDGE('',*,*,#12777,.F.); +#12780=ORIENTED_EDGE('',*,*,#12779,.F.); +#12782=ORIENTED_EDGE('',*,*,#12781,.F.); +#12784=ORIENTED_EDGE('',*,*,#12783,.F.); +#12786=ORIENTED_EDGE('',*,*,#12785,.F.); +#12788=ORIENTED_EDGE('',*,*,#12787,.F.); +#12790=ORIENTED_EDGE('',*,*,#12789,.F.); +#12792=ORIENTED_EDGE('',*,*,#12791,.F.); +#12794=ORIENTED_EDGE('',*,*,#12793,.F.); +#12795=EDGE_LOOP('',(#12748,#12750,#12752,#12754,#12756,#12758,#12760,#12762, +#12764,#12766,#12768,#12770,#12772,#12774,#12776,#12778,#12780,#12782,#12784, +#12786,#12788,#12790,#12792,#12794)); +#12796=FACE_BOUND('',#12795,.F.); +#12798=ORIENTED_EDGE('',*,*,#12797,.F.); +#12800=ORIENTED_EDGE('',*,*,#12799,.F.); +#12802=ORIENTED_EDGE('',*,*,#12801,.F.); +#12804=ORIENTED_EDGE('',*,*,#12803,.F.); +#12805=EDGE_LOOP('',(#12798,#12800,#12802,#12804)); +#12806=FACE_BOUND('',#12805,.F.); +#12808=ORIENTED_EDGE('',*,*,#12807,.F.); +#12810=ORIENTED_EDGE('',*,*,#12809,.F.); +#12812=ORIENTED_EDGE('',*,*,#12811,.F.); +#12814=ORIENTED_EDGE('',*,*,#12813,.F.); +#12815=EDGE_LOOP('',(#12808,#12810,#12812,#12814)); +#12816=FACE_BOUND('',#12815,.F.); +#12818=ORIENTED_EDGE('',*,*,#12817,.F.); +#12820=ORIENTED_EDGE('',*,*,#12819,.F.); +#12822=ORIENTED_EDGE('',*,*,#12821,.F.); +#12824=ORIENTED_EDGE('',*,*,#12823,.F.); +#12826=ORIENTED_EDGE('',*,*,#12825,.F.); +#12828=ORIENTED_EDGE('',*,*,#12827,.F.); +#12830=ORIENTED_EDGE('',*,*,#12829,.F.); +#12832=ORIENTED_EDGE('',*,*,#12831,.F.); +#12834=ORIENTED_EDGE('',*,*,#12833,.F.); +#12836=ORIENTED_EDGE('',*,*,#12835,.F.); +#12838=ORIENTED_EDGE('',*,*,#12837,.F.); +#12840=ORIENTED_EDGE('',*,*,#12839,.F.); +#12842=ORIENTED_EDGE('',*,*,#12841,.F.); +#12844=ORIENTED_EDGE('',*,*,#12843,.F.); +#12846=ORIENTED_EDGE('',*,*,#12845,.F.); +#12848=ORIENTED_EDGE('',*,*,#12847,.F.); +#12850=ORIENTED_EDGE('',*,*,#12849,.F.); +#12852=ORIENTED_EDGE('',*,*,#12851,.F.); +#12854=ORIENTED_EDGE('',*,*,#12853,.F.); +#12856=ORIENTED_EDGE('',*,*,#12855,.F.); +#12858=ORIENTED_EDGE('',*,*,#12857,.F.); +#12860=ORIENTED_EDGE('',*,*,#12859,.F.); +#12862=ORIENTED_EDGE('',*,*,#12861,.F.); +#12864=ORIENTED_EDGE('',*,*,#12863,.F.); +#12865=EDGE_LOOP('',(#12818,#12820,#12822,#12824,#12826,#12828,#12830,#12832, +#12834,#12836,#12838,#12840,#12842,#12844,#12846,#12848,#12850,#12852,#12854, +#12856,#12858,#12860,#12862,#12864)); +#12866=FACE_BOUND('',#12865,.F.); +#12868=ORIENTED_EDGE('',*,*,#12867,.T.); +#12870=ORIENTED_EDGE('',*,*,#12869,.T.); +#12871=EDGE_LOOP('',(#12868,#12870)); +#12872=FACE_BOUND('',#12871,.F.); +#12874=ORIENTED_EDGE('',*,*,#12873,.T.); +#12876=ORIENTED_EDGE('',*,*,#12875,.T.); +#12877=EDGE_LOOP('',(#12874,#12876)); +#12878=FACE_BOUND('',#12877,.F.); +#12880=ORIENTED_EDGE('',*,*,#12879,.T.); +#12882=ORIENTED_EDGE('',*,*,#12881,.T.); +#12883=EDGE_LOOP('',(#12880,#12882)); +#12884=FACE_BOUND('',#12883,.F.); +#12886=ORIENTED_EDGE('',*,*,#12885,.T.); +#12888=ORIENTED_EDGE('',*,*,#12887,.T.); +#12889=EDGE_LOOP('',(#12886,#12888)); +#12890=FACE_BOUND('',#12889,.F.); +#12892=ORIENTED_EDGE('',*,*,#12891,.T.); +#12894=ORIENTED_EDGE('',*,*,#12893,.T.); +#12895=EDGE_LOOP('',(#12892,#12894)); +#12896=FACE_BOUND('',#12895,.F.); +#12898=ORIENTED_EDGE('',*,*,#12897,.T.); +#12900=ORIENTED_EDGE('',*,*,#12899,.T.); +#12901=EDGE_LOOP('',(#12898,#12900)); +#12902=FACE_BOUND('',#12901,.F.); +#12904=ORIENTED_EDGE('',*,*,#12903,.T.); +#12906=ORIENTED_EDGE('',*,*,#12905,.T.); +#12907=EDGE_LOOP('',(#12904,#12906)); +#12908=FACE_BOUND('',#12907,.F.); +#12910=ORIENTED_EDGE('',*,*,#12909,.T.); +#12912=ORIENTED_EDGE('',*,*,#12911,.T.); +#12913=EDGE_LOOP('',(#12910,#12912)); +#12914=FACE_BOUND('',#12913,.F.); +#12916=ORIENTED_EDGE('',*,*,#12915,.T.); +#12918=ORIENTED_EDGE('',*,*,#12917,.T.); +#12919=EDGE_LOOP('',(#12916,#12918)); +#12920=FACE_BOUND('',#12919,.F.); +#12922=ORIENTED_EDGE('',*,*,#12921,.T.); +#12924=ORIENTED_EDGE('',*,*,#12923,.T.); +#12925=EDGE_LOOP('',(#12922,#12924)); +#12926=FACE_BOUND('',#12925,.F.); +#12928=ORIENTED_EDGE('',*,*,#12927,.T.); +#12930=ORIENTED_EDGE('',*,*,#12929,.T.); +#12931=EDGE_LOOP('',(#12928,#12930)); +#12932=FACE_BOUND('',#12931,.F.); +#12934=ORIENTED_EDGE('',*,*,#12933,.T.); +#12936=ORIENTED_EDGE('',*,*,#12935,.T.); +#12937=EDGE_LOOP('',(#12934,#12936)); +#12938=FACE_BOUND('',#12937,.F.); +#12940=ORIENTED_EDGE('',*,*,#12939,.T.); +#12942=ORIENTED_EDGE('',*,*,#12941,.T.); +#12943=EDGE_LOOP('',(#12940,#12942)); +#12944=FACE_BOUND('',#12943,.F.); +#12946=ORIENTED_EDGE('',*,*,#12945,.T.); +#12948=ORIENTED_EDGE('',*,*,#12947,.T.); +#12949=EDGE_LOOP('',(#12946,#12948)); +#12950=FACE_BOUND('',#12949,.F.); +#12952=ORIENTED_EDGE('',*,*,#12951,.T.); +#12954=ORIENTED_EDGE('',*,*,#12953,.T.); +#12955=EDGE_LOOP('',(#12952,#12954)); +#12956=FACE_BOUND('',#12955,.F.); +#12958=ORIENTED_EDGE('',*,*,#12957,.T.); +#12960=ORIENTED_EDGE('',*,*,#12959,.T.); +#12961=EDGE_LOOP('',(#12958,#12960)); +#12962=FACE_BOUND('',#12961,.F.); +#12964=ORIENTED_EDGE('',*,*,#12963,.T.); +#12966=ORIENTED_EDGE('',*,*,#12965,.T.); +#12967=EDGE_LOOP('',(#12964,#12966)); +#12968=FACE_BOUND('',#12967,.F.); +#12970=ORIENTED_EDGE('',*,*,#12969,.T.); +#12972=ORIENTED_EDGE('',*,*,#12971,.T.); +#12973=EDGE_LOOP('',(#12970,#12972)); +#12974=FACE_BOUND('',#12973,.F.); +#12976=ORIENTED_EDGE('',*,*,#12975,.T.); +#12978=ORIENTED_EDGE('',*,*,#12977,.T.); +#12979=EDGE_LOOP('',(#12976,#12978)); +#12980=FACE_BOUND('',#12979,.F.); +#12982=ORIENTED_EDGE('',*,*,#12981,.T.); +#12984=ORIENTED_EDGE('',*,*,#12983,.T.); +#12985=EDGE_LOOP('',(#12982,#12984)); +#12986=FACE_BOUND('',#12985,.F.); +#12988=ORIENTED_EDGE('',*,*,#12987,.T.); +#12990=ORIENTED_EDGE('',*,*,#12989,.T.); +#12991=EDGE_LOOP('',(#12988,#12990)); +#12992=FACE_BOUND('',#12991,.F.); +#12994=ORIENTED_EDGE('',*,*,#12993,.T.); +#12996=ORIENTED_EDGE('',*,*,#12995,.T.); +#12997=EDGE_LOOP('',(#12994,#12996)); +#12998=FACE_BOUND('',#12997,.F.); +#13000=ORIENTED_EDGE('',*,*,#12999,.T.); +#13002=ORIENTED_EDGE('',*,*,#13001,.T.); +#13003=EDGE_LOOP('',(#13000,#13002)); +#13004=FACE_BOUND('',#13003,.F.); +#13006=ORIENTED_EDGE('',*,*,#13005,.T.); +#13008=ORIENTED_EDGE('',*,*,#13007,.T.); +#13009=EDGE_LOOP('',(#13006,#13008)); +#13010=FACE_BOUND('',#13009,.F.); +#13012=FILL_AREA_STYLE_COLOUR('',#4); +#13013=FILL_AREA_STYLE('',(#13012)); +#13014=SURFACE_STYLE_FILL_AREA(#13013); +#13015=SURFACE_SIDE_STYLE('',(#13014)); +#13016=SURFACE_STYLE_USAGE(.POSITIVE.,#13015); +#13017=PRESENTATION_STYLE_ASSIGNMENT((#13016)); +#13018=STYLED_ITEM('',(#13017),#13011); +#13019=CARTESIAN_POINT('',(-1.175250871665E1,5.9782208E1,1.56432E0)); +#13020=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13021=DIRECTION('',(-9.999999195423E-1,-4.011426052273E-4,0.E0)); +#13022=AXIS2_PLACEMENT_3D('',#13019,#13020,#13021); +#13023=CYLINDRICAL_SURFACE('',#13022,1.E0); +#13025=ORIENTED_EDGE('',*,*,#13024,.F.); +#13027=ORIENTED_EDGE('',*,*,#13026,.T.); +#13028=ORIENTED_EDGE('',*,*,#11627,.F.); +#13030=ORIENTED_EDGE('',*,*,#13029,.T.); +#13031=EDGE_LOOP('',(#13025,#13027,#13028,#13030)); +#13032=FACE_OUTER_BOUND('',#13031,.F.); +#13034=FILL_AREA_STYLE_COLOUR('',#4); +#13035=FILL_AREA_STYLE('',(#13034)); +#13036=SURFACE_STYLE_FILL_AREA(#13035); +#13037=SURFACE_SIDE_STYLE('',(#13036)); +#13038=SURFACE_STYLE_USAGE(.POSITIVE.,#13037); +#13039=PRESENTATION_STYLE_ASSIGNMENT((#13038)); +#13040=STYLED_ITEM('',(#13039),#13033); +#13041=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.2E0)); +#13042=DIRECTION('',(0.E0,0.E0,1.E0)); +#13043=DIRECTION('',(1.E0,0.E0,0.E0)); +#13044=AXIS2_PLACEMENT_3D('',#13041,#13042,#13043); +#13045=PLANE('',#13044); +#13047=ORIENTED_EDGE('',*,*,#13046,.F.); +#13049=ORIENTED_EDGE('',*,*,#13048,.F.); +#13051=ORIENTED_EDGE('',*,*,#13050,.F.); +#13053=ORIENTED_EDGE('',*,*,#13052,.F.); +#13055=ORIENTED_EDGE('',*,*,#13054,.F.); +#13057=ORIENTED_EDGE('',*,*,#13056,.T.); +#13059=ORIENTED_EDGE('',*,*,#13058,.F.); +#13061=ORIENTED_EDGE('',*,*,#13060,.T.); +#13063=ORIENTED_EDGE('',*,*,#13062,.F.); +#13064=ORIENTED_EDGE('',*,*,#13024,.T.); +#13066=ORIENTED_EDGE('',*,*,#13065,.T.); +#13068=ORIENTED_EDGE('',*,*,#13067,.T.); +#13070=ORIENTED_EDGE('',*,*,#13069,.F.); +#13072=ORIENTED_EDGE('',*,*,#13071,.F.); +#13074=ORIENTED_EDGE('',*,*,#13073,.F.); +#13076=ORIENTED_EDGE('',*,*,#13075,.F.); +#13077=EDGE_LOOP('',(#13047,#13049,#13051,#13053,#13055,#13057,#13059,#13061, +#13063,#13064,#13066,#13068,#13070,#13072,#13074,#13076)); +#13078=FACE_OUTER_BOUND('',#13077,.F.); +#13080=ORIENTED_EDGE('',*,*,#13079,.T.); +#13082=ORIENTED_EDGE('',*,*,#13081,.T.); +#13083=EDGE_LOOP('',(#13080,#13082)); +#13084=FACE_BOUND('',#13083,.F.); +#13086=ORIENTED_EDGE('',*,*,#13085,.T.); +#13088=ORIENTED_EDGE('',*,*,#13087,.T.); +#13089=EDGE_LOOP('',(#13086,#13088)); +#13090=FACE_BOUND('',#13089,.F.); +#13092=ORIENTED_EDGE('',*,*,#13091,.T.); +#13094=ORIENTED_EDGE('',*,*,#13093,.T.); +#13095=EDGE_LOOP('',(#13092,#13094)); +#13096=FACE_BOUND('',#13095,.F.); +#13098=ORIENTED_EDGE('',*,*,#13097,.T.); +#13100=ORIENTED_EDGE('',*,*,#13099,.T.); +#13101=EDGE_LOOP('',(#13098,#13100)); +#13102=FACE_BOUND('',#13101,.F.); +#13104=ORIENTED_EDGE('',*,*,#13103,.T.); +#13106=ORIENTED_EDGE('',*,*,#13105,.T.); +#13107=EDGE_LOOP('',(#13104,#13106)); +#13108=FACE_BOUND('',#13107,.F.); +#13110=ORIENTED_EDGE('',*,*,#13109,.T.); +#13112=ORIENTED_EDGE('',*,*,#13111,.T.); +#13113=EDGE_LOOP('',(#13110,#13112)); +#13114=FACE_BOUND('',#13113,.F.); +#13116=ORIENTED_EDGE('',*,*,#13115,.T.); +#13118=ORIENTED_EDGE('',*,*,#13117,.T.); +#13119=EDGE_LOOP('',(#13116,#13118)); +#13120=FACE_BOUND('',#13119,.F.); +#13122=ORIENTED_EDGE('',*,*,#13121,.T.); +#13124=ORIENTED_EDGE('',*,*,#13123,.T.); +#13125=EDGE_LOOP('',(#13122,#13124)); +#13126=FACE_BOUND('',#13125,.F.); +#13128=ORIENTED_EDGE('',*,*,#13127,.T.); +#13130=ORIENTED_EDGE('',*,*,#13129,.T.); +#13131=EDGE_LOOP('',(#13128,#13130)); +#13132=FACE_BOUND('',#13131,.F.); +#13134=ORIENTED_EDGE('',*,*,#13133,.T.); +#13136=ORIENTED_EDGE('',*,*,#13135,.T.); +#13137=EDGE_LOOP('',(#13134,#13136)); +#13138=FACE_BOUND('',#13137,.F.); +#13140=ORIENTED_EDGE('',*,*,#13139,.T.); +#13142=ORIENTED_EDGE('',*,*,#13141,.T.); +#13143=EDGE_LOOP('',(#13140,#13142)); +#13144=FACE_BOUND('',#13143,.F.); +#13146=ORIENTED_EDGE('',*,*,#13145,.T.); +#13148=ORIENTED_EDGE('',*,*,#13147,.T.); +#13149=EDGE_LOOP('',(#13146,#13148)); +#13150=FACE_BOUND('',#13149,.F.); +#13152=ORIENTED_EDGE('',*,*,#13151,.T.); +#13154=ORIENTED_EDGE('',*,*,#13153,.T.); +#13155=EDGE_LOOP('',(#13152,#13154)); +#13156=FACE_BOUND('',#13155,.F.); +#13158=ORIENTED_EDGE('',*,*,#13157,.T.); +#13160=ORIENTED_EDGE('',*,*,#13159,.T.); +#13161=EDGE_LOOP('',(#13158,#13160)); +#13162=FACE_BOUND('',#13161,.F.); +#13164=ORIENTED_EDGE('',*,*,#13163,.T.); +#13166=ORIENTED_EDGE('',*,*,#13165,.T.); +#13167=EDGE_LOOP('',(#13164,#13166)); +#13168=FACE_BOUND('',#13167,.F.); +#13170=ORIENTED_EDGE('',*,*,#13169,.T.); +#13172=ORIENTED_EDGE('',*,*,#13171,.T.); +#13173=EDGE_LOOP('',(#13170,#13172)); +#13174=FACE_BOUND('',#13173,.F.); +#13176=ORIENTED_EDGE('',*,*,#13175,.T.); +#13178=ORIENTED_EDGE('',*,*,#13177,.T.); +#13179=EDGE_LOOP('',(#13176,#13178)); +#13180=FACE_BOUND('',#13179,.F.); +#13182=ORIENTED_EDGE('',*,*,#13181,.T.); +#13184=ORIENTED_EDGE('',*,*,#13183,.T.); +#13185=EDGE_LOOP('',(#13182,#13184)); +#13186=FACE_BOUND('',#13185,.F.); +#13188=ORIENTED_EDGE('',*,*,#13187,.T.); +#13190=ORIENTED_EDGE('',*,*,#13189,.T.); +#13191=EDGE_LOOP('',(#13188,#13190)); +#13192=FACE_BOUND('',#13191,.F.); +#13194=ORIENTED_EDGE('',*,*,#13193,.T.); +#13196=ORIENTED_EDGE('',*,*,#13195,.T.); +#13197=EDGE_LOOP('',(#13194,#13196)); +#13198=FACE_BOUND('',#13197,.F.); +#13200=ORIENTED_EDGE('',*,*,#13199,.T.); +#13202=ORIENTED_EDGE('',*,*,#13201,.T.); +#13203=EDGE_LOOP('',(#13200,#13202)); +#13204=FACE_BOUND('',#13203,.F.); +#13206=ORIENTED_EDGE('',*,*,#13205,.T.); +#13208=ORIENTED_EDGE('',*,*,#13207,.T.); +#13209=EDGE_LOOP('',(#13206,#13208)); +#13210=FACE_BOUND('',#13209,.F.); +#13212=ORIENTED_EDGE('',*,*,#13211,.T.); +#13214=ORIENTED_EDGE('',*,*,#13213,.T.); +#13215=EDGE_LOOP('',(#13212,#13214)); +#13216=FACE_BOUND('',#13215,.F.); +#13218=ORIENTED_EDGE('',*,*,#13217,.T.); +#13220=ORIENTED_EDGE('',*,*,#13219,.T.); +#13221=EDGE_LOOP('',(#13218,#13220)); +#13222=FACE_BOUND('',#13221,.F.); +#13224=ORIENTED_EDGE('',*,*,#13223,.T.); +#13226=ORIENTED_EDGE('',*,*,#13225,.T.); +#13227=EDGE_LOOP('',(#13224,#13226)); +#13228=FACE_BOUND('',#13227,.F.); +#13230=ORIENTED_EDGE('',*,*,#13229,.F.); +#13232=ORIENTED_EDGE('',*,*,#13231,.F.); +#13234=ORIENTED_EDGE('',*,*,#13233,.F.); +#13236=ORIENTED_EDGE('',*,*,#13235,.F.); +#13238=ORIENTED_EDGE('',*,*,#13237,.F.); +#13240=ORIENTED_EDGE('',*,*,#13239,.F.); +#13242=ORIENTED_EDGE('',*,*,#13241,.F.); +#13244=ORIENTED_EDGE('',*,*,#13243,.F.); +#13246=ORIENTED_EDGE('',*,*,#13245,.F.); +#13248=ORIENTED_EDGE('',*,*,#13247,.F.); +#13250=ORIENTED_EDGE('',*,*,#13249,.F.); +#13252=ORIENTED_EDGE('',*,*,#13251,.F.); +#13253=EDGE_LOOP('',(#13230,#13232,#13234,#13236,#13238,#13240,#13242,#13244, +#13246,#13248,#13250,#13252)); +#13254=FACE_BOUND('',#13253,.F.); +#13256=ORIENTED_EDGE('',*,*,#13255,.T.); +#13258=ORIENTED_EDGE('',*,*,#13257,.T.); +#13259=EDGE_LOOP('',(#13256,#13258)); +#13260=FACE_BOUND('',#13259,.F.); +#13262=ORIENTED_EDGE('',*,*,#13261,.F.); +#13264=ORIENTED_EDGE('',*,*,#13263,.F.); +#13266=ORIENTED_EDGE('',*,*,#13265,.F.); +#13268=ORIENTED_EDGE('',*,*,#13267,.F.); +#13269=EDGE_LOOP('',(#13262,#13264,#13266,#13268)); +#13270=FACE_BOUND('',#13269,.F.); +#13272=ORIENTED_EDGE('',*,*,#13271,.F.); +#13274=ORIENTED_EDGE('',*,*,#13273,.F.); +#13276=ORIENTED_EDGE('',*,*,#13275,.F.); +#13278=ORIENTED_EDGE('',*,*,#13277,.F.); +#13279=EDGE_LOOP('',(#13272,#13274,#13276,#13278)); +#13280=FACE_BOUND('',#13279,.F.); +#13282=FILL_AREA_STYLE_COLOUR('',#4); +#13283=FILL_AREA_STYLE('',(#13282)); +#13284=SURFACE_STYLE_FILL_AREA(#13283); +#13285=SURFACE_SIDE_STYLE('',(#13284)); +#13286=SURFACE_STYLE_USAGE(.POSITIVE.,#13285); +#13287=PRESENTATION_STYLE_ASSIGNMENT((#13286)); +#13288=STYLED_ITEM('',(#13287),#13281); +#13289=CARTESIAN_POINT('',(-3.739378055660E0,7.177007E0,-1.2E0)); +#13290=DIRECTION('',(0.E0,1.E0,0.E0)); +#13291=DIRECTION('',(1.E0,0.E0,0.E0)); +#13292=AXIS2_PLACEMENT_3D('',#13289,#13290,#13291); +#13293=PLANE('',#13292); +#13294=ORIENTED_EDGE('',*,*,#13046,.T.); +#13296=ORIENTED_EDGE('',*,*,#13295,.F.); +#13298=ORIENTED_EDGE('',*,*,#13297,.F.); +#13300=ORIENTED_EDGE('',*,*,#13299,.T.); +#13301=EDGE_LOOP('',(#13294,#13296,#13298,#13300)); +#13302=FACE_OUTER_BOUND('',#13301,.F.); +#13304=CARTESIAN_POINT('',(-3.739378055660E0,6.3E0,-1.2E0)); +#13305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13306=DIRECTION('',(0.E0,1.E0,0.E0)); +#13307=AXIS2_PLACEMENT_3D('',#13304,#13305,#13306); +#13308=PLANE('',#13307); +#13309=ORIENTED_EDGE('',*,*,#13075,.T.); +#13311=ORIENTED_EDGE('',*,*,#13310,.F.); +#13313=ORIENTED_EDGE('',*,*,#13312,.F.); +#13315=ORIENTED_EDGE('',*,*,#13314,.F.); +#13316=ORIENTED_EDGE('',*,*,#13295,.T.); +#13317=EDGE_LOOP('',(#13309,#13311,#13313,#13315,#13316)); +#13318=FACE_OUTER_BOUND('',#13317,.F.); +#13320=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.2E0)); +#13321=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13322=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13323=AXIS2_PLACEMENT_3D('',#13320,#13321,#13322); +#13324=PLANE('',#13323); +#13325=ORIENTED_EDGE('',*,*,#13073,.T.); +#13327=ORIENTED_EDGE('',*,*,#13326,.T.); +#13328=ORIENTED_EDGE('',*,*,#13310,.T.); +#13329=EDGE_LOOP('',(#13325,#13327,#13328)); +#13330=FACE_OUTER_BOUND('',#13329,.F.); +#13332=CARTESIAN_POINT('',(-3.312777055660E0,3.065812594679E1,-2.5E0)); +#13333=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13334=DIRECTION('',(0.E0,0.E0,1.E0)); +#13335=AXIS2_PLACEMENT_3D('',#13332,#13333,#13334); +#13336=CYLINDRICAL_SURFACE('',#13335,1.3E0); +#13337=ORIENTED_EDGE('',*,*,#13326,.F.); +#13338=ORIENTED_EDGE('',*,*,#13071,.T.); +#13340=ORIENTED_EDGE('',*,*,#13339,.T.); +#13342=ORIENTED_EDGE('',*,*,#13341,.F.); +#13344=ORIENTED_EDGE('',*,*,#13343,.T.); +#13346=ORIENTED_EDGE('',*,*,#13345,.F.); +#13347=EDGE_LOOP('',(#13337,#13338,#13340,#13342,#13344,#13346)); +#13348=FACE_OUTER_BOUND('',#13347,.F.); +#13350=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.2E0)); +#13351=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13352=DIRECTION('',(1.E0,0.E0,0.E0)); +#13353=AXIS2_PLACEMENT_3D('',#13350,#13351,#13352); +#13354=PLANE('',#13353); +#13356=ORIENTED_EDGE('',*,*,#13355,.F.); +#13358=ORIENTED_EDGE('',*,*,#13357,.F.); +#13360=ORIENTED_EDGE('',*,*,#13359,.T.); +#13361=ORIENTED_EDGE('',*,*,#13339,.F.); +#13362=EDGE_LOOP('',(#13356,#13358,#13360,#13361)); +#13363=FACE_OUTER_BOUND('',#13362,.F.); +#13365=CARTESIAN_POINT('',(-1.272852760451E1,0.E0,0.E0)); +#13366=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13367=DIRECTION('',(1.E0,0.E0,0.E0)); +#13368=AXIS2_PLACEMENT_3D('',#13365,#13366,#13367); +#13369=PLANE('',#13368); +#13370=ORIENTED_EDGE('',*,*,#13355,.T.); +#13371=ORIENTED_EDGE('',*,*,#13069,.T.); +#13373=ORIENTED_EDGE('',*,*,#13372,.F.); +#13374=ORIENTED_EDGE('',*,*,#11637,.F.); +#13376=ORIENTED_EDGE('',*,*,#13375,.F.); +#13377=ORIENTED_EDGE('',*,*,#13054,.T.); +#13378=EDGE_LOOP('',(#13370,#13371,#13373,#13374,#13376,#13377)); +#13379=FACE_OUTER_BOUND('',#13378,.F.); +#13381=FILL_AREA_STYLE_COLOUR('',#4); +#13382=FILL_AREA_STYLE('',(#13381)); +#13383=SURFACE_STYLE_FILL_AREA(#13382); +#13384=SURFACE_SIDE_STYLE('',(#13383)); +#13385=SURFACE_STYLE_USAGE(.POSITIVE.,#13384); +#13386=PRESENTATION_STYLE_ASSIGNMENT((#13385)); +#13387=STYLED_ITEM('',(#13386),#13380); +#13388=CARTESIAN_POINT('',(-1.172892866669E1,1.E0,1.56432E0)); +#13389=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13390=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13391=AXIS2_PLACEMENT_3D('',#13388,#13389,#13390); +#13392=CYLINDRICAL_SURFACE('',#13391,1.E0); +#13393=ORIENTED_EDGE('',*,*,#13067,.F.); +#13395=ORIENTED_EDGE('',*,*,#13394,.T.); +#13396=ORIENTED_EDGE('',*,*,#11639,.F.); +#13397=ORIENTED_EDGE('',*,*,#13372,.T.); +#13398=EDGE_LOOP('',(#13393,#13395,#13396,#13397)); +#13399=FACE_OUTER_BOUND('',#13398,.F.); +#13401=FILL_AREA_STYLE_COLOUR('',#4); +#13402=FILL_AREA_STYLE('',(#13401)); +#13403=SURFACE_STYLE_FILL_AREA(#13402); +#13404=SURFACE_SIDE_STYLE('',(#13403)); +#13405=SURFACE_STYLE_USAGE(.POSITIVE.,#13404); +#13406=PRESENTATION_STYLE_ASSIGNMENT((#13405)); +#13407=STYLED_ITEM('',(#13406),#13400); +#13408=CARTESIAN_POINT('',(-1.275290993974E1,6.0782208E1,0.E0)); +#13409=DIRECTION('',(-9.999999195423E-1,-4.011426052263E-4,0.E0)); +#13410=DIRECTION('',(4.011426052263E-4,-9.999999195423E-1,0.E0)); +#13411=AXIS2_PLACEMENT_3D('',#13408,#13409,#13410); +#13412=PLANE('',#13411); +#13413=ORIENTED_EDGE('',*,*,#13029,.F.); +#13414=ORIENTED_EDGE('',*,*,#11641,.F.); +#13415=ORIENTED_EDGE('',*,*,#13394,.F.); +#13416=ORIENTED_EDGE('',*,*,#13065,.F.); +#13417=EDGE_LOOP('',(#13413,#13414,#13415,#13416)); +#13418=FACE_OUTER_BOUND('',#13417,.F.); +#13420=FILL_AREA_STYLE_COLOUR('',#4); +#13421=FILL_AREA_STYLE('',(#13420)); +#13422=SURFACE_STYLE_FILL_AREA(#13421); +#13423=SURFACE_SIDE_STYLE('',(#13422)); +#13424=SURFACE_STYLE_USAGE(.POSITIVE.,#13423); +#13425=PRESENTATION_STYLE_ASSIGNMENT((#13424)); +#13426=STYLED_ITEM('',(#13425),#13419); +#13427=CARTESIAN_POINT('',(1.173996997514E1,1.E0,1.56432E0)); +#13428=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13429=DIRECTION('',(9.999999765744E-1,-2.164513699654E-4,0.E0)); +#13430=AXIS2_PLACEMENT_3D('',#13427,#13428,#13429); +#13431=CYLINDRICAL_SURFACE('',#13430,1.E0); +#13432=ORIENTED_EDGE('',*,*,#13056,.F.); +#13433=ORIENTED_EDGE('',*,*,#13375,.T.); +#13434=ORIENTED_EDGE('',*,*,#11635,.F.); +#13436=ORIENTED_EDGE('',*,*,#13435,.T.); +#13437=EDGE_LOOP('',(#13432,#13433,#13434,#13436)); +#13438=FACE_OUTER_BOUND('',#13437,.F.); +#13440=FILL_AREA_STYLE_COLOUR('',#4); +#13441=FILL_AREA_STYLE('',(#13440)); +#13442=SURFACE_STYLE_FILL_AREA(#13441); +#13443=SURFACE_SIDE_STYLE('',(#13442)); +#13444=SURFACE_STYLE_USAGE(.POSITIVE.,#13443); +#13445=PRESENTATION_STYLE_ASSIGNMENT((#13444)); +#13446=STYLED_ITEM('',(#13445),#13439); +#13447=CARTESIAN_POINT('',(1.273975354724E1,0.E0,0.E0)); +#13448=DIRECTION('',(9.999999765744E-1,-2.164513699646E-4,0.E0)); +#13449=DIRECTION('',(2.164513699646E-4,9.999999765744E-1,0.E0)); +#13450=AXIS2_PLACEMENT_3D('',#13447,#13448,#13449); +#13451=PLANE('',#13450); +#13453=ORIENTED_EDGE('',*,*,#13452,.F.); +#13454=ORIENTED_EDGE('',*,*,#13058,.T.); +#13455=ORIENTED_EDGE('',*,*,#13435,.F.); +#13456=ORIENTED_EDGE('',*,*,#11633,.F.); +#13457=EDGE_LOOP('',(#13453,#13454,#13455,#13456)); +#13458=FACE_OUTER_BOUND('',#13457,.F.); +#13460=FILL_AREA_STYLE_COLOUR('',#4); +#13461=FILL_AREA_STYLE('',(#13460)); +#13462=SURFACE_STYLE_FILL_AREA(#13461); +#13463=SURFACE_SIDE_STYLE('',(#13462)); +#13464=SURFACE_STYLE_USAGE(.POSITIVE.,#13463); +#13465=PRESENTATION_STYLE_ASSIGNMENT((#13464)); +#13466=STYLED_ITEM('',(#13465),#13459); +#13467=CARTESIAN_POINT('',(1.175269346494E1,5.9782208E1,1.56432E0)); +#13468=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13469=DIRECTION('',(0.E0,1.E0,0.E0)); +#13470=AXIS2_PLACEMENT_3D('',#13467,#13468,#13469); +#13471=CYLINDRICAL_SURFACE('',#13470,1.E0); +#13472=ORIENTED_EDGE('',*,*,#13060,.F.); +#13473=ORIENTED_EDGE('',*,*,#13452,.T.); +#13474=ORIENTED_EDGE('',*,*,#11631,.F.); +#13476=ORIENTED_EDGE('',*,*,#13475,.T.); +#13477=EDGE_LOOP('',(#13472,#13473,#13474,#13476)); +#13478=FACE_OUTER_BOUND('',#13477,.F.); +#13480=FILL_AREA_STYLE_COLOUR('',#4); +#13481=FILL_AREA_STYLE('',(#13480)); +#13482=SURFACE_STYLE_FILL_AREA(#13481); +#13483=SURFACE_SIDE_STYLE('',(#13482)); +#13484=SURFACE_STYLE_USAGE(.POSITIVE.,#13483); +#13485=PRESENTATION_STYLE_ASSIGNMENT((#13484)); +#13486=STYLED_ITEM('',(#13485),#13479); +#13487=CARTESIAN_POINT('',(1.275290993974E1,6.0782208E1,0.E0)); +#13488=DIRECTION('',(0.E0,1.E0,0.E0)); +#13489=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13490=AXIS2_PLACEMENT_3D('',#13487,#13488,#13489); +#13491=PLANE('',#13490); +#13492=ORIENTED_EDGE('',*,*,#13026,.F.); +#13493=ORIENTED_EDGE('',*,*,#13062,.T.); +#13494=ORIENTED_EDGE('',*,*,#13475,.F.); +#13495=ORIENTED_EDGE('',*,*,#11629,.F.); +#13496=EDGE_LOOP('',(#13492,#13493,#13494,#13495)); +#13497=FACE_OUTER_BOUND('',#13496,.F.); +#13499=FILL_AREA_STYLE_COLOUR('',#4); +#13500=FILL_AREA_STYLE('',(#13499)); +#13501=SURFACE_STYLE_FILL_AREA(#13500); +#13502=SURFACE_SIDE_STYLE('',(#13501)); +#13503=SURFACE_STYLE_USAGE(.POSITIVE.,#13502); +#13504=PRESENTATION_STYLE_ASSIGNMENT((#13503)); +#13505=STYLED_ITEM('',(#13504),#13498); +#13506=CARTESIAN_POINT('',(3.037222944340E0,-2.558384994819E1,-2.5E0)); +#13507=DIRECTION('',(0.E0,1.E0,0.E0)); +#13508=DIRECTION('',(0.E0,0.E0,1.E0)); +#13509=AXIS2_PLACEMENT_3D('',#13506,#13507,#13508); +#13510=CYLINDRICAL_SURFACE('',#13509,1.3E0); +#13512=ORIENTED_EDGE('',*,*,#13511,.F.); +#13514=ORIENTED_EDGE('',*,*,#13513,.F.); +#13516=ORIENTED_EDGE('',*,*,#13515,.T.); +#13518=ORIENTED_EDGE('',*,*,#13517,.F.); +#13519=ORIENTED_EDGE('',*,*,#13357,.T.); +#13520=ORIENTED_EDGE('',*,*,#13052,.T.); +#13521=EDGE_LOOP('',(#13512,#13514,#13516,#13518,#13519,#13520)); +#13522=FACE_OUTER_BOUND('',#13521,.F.); +#13524=CARTESIAN_POINT('',(3.463822944340E0,6.3E0,-1.2E0)); +#13525=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13526=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13527=AXIS2_PLACEMENT_3D('',#13524,#13525,#13526); +#13528=PLANE('',#13527); +#13529=ORIENTED_EDGE('',*,*,#13050,.T.); +#13531=ORIENTED_EDGE('',*,*,#13530,.F.); +#13532=ORIENTED_EDGE('',*,*,#13511,.T.); +#13533=EDGE_LOOP('',(#13529,#13531,#13532)); +#13534=FACE_OUTER_BOUND('',#13533,.F.); +#13536=CARTESIAN_POINT('',(3.463822944340E0,7.177007E0,-1.2E0)); +#13537=DIRECTION('',(1.E0,0.E0,0.E0)); +#13538=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13539=AXIS2_PLACEMENT_3D('',#13536,#13537,#13538); +#13540=PLANE('',#13539); +#13541=ORIENTED_EDGE('',*,*,#13048,.T.); +#13542=ORIENTED_EDGE('',*,*,#13299,.F.); +#13544=ORIENTED_EDGE('',*,*,#13543,.F.); +#13546=ORIENTED_EDGE('',*,*,#13545,.T.); +#13547=ORIENTED_EDGE('',*,*,#13530,.T.); +#13548=EDGE_LOOP('',(#13541,#13542,#13544,#13546,#13547)); +#13549=FACE_OUTER_BOUND('',#13548,.F.); +#13551=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.5E0)); +#13552=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13553=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13554=AXIS2_PLACEMENT_3D('',#13551,#13552,#13553); +#13555=PLANE('',#13554); +#13557=ORIENTED_EDGE('',*,*,#13556,.T.); +#13558=ORIENTED_EDGE('',*,*,#13543,.T.); +#13559=ORIENTED_EDGE('',*,*,#13297,.T.); +#13560=ORIENTED_EDGE('',*,*,#13314,.T.); +#13561=EDGE_LOOP('',(#13557,#13558,#13559,#13560)); +#13562=FACE_OUTER_BOUND('',#13561,.F.); +#13564=CARTESIAN_POINT('',(-4.612777055660E0,6.3E0,-1.2E0)); +#13565=DIRECTION('',(0.E0,1.E0,0.E0)); +#13566=DIRECTION('',(1.E0,0.E0,0.E0)); +#13567=AXIS2_PLACEMENT_3D('',#13564,#13565,#13566); +#13568=PLANE('',#13567); +#13569=ORIENTED_EDGE('',*,*,#13556,.F.); +#13570=ORIENTED_EDGE('',*,*,#13312,.T.); +#13571=ORIENTED_EDGE('',*,*,#13345,.T.); +#13573=ORIENTED_EDGE('',*,*,#13572,.F.); +#13575=ORIENTED_EDGE('',*,*,#13574,.T.); +#13577=ORIENTED_EDGE('',*,*,#13576,.F.); +#13579=ORIENTED_EDGE('',*,*,#13578,.T.); +#13581=ORIENTED_EDGE('',*,*,#13580,.T.); +#13582=ORIENTED_EDGE('',*,*,#13513,.T.); +#13583=ORIENTED_EDGE('',*,*,#13545,.F.); +#13584=EDGE_LOOP('',(#13569,#13570,#13571,#13573,#13575,#13577,#13579,#13581, +#13582,#13583)); +#13585=FACE_OUTER_BOUND('',#13584,.F.); +#13587=CARTESIAN_POINT('',(-4.612777055660E0,-1.2E0,-1.2E0)); +#13588=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13589=DIRECTION('',(0.E0,1.E0,0.E0)); +#13590=AXIS2_PLACEMENT_3D('',#13587,#13588,#13589); +#13591=PLANE('',#13590); +#13593=ORIENTED_EDGE('',*,*,#13592,.T.); +#13595=ORIENTED_EDGE('',*,*,#13594,.F.); +#13596=ORIENTED_EDGE('',*,*,#13572,.T.); +#13597=ORIENTED_EDGE('',*,*,#13343,.F.); +#13598=EDGE_LOOP('',(#13593,#13595,#13596,#13597)); +#13599=FACE_OUTER_BOUND('',#13598,.F.); +#13601=CARTESIAN_POINT('',(-1.377770556596E-1,-1.2E0,-1.35E0)); +#13602=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13603=DIRECTION('',(1.E0,0.E0,0.E0)); +#13604=AXIS2_PLACEMENT_3D('',#13601,#13602,#13603); +#13605=PLANE('',#13604); +#13607=ORIENTED_EDGE('',*,*,#13606,.T.); +#13608=ORIENTED_EDGE('',*,*,#13592,.F.); +#13609=ORIENTED_EDGE('',*,*,#13341,.T.); +#13610=ORIENTED_EDGE('',*,*,#13359,.F.); +#13611=ORIENTED_EDGE('',*,*,#13517,.T.); +#13613=ORIENTED_EDGE('',*,*,#13612,.F.); +#13615=ORIENTED_EDGE('',*,*,#13614,.T.); +#13617=ORIENTED_EDGE('',*,*,#13616,.F.); +#13618=EDGE_LOOP('',(#13607,#13608,#13609,#13610,#13611,#13613,#13615,#13617)); +#13619=FACE_OUTER_BOUND('',#13618,.F.); +#13621=ORIENTED_EDGE('',*,*,#13620,.T.); +#13623=ORIENTED_EDGE('',*,*,#13622,.T.); +#13625=ORIENTED_EDGE('',*,*,#13624,.T.); +#13627=ORIENTED_EDGE('',*,*,#13626,.T.); +#13629=ORIENTED_EDGE('',*,*,#13628,.T.); +#13631=ORIENTED_EDGE('',*,*,#13630,.T.); +#13633=ORIENTED_EDGE('',*,*,#13632,.T.); +#13635=ORIENTED_EDGE('',*,*,#13634,.T.); +#13636=EDGE_LOOP('',(#13621,#13623,#13625,#13627,#13629,#13631,#13633,#13635)); +#13637=FACE_BOUND('',#13636,.F.); +#13639=CARTESIAN_POINT('',(-3.312777055660E0,-2.554850587892E1,-3.06E0)); +#13640=DIRECTION('',(0.E0,1.E0,0.E0)); +#13641=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13642=AXIS2_PLACEMENT_3D('',#13639,#13640,#13641); +#13643=CYLINDRICAL_SURFACE('',#13642,1.3E0); +#13644=ORIENTED_EDGE('',*,*,#13606,.F.); +#13646=ORIENTED_EDGE('',*,*,#13645,.T.); +#13647=ORIENTED_EDGE('',*,*,#13574,.F.); +#13648=ORIENTED_EDGE('',*,*,#13594,.T.); +#13649=EDGE_LOOP('',(#13644,#13646,#13647,#13648)); +#13650=FACE_OUTER_BOUND('',#13649,.F.); +#13652=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-4.36E0)); +#13653=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13654=DIRECTION('',(1.E0,0.E0,0.E0)); +#13655=AXIS2_PLACEMENT_3D('',#13652,#13653,#13654); +#13656=PLANE('',#13655); +#13657=ORIENTED_EDGE('',*,*,#13616,.T.); +#13659=ORIENTED_EDGE('',*,*,#13658,.F.); +#13660=ORIENTED_EDGE('',*,*,#13576,.T.); +#13661=ORIENTED_EDGE('',*,*,#13645,.F.); +#13662=EDGE_LOOP('',(#13657,#13659,#13660,#13661)); +#13663=FACE_OUTER_BOUND('',#13662,.F.); +#13665=CARTESIAN_POINT('',(3.037222944340E0,3.064850587892E1,-3.06E0)); +#13666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13667=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13668=AXIS2_PLACEMENT_3D('',#13665,#13666,#13667); +#13669=CYLINDRICAL_SURFACE('',#13668,1.3E0); +#13670=ORIENTED_EDGE('',*,*,#13614,.F.); +#13672=ORIENTED_EDGE('',*,*,#13671,.T.); +#13673=ORIENTED_EDGE('',*,*,#13578,.F.); +#13674=ORIENTED_EDGE('',*,*,#13658,.T.); +#13675=EDGE_LOOP('',(#13670,#13672,#13673,#13674)); +#13676=FACE_OUTER_BOUND('',#13675,.F.); +#13678=CARTESIAN_POINT('',(4.337222944340E0,6.3E0,-1.2E0)); +#13679=DIRECTION('',(1.E0,0.E0,0.E0)); +#13680=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13681=AXIS2_PLACEMENT_3D('',#13678,#13679,#13680); +#13682=PLANE('',#13681); +#13683=ORIENTED_EDGE('',*,*,#13612,.T.); +#13684=ORIENTED_EDGE('',*,*,#13515,.F.); +#13685=ORIENTED_EDGE('',*,*,#13580,.F.); +#13686=ORIENTED_EDGE('',*,*,#13671,.F.); +#13687=EDGE_LOOP('',(#13683,#13684,#13685,#13686)); +#13688=FACE_OUTER_BOUND('',#13687,.F.); +#13690=CARTESIAN_POINT('',(-3.312777055660E0,3.065812594679E1,-2.5E0)); +#13691=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13692=DIRECTION('',(0.E0,0.E0,1.E0)); +#13693=AXIS2_PLACEMENT_3D('',#13690,#13691,#13692); +#13694=CYLINDRICAL_SURFACE('',#13693,1.E0); +#13695=ORIENTED_EDGE('',*,*,#13620,.F.); +#13697=ORIENTED_EDGE('',*,*,#13696,.T.); +#13699=ORIENTED_EDGE('',*,*,#13698,.F.); +#13701=ORIENTED_EDGE('',*,*,#13700,.T.); +#13702=EDGE_LOOP('',(#13695,#13697,#13699,#13701)); +#13703=FACE_OUTER_BOUND('',#13702,.F.); +#13705=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.5E0)); +#13706=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13707=DIRECTION('',(1.E0,0.E0,0.E0)); +#13708=AXIS2_PLACEMENT_3D('',#13705,#13706,#13707); +#13709=PLANE('',#13708); +#13710=ORIENTED_EDGE('',*,*,#13634,.F.); +#13712=ORIENTED_EDGE('',*,*,#13711,.F.); +#13714=ORIENTED_EDGE('',*,*,#13713,.T.); +#13715=ORIENTED_EDGE('',*,*,#13696,.F.); +#13716=EDGE_LOOP('',(#13710,#13712,#13714,#13715)); +#13717=FACE_OUTER_BOUND('',#13716,.F.); +#13719=CARTESIAN_POINT('',(3.037222944340E0,-2.558384994819E1,-2.5E0)); +#13720=DIRECTION('',(0.E0,1.E0,0.E0)); +#13721=DIRECTION('',(0.E0,0.E0,1.E0)); +#13722=AXIS2_PLACEMENT_3D('',#13719,#13720,#13721); +#13723=CYLINDRICAL_SURFACE('',#13722,1.E0); +#13724=ORIENTED_EDGE('',*,*,#13632,.F.); +#13726=ORIENTED_EDGE('',*,*,#13725,.T.); +#13728=ORIENTED_EDGE('',*,*,#13727,.F.); +#13729=ORIENTED_EDGE('',*,*,#13711,.T.); +#13730=EDGE_LOOP('',(#13724,#13726,#13728,#13729)); +#13731=FACE_OUTER_BOUND('',#13730,.F.); +#13733=CARTESIAN_POINT('',(4.037222944340E0,6.3E0,-1.2E0)); +#13734=DIRECTION('',(1.E0,0.E0,0.E0)); +#13735=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13736=AXIS2_PLACEMENT_3D('',#13733,#13734,#13735); +#13737=PLANE('',#13736); +#13738=ORIENTED_EDGE('',*,*,#13630,.F.); +#13740=ORIENTED_EDGE('',*,*,#13739,.F.); +#13742=ORIENTED_EDGE('',*,*,#13741,.T.); +#13743=ORIENTED_EDGE('',*,*,#13725,.F.); +#13744=EDGE_LOOP('',(#13738,#13740,#13742,#13743)); +#13745=FACE_OUTER_BOUND('',#13744,.F.); +#13747=CARTESIAN_POINT('',(3.037222944340E0,3.064850587892E1,-3.06E0)); +#13748=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13749=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13750=AXIS2_PLACEMENT_3D('',#13747,#13748,#13749); +#13751=CYLINDRICAL_SURFACE('',#13750,1.E0); +#13752=ORIENTED_EDGE('',*,*,#13628,.F.); +#13754=ORIENTED_EDGE('',*,*,#13753,.T.); +#13756=ORIENTED_EDGE('',*,*,#13755,.F.); +#13757=ORIENTED_EDGE('',*,*,#13739,.T.); +#13758=EDGE_LOOP('',(#13752,#13754,#13756,#13757)); +#13759=FACE_OUTER_BOUND('',#13758,.F.); +#13761=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-4.06E0)); +#13762=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13763=DIRECTION('',(1.E0,0.E0,0.E0)); +#13764=AXIS2_PLACEMENT_3D('',#13761,#13762,#13763); +#13765=PLANE('',#13764); +#13766=ORIENTED_EDGE('',*,*,#13626,.F.); +#13768=ORIENTED_EDGE('',*,*,#13767,.F.); +#13770=ORIENTED_EDGE('',*,*,#13769,.F.); +#13771=ORIENTED_EDGE('',*,*,#13753,.F.); +#13772=EDGE_LOOP('',(#13766,#13768,#13770,#13771)); +#13773=FACE_OUTER_BOUND('',#13772,.F.); +#13775=CARTESIAN_POINT('',(-3.312777055660E0,-2.554850587892E1,-3.06E0)); +#13776=DIRECTION('',(0.E0,1.E0,0.E0)); +#13777=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13778=AXIS2_PLACEMENT_3D('',#13775,#13776,#13777); +#13779=CYLINDRICAL_SURFACE('',#13778,1.E0); +#13780=ORIENTED_EDGE('',*,*,#13624,.F.); +#13782=ORIENTED_EDGE('',*,*,#13781,.T.); +#13784=ORIENTED_EDGE('',*,*,#13783,.F.); +#13785=ORIENTED_EDGE('',*,*,#13767,.T.); +#13786=EDGE_LOOP('',(#13780,#13782,#13784,#13785)); +#13787=FACE_OUTER_BOUND('',#13786,.F.); +#13789=CARTESIAN_POINT('',(-4.312777055660E0,-1.2E0,-1.2E0)); +#13790=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13791=DIRECTION('',(0.E0,1.E0,0.E0)); +#13792=AXIS2_PLACEMENT_3D('',#13789,#13790,#13791); +#13793=PLANE('',#13792); +#13794=ORIENTED_EDGE('',*,*,#13622,.F.); +#13795=ORIENTED_EDGE('',*,*,#13700,.F.); +#13797=ORIENTED_EDGE('',*,*,#13796,.F.); +#13798=ORIENTED_EDGE('',*,*,#13781,.F.); +#13799=EDGE_LOOP('',(#13794,#13795,#13797,#13798)); +#13800=FACE_OUTER_BOUND('',#13799,.F.); +#13802=CARTESIAN_POINT('',(-4.612777055660E0,6.E0,-1.2E0)); +#13803=DIRECTION('',(0.E0,1.E0,0.E0)); +#13804=DIRECTION('',(1.E0,0.E0,0.E0)); +#13805=AXIS2_PLACEMENT_3D('',#13802,#13803,#13804); +#13806=PLANE('',#13805); +#13807=ORIENTED_EDGE('',*,*,#13755,.T.); +#13808=ORIENTED_EDGE('',*,*,#13769,.T.); +#13809=ORIENTED_EDGE('',*,*,#13783,.T.); +#13810=ORIENTED_EDGE('',*,*,#13796,.T.); +#13811=ORIENTED_EDGE('',*,*,#13698,.T.); +#13812=ORIENTED_EDGE('',*,*,#13713,.F.); +#13813=ORIENTED_EDGE('',*,*,#13727,.T.); +#13814=ORIENTED_EDGE('',*,*,#13741,.F.); +#13815=EDGE_LOOP('',(#13807,#13808,#13809,#13810,#13811,#13812,#13813,#13814)); +#13816=FACE_OUTER_BOUND('',#13815,.F.); +#13818=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,0.E0)); +#13819=DIRECTION('',(0.E0,0.E0,1.E0)); +#13820=DIRECTION('',(1.E0,0.E0,0.E0)); +#13821=AXIS2_PLACEMENT_3D('',#13818,#13819,#13820); +#13822=CYLINDRICAL_SURFACE('',#13821,9.41174E-1); +#13823=ORIENTED_EDGE('',*,*,#11651,.F.); +#13825=ORIENTED_EDGE('',*,*,#13824,.F.); +#13826=ORIENTED_EDGE('',*,*,#13079,.F.); +#13828=ORIENTED_EDGE('',*,*,#13827,.T.); +#13829=EDGE_LOOP('',(#13823,#13825,#13826,#13828)); +#13830=FACE_OUTER_BOUND('',#13829,.F.); +#13832=CARTESIAN_POINT('',(9.920619944340E0,5.7658011E1,0.E0)); +#13833=DIRECTION('',(0.E0,0.E0,1.E0)); +#13834=DIRECTION('',(1.E0,0.E0,0.E0)); +#13835=AXIS2_PLACEMENT_3D('',#13832,#13833,#13834); +#13836=CYLINDRICAL_SURFACE('',#13835,9.41174E-1); +#13837=ORIENTED_EDGE('',*,*,#11653,.F.); +#13838=ORIENTED_EDGE('',*,*,#13827,.F.); +#13839=ORIENTED_EDGE('',*,*,#13081,.F.); +#13840=ORIENTED_EDGE('',*,*,#13824,.T.); +#13841=EDGE_LOOP('',(#13837,#13838,#13839,#13840)); +#13842=FACE_OUTER_BOUND('',#13841,.F.); +#13844=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,-1.2E0)); +#13845=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13846=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13847=AXIS2_PLACEMENT_3D('',#13844,#13845,#13846); +#13848=CYLINDRICAL_SURFACE('',#13847,7.01599E-1); +#13849=ORIENTED_EDGE('',*,*,#12867,.F.); +#13851=ORIENTED_EDGE('',*,*,#13850,.T.); +#13852=ORIENTED_EDGE('',*,*,#13085,.F.); +#13854=ORIENTED_EDGE('',*,*,#13853,.F.); +#13855=EDGE_LOOP('',(#13849,#13851,#13852,#13854)); +#13856=FACE_OUTER_BOUND('',#13855,.F.); +#13858=CARTESIAN_POINT('',(1.141962144434E1,5.1943008E1,-1.2E0)); +#13859=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13860=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13861=AXIS2_PLACEMENT_3D('',#13858,#13859,#13860); +#13862=CYLINDRICAL_SURFACE('',#13861,7.01599E-1); +#13863=ORIENTED_EDGE('',*,*,#12869,.F.); +#13864=ORIENTED_EDGE('',*,*,#13853,.T.); +#13865=ORIENTED_EDGE('',*,*,#13087,.F.); +#13866=ORIENTED_EDGE('',*,*,#13850,.F.); +#13867=EDGE_LOOP('',(#13863,#13864,#13865,#13866)); +#13868=FACE_OUTER_BOUND('',#13867,.F.); +#13870=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,-1.2E0)); +#13871=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13872=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13873=AXIS2_PLACEMENT_3D('',#13870,#13871,#13872); +#13874=CYLINDRICAL_SURFACE('',#13873,7.01599E-1); +#13875=ORIENTED_EDGE('',*,*,#12873,.F.); +#13877=ORIENTED_EDGE('',*,*,#13876,.T.); +#13878=ORIENTED_EDGE('',*,*,#13091,.F.); +#13880=ORIENTED_EDGE('',*,*,#13879,.F.); +#13881=EDGE_LOOP('',(#13875,#13877,#13878,#13880)); +#13882=FACE_OUTER_BOUND('',#13881,.F.); +#13884=CARTESIAN_POINT('',(1.141962144434E1,4.9403008E1,-1.2E0)); +#13885=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13886=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13887=AXIS2_PLACEMENT_3D('',#13884,#13885,#13886); +#13888=CYLINDRICAL_SURFACE('',#13887,7.01599E-1); +#13889=ORIENTED_EDGE('',*,*,#12875,.F.); +#13890=ORIENTED_EDGE('',*,*,#13879,.T.); +#13891=ORIENTED_EDGE('',*,*,#13093,.F.); +#13892=ORIENTED_EDGE('',*,*,#13876,.F.); +#13893=EDGE_LOOP('',(#13889,#13890,#13891,#13892)); +#13894=FACE_OUTER_BOUND('',#13893,.F.); +#13896=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,-1.2E0)); +#13897=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13898=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13899=AXIS2_PLACEMENT_3D('',#13896,#13897,#13898); +#13900=CYLINDRICAL_SURFACE('',#13899,7.01599E-1); +#13901=ORIENTED_EDGE('',*,*,#12879,.F.); +#13903=ORIENTED_EDGE('',*,*,#13902,.T.); +#13904=ORIENTED_EDGE('',*,*,#13097,.F.); +#13906=ORIENTED_EDGE('',*,*,#13905,.F.); +#13907=EDGE_LOOP('',(#13901,#13903,#13904,#13906)); +#13908=FACE_OUTER_BOUND('',#13907,.F.); +#13910=CARTESIAN_POINT('',(1.141962144434E1,4.6863008E1,-1.2E0)); +#13911=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13912=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13913=AXIS2_PLACEMENT_3D('',#13910,#13911,#13912); +#13914=CYLINDRICAL_SURFACE('',#13913,7.01599E-1); +#13915=ORIENTED_EDGE('',*,*,#12881,.F.); +#13916=ORIENTED_EDGE('',*,*,#13905,.T.); +#13917=ORIENTED_EDGE('',*,*,#13099,.F.); +#13918=ORIENTED_EDGE('',*,*,#13902,.F.); +#13919=EDGE_LOOP('',(#13915,#13916,#13917,#13918)); +#13920=FACE_OUTER_BOUND('',#13919,.F.); +#13922=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,-1.2E0)); +#13923=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13924=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13925=AXIS2_PLACEMENT_3D('',#13922,#13923,#13924); +#13926=CYLINDRICAL_SURFACE('',#13925,7.01599E-1); +#13927=ORIENTED_EDGE('',*,*,#12885,.F.); +#13929=ORIENTED_EDGE('',*,*,#13928,.T.); +#13930=ORIENTED_EDGE('',*,*,#13103,.F.); +#13932=ORIENTED_EDGE('',*,*,#13931,.F.); +#13933=EDGE_LOOP('',(#13927,#13929,#13930,#13932)); +#13934=FACE_OUTER_BOUND('',#13933,.F.); +#13936=CARTESIAN_POINT('',(1.141962144434E1,4.4323008E1,-1.2E0)); +#13937=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13938=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13939=AXIS2_PLACEMENT_3D('',#13936,#13937,#13938); +#13940=CYLINDRICAL_SURFACE('',#13939,7.01599E-1); +#13941=ORIENTED_EDGE('',*,*,#12887,.F.); +#13942=ORIENTED_EDGE('',*,*,#13931,.T.); +#13943=ORIENTED_EDGE('',*,*,#13105,.F.); +#13944=ORIENTED_EDGE('',*,*,#13928,.F.); +#13945=EDGE_LOOP('',(#13941,#13942,#13943,#13944)); +#13946=FACE_OUTER_BOUND('',#13945,.F.); +#13948=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,-1.2E0)); +#13949=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13950=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13951=AXIS2_PLACEMENT_3D('',#13948,#13949,#13950); +#13952=CYLINDRICAL_SURFACE('',#13951,7.01599E-1); +#13953=ORIENTED_EDGE('',*,*,#12891,.F.); +#13955=ORIENTED_EDGE('',*,*,#13954,.T.); +#13956=ORIENTED_EDGE('',*,*,#13109,.F.); +#13958=ORIENTED_EDGE('',*,*,#13957,.F.); +#13959=EDGE_LOOP('',(#13953,#13955,#13956,#13958)); +#13960=FACE_OUTER_BOUND('',#13959,.F.); +#13962=CARTESIAN_POINT('',(1.141962144434E1,4.1783008E1,-1.2E0)); +#13963=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13964=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13965=AXIS2_PLACEMENT_3D('',#13962,#13963,#13964); +#13966=CYLINDRICAL_SURFACE('',#13965,7.01599E-1); +#13967=ORIENTED_EDGE('',*,*,#12893,.F.); +#13968=ORIENTED_EDGE('',*,*,#13957,.T.); +#13969=ORIENTED_EDGE('',*,*,#13111,.F.); +#13970=ORIENTED_EDGE('',*,*,#13954,.F.); +#13971=EDGE_LOOP('',(#13967,#13968,#13969,#13970)); +#13972=FACE_OUTER_BOUND('',#13971,.F.); +#13974=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,-1.2E0)); +#13975=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13976=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13977=AXIS2_PLACEMENT_3D('',#13974,#13975,#13976); +#13978=CYLINDRICAL_SURFACE('',#13977,7.01599E-1); +#13979=ORIENTED_EDGE('',*,*,#12897,.F.); +#13981=ORIENTED_EDGE('',*,*,#13980,.T.); +#13982=ORIENTED_EDGE('',*,*,#13115,.F.); +#13984=ORIENTED_EDGE('',*,*,#13983,.F.); +#13985=EDGE_LOOP('',(#13979,#13981,#13982,#13984)); +#13986=FACE_OUTER_BOUND('',#13985,.F.); +#13988=CARTESIAN_POINT('',(1.141962144434E1,3.9243008E1,-1.2E0)); +#13989=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13990=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13991=AXIS2_PLACEMENT_3D('',#13988,#13989,#13990); +#13992=CYLINDRICAL_SURFACE('',#13991,7.01599E-1); +#13993=ORIENTED_EDGE('',*,*,#12899,.F.); +#13994=ORIENTED_EDGE('',*,*,#13983,.T.); +#13995=ORIENTED_EDGE('',*,*,#13117,.F.); +#13996=ORIENTED_EDGE('',*,*,#13980,.F.); +#13997=EDGE_LOOP('',(#13993,#13994,#13995,#13996)); +#13998=FACE_OUTER_BOUND('',#13997,.F.); +#14000=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,-1.2E0)); +#14001=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14002=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14003=AXIS2_PLACEMENT_3D('',#14000,#14001,#14002); +#14004=CYLINDRICAL_SURFACE('',#14003,8.01599E-1); +#14005=ORIENTED_EDGE('',*,*,#12903,.F.); +#14007=ORIENTED_EDGE('',*,*,#14006,.T.); +#14008=ORIENTED_EDGE('',*,*,#13121,.F.); +#14010=ORIENTED_EDGE('',*,*,#14009,.F.); +#14011=EDGE_LOOP('',(#14005,#14007,#14008,#14010)); +#14012=FACE_OUTER_BOUND('',#14011,.F.); +#14014=CARTESIAN_POINT('',(1.141962144434E1,3.6703008E1,-1.2E0)); +#14015=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14016=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14017=AXIS2_PLACEMENT_3D('',#14014,#14015,#14016); +#14018=CYLINDRICAL_SURFACE('',#14017,8.01599E-1); +#14019=ORIENTED_EDGE('',*,*,#12905,.F.); +#14020=ORIENTED_EDGE('',*,*,#14009,.T.); +#14021=ORIENTED_EDGE('',*,*,#13123,.F.); +#14022=ORIENTED_EDGE('',*,*,#14006,.F.); +#14023=EDGE_LOOP('',(#14019,#14020,#14021,#14022)); +#14024=FACE_OUTER_BOUND('',#14023,.F.); +#14026=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,-1.2E0)); +#14027=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14028=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14029=AXIS2_PLACEMENT_3D('',#14026,#14027,#14028); +#14030=CYLINDRICAL_SURFACE('',#14029,8.01599E-1); +#14031=ORIENTED_EDGE('',*,*,#12909,.F.); +#14033=ORIENTED_EDGE('',*,*,#14032,.T.); +#14034=ORIENTED_EDGE('',*,*,#13127,.F.); +#14036=ORIENTED_EDGE('',*,*,#14035,.F.); +#14037=EDGE_LOOP('',(#14031,#14033,#14034,#14036)); +#14038=FACE_OUTER_BOUND('',#14037,.F.); +#14040=CARTESIAN_POINT('',(1.141962144434E1,3.4163008E1,-1.2E0)); +#14041=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14042=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14043=AXIS2_PLACEMENT_3D('',#14040,#14041,#14042); +#14044=CYLINDRICAL_SURFACE('',#14043,8.01599E-1); +#14045=ORIENTED_EDGE('',*,*,#12911,.F.); +#14046=ORIENTED_EDGE('',*,*,#14035,.T.); +#14047=ORIENTED_EDGE('',*,*,#13129,.F.); +#14048=ORIENTED_EDGE('',*,*,#14032,.F.); +#14049=EDGE_LOOP('',(#14045,#14046,#14047,#14048)); +#14050=FACE_OUTER_BOUND('',#14049,.F.); +#14052=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,-1.2E0)); +#14053=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14054=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14055=AXIS2_PLACEMENT_3D('',#14052,#14053,#14054); +#14056=CYLINDRICAL_SURFACE('',#14055,8.01599E-1); +#14057=ORIENTED_EDGE('',*,*,#12915,.F.); +#14059=ORIENTED_EDGE('',*,*,#14058,.T.); +#14060=ORIENTED_EDGE('',*,*,#13133,.F.); +#14062=ORIENTED_EDGE('',*,*,#14061,.F.); +#14063=EDGE_LOOP('',(#14057,#14059,#14060,#14062)); +#14064=FACE_OUTER_BOUND('',#14063,.F.); +#14066=CARTESIAN_POINT('',(1.141962144434E1,3.1623008E1,-1.2E0)); +#14067=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14068=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14069=AXIS2_PLACEMENT_3D('',#14066,#14067,#14068); +#14070=CYLINDRICAL_SURFACE('',#14069,8.01599E-1); +#14071=ORIENTED_EDGE('',*,*,#12917,.F.); +#14072=ORIENTED_EDGE('',*,*,#14061,.T.); +#14073=ORIENTED_EDGE('',*,*,#13135,.F.); +#14074=ORIENTED_EDGE('',*,*,#14058,.F.); +#14075=EDGE_LOOP('',(#14071,#14072,#14073,#14074)); +#14076=FACE_OUTER_BOUND('',#14075,.F.); +#14078=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,-1.2E0)); +#14079=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14080=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14081=AXIS2_PLACEMENT_3D('',#14078,#14079,#14080); +#14082=CYLINDRICAL_SURFACE('',#14081,8.01599E-1); +#14083=ORIENTED_EDGE('',*,*,#12921,.F.); +#14085=ORIENTED_EDGE('',*,*,#14084,.T.); +#14086=ORIENTED_EDGE('',*,*,#13139,.F.); +#14088=ORIENTED_EDGE('',*,*,#14087,.F.); +#14089=EDGE_LOOP('',(#14083,#14085,#14086,#14088)); +#14090=FACE_OUTER_BOUND('',#14089,.F.); +#14092=CARTESIAN_POINT('',(1.141962144434E1,2.9083008E1,-1.2E0)); +#14093=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14094=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14095=AXIS2_PLACEMENT_3D('',#14092,#14093,#14094); +#14096=CYLINDRICAL_SURFACE('',#14095,8.01599E-1); +#14097=ORIENTED_EDGE('',*,*,#12923,.F.); +#14098=ORIENTED_EDGE('',*,*,#14087,.T.); +#14099=ORIENTED_EDGE('',*,*,#13141,.F.); +#14100=ORIENTED_EDGE('',*,*,#14084,.F.); +#14101=EDGE_LOOP('',(#14097,#14098,#14099,#14100)); +#14102=FACE_OUTER_BOUND('',#14101,.F.); +#14104=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,-1.2E0)); +#14105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14106=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14107=AXIS2_PLACEMENT_3D('',#14104,#14105,#14106); +#14108=CYLINDRICAL_SURFACE('',#14107,8.01599E-1); +#14109=ORIENTED_EDGE('',*,*,#12927,.F.); +#14111=ORIENTED_EDGE('',*,*,#14110,.T.); +#14112=ORIENTED_EDGE('',*,*,#13145,.F.); +#14114=ORIENTED_EDGE('',*,*,#14113,.F.); +#14115=EDGE_LOOP('',(#14109,#14111,#14112,#14114)); +#14116=FACE_OUTER_BOUND('',#14115,.F.); +#14118=CARTESIAN_POINT('',(1.141962144434E1,2.6543008E1,-1.2E0)); +#14119=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14120=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14121=AXIS2_PLACEMENT_3D('',#14118,#14119,#14120); +#14122=CYLINDRICAL_SURFACE('',#14121,8.01599E-1); +#14123=ORIENTED_EDGE('',*,*,#12929,.F.); +#14124=ORIENTED_EDGE('',*,*,#14113,.T.); +#14125=ORIENTED_EDGE('',*,*,#13147,.F.); +#14126=ORIENTED_EDGE('',*,*,#14110,.F.); +#14127=EDGE_LOOP('',(#14123,#14124,#14125,#14126)); +#14128=FACE_OUTER_BOUND('',#14127,.F.); +#14130=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,-1.2E0)); +#14131=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14132=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14133=AXIS2_PLACEMENT_3D('',#14130,#14131,#14132); +#14134=CYLINDRICAL_SURFACE('',#14133,8.01599E-1); +#14135=ORIENTED_EDGE('',*,*,#12933,.F.); +#14137=ORIENTED_EDGE('',*,*,#14136,.T.); +#14138=ORIENTED_EDGE('',*,*,#13151,.F.); +#14140=ORIENTED_EDGE('',*,*,#14139,.F.); +#14141=EDGE_LOOP('',(#14135,#14137,#14138,#14140)); +#14142=FACE_OUTER_BOUND('',#14141,.F.); +#14144=CARTESIAN_POINT('',(1.141962144434E1,2.4003008E1,-1.2E0)); +#14145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14146=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14147=AXIS2_PLACEMENT_3D('',#14144,#14145,#14146); +#14148=CYLINDRICAL_SURFACE('',#14147,8.01599E-1); +#14149=ORIENTED_EDGE('',*,*,#12935,.F.); +#14150=ORIENTED_EDGE('',*,*,#14139,.T.); +#14151=ORIENTED_EDGE('',*,*,#13153,.F.); +#14152=ORIENTED_EDGE('',*,*,#14136,.F.); +#14153=EDGE_LOOP('',(#14149,#14150,#14151,#14152)); +#14154=FACE_OUTER_BOUND('',#14153,.F.); +#14156=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,-1.2E0)); +#14157=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14158=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14159=AXIS2_PLACEMENT_3D('',#14156,#14157,#14158); +#14160=CYLINDRICAL_SURFACE('',#14159,8.01599E-1); +#14161=ORIENTED_EDGE('',*,*,#12939,.F.); +#14163=ORIENTED_EDGE('',*,*,#14162,.T.); +#14164=ORIENTED_EDGE('',*,*,#13157,.F.); +#14166=ORIENTED_EDGE('',*,*,#14165,.F.); +#14167=EDGE_LOOP('',(#14161,#14163,#14164,#14166)); +#14168=FACE_OUTER_BOUND('',#14167,.F.); +#14170=CARTESIAN_POINT('',(-1.144037855566E1,5.1943407E1,-1.2E0)); +#14171=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14172=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14173=AXIS2_PLACEMENT_3D('',#14170,#14171,#14172); +#14174=CYLINDRICAL_SURFACE('',#14173,8.01599E-1); +#14175=ORIENTED_EDGE('',*,*,#12941,.F.); +#14176=ORIENTED_EDGE('',*,*,#14165,.T.); +#14177=ORIENTED_EDGE('',*,*,#13159,.F.); +#14178=ORIENTED_EDGE('',*,*,#14162,.F.); +#14179=EDGE_LOOP('',(#14175,#14176,#14177,#14178)); +#14180=FACE_OUTER_BOUND('',#14179,.F.); +#14182=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,-1.2E0)); +#14183=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14184=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14185=AXIS2_PLACEMENT_3D('',#14182,#14183,#14184); +#14186=CYLINDRICAL_SURFACE('',#14185,7.01599E-1); +#14187=ORIENTED_EDGE('',*,*,#12945,.F.); +#14189=ORIENTED_EDGE('',*,*,#14188,.T.); +#14190=ORIENTED_EDGE('',*,*,#13163,.F.); +#14192=ORIENTED_EDGE('',*,*,#14191,.F.); +#14193=EDGE_LOOP('',(#14187,#14189,#14190,#14192)); +#14194=FACE_OUTER_BOUND('',#14193,.F.); +#14196=CARTESIAN_POINT('',(-1.144037855566E1,4.9403407E1,-1.2E0)); +#14197=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14198=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14199=AXIS2_PLACEMENT_3D('',#14196,#14197,#14198); +#14200=CYLINDRICAL_SURFACE('',#14199,7.01599E-1); +#14201=ORIENTED_EDGE('',*,*,#12947,.F.); +#14202=ORIENTED_EDGE('',*,*,#14191,.T.); +#14203=ORIENTED_EDGE('',*,*,#13165,.F.); +#14204=ORIENTED_EDGE('',*,*,#14188,.F.); +#14205=EDGE_LOOP('',(#14201,#14202,#14203,#14204)); +#14206=FACE_OUTER_BOUND('',#14205,.F.); +#14208=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,-1.2E0)); +#14209=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14211=AXIS2_PLACEMENT_3D('',#14208,#14209,#14210); +#14212=CYLINDRICAL_SURFACE('',#14211,7.01599E-1); +#14213=ORIENTED_EDGE('',*,*,#12951,.F.); +#14215=ORIENTED_EDGE('',*,*,#14214,.T.); +#14216=ORIENTED_EDGE('',*,*,#13169,.F.); +#14218=ORIENTED_EDGE('',*,*,#14217,.F.); +#14219=EDGE_LOOP('',(#14213,#14215,#14216,#14218)); +#14220=FACE_OUTER_BOUND('',#14219,.F.); +#14222=CARTESIAN_POINT('',(-1.144037855566E1,4.6863407E1,-1.2E0)); +#14223=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14224=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14225=AXIS2_PLACEMENT_3D('',#14222,#14223,#14224); +#14226=CYLINDRICAL_SURFACE('',#14225,7.01599E-1); +#14227=ORIENTED_EDGE('',*,*,#12953,.F.); +#14228=ORIENTED_EDGE('',*,*,#14217,.T.); +#14229=ORIENTED_EDGE('',*,*,#13171,.F.); +#14230=ORIENTED_EDGE('',*,*,#14214,.F.); +#14231=EDGE_LOOP('',(#14227,#14228,#14229,#14230)); +#14232=FACE_OUTER_BOUND('',#14231,.F.); +#14234=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,-1.2E0)); +#14235=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14236=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14237=AXIS2_PLACEMENT_3D('',#14234,#14235,#14236); +#14238=CYLINDRICAL_SURFACE('',#14237,7.01599E-1); +#14239=ORIENTED_EDGE('',*,*,#12957,.F.); +#14241=ORIENTED_EDGE('',*,*,#14240,.T.); +#14242=ORIENTED_EDGE('',*,*,#13175,.F.); +#14244=ORIENTED_EDGE('',*,*,#14243,.F.); +#14245=EDGE_LOOP('',(#14239,#14241,#14242,#14244)); +#14246=FACE_OUTER_BOUND('',#14245,.F.); +#14248=CARTESIAN_POINT('',(-1.144037855566E1,4.4323407E1,-1.2E0)); +#14249=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14250=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14251=AXIS2_PLACEMENT_3D('',#14248,#14249,#14250); +#14252=CYLINDRICAL_SURFACE('',#14251,7.01599E-1); +#14253=ORIENTED_EDGE('',*,*,#12959,.F.); +#14254=ORIENTED_EDGE('',*,*,#14243,.T.); +#14255=ORIENTED_EDGE('',*,*,#13177,.F.); +#14256=ORIENTED_EDGE('',*,*,#14240,.F.); +#14257=EDGE_LOOP('',(#14253,#14254,#14255,#14256)); +#14258=FACE_OUTER_BOUND('',#14257,.F.); +#14260=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,-1.2E0)); +#14261=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14262=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14263=AXIS2_PLACEMENT_3D('',#14260,#14261,#14262); +#14264=CYLINDRICAL_SURFACE('',#14263,7.01599E-1); +#14265=ORIENTED_EDGE('',*,*,#12963,.F.); +#14267=ORIENTED_EDGE('',*,*,#14266,.T.); +#14268=ORIENTED_EDGE('',*,*,#13181,.F.); +#14270=ORIENTED_EDGE('',*,*,#14269,.F.); +#14271=EDGE_LOOP('',(#14265,#14267,#14268,#14270)); +#14272=FACE_OUTER_BOUND('',#14271,.F.); +#14274=CARTESIAN_POINT('',(-1.144037855566E1,4.1783407E1,-1.2E0)); +#14275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14277=AXIS2_PLACEMENT_3D('',#14274,#14275,#14276); +#14278=CYLINDRICAL_SURFACE('',#14277,7.01599E-1); +#14279=ORIENTED_EDGE('',*,*,#12965,.F.); +#14280=ORIENTED_EDGE('',*,*,#14269,.T.); +#14281=ORIENTED_EDGE('',*,*,#13183,.F.); +#14282=ORIENTED_EDGE('',*,*,#14266,.F.); +#14283=EDGE_LOOP('',(#14279,#14280,#14281,#14282)); +#14284=FACE_OUTER_BOUND('',#14283,.F.); +#14286=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,-1.2E0)); +#14287=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14288=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14289=AXIS2_PLACEMENT_3D('',#14286,#14287,#14288); +#14290=CYLINDRICAL_SURFACE('',#14289,7.01599E-1); +#14291=ORIENTED_EDGE('',*,*,#12969,.F.); +#14293=ORIENTED_EDGE('',*,*,#14292,.T.); +#14294=ORIENTED_EDGE('',*,*,#13187,.F.); +#14296=ORIENTED_EDGE('',*,*,#14295,.F.); +#14297=EDGE_LOOP('',(#14291,#14293,#14294,#14296)); +#14298=FACE_OUTER_BOUND('',#14297,.F.); +#14300=CARTESIAN_POINT('',(-1.144037855566E1,3.9243407E1,-1.2E0)); +#14301=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14302=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14303=AXIS2_PLACEMENT_3D('',#14300,#14301,#14302); +#14304=CYLINDRICAL_SURFACE('',#14303,7.01599E-1); +#14305=ORIENTED_EDGE('',*,*,#12971,.F.); +#14306=ORIENTED_EDGE('',*,*,#14295,.T.); +#14307=ORIENTED_EDGE('',*,*,#13189,.F.); +#14308=ORIENTED_EDGE('',*,*,#14292,.F.); +#14309=EDGE_LOOP('',(#14305,#14306,#14307,#14308)); +#14310=FACE_OUTER_BOUND('',#14309,.F.); +#14312=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,-1.2E0)); +#14313=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14314=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14315=AXIS2_PLACEMENT_3D('',#14312,#14313,#14314); +#14316=CYLINDRICAL_SURFACE('',#14315,7.01599E-1); +#14317=ORIENTED_EDGE('',*,*,#12975,.F.); +#14319=ORIENTED_EDGE('',*,*,#14318,.T.); +#14320=ORIENTED_EDGE('',*,*,#13193,.F.); +#14322=ORIENTED_EDGE('',*,*,#14321,.F.); +#14323=EDGE_LOOP('',(#14317,#14319,#14320,#14322)); +#14324=FACE_OUTER_BOUND('',#14323,.F.); +#14326=CARTESIAN_POINT('',(-1.144037855566E1,3.6703407E1,-1.2E0)); +#14327=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14329=AXIS2_PLACEMENT_3D('',#14326,#14327,#14328); +#14330=CYLINDRICAL_SURFACE('',#14329,7.01599E-1); +#14331=ORIENTED_EDGE('',*,*,#12977,.F.); +#14332=ORIENTED_EDGE('',*,*,#14321,.T.); +#14333=ORIENTED_EDGE('',*,*,#13195,.F.); +#14334=ORIENTED_EDGE('',*,*,#14318,.F.); +#14335=EDGE_LOOP('',(#14331,#14332,#14333,#14334)); +#14336=FACE_OUTER_BOUND('',#14335,.F.); +#14338=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,-1.2E0)); +#14339=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14340=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14341=AXIS2_PLACEMENT_3D('',#14338,#14339,#14340); +#14342=CYLINDRICAL_SURFACE('',#14341,7.01599E-1); +#14343=ORIENTED_EDGE('',*,*,#12981,.F.); +#14345=ORIENTED_EDGE('',*,*,#14344,.T.); +#14346=ORIENTED_EDGE('',*,*,#13199,.F.); +#14348=ORIENTED_EDGE('',*,*,#14347,.F.); +#14349=EDGE_LOOP('',(#14343,#14345,#14346,#14348)); +#14350=FACE_OUTER_BOUND('',#14349,.F.); +#14352=CARTESIAN_POINT('',(-1.144037855566E1,3.4163407E1,-1.2E0)); +#14353=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14355=AXIS2_PLACEMENT_3D('',#14352,#14353,#14354); +#14356=CYLINDRICAL_SURFACE('',#14355,7.01599E-1); +#14357=ORIENTED_EDGE('',*,*,#12983,.F.); +#14358=ORIENTED_EDGE('',*,*,#14347,.T.); +#14359=ORIENTED_EDGE('',*,*,#13201,.F.); +#14360=ORIENTED_EDGE('',*,*,#14344,.F.); +#14361=EDGE_LOOP('',(#14357,#14358,#14359,#14360)); +#14362=FACE_OUTER_BOUND('',#14361,.F.); +#14364=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,-1.2E0)); +#14365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14366=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14367=AXIS2_PLACEMENT_3D('',#14364,#14365,#14366); +#14368=CYLINDRICAL_SURFACE('',#14367,7.01599E-1); +#14369=ORIENTED_EDGE('',*,*,#12987,.F.); +#14371=ORIENTED_EDGE('',*,*,#14370,.T.); +#14372=ORIENTED_EDGE('',*,*,#13205,.F.); +#14374=ORIENTED_EDGE('',*,*,#14373,.F.); +#14375=EDGE_LOOP('',(#14369,#14371,#14372,#14374)); +#14376=FACE_OUTER_BOUND('',#14375,.F.); +#14378=CARTESIAN_POINT('',(-1.144037855566E1,3.1623407E1,-1.2E0)); +#14379=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14380=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14381=AXIS2_PLACEMENT_3D('',#14378,#14379,#14380); +#14382=CYLINDRICAL_SURFACE('',#14381,7.01599E-1); +#14383=ORIENTED_EDGE('',*,*,#12989,.F.); +#14384=ORIENTED_EDGE('',*,*,#14373,.T.); +#14385=ORIENTED_EDGE('',*,*,#13207,.F.); +#14386=ORIENTED_EDGE('',*,*,#14370,.F.); +#14387=EDGE_LOOP('',(#14383,#14384,#14385,#14386)); +#14388=FACE_OUTER_BOUND('',#14387,.F.); +#14390=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,-1.2E0)); +#14391=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14393=AXIS2_PLACEMENT_3D('',#14390,#14391,#14392); +#14394=CYLINDRICAL_SURFACE('',#14393,7.01599E-1); +#14395=ORIENTED_EDGE('',*,*,#12993,.F.); +#14397=ORIENTED_EDGE('',*,*,#14396,.T.); +#14398=ORIENTED_EDGE('',*,*,#13211,.F.); +#14400=ORIENTED_EDGE('',*,*,#14399,.F.); +#14401=EDGE_LOOP('',(#14395,#14397,#14398,#14400)); +#14402=FACE_OUTER_BOUND('',#14401,.F.); +#14404=CARTESIAN_POINT('',(-1.144037855566E1,2.9083407E1,-1.2E0)); +#14405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14406=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14407=AXIS2_PLACEMENT_3D('',#14404,#14405,#14406); +#14408=CYLINDRICAL_SURFACE('',#14407,7.01599E-1); +#14409=ORIENTED_EDGE('',*,*,#12995,.F.); +#14410=ORIENTED_EDGE('',*,*,#14399,.T.); +#14411=ORIENTED_EDGE('',*,*,#13213,.F.); +#14412=ORIENTED_EDGE('',*,*,#14396,.F.); +#14413=EDGE_LOOP('',(#14409,#14410,#14411,#14412)); +#14414=FACE_OUTER_BOUND('',#14413,.F.); +#14416=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,-1.2E0)); +#14417=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14418=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14419=AXIS2_PLACEMENT_3D('',#14416,#14417,#14418); +#14420=CYLINDRICAL_SURFACE('',#14419,7.01599E-1); +#14421=ORIENTED_EDGE('',*,*,#12999,.F.); +#14423=ORIENTED_EDGE('',*,*,#14422,.T.); +#14424=ORIENTED_EDGE('',*,*,#13217,.F.); +#14426=ORIENTED_EDGE('',*,*,#14425,.F.); +#14427=EDGE_LOOP('',(#14421,#14423,#14424,#14426)); +#14428=FACE_OUTER_BOUND('',#14427,.F.); +#14430=CARTESIAN_POINT('',(-1.144037855566E1,2.6543407E1,-1.2E0)); +#14431=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14432=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14433=AXIS2_PLACEMENT_3D('',#14430,#14431,#14432); +#14434=CYLINDRICAL_SURFACE('',#14433,7.01599E-1); +#14435=ORIENTED_EDGE('',*,*,#13001,.F.); +#14436=ORIENTED_EDGE('',*,*,#14425,.T.); +#14437=ORIENTED_EDGE('',*,*,#13219,.F.); +#14438=ORIENTED_EDGE('',*,*,#14422,.F.); +#14439=EDGE_LOOP('',(#14435,#14436,#14437,#14438)); +#14440=FACE_OUTER_BOUND('',#14439,.F.); +#14442=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,-1.2E0)); +#14443=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14444=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14445=AXIS2_PLACEMENT_3D('',#14442,#14443,#14444); +#14446=CYLINDRICAL_SURFACE('',#14445,7.01599E-1); +#14447=ORIENTED_EDGE('',*,*,#13005,.F.); +#14449=ORIENTED_EDGE('',*,*,#14448,.T.); +#14450=ORIENTED_EDGE('',*,*,#13223,.F.); +#14452=ORIENTED_EDGE('',*,*,#14451,.F.); +#14453=EDGE_LOOP('',(#14447,#14449,#14450,#14452)); +#14454=FACE_OUTER_BOUND('',#14453,.F.); +#14456=CARTESIAN_POINT('',(-1.144037855566E1,2.4003407E1,-1.2E0)); +#14457=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14458=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14459=AXIS2_PLACEMENT_3D('',#14456,#14457,#14458); +#14460=CYLINDRICAL_SURFACE('',#14459,7.01599E-1); +#14461=ORIENTED_EDGE('',*,*,#13007,.F.); +#14462=ORIENTED_EDGE('',*,*,#14451,.T.); +#14463=ORIENTED_EDGE('',*,*,#13225,.F.); +#14464=ORIENTED_EDGE('',*,*,#14448,.F.); +#14465=EDGE_LOOP('',(#14461,#14462,#14463,#14464)); +#14466=FACE_OUTER_BOUND('',#14465,.F.); +#14468=CARTESIAN_POINT('',(-1.001154505566E1,3.6837742E1,-1.2E0)); +#14469=DIRECTION('',(0.E0,1.E0,0.E0)); +#14470=DIRECTION('',(1.E0,0.E0,0.E0)); +#14471=AXIS2_PLACEMENT_3D('',#14468,#14469,#14470); +#14472=PLANE('',#14471); +#14473=ORIENTED_EDGE('',*,*,#13229,.T.); +#14475=ORIENTED_EDGE('',*,*,#14474,.F.); +#14477=ORIENTED_EDGE('',*,*,#14476,.F.); +#14479=ORIENTED_EDGE('',*,*,#14478,.T.); +#14480=EDGE_LOOP('',(#14473,#14475,#14477,#14479)); +#14481=FACE_OUTER_BOUND('',#14480,.F.); +#14483=CARTESIAN_POINT('',(-1.001154505566E1,3.5134545E1,-1.2E0)); +#14484=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14485=DIRECTION('',(0.E0,1.E0,0.E0)); +#14486=AXIS2_PLACEMENT_3D('',#14483,#14484,#14485); +#14487=PLANE('',#14486); +#14488=ORIENTED_EDGE('',*,*,#13251,.T.); +#14490=ORIENTED_EDGE('',*,*,#14489,.F.); +#14492=ORIENTED_EDGE('',*,*,#14491,.F.); +#14493=ORIENTED_EDGE('',*,*,#14474,.T.); +#14494=EDGE_LOOP('',(#14488,#14490,#14492,#14493)); +#14495=FACE_OUTER_BOUND('',#14494,.F.); +#14497=CARTESIAN_POINT('',(-9.250181921890E0,3.5134545E1,-1.2E0)); +#14498=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14499=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14500=AXIS2_PLACEMENT_3D('',#14497,#14498,#14499); +#14501=PLANE('',#14500); +#14502=ORIENTED_EDGE('',*,*,#13249,.T.); +#14504=ORIENTED_EDGE('',*,*,#14503,.F.); +#14506=ORIENTED_EDGE('',*,*,#14505,.F.); +#14507=ORIENTED_EDGE('',*,*,#14489,.T.); +#14508=EDGE_LOOP('',(#14502,#14504,#14506,#14507)); +#14509=FACE_OUTER_BOUND('',#14508,.F.); +#14511=CARTESIAN_POINT('',(-9.250181921890E0,3.3382208E1,-1.2E0)); +#14512=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14513=DIRECTION('',(0.E0,1.E0,0.E0)); +#14514=AXIS2_PLACEMENT_3D('',#14511,#14512,#14513); +#14515=PLANE('',#14514); +#14517=ORIENTED_EDGE('',*,*,#14516,.F.); +#14519=ORIENTED_EDGE('',*,*,#14518,.F.); +#14521=ORIENTED_EDGE('',*,*,#14520,.T.); +#14523=ORIENTED_EDGE('',*,*,#14522,.F.); +#14525=ORIENTED_EDGE('',*,*,#14524,.F.); +#14527=ORIENTED_EDGE('',*,*,#14526,.T.); +#14528=ORIENTED_EDGE('',*,*,#13231,.T.); +#14529=ORIENTED_EDGE('',*,*,#14478,.F.); +#14531=ORIENTED_EDGE('',*,*,#14530,.T.); +#14532=ORIENTED_EDGE('',*,*,#14503,.T.); +#14533=ORIENTED_EDGE('',*,*,#13247,.T.); +#14535=ORIENTED_EDGE('',*,*,#14534,.F.); +#14536=EDGE_LOOP('',(#14517,#14519,#14521,#14523,#14525,#14527,#14528,#14529, +#14531,#14532,#14533,#14535)); +#14537=FACE_OUTER_BOUND('',#14536,.F.); +#14539=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-2.2E0)); +#14540=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14541=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14542=AXIS2_PLACEMENT_3D('',#14539,#14540,#14541); +#14543=PLANE('',#14542); +#14545=ORIENTED_EDGE('',*,*,#14544,.F.); +#14547=ORIENTED_EDGE('',*,*,#14546,.F.); +#14549=ORIENTED_EDGE('',*,*,#14548,.F.); +#14551=ORIENTED_EDGE('',*,*,#14550,.F.); +#14553=ORIENTED_EDGE('',*,*,#14552,.F.); +#14555=ORIENTED_EDGE('',*,*,#14554,.F.); +#14556=ORIENTED_EDGE('',*,*,#14516,.T.); +#14558=ORIENTED_EDGE('',*,*,#14557,.T.); +#14559=EDGE_LOOP('',(#14545,#14547,#14549,#14551,#14553,#14555,#14556,#14558)); +#14560=FACE_OUTER_BOUND('',#14559,.F.); +#14562=CARTESIAN_POINT('',(9.249818078140E0,3.9182208E1,-1.2E0)); +#14563=DIRECTION('',(1.E0,0.E0,0.E0)); +#14564=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14565=AXIS2_PLACEMENT_3D('',#14562,#14563,#14564); +#14566=PLANE('',#14565); +#14567=ORIENTED_EDGE('',*,*,#14544,.T.); +#14569=ORIENTED_EDGE('',*,*,#14568,.T.); +#14570=ORIENTED_EDGE('',*,*,#13243,.T.); +#14572=ORIENTED_EDGE('',*,*,#14571,.F.); +#14574=ORIENTED_EDGE('',*,*,#14573,.F.); +#14576=ORIENTED_EDGE('',*,*,#14575,.T.); +#14577=ORIENTED_EDGE('',*,*,#13235,.T.); +#14579=ORIENTED_EDGE('',*,*,#14578,.F.); +#14581=ORIENTED_EDGE('',*,*,#14580,.F.); +#14583=ORIENTED_EDGE('',*,*,#14582,.T.); +#14585=ORIENTED_EDGE('',*,*,#14584,.F.); +#14587=ORIENTED_EDGE('',*,*,#14586,.T.); +#14588=EDGE_LOOP('',(#14567,#14569,#14570,#14572,#14574,#14576,#14577,#14579, +#14581,#14583,#14585,#14587)); +#14589=FACE_OUTER_BOUND('',#14588,.F.); +#14591=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-1.2E0)); +#14592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14593=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14594=AXIS2_PLACEMENT_3D('',#14591,#14592,#14593); +#14595=PLANE('',#14594); +#14596=ORIENTED_EDGE('',*,*,#14557,.F.); +#14597=ORIENTED_EDGE('',*,*,#14534,.T.); +#14598=ORIENTED_EDGE('',*,*,#13245,.T.); +#14599=ORIENTED_EDGE('',*,*,#14568,.F.); +#14600=EDGE_LOOP('',(#14596,#14597,#14598,#14599)); +#14601=FACE_OUTER_BOUND('',#14600,.F.); +#14603=CARTESIAN_POINT('',(1.009151394434E1,3.5234443E1,-1.2E0)); +#14604=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14605=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14606=AXIS2_PLACEMENT_3D('',#14603,#14604,#14605); +#14607=PLANE('',#14606); +#14608=ORIENTED_EDGE('',*,*,#13241,.T.); +#14610=ORIENTED_EDGE('',*,*,#14609,.F.); +#14612=ORIENTED_EDGE('',*,*,#14611,.F.); +#14613=ORIENTED_EDGE('',*,*,#14571,.T.); +#14614=EDGE_LOOP('',(#14608,#14610,#14612,#14613)); +#14615=FACE_OUTER_BOUND('',#14614,.F.); +#14617=CARTESIAN_POINT('',(1.009151394434E1,3.6737641E1,-1.2E0)); +#14618=DIRECTION('',(1.E0,0.E0,0.E0)); +#14619=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14620=AXIS2_PLACEMENT_3D('',#14617,#14618,#14619); +#14621=PLANE('',#14620); +#14622=ORIENTED_EDGE('',*,*,#13239,.T.); +#14624=ORIENTED_EDGE('',*,*,#14623,.F.); +#14626=ORIENTED_EDGE('',*,*,#14625,.F.); +#14627=ORIENTED_EDGE('',*,*,#14609,.T.); +#14628=EDGE_LOOP('',(#14622,#14624,#14626,#14627)); +#14629=FACE_OUTER_BOUND('',#14628,.F.); +#14631=CARTESIAN_POINT('',(9.249818078140E0,3.6737641E1,-1.2E0)); +#14632=DIRECTION('',(0.E0,1.E0,0.E0)); +#14633=DIRECTION('',(1.E0,0.E0,0.E0)); +#14634=AXIS2_PLACEMENT_3D('',#14631,#14632,#14633); +#14635=PLANE('',#14634); +#14636=ORIENTED_EDGE('',*,*,#13237,.T.); +#14637=ORIENTED_EDGE('',*,*,#14575,.F.); +#14639=ORIENTED_EDGE('',*,*,#14638,.F.); +#14640=ORIENTED_EDGE('',*,*,#14623,.T.); +#14641=EDGE_LOOP('',(#14636,#14637,#14639,#14640)); +#14642=FACE_OUTER_BOUND('',#14641,.F.); +#14644=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.7E0)); +#14645=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14646=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14647=AXIS2_PLACEMENT_3D('',#14644,#14645,#14646); +#14648=PLANE('',#14647); +#14649=ORIENTED_EDGE('',*,*,#14573,.T.); +#14650=ORIENTED_EDGE('',*,*,#14611,.T.); +#14651=ORIENTED_EDGE('',*,*,#14625,.T.); +#14652=ORIENTED_EDGE('',*,*,#14638,.T.); +#14653=EDGE_LOOP('',(#14649,#14650,#14651,#14652)); +#14654=FACE_OUTER_BOUND('',#14653,.F.); +#14656=CARTESIAN_POINT('',(-9.250181921890E0,3.9182208E1,-1.2E0)); +#14657=DIRECTION('',(0.E0,1.E0,0.E0)); +#14658=DIRECTION('',(1.E0,0.E0,0.E0)); +#14659=AXIS2_PLACEMENT_3D('',#14656,#14657,#14658); +#14660=PLANE('',#14659); +#14661=ORIENTED_EDGE('',*,*,#13233,.T.); +#14662=ORIENTED_EDGE('',*,*,#14526,.F.); +#14664=ORIENTED_EDGE('',*,*,#14663,.F.); +#14665=ORIENTED_EDGE('',*,*,#14578,.T.); +#14666=EDGE_LOOP('',(#14661,#14662,#14664,#14665)); +#14667=FACE_OUTER_BOUND('',#14666,.F.); +#14669=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-3.2E0)); +#14670=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14671=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14672=AXIS2_PLACEMENT_3D('',#14669,#14670,#14671); +#14673=PLANE('',#14672); +#14674=ORIENTED_EDGE('',*,*,#14580,.T.); +#14675=ORIENTED_EDGE('',*,*,#14663,.T.); +#14676=ORIENTED_EDGE('',*,*,#14524,.T.); +#14678=ORIENTED_EDGE('',*,*,#14677,.T.); +#14679=EDGE_LOOP('',(#14674,#14675,#14676,#14678)); +#14680=FACE_OUTER_BOUND('',#14679,.F.); +#14682=CARTESIAN_POINT('',(9.249818078140E0,3.3382208E1,-1.2E0)); +#14683=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14684=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14685=AXIS2_PLACEMENT_3D('',#14682,#14683,#14684); +#14686=PLANE('',#14685); +#14688=ORIENTED_EDGE('',*,*,#14687,.T.); +#14689=ORIENTED_EDGE('',*,*,#14582,.F.); +#14690=ORIENTED_EDGE('',*,*,#14677,.F.); +#14691=ORIENTED_EDGE('',*,*,#14522,.T.); +#14692=EDGE_LOOP('',(#14688,#14689,#14690,#14691)); +#14693=FACE_OUTER_BOUND('',#14692,.F.); +#14695=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-2.8E0)); +#14696=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14697=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14698=AXIS2_PLACEMENT_3D('',#14695,#14696,#14697); +#14699=PLANE('',#14698); +#14700=ORIENTED_EDGE('',*,*,#14584,.T.); +#14701=ORIENTED_EDGE('',*,*,#14687,.F.); +#14702=ORIENTED_EDGE('',*,*,#14520,.F.); +#14704=ORIENTED_EDGE('',*,*,#14703,.T.); +#14706=ORIENTED_EDGE('',*,*,#14705,.T.); +#14708=ORIENTED_EDGE('',*,*,#14707,.T.); +#14710=ORIENTED_EDGE('',*,*,#14709,.T.); +#14712=ORIENTED_EDGE('',*,*,#14711,.T.); +#14713=EDGE_LOOP('',(#14700,#14701,#14702,#14704,#14706,#14708,#14710,#14712)); +#14714=FACE_OUTER_BOUND('',#14713,.F.); +#14716=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.2E0)); +#14717=DIRECTION('',(0.E0,1.E0,0.E0)); +#14718=DIRECTION('',(1.E0,0.E0,0.E0)); +#14719=AXIS2_PLACEMENT_3D('',#14716,#14717,#14718); +#14720=PLANE('',#14719); +#14721=ORIENTED_EDGE('',*,*,#14518,.T.); +#14722=ORIENTED_EDGE('',*,*,#14554,.T.); +#14724=ORIENTED_EDGE('',*,*,#14723,.F.); +#14725=ORIENTED_EDGE('',*,*,#14703,.F.); +#14726=EDGE_LOOP('',(#14721,#14722,#14724,#14725)); +#14727=FACE_OUTER_BOUND('',#14726,.F.); +#14729=CARTESIAN_POINT('',(-9.659907055660E0,3.283596E1,-2.2E0)); +#14730=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14731=DIRECTION('',(0.E0,1.E0,0.E0)); +#14732=AXIS2_PLACEMENT_3D('',#14729,#14730,#14731); +#14733=PLANE('',#14732); +#14734=ORIENTED_EDGE('',*,*,#14552,.T.); +#14736=ORIENTED_EDGE('',*,*,#14735,.F.); +#14737=ORIENTED_EDGE('',*,*,#14705,.F.); +#14738=ORIENTED_EDGE('',*,*,#14723,.T.); +#14739=EDGE_LOOP('',(#14734,#14736,#14737,#14738)); +#14740=FACE_OUTER_BOUND('',#14739,.F.); +#14742=CARTESIAN_POINT('',(9.740104944340E0,3.283596E1,-2.2E0)); +#14743=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14744=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14745=AXIS2_PLACEMENT_3D('',#14742,#14743,#14744); +#14746=PLANE('',#14745); +#14747=ORIENTED_EDGE('',*,*,#14550,.T.); +#14749=ORIENTED_EDGE('',*,*,#14748,.F.); +#14750=ORIENTED_EDGE('',*,*,#14707,.F.); +#14751=ORIENTED_EDGE('',*,*,#14735,.T.); +#14752=EDGE_LOOP('',(#14747,#14749,#14750,#14751)); +#14753=FACE_OUTER_BOUND('',#14752,.F.); +#14755=CARTESIAN_POINT('',(9.740104944340E0,3.5004866E1,-2.2E0)); +#14756=DIRECTION('',(1.E0,0.E0,0.E0)); +#14757=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14758=AXIS2_PLACEMENT_3D('',#14755,#14756,#14757); +#14759=PLANE('',#14758); +#14760=ORIENTED_EDGE('',*,*,#14548,.T.); +#14762=ORIENTED_EDGE('',*,*,#14761,.F.); +#14763=ORIENTED_EDGE('',*,*,#14709,.F.); +#14764=ORIENTED_EDGE('',*,*,#14748,.T.); +#14765=EDGE_LOOP('',(#14760,#14762,#14763,#14764)); +#14766=FACE_OUTER_BOUND('',#14765,.F.); +#14768=CARTESIAN_POINT('',(-9.659907055660E0,3.5004866E1,-2.2E0)); +#14769=DIRECTION('',(0.E0,1.E0,0.E0)); +#14770=DIRECTION('',(1.E0,0.E0,0.E0)); +#14771=AXIS2_PLACEMENT_3D('',#14768,#14769,#14770); +#14772=PLANE('',#14771); +#14773=ORIENTED_EDGE('',*,*,#14586,.F.); +#14774=ORIENTED_EDGE('',*,*,#14711,.F.); +#14775=ORIENTED_EDGE('',*,*,#14761,.T.); +#14776=ORIENTED_EDGE('',*,*,#14546,.T.); +#14777=EDGE_LOOP('',(#14773,#14774,#14775,#14776)); +#14778=FACE_OUTER_BOUND('',#14777,.F.); +#14780=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-1.7E0)); +#14781=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14782=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14783=AXIS2_PLACEMENT_3D('',#14780,#14781,#14782); +#14784=PLANE('',#14783); +#14785=ORIENTED_EDGE('',*,*,#14530,.F.); +#14786=ORIENTED_EDGE('',*,*,#14476,.T.); +#14787=ORIENTED_EDGE('',*,*,#14491,.T.); +#14788=ORIENTED_EDGE('',*,*,#14505,.T.); +#14789=EDGE_LOOP('',(#14785,#14786,#14787,#14788)); +#14790=FACE_OUTER_BOUND('',#14789,.F.); +#14792=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,0.E0)); +#14793=DIRECTION('',(0.E0,0.E0,1.E0)); +#14794=DIRECTION('',(1.E0,0.E0,0.E0)); +#14795=AXIS2_PLACEMENT_3D('',#14792,#14793,#14794); +#14796=CYLINDRICAL_SURFACE('',#14795,9.748495E-1); +#14797=ORIENTED_EDGE('',*,*,#11645,.F.); +#14799=ORIENTED_EDGE('',*,*,#14798,.F.); +#14800=ORIENTED_EDGE('',*,*,#13255,.F.); +#14802=ORIENTED_EDGE('',*,*,#14801,.T.); +#14803=EDGE_LOOP('',(#14797,#14799,#14800,#14802)); +#14804=FACE_OUTER_BOUND('',#14803,.F.); +#14806=CARTESIAN_POINT('',(-1.009073655566E1,5.766161E1,0.E0)); +#14807=DIRECTION('',(0.E0,0.E0,1.E0)); +#14808=DIRECTION('',(1.E0,0.E0,0.E0)); +#14809=AXIS2_PLACEMENT_3D('',#14806,#14807,#14808); +#14810=CYLINDRICAL_SURFACE('',#14809,9.748495E-1); +#14811=ORIENTED_EDGE('',*,*,#11647,.F.); +#14812=ORIENTED_EDGE('',*,*,#14801,.F.); +#14813=ORIENTED_EDGE('',*,*,#13257,.F.); +#14814=ORIENTED_EDGE('',*,*,#14798,.T.); +#14815=EDGE_LOOP('',(#14811,#14812,#14813,#14814)); +#14816=FACE_OUTER_BOUND('',#14815,.F.); +#14818=CARTESIAN_POINT('',(-1.138837755566E1,6.442085E-1,-1.2E0)); +#14819=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14820=DIRECTION('',(0.E0,1.E0,0.E0)); +#14821=AXIS2_PLACEMENT_3D('',#14818,#14819,#14820); +#14822=PLANE('',#14821); +#14824=ORIENTED_EDGE('',*,*,#14823,.F.); +#14826=ORIENTED_EDGE('',*,*,#14825,.T.); +#14827=ORIENTED_EDGE('',*,*,#13261,.T.); +#14829=ORIENTED_EDGE('',*,*,#14828,.F.); +#14830=EDGE_LOOP('',(#14824,#14826,#14827,#14829)); +#14831=FACE_OUTER_BOUND('',#14830,.F.); +#14833=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-2.7E0)); +#14834=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14835=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14836=AXIS2_PLACEMENT_3D('',#14833,#14834,#14835); +#14837=PLANE('',#14836); +#14838=ORIENTED_EDGE('',*,*,#14823,.T.); +#14840=ORIENTED_EDGE('',*,*,#14839,.T.); +#14842=ORIENTED_EDGE('',*,*,#14841,.T.); +#14844=ORIENTED_EDGE('',*,*,#14843,.T.); +#14845=EDGE_LOOP('',(#14838,#14840,#14842,#14844)); +#14846=FACE_OUTER_BOUND('',#14845,.F.); +#14848=ORIENTED_EDGE('',*,*,#14847,.T.); +#14850=ORIENTED_EDGE('',*,*,#14849,.T.); +#14852=ORIENTED_EDGE('',*,*,#14851,.T.); +#14854=ORIENTED_EDGE('',*,*,#14853,.T.); +#14855=EDGE_LOOP('',(#14848,#14850,#14852,#14854)); +#14856=FACE_BOUND('',#14855,.F.); +#14858=CARTESIAN_POINT('',(-7.188377555660E0,6.442085E-1,-1.2E0)); +#14859=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14860=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14861=AXIS2_PLACEMENT_3D('',#14858,#14859,#14860); +#14862=PLANE('',#14861); +#14863=ORIENTED_EDGE('',*,*,#14839,.F.); +#14864=ORIENTED_EDGE('',*,*,#14828,.T.); +#14865=ORIENTED_EDGE('',*,*,#13267,.T.); +#14867=ORIENTED_EDGE('',*,*,#14866,.F.); +#14868=EDGE_LOOP('',(#14863,#14864,#14865,#14867)); +#14869=FACE_OUTER_BOUND('',#14868,.F.); +#14871=CARTESIAN_POINT('',(-7.188377555660E0,3.9942085E0,-1.2E0)); +#14872=DIRECTION('',(1.E0,0.E0,0.E0)); +#14873=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14874=AXIS2_PLACEMENT_3D('',#14871,#14872,#14873); +#14875=PLANE('',#14874); +#14876=ORIENTED_EDGE('',*,*,#14841,.F.); +#14877=ORIENTED_EDGE('',*,*,#14866,.T.); +#14878=ORIENTED_EDGE('',*,*,#13265,.T.); +#14880=ORIENTED_EDGE('',*,*,#14879,.F.); +#14881=EDGE_LOOP('',(#14876,#14877,#14878,#14880)); +#14882=FACE_OUTER_BOUND('',#14881,.F.); +#14884=CARTESIAN_POINT('',(-1.138837755566E1,3.9942085E0,-1.2E0)); +#14885=DIRECTION('',(0.E0,1.E0,0.E0)); +#14886=DIRECTION('',(1.E0,0.E0,0.E0)); +#14887=AXIS2_PLACEMENT_3D('',#14884,#14885,#14886); +#14888=PLANE('',#14887); +#14889=ORIENTED_EDGE('',*,*,#14843,.F.); +#14890=ORIENTED_EDGE('',*,*,#14879,.T.); +#14891=ORIENTED_EDGE('',*,*,#13263,.T.); +#14892=ORIENTED_EDGE('',*,*,#14825,.F.); +#14893=EDGE_LOOP('',(#14889,#14890,#14891,#14892)); +#14894=FACE_OUTER_BOUND('',#14893,.F.); +#14896=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-3.7E0)); +#14897=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14898=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14899=AXIS2_PLACEMENT_3D('',#14896,#14897,#14898); +#14900=CYLINDRICAL_SURFACE('',#14899,1.05E0); +#14902=ORIENTED_EDGE('',*,*,#14901,.F.); +#14904=ORIENTED_EDGE('',*,*,#14903,.F.); +#14906=ORIENTED_EDGE('',*,*,#14905,.T.); +#14907=ORIENTED_EDGE('',*,*,#14847,.F.); +#14909=ORIENTED_EDGE('',*,*,#14908,.T.); +#14910=EDGE_LOOP('',(#14902,#14904,#14906,#14907,#14909)); +#14911=FACE_OUTER_BOUND('',#14910,.F.); +#14913=CARTESIAN_POINT('',(-9.638377555660E0,2.3192085E0,-3.4E0)); +#14914=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14915=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); +#14916=AXIS2_PLACEMENT_3D('',#14913,#14914,#14915); +#14917=TOROIDAL_SURFACE('',#14916,7.5E-1,3.E-1); +#14919=ORIENTED_EDGE('',*,*,#14918,.F.); +#14921=ORIENTED_EDGE('',*,*,#14920,.T.); +#14922=ORIENTED_EDGE('',*,*,#14903,.T.); +#14923=ORIENTED_EDGE('',*,*,#14901,.T.); +#14925=ORIENTED_EDGE('',*,*,#14924,.T.); +#14926=EDGE_LOOP('',(#14919,#14921,#14922,#14923,#14925)); +#14927=FACE_OUTER_BOUND('',#14926,.F.); +#14929=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-3.7E0)); +#14930=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14931=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14932=AXIS2_PLACEMENT_3D('',#14929,#14930,#14931); +#14933=PLANE('',#14932); +#14935=ORIENTED_EDGE('',*,*,#14934,.F.); +#14937=ORIENTED_EDGE('',*,*,#14936,.T.); +#14939=ORIENTED_EDGE('',*,*,#14938,.F.); +#14940=ORIENTED_EDGE('',*,*,#14918,.T.); +#14941=EDGE_LOOP('',(#14935,#14937,#14939,#14940)); +#14942=FACE_OUTER_BOUND('',#14941,.F.); +#14944=CARTESIAN_POINT('',(-8.790351183390E0,1.5692085E0,-3.4E0)); +#14945=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14947=AXIS2_PLACEMENT_3D('',#14944,#14945,#14946); +#14948=CYLINDRICAL_SURFACE('',#14947,3.E-1); +#14949=ORIENTED_EDGE('',*,*,#14934,.T.); +#14950=ORIENTED_EDGE('',*,*,#14924,.F.); +#14952=ORIENTED_EDGE('',*,*,#14951,.T.); +#14954=ORIENTED_EDGE('',*,*,#14953,.F.); +#14955=EDGE_LOOP('',(#14949,#14950,#14952,#14954)); +#14956=FACE_OUTER_BOUND('',#14955,.F.); +#14958=CARTESIAN_POINT('',(-9.638377555660E0,1.2692085E0,-3.7E0)); +#14959=DIRECTION('',(0.E0,1.E0,0.E0)); +#14960=DIRECTION('',(1.E0,0.E0,0.E0)); +#14961=AXIS2_PLACEMENT_3D('',#14958,#14959,#14960); +#14962=PLANE('',#14961); +#14963=ORIENTED_EDGE('',*,*,#14951,.F.); +#14964=ORIENTED_EDGE('',*,*,#14908,.F.); +#14965=ORIENTED_EDGE('',*,*,#14853,.F.); +#14967=ORIENTED_EDGE('',*,*,#14966,.F.); +#14968=EDGE_LOOP('',(#14963,#14964,#14965,#14967)); +#14969=FACE_OUTER_BOUND('',#14968,.F.); +#14971=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-3.7E0)); +#14972=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14973=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14974=AXIS2_PLACEMENT_3D('',#14971,#14972,#14973); +#14975=CYLINDRICAL_SURFACE('',#14974,1.05E0); +#14977=ORIENTED_EDGE('',*,*,#14976,.F.); +#14979=ORIENTED_EDGE('',*,*,#14978,.F.); +#14980=ORIENTED_EDGE('',*,*,#14966,.T.); +#14981=ORIENTED_EDGE('',*,*,#14851,.F.); +#14983=ORIENTED_EDGE('',*,*,#14982,.T.); +#14984=EDGE_LOOP('',(#14977,#14979,#14980,#14981,#14983)); +#14985=FACE_OUTER_BOUND('',#14984,.F.); +#14987=CARTESIAN_POINT('',(-8.938377555660E0,2.3192085E0,-3.4E0)); +#14988=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14989=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); +#14990=AXIS2_PLACEMENT_3D('',#14987,#14988,#14989); +#14991=TOROIDAL_SURFACE('',#14990,7.5E-1,3.E-1); +#14992=ORIENTED_EDGE('',*,*,#14936,.F.); +#14993=ORIENTED_EDGE('',*,*,#14953,.T.); +#14994=ORIENTED_EDGE('',*,*,#14978,.T.); +#14995=ORIENTED_EDGE('',*,*,#14976,.T.); +#14997=ORIENTED_EDGE('',*,*,#14996,.T.); +#14998=EDGE_LOOP('',(#14992,#14993,#14994,#14995,#14997)); +#14999=FACE_OUTER_BOUND('',#14998,.F.); +#15001=CARTESIAN_POINT('',(-9.786403927930E0,3.0692085E0,-3.4E0)); +#15002=DIRECTION('',(1.E0,0.E0,0.E0)); +#15003=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15004=AXIS2_PLACEMENT_3D('',#15001,#15002,#15003); +#15005=CYLINDRICAL_SURFACE('',#15004,3.E-1); +#15006=ORIENTED_EDGE('',*,*,#14938,.T.); +#15007=ORIENTED_EDGE('',*,*,#14996,.F.); +#15009=ORIENTED_EDGE('',*,*,#15008,.T.); +#15010=ORIENTED_EDGE('',*,*,#14920,.F.); +#15011=EDGE_LOOP('',(#15006,#15007,#15009,#15010)); +#15012=FACE_OUTER_BOUND('',#15011,.F.); +#15014=CARTESIAN_POINT('',(-8.938377555660E0,3.3692085E0,-3.7E0)); +#15015=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15016=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15017=AXIS2_PLACEMENT_3D('',#15014,#15015,#15016); +#15018=PLANE('',#15017); +#15019=ORIENTED_EDGE('',*,*,#15008,.F.); +#15020=ORIENTED_EDGE('',*,*,#14982,.F.); +#15021=ORIENTED_EDGE('',*,*,#14849,.F.); +#15022=ORIENTED_EDGE('',*,*,#14905,.F.); +#15023=EDGE_LOOP('',(#15019,#15020,#15021,#15022)); +#15024=FACE_OUTER_BOUND('',#15023,.F.); +#15026=CARTESIAN_POINT('',(6.709622944340E0,5.270075E-1,-1.2E0)); +#15027=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15028=DIRECTION('',(0.E0,1.E0,0.E0)); +#15029=AXIS2_PLACEMENT_3D('',#15026,#15027,#15028); +#15030=PLANE('',#15029); +#15032=ORIENTED_EDGE('',*,*,#15031,.F.); +#15034=ORIENTED_EDGE('',*,*,#15033,.T.); +#15035=ORIENTED_EDGE('',*,*,#13271,.T.); +#15037=ORIENTED_EDGE('',*,*,#15036,.F.); +#15038=EDGE_LOOP('',(#15032,#15034,#15035,#15037)); +#15039=FACE_OUTER_BOUND('',#15038,.F.); +#15041=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-2.7E0)); +#15042=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15043=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15044=AXIS2_PLACEMENT_3D('',#15041,#15042,#15043); +#15045=PLANE('',#15044); +#15046=ORIENTED_EDGE('',*,*,#15031,.T.); +#15048=ORIENTED_EDGE('',*,*,#15047,.T.); +#15050=ORIENTED_EDGE('',*,*,#15049,.T.); +#15052=ORIENTED_EDGE('',*,*,#15051,.T.); +#15053=EDGE_LOOP('',(#15046,#15048,#15050,#15052)); +#15054=FACE_OUTER_BOUND('',#15053,.F.); +#15056=ORIENTED_EDGE('',*,*,#15055,.T.); +#15058=ORIENTED_EDGE('',*,*,#15057,.T.); +#15060=ORIENTED_EDGE('',*,*,#15059,.T.); +#15062=ORIENTED_EDGE('',*,*,#15061,.T.); +#15063=EDGE_LOOP('',(#15056,#15058,#15060,#15062)); +#15064=FACE_BOUND('',#15063,.F.); +#15066=CARTESIAN_POINT('',(1.090962294434E1,5.270075E-1,-1.2E0)); +#15067=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15068=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15069=AXIS2_PLACEMENT_3D('',#15066,#15067,#15068); +#15070=PLANE('',#15069); +#15071=ORIENTED_EDGE('',*,*,#15047,.F.); +#15072=ORIENTED_EDGE('',*,*,#15036,.T.); +#15073=ORIENTED_EDGE('',*,*,#13277,.T.); +#15075=ORIENTED_EDGE('',*,*,#15074,.F.); +#15076=EDGE_LOOP('',(#15071,#15072,#15073,#15075)); +#15077=FACE_OUTER_BOUND('',#15076,.F.); +#15079=CARTESIAN_POINT('',(1.090962294434E1,3.8770075E0,-1.2E0)); +#15080=DIRECTION('',(1.E0,0.E0,0.E0)); +#15081=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15082=AXIS2_PLACEMENT_3D('',#15079,#15080,#15081); +#15083=PLANE('',#15082); +#15084=ORIENTED_EDGE('',*,*,#15049,.F.); +#15085=ORIENTED_EDGE('',*,*,#15074,.T.); +#15086=ORIENTED_EDGE('',*,*,#13275,.T.); +#15088=ORIENTED_EDGE('',*,*,#15087,.F.); +#15089=EDGE_LOOP('',(#15084,#15085,#15086,#15088)); +#15090=FACE_OUTER_BOUND('',#15089,.F.); +#15092=CARTESIAN_POINT('',(6.709622944340E0,3.8770075E0,-1.2E0)); +#15093=DIRECTION('',(0.E0,1.E0,0.E0)); +#15094=DIRECTION('',(1.E0,0.E0,0.E0)); +#15095=AXIS2_PLACEMENT_3D('',#15092,#15093,#15094); +#15096=PLANE('',#15095); +#15097=ORIENTED_EDGE('',*,*,#15051,.F.); +#15098=ORIENTED_EDGE('',*,*,#15087,.T.); +#15099=ORIENTED_EDGE('',*,*,#13273,.T.); +#15100=ORIENTED_EDGE('',*,*,#15033,.F.); +#15101=EDGE_LOOP('',(#15097,#15098,#15099,#15100)); +#15102=FACE_OUTER_BOUND('',#15101,.F.); +#15104=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-3.7E0)); +#15105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15106=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15107=AXIS2_PLACEMENT_3D('',#15104,#15105,#15106); +#15108=CYLINDRICAL_SURFACE('',#15107,1.05E0); +#15110=ORIENTED_EDGE('',*,*,#15109,.F.); +#15112=ORIENTED_EDGE('',*,*,#15111,.F.); +#15114=ORIENTED_EDGE('',*,*,#15113,.T.); +#15115=ORIENTED_EDGE('',*,*,#15055,.F.); +#15117=ORIENTED_EDGE('',*,*,#15116,.T.); +#15118=EDGE_LOOP('',(#15110,#15112,#15114,#15115,#15117)); +#15119=FACE_OUTER_BOUND('',#15118,.F.); +#15121=CARTESIAN_POINT('',(8.459622944340E0,2.2020075E0,-3.4E0)); +#15122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15123=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); +#15124=AXIS2_PLACEMENT_3D('',#15121,#15122,#15123); +#15125=TOROIDAL_SURFACE('',#15124,7.5E-1,3.E-1); +#15127=ORIENTED_EDGE('',*,*,#15126,.F.); +#15129=ORIENTED_EDGE('',*,*,#15128,.T.); +#15130=ORIENTED_EDGE('',*,*,#15111,.T.); +#15131=ORIENTED_EDGE('',*,*,#15109,.T.); +#15133=ORIENTED_EDGE('',*,*,#15132,.T.); +#15134=EDGE_LOOP('',(#15127,#15129,#15130,#15131,#15133)); +#15135=FACE_OUTER_BOUND('',#15134,.F.); +#15137=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,-3.7E0)); +#15138=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15139=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15140=AXIS2_PLACEMENT_3D('',#15137,#15138,#15139); +#15141=PLANE('',#15140); +#15143=ORIENTED_EDGE('',*,*,#15142,.F.); +#15145=ORIENTED_EDGE('',*,*,#15144,.T.); +#15147=ORIENTED_EDGE('',*,*,#15146,.F.); +#15148=ORIENTED_EDGE('',*,*,#15126,.T.); +#15149=EDGE_LOOP('',(#15143,#15145,#15147,#15148)); +#15150=FACE_OUTER_BOUND('',#15149,.F.); +#15152=CARTESIAN_POINT('',(9.307649316640E0,1.4520075E0,-3.4E0)); +#15153=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15154=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15155=AXIS2_PLACEMENT_3D('',#15152,#15153,#15154); +#15156=CYLINDRICAL_SURFACE('',#15155,3.E-1); +#15157=ORIENTED_EDGE('',*,*,#15142,.T.); +#15158=ORIENTED_EDGE('',*,*,#15132,.F.); +#15160=ORIENTED_EDGE('',*,*,#15159,.T.); +#15162=ORIENTED_EDGE('',*,*,#15161,.F.); +#15163=EDGE_LOOP('',(#15157,#15158,#15160,#15162)); +#15164=FACE_OUTER_BOUND('',#15163,.F.); +#15166=CARTESIAN_POINT('',(8.459622944340E0,1.1520075E0,-3.7E0)); +#15167=DIRECTION('',(0.E0,1.E0,0.E0)); +#15168=DIRECTION('',(1.E0,0.E0,0.E0)); +#15169=AXIS2_PLACEMENT_3D('',#15166,#15167,#15168); +#15170=PLANE('',#15169); +#15171=ORIENTED_EDGE('',*,*,#15159,.F.); +#15172=ORIENTED_EDGE('',*,*,#15116,.F.); +#15173=ORIENTED_EDGE('',*,*,#15061,.F.); +#15175=ORIENTED_EDGE('',*,*,#15174,.F.); +#15176=EDGE_LOOP('',(#15171,#15172,#15173,#15175)); +#15177=FACE_OUTER_BOUND('',#15176,.F.); +#15179=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-3.7E0)); +#15180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15182=AXIS2_PLACEMENT_3D('',#15179,#15180,#15181); +#15183=CYLINDRICAL_SURFACE('',#15182,1.05E0); +#15185=ORIENTED_EDGE('',*,*,#15184,.F.); +#15187=ORIENTED_EDGE('',*,*,#15186,.F.); +#15188=ORIENTED_EDGE('',*,*,#15174,.T.); +#15189=ORIENTED_EDGE('',*,*,#15059,.F.); +#15191=ORIENTED_EDGE('',*,*,#15190,.T.); +#15192=EDGE_LOOP('',(#15185,#15187,#15188,#15189,#15191)); +#15193=FACE_OUTER_BOUND('',#15192,.F.); +#15195=CARTESIAN_POINT('',(9.159622944340E0,2.2020075E0,-3.4E0)); +#15196=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15197=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); +#15198=AXIS2_PLACEMENT_3D('',#15195,#15196,#15197); +#15199=TOROIDAL_SURFACE('',#15198,7.5E-1,3.E-1); +#15200=ORIENTED_EDGE('',*,*,#15144,.F.); +#15201=ORIENTED_EDGE('',*,*,#15161,.T.); +#15202=ORIENTED_EDGE('',*,*,#15186,.T.); +#15203=ORIENTED_EDGE('',*,*,#15184,.T.); +#15205=ORIENTED_EDGE('',*,*,#15204,.T.); +#15206=EDGE_LOOP('',(#15200,#15201,#15202,#15203,#15205)); +#15207=FACE_OUTER_BOUND('',#15206,.F.); +#15209=CARTESIAN_POINT('',(8.311596572040E0,2.9520075E0,-3.4E0)); +#15210=DIRECTION('',(1.E0,0.E0,0.E0)); +#15211=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15212=AXIS2_PLACEMENT_3D('',#15209,#15210,#15211); +#15213=CYLINDRICAL_SURFACE('',#15212,3.E-1); +#15214=ORIENTED_EDGE('',*,*,#15146,.T.); +#15215=ORIENTED_EDGE('',*,*,#15204,.F.); +#15217=ORIENTED_EDGE('',*,*,#15216,.T.); +#15218=ORIENTED_EDGE('',*,*,#15128,.F.); +#15219=EDGE_LOOP('',(#15214,#15215,#15217,#15218)); +#15220=FACE_OUTER_BOUND('',#15219,.F.); +#15222=CARTESIAN_POINT('',(9.159622944340E0,3.2520075E0,-3.7E0)); +#15223=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15224=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15225=AXIS2_PLACEMENT_3D('',#15222,#15223,#15224); +#15226=PLANE('',#15225); +#15227=ORIENTED_EDGE('',*,*,#15216,.F.); +#15228=ORIENTED_EDGE('',*,*,#15190,.F.); +#15229=ORIENTED_EDGE('',*,*,#15057,.F.); +#15230=ORIENTED_EDGE('',*,*,#15113,.F.); +#15231=EDGE_LOOP('',(#15227,#15228,#15229,#15230)); +#15232=FACE_OUTER_BOUND('',#15231,.F.); +#15234=CARTESIAN_POINT('',(1.040049194434E1,5.877416E0,0.E0)); +#15235=DIRECTION('',(0.E0,1.E0,0.E0)); +#15236=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15237=AXIS2_PLACEMENT_3D('',#15234,#15235,#15236); +#15238=PLANE('',#15237); +#15239=ORIENTED_EDGE('',*,*,#11657,.T.); +#15241=ORIENTED_EDGE('',*,*,#15240,.F.); +#15243=ORIENTED_EDGE('',*,*,#15242,.F.); +#15245=ORIENTED_EDGE('',*,*,#15244,.T.); +#15246=EDGE_LOOP('',(#15239,#15241,#15243,#15245)); +#15247=FACE_OUTER_BOUND('',#15246,.F.); +#15249=CARTESIAN_POINT('',(1.040049194434E1,4.921817E0,0.E0)); +#15250=DIRECTION('',(1.E0,0.E0,0.E0)); +#15251=DIRECTION('',(0.E0,1.E0,0.E0)); +#15252=AXIS2_PLACEMENT_3D('',#15249,#15250,#15251); +#15253=PLANE('',#15252); +#15254=ORIENTED_EDGE('',*,*,#11695,.T.); +#15256=ORIENTED_EDGE('',*,*,#15255,.F.); +#15258=ORIENTED_EDGE('',*,*,#15257,.F.); +#15259=ORIENTED_EDGE('',*,*,#15240,.T.); +#15260=EDGE_LOOP('',(#15254,#15256,#15258,#15259)); +#15261=FACE_OUTER_BOUND('',#15260,.F.); +#15263=CARTESIAN_POINT('',(1.164774594434E1,4.921817E0,0.E0)); +#15264=DIRECTION('',(0.E0,1.E0,0.E0)); +#15265=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15266=AXIS2_PLACEMENT_3D('',#15263,#15264,#15265); +#15267=PLANE('',#15266); +#15269=ORIENTED_EDGE('',*,*,#15268,.F.); +#15271=ORIENTED_EDGE('',*,*,#15270,.T.); +#15272=ORIENTED_EDGE('',*,*,#11677,.T.); +#15274=ORIENTED_EDGE('',*,*,#15273,.F.); +#15276=ORIENTED_EDGE('',*,*,#15275,.F.); +#15278=ORIENTED_EDGE('',*,*,#15277,.T.); +#15279=ORIENTED_EDGE('',*,*,#11669,.T.); +#15281=ORIENTED_EDGE('',*,*,#15280,.F.); +#15283=ORIENTED_EDGE('',*,*,#15282,.F.); +#15285=ORIENTED_EDGE('',*,*,#15284,.T.); +#15286=ORIENTED_EDGE('',*,*,#11661,.T.); +#15288=ORIENTED_EDGE('',*,*,#15287,.F.); +#15290=ORIENTED_EDGE('',*,*,#15289,.F.); +#15291=ORIENTED_EDGE('',*,*,#15255,.T.); +#15292=ORIENTED_EDGE('',*,*,#11693,.T.); +#15294=ORIENTED_EDGE('',*,*,#15293,.F.); +#15296=ORIENTED_EDGE('',*,*,#15295,.F.); +#15298=ORIENTED_EDGE('',*,*,#15297,.T.); +#15299=ORIENTED_EDGE('',*,*,#11685,.T.); +#15301=ORIENTED_EDGE('',*,*,#15300,.F.); +#15302=EDGE_LOOP('',(#15269,#15271,#15272,#15274,#15276,#15278,#15279,#15281, +#15283,#15285,#15286,#15288,#15290,#15291,#15292,#15294,#15296,#15298,#15299, +#15301)); +#15303=FACE_OUTER_BOUND('',#15302,.F.); +#15305=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#15306=DIRECTION('',(0.E0,0.E0,1.E0)); +#15307=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15308=AXIS2_PLACEMENT_3D('',#15305,#15306,#15307); +#15309=PLANE('',#15308); +#15310=ORIENTED_EDGE('',*,*,#15268,.T.); +#15312=ORIENTED_EDGE('',*,*,#15311,.T.); +#15314=ORIENTED_EDGE('',*,*,#15313,.T.); +#15316=ORIENTED_EDGE('',*,*,#15315,.T.); +#15317=EDGE_LOOP('',(#15310,#15312,#15314,#15316)); +#15318=FACE_OUTER_BOUND('',#15317,.F.); +#15320=CARTESIAN_POINT('',(6.697298944340E0,5.877416E0,0.E0)); +#15321=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15322=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15323=AXIS2_PLACEMENT_3D('',#15320,#15321,#15322); +#15324=PLANE('',#15323); +#15325=ORIENTED_EDGE('',*,*,#11683,.T.); +#15327=ORIENTED_EDGE('',*,*,#15326,.F.); +#15328=ORIENTED_EDGE('',*,*,#15311,.F.); +#15329=ORIENTED_EDGE('',*,*,#15300,.T.); +#15330=EDGE_LOOP('',(#15325,#15327,#15328,#15329)); +#15331=FACE_OUTER_BOUND('',#15330,.F.); +#15333=CARTESIAN_POINT('',(7.400497944340E0,5.877416E0,0.E0)); +#15334=DIRECTION('',(0.E0,1.E0,0.E0)); +#15335=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15336=AXIS2_PLACEMENT_3D('',#15333,#15334,#15335); +#15337=PLANE('',#15336); +#15338=ORIENTED_EDGE('',*,*,#11681,.T.); +#15340=ORIENTED_EDGE('',*,*,#15339,.F.); +#15341=ORIENTED_EDGE('',*,*,#15313,.F.); +#15342=ORIENTED_EDGE('',*,*,#15326,.T.); +#15343=EDGE_LOOP('',(#15338,#15340,#15341,#15342)); +#15344=FACE_OUTER_BOUND('',#15343,.F.); +#15346=CARTESIAN_POINT('',(7.400497944340E0,4.921817E0,0.E0)); +#15347=DIRECTION('',(1.E0,0.E0,0.E0)); +#15348=DIRECTION('',(0.E0,1.E0,0.E0)); +#15349=AXIS2_PLACEMENT_3D('',#15346,#15347,#15348); +#15350=PLANE('',#15349); +#15351=ORIENTED_EDGE('',*,*,#11679,.T.); +#15352=ORIENTED_EDGE('',*,*,#15270,.F.); +#15353=ORIENTED_EDGE('',*,*,#15315,.F.); +#15354=ORIENTED_EDGE('',*,*,#15339,.T.); +#15355=EDGE_LOOP('',(#15351,#15352,#15353,#15354)); +#15356=FACE_OUTER_BOUND('',#15355,.F.); +#15358=CARTESIAN_POINT('',(7.697296944340E0,5.877416E0,0.E0)); +#15359=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15361=AXIS2_PLACEMENT_3D('',#15358,#15359,#15360); +#15362=PLANE('',#15361); +#15363=ORIENTED_EDGE('',*,*,#11675,.T.); +#15365=ORIENTED_EDGE('',*,*,#15364,.F.); +#15367=ORIENTED_EDGE('',*,*,#15366,.F.); +#15368=ORIENTED_EDGE('',*,*,#15273,.T.); +#15369=EDGE_LOOP('',(#15363,#15365,#15367,#15368)); +#15370=FACE_OUTER_BOUND('',#15369,.F.); +#15372=CARTESIAN_POINT('',(8.400495944340E0,5.877416E0,0.E0)); +#15373=DIRECTION('',(0.E0,1.E0,0.E0)); +#15374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15375=AXIS2_PLACEMENT_3D('',#15372,#15373,#15374); +#15376=PLANE('',#15375); +#15377=ORIENTED_EDGE('',*,*,#11673,.T.); +#15379=ORIENTED_EDGE('',*,*,#15378,.F.); +#15381=ORIENTED_EDGE('',*,*,#15380,.F.); +#15382=ORIENTED_EDGE('',*,*,#15364,.T.); +#15383=EDGE_LOOP('',(#15377,#15379,#15381,#15382)); +#15384=FACE_OUTER_BOUND('',#15383,.F.); +#15386=CARTESIAN_POINT('',(8.400495944340E0,4.921817E0,0.E0)); +#15387=DIRECTION('',(1.E0,0.E0,0.E0)); +#15388=DIRECTION('',(0.E0,1.E0,0.E0)); +#15389=AXIS2_PLACEMENT_3D('',#15386,#15387,#15388); +#15390=PLANE('',#15389); +#15391=ORIENTED_EDGE('',*,*,#11671,.T.); +#15392=ORIENTED_EDGE('',*,*,#15277,.F.); +#15394=ORIENTED_EDGE('',*,*,#15393,.F.); +#15395=ORIENTED_EDGE('',*,*,#15378,.T.); +#15396=EDGE_LOOP('',(#15391,#15392,#15394,#15395)); +#15397=FACE_OUTER_BOUND('',#15396,.F.); +#15399=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#15400=DIRECTION('',(0.E0,0.E0,1.E0)); +#15401=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15402=AXIS2_PLACEMENT_3D('',#15399,#15400,#15401); +#15403=PLANE('',#15402); +#15404=ORIENTED_EDGE('',*,*,#15275,.T.); +#15405=ORIENTED_EDGE('',*,*,#15366,.T.); +#15406=ORIENTED_EDGE('',*,*,#15380,.T.); +#15407=ORIENTED_EDGE('',*,*,#15393,.T.); +#15408=EDGE_LOOP('',(#15404,#15405,#15406,#15407)); +#15409=FACE_OUTER_BOUND('',#15408,.F.); +#15411=CARTESIAN_POINT('',(8.697294944340E0,5.877416E0,0.E0)); +#15412=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15414=AXIS2_PLACEMENT_3D('',#15411,#15412,#15413); +#15415=PLANE('',#15414); +#15416=ORIENTED_EDGE('',*,*,#11667,.T.); +#15418=ORIENTED_EDGE('',*,*,#15417,.F.); +#15420=ORIENTED_EDGE('',*,*,#15419,.F.); +#15421=ORIENTED_EDGE('',*,*,#15280,.T.); +#15422=EDGE_LOOP('',(#15416,#15418,#15420,#15421)); +#15423=FACE_OUTER_BOUND('',#15422,.F.); +#15425=CARTESIAN_POINT('',(9.400493944340E0,5.877416E0,0.E0)); +#15426=DIRECTION('',(0.E0,1.E0,0.E0)); +#15427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15428=AXIS2_PLACEMENT_3D('',#15425,#15426,#15427); +#15429=PLANE('',#15428); +#15430=ORIENTED_EDGE('',*,*,#11665,.T.); +#15432=ORIENTED_EDGE('',*,*,#15431,.F.); +#15434=ORIENTED_EDGE('',*,*,#15433,.F.); +#15435=ORIENTED_EDGE('',*,*,#15417,.T.); +#15436=EDGE_LOOP('',(#15430,#15432,#15434,#15435)); +#15437=FACE_OUTER_BOUND('',#15436,.F.); +#15439=CARTESIAN_POINT('',(9.400493944340E0,4.921817E0,0.E0)); +#15440=DIRECTION('',(1.E0,0.E0,0.E0)); +#15441=DIRECTION('',(0.E0,1.E0,0.E0)); +#15442=AXIS2_PLACEMENT_3D('',#15439,#15440,#15441); +#15443=PLANE('',#15442); +#15444=ORIENTED_EDGE('',*,*,#11663,.T.); +#15445=ORIENTED_EDGE('',*,*,#15284,.F.); +#15447=ORIENTED_EDGE('',*,*,#15446,.F.); +#15448=ORIENTED_EDGE('',*,*,#15431,.T.); +#15449=EDGE_LOOP('',(#15444,#15445,#15447,#15448)); +#15450=FACE_OUTER_BOUND('',#15449,.F.); +#15452=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#15453=DIRECTION('',(0.E0,0.E0,1.E0)); +#15454=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15455=AXIS2_PLACEMENT_3D('',#15452,#15453,#15454); +#15456=PLANE('',#15455); +#15457=ORIENTED_EDGE('',*,*,#15282,.T.); +#15458=ORIENTED_EDGE('',*,*,#15419,.T.); +#15459=ORIENTED_EDGE('',*,*,#15433,.T.); +#15460=ORIENTED_EDGE('',*,*,#15446,.T.); +#15461=EDGE_LOOP('',(#15457,#15458,#15459,#15460)); +#15462=FACE_OUTER_BOUND('',#15461,.F.); +#15464=CARTESIAN_POINT('',(9.697292944340E0,5.877416E0,0.E0)); +#15465=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15467=AXIS2_PLACEMENT_3D('',#15464,#15465,#15466); +#15468=PLANE('',#15467); +#15469=ORIENTED_EDGE('',*,*,#11659,.T.); +#15470=ORIENTED_EDGE('',*,*,#15244,.F.); +#15472=ORIENTED_EDGE('',*,*,#15471,.F.); +#15473=ORIENTED_EDGE('',*,*,#15287,.T.); +#15474=EDGE_LOOP('',(#15469,#15470,#15472,#15473)); +#15475=FACE_OUTER_BOUND('',#15474,.F.); +#15477=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#15478=DIRECTION('',(0.E0,0.E0,1.E0)); +#15479=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15480=AXIS2_PLACEMENT_3D('',#15477,#15478,#15479); +#15481=PLANE('',#15480); +#15482=ORIENTED_EDGE('',*,*,#15289,.T.); +#15483=ORIENTED_EDGE('',*,*,#15471,.T.); +#15484=ORIENTED_EDGE('',*,*,#15242,.T.); +#15485=ORIENTED_EDGE('',*,*,#15257,.T.); +#15486=EDGE_LOOP('',(#15482,#15483,#15484,#15485)); +#15487=FACE_OUTER_BOUND('',#15486,.F.); +#15489=CARTESIAN_POINT('',(1.164774594434E1,2.22817E-1,0.E0)); +#15490=DIRECTION('',(1.E0,0.E0,0.E0)); +#15491=DIRECTION('',(0.E0,1.E0,0.E0)); +#15492=AXIS2_PLACEMENT_3D('',#15489,#15490,#15491); +#15493=PLANE('',#15492); +#15494=ORIENTED_EDGE('',*,*,#11691,.T.); +#15496=ORIENTED_EDGE('',*,*,#15495,.F.); +#15498=ORIENTED_EDGE('',*,*,#15497,.F.); +#15499=ORIENTED_EDGE('',*,*,#15293,.T.); +#15500=EDGE_LOOP('',(#15494,#15496,#15498,#15499)); +#15501=FACE_OUTER_BOUND('',#15500,.F.); +#15503=CARTESIAN_POINT('',(5.325914944340E0,2.22817E-1,0.E0)); +#15504=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15505=DIRECTION('',(1.E0,0.E0,0.E0)); +#15506=AXIS2_PLACEMENT_3D('',#15503,#15504,#15505); +#15507=PLANE('',#15506); +#15508=ORIENTED_EDGE('',*,*,#11689,.T.); +#15510=ORIENTED_EDGE('',*,*,#15509,.F.); +#15512=ORIENTED_EDGE('',*,*,#15511,.F.); +#15513=ORIENTED_EDGE('',*,*,#15495,.T.); +#15514=EDGE_LOOP('',(#15508,#15510,#15512,#15513)); +#15515=FACE_OUTER_BOUND('',#15514,.F.); +#15517=ORIENTED_EDGE('',*,*,#15516,.F.); +#15519=ORIENTED_EDGE('',*,*,#15518,.F.); +#15521=ORIENTED_EDGE('',*,*,#15520,.F.); +#15523=ORIENTED_EDGE('',*,*,#15522,.F.); +#15525=ORIENTED_EDGE('',*,*,#15524,.F.); +#15527=ORIENTED_EDGE('',*,*,#15526,.F.); +#15529=ORIENTED_EDGE('',*,*,#15528,.F.); +#15531=ORIENTED_EDGE('',*,*,#15530,.F.); +#15533=ORIENTED_EDGE('',*,*,#15532,.F.); +#15535=ORIENTED_EDGE('',*,*,#15534,.F.); +#15537=ORIENTED_EDGE('',*,*,#15536,.F.); +#15539=ORIENTED_EDGE('',*,*,#15538,.F.); +#15540=EDGE_LOOP('',(#15517,#15519,#15521,#15523,#15525,#15527,#15529,#15531, +#15533,#15535,#15537,#15539)); +#15541=FACE_BOUND('',#15540,.F.); +#15543=CARTESIAN_POINT('',(5.325914944340E0,4.921817E0,0.E0)); +#15544=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15545=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15546=AXIS2_PLACEMENT_3D('',#15543,#15544,#15545); +#15547=PLANE('',#15546); +#15548=ORIENTED_EDGE('',*,*,#11687,.T.); +#15549=ORIENTED_EDGE('',*,*,#15297,.F.); +#15551=ORIENTED_EDGE('',*,*,#15550,.F.); +#15552=ORIENTED_EDGE('',*,*,#15509,.T.); +#15553=EDGE_LOOP('',(#15548,#15549,#15551,#15552)); +#15554=FACE_OUTER_BOUND('',#15553,.F.); +#15556=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.8E0)); +#15557=DIRECTION('',(0.E0,0.E0,1.E0)); +#15558=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15559=AXIS2_PLACEMENT_3D('',#15556,#15557,#15558); +#15560=PLANE('',#15559); +#15561=ORIENTED_EDGE('',*,*,#15295,.T.); +#15562=ORIENTED_EDGE('',*,*,#15497,.T.); +#15563=ORIENTED_EDGE('',*,*,#15511,.T.); +#15564=ORIENTED_EDGE('',*,*,#15550,.T.); +#15565=EDGE_LOOP('',(#15561,#15562,#15563,#15564)); +#15566=FACE_OUTER_BOUND('',#15565,.F.); +#15568=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,2.E0)); +#15569=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15571=AXIS2_PLACEMENT_3D('',#15568,#15569,#15570); +#15572=PLANE('',#15571); +#15573=ORIENTED_EDGE('',*,*,#15516,.T.); +#15575=ORIENTED_EDGE('',*,*,#15574,.T.); +#15577=ORIENTED_EDGE('',*,*,#15576,.F.); +#15579=ORIENTED_EDGE('',*,*,#15578,.F.); +#15580=EDGE_LOOP('',(#15573,#15575,#15577,#15579)); +#15581=FACE_OUTER_BOUND('',#15580,.F.); +#15583=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,2.E0)); +#15584=DIRECTION('',(0.E0,0.E0,1.E0)); +#15585=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15586=AXIS2_PLACEMENT_3D('',#15583,#15584,#15585); +#15587=PLANE('',#15586); +#15588=ORIENTED_EDGE('',*,*,#15538,.T.); +#15590=ORIENTED_EDGE('',*,*,#15589,.T.); +#15592=ORIENTED_EDGE('',*,*,#15591,.F.); +#15593=ORIENTED_EDGE('',*,*,#15574,.F.); +#15594=EDGE_LOOP('',(#15588,#15590,#15592,#15593)); +#15595=FACE_OUTER_BOUND('',#15594,.F.); +#15597=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.4E0)); +#15598=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15599=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15600=AXIS2_PLACEMENT_3D('',#15597,#15598,#15599); +#15601=PLANE('',#15600); +#15602=ORIENTED_EDGE('',*,*,#15589,.F.); +#15603=ORIENTED_EDGE('',*,*,#15536,.T.); +#15605=ORIENTED_EDGE('',*,*,#15604,.F.); +#15607=ORIENTED_EDGE('',*,*,#15606,.F.); +#15608=EDGE_LOOP('',(#15602,#15603,#15605,#15607)); +#15609=FACE_OUTER_BOUND('',#15608,.F.); +#15611=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,2.4E0)); +#15612=DIRECTION('',(0.E0,0.E0,1.E0)); +#15613=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15614=AXIS2_PLACEMENT_3D('',#15611,#15612,#15613); +#15615=PLANE('',#15614); +#15616=ORIENTED_EDGE('',*,*,#15534,.T.); +#15618=ORIENTED_EDGE('',*,*,#15617,.F.); +#15620=ORIENTED_EDGE('',*,*,#15619,.F.); +#15621=ORIENTED_EDGE('',*,*,#15604,.T.); +#15622=EDGE_LOOP('',(#15616,#15618,#15620,#15621)); +#15623=FACE_OUTER_BOUND('',#15622,.F.); +#15625=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,5.5E-1)); +#15626=DIRECTION('',(1.E0,0.E0,0.E0)); +#15627=DIRECTION('',(0.E0,0.E0,1.E0)); +#15628=AXIS2_PLACEMENT_3D('',#15625,#15626,#15627); +#15629=PLANE('',#15628); +#15631=ORIENTED_EDGE('',*,*,#15630,.T.); +#15633=ORIENTED_EDGE('',*,*,#15632,.F.); +#15634=ORIENTED_EDGE('',*,*,#15617,.T.); +#15635=ORIENTED_EDGE('',*,*,#15532,.T.); +#15636=EDGE_LOOP('',(#15631,#15633,#15634,#15635)); +#15637=FACE_OUTER_BOUND('',#15636,.F.); +#15639=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,2.E0)); +#15640=DIRECTION('',(0.E0,0.E0,1.E0)); +#15641=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15642=AXIS2_PLACEMENT_3D('',#15639,#15640,#15641); +#15643=PLANE('',#15642); +#15644=ORIENTED_EDGE('',*,*,#15530,.T.); +#15646=ORIENTED_EDGE('',*,*,#15645,.T.); +#15648=ORIENTED_EDGE('',*,*,#15647,.F.); +#15649=ORIENTED_EDGE('',*,*,#15630,.F.); +#15650=EDGE_LOOP('',(#15644,#15646,#15648,#15649)); +#15651=FACE_OUTER_BOUND('',#15650,.F.); +#15653=CARTESIAN_POINT('',(1.108683044434E1,3.722817E0,1.5E0)); +#15654=DIRECTION('',(1.E0,0.E0,0.E0)); +#15655=DIRECTION('',(0.E0,0.E0,1.E0)); +#15656=AXIS2_PLACEMENT_3D('',#15653,#15654,#15655); +#15657=PLANE('',#15656); +#15658=ORIENTED_EDGE('',*,*,#15528,.T.); +#15660=ORIENTED_EDGE('',*,*,#15659,.T.); +#15662=ORIENTED_EDGE('',*,*,#15661,.F.); +#15663=ORIENTED_EDGE('',*,*,#15645,.F.); +#15664=EDGE_LOOP('',(#15658,#15660,#15662,#15663)); +#15665=FACE_OUTER_BOUND('',#15664,.F.); +#15667=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,1.5E0)); +#15668=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15669=DIRECTION('',(1.E0,0.E0,0.E0)); +#15670=AXIS2_PLACEMENT_3D('',#15667,#15668,#15669); +#15671=PLANE('',#15670); +#15672=ORIENTED_EDGE('',*,*,#15526,.T.); +#15674=ORIENTED_EDGE('',*,*,#15673,.T.); +#15676=ORIENTED_EDGE('',*,*,#15675,.F.); +#15677=ORIENTED_EDGE('',*,*,#15659,.F.); +#15678=EDGE_LOOP('',(#15672,#15674,#15676,#15677)); +#15679=FACE_OUTER_BOUND('',#15678,.F.); +#15681=CARTESIAN_POINT('',(1.068683044434E1,2.22817E-1,5.5E-1)); +#15682=DIRECTION('',(1.E0,0.E0,0.E0)); +#15683=DIRECTION('',(0.E0,0.E0,1.E0)); +#15684=AXIS2_PLACEMENT_3D('',#15681,#15682,#15683); +#15685=PLANE('',#15684); +#15686=ORIENTED_EDGE('',*,*,#15673,.F.); +#15687=ORIENTED_EDGE('',*,*,#15524,.T.); +#15689=ORIENTED_EDGE('',*,*,#15688,.F.); +#15691=ORIENTED_EDGE('',*,*,#15690,.F.); +#15692=EDGE_LOOP('',(#15686,#15687,#15689,#15691)); +#15693=FACE_OUTER_BOUND('',#15692,.F.); +#15695=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,5.5E-1)); +#15696=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15697=DIRECTION('',(1.E0,0.E0,0.E0)); +#15698=AXIS2_PLACEMENT_3D('',#15695,#15696,#15697); +#15699=PLANE('',#15698); +#15700=ORIENTED_EDGE('',*,*,#15522,.T.); +#15702=ORIENTED_EDGE('',*,*,#15701,.F.); +#15704=ORIENTED_EDGE('',*,*,#15703,.F.); +#15705=ORIENTED_EDGE('',*,*,#15688,.T.); +#15706=EDGE_LOOP('',(#15700,#15702,#15704,#15705)); +#15707=FACE_OUTER_BOUND('',#15706,.F.); +#15709=CARTESIAN_POINT('',(6.286830444340E0,2.22817E-1,2.4E0)); +#15710=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15712=AXIS2_PLACEMENT_3D('',#15709,#15710,#15711); +#15713=PLANE('',#15712); +#15715=ORIENTED_EDGE('',*,*,#15714,.T.); +#15717=ORIENTED_EDGE('',*,*,#15716,.F.); +#15718=ORIENTED_EDGE('',*,*,#15701,.T.); +#15719=ORIENTED_EDGE('',*,*,#15520,.T.); +#15720=EDGE_LOOP('',(#15715,#15717,#15718,#15719)); +#15721=FACE_OUTER_BOUND('',#15720,.F.); +#15723=CARTESIAN_POINT('',(5.886830444340E0,3.722817E0,1.5E0)); +#15724=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15725=DIRECTION('',(1.E0,0.E0,0.E0)); +#15726=AXIS2_PLACEMENT_3D('',#15723,#15724,#15725); +#15727=PLANE('',#15726); +#15728=ORIENTED_EDGE('',*,*,#15518,.T.); +#15729=ORIENTED_EDGE('',*,*,#15578,.T.); +#15731=ORIENTED_EDGE('',*,*,#15730,.F.); +#15732=ORIENTED_EDGE('',*,*,#15714,.F.); +#15733=EDGE_LOOP('',(#15728,#15729,#15731,#15732)); +#15734=FACE_OUTER_BOUND('',#15733,.F.); +#15736=CARTESIAN_POINT('',(-9.273516905566E1,3.722817E0,2.8E0)); +#15737=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15738=DIRECTION('',(1.E0,0.E0,0.E0)); +#15739=AXIS2_PLACEMENT_3D('',#15736,#15737,#15738); +#15740=PLANE('',#15739); +#15741=ORIENTED_EDGE('',*,*,#15632,.T.); +#15742=ORIENTED_EDGE('',*,*,#15647,.T.); +#15743=ORIENTED_EDGE('',*,*,#15661,.T.); +#15744=ORIENTED_EDGE('',*,*,#15675,.T.); +#15745=ORIENTED_EDGE('',*,*,#15690,.T.); +#15746=ORIENTED_EDGE('',*,*,#15703,.T.); +#15747=ORIENTED_EDGE('',*,*,#15716,.T.); +#15748=ORIENTED_EDGE('',*,*,#15730,.T.); +#15749=ORIENTED_EDGE('',*,*,#15576,.T.); +#15750=ORIENTED_EDGE('',*,*,#15591,.T.); +#15751=ORIENTED_EDGE('',*,*,#15606,.T.); +#15752=ORIENTED_EDGE('',*,*,#15619,.T.); +#15753=EDGE_LOOP('',(#15741,#15742,#15743,#15744,#15745,#15746,#15747,#15748, +#15749,#15750,#15751,#15752)); +#15754=FACE_OUTER_BOUND('',#15753,.F.); +#15756=CARTESIAN_POINT('',(8.980219443404E-1,3.16809E-1,0.E0)); +#15757=DIRECTION('',(1.E0,0.E0,0.E0)); +#15758=DIRECTION('',(0.E0,1.E0,0.E0)); +#15759=AXIS2_PLACEMENT_3D('',#15756,#15757,#15758); +#15760=PLANE('',#15759); +#15761=ORIENTED_EDGE('',*,*,#11699,.T.); +#15763=ORIENTED_EDGE('',*,*,#15762,.F.); +#15765=ORIENTED_EDGE('',*,*,#15764,.F.); +#15767=ORIENTED_EDGE('',*,*,#15766,.T.); +#15768=EDGE_LOOP('',(#15761,#15763,#15765,#15767)); +#15769=FACE_OUTER_BOUND('',#15768,.F.); +#15771=CARTESIAN_POINT('',(1.980229443404E-1,3.16809E-1,0.E0)); +#15772=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15773=DIRECTION('',(1.E0,0.E0,0.E0)); +#15774=AXIS2_PLACEMENT_3D('',#15771,#15772,#15773); +#15775=PLANE('',#15774); +#15776=ORIENTED_EDGE('',*,*,#11733,.T.); +#15778=ORIENTED_EDGE('',*,*,#15777,.F.); +#15780=ORIENTED_EDGE('',*,*,#15779,.F.); +#15781=ORIENTED_EDGE('',*,*,#15762,.T.); +#15782=EDGE_LOOP('',(#15776,#15778,#15780,#15781)); +#15783=FACE_OUTER_BOUND('',#15782,.F.); +#15785=CARTESIAN_POINT('',(1.980229443404E-1,1.816806E0,0.E0)); +#15786=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15787=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15788=AXIS2_PLACEMENT_3D('',#15785,#15786,#15787); +#15789=PLANE('',#15788); +#15790=ORIENTED_EDGE('',*,*,#11731,.T.); +#15792=ORIENTED_EDGE('',*,*,#15791,.F.); +#15794=ORIENTED_EDGE('',*,*,#15793,.F.); +#15795=ORIENTED_EDGE('',*,*,#15777,.T.); +#15796=EDGE_LOOP('',(#15790,#15792,#15794,#15795)); +#15797=FACE_OUTER_BOUND('',#15796,.F.); +#15799=CARTESIAN_POINT('',(-3.351977055660E0,1.116805E0,0.E0)); +#15800=DIRECTION('',(7.812727858092E-3,-9.999694801760E-1,0.E0)); +#15801=DIRECTION('',(9.999694801760E-1,7.812727858092E-3,0.E0)); +#15802=AXIS2_PLACEMENT_3D('',#15799,#15800,#15801); +#15803=PLANE('',#15802); +#15805=ORIENTED_EDGE('',*,*,#15804,.F.); +#15807=ORIENTED_EDGE('',*,*,#15806,.T.); +#15809=ORIENTED_EDGE('',*,*,#15808,.F.); +#15811=ORIENTED_EDGE('',*,*,#15810,.F.); +#15813=ORIENTED_EDGE('',*,*,#15812,.F.); +#15815=ORIENTED_EDGE('',*,*,#15814,.T.); +#15816=ORIENTED_EDGE('',*,*,#11701,.F.); +#15817=ORIENTED_EDGE('',*,*,#15766,.F.); +#15819=ORIENTED_EDGE('',*,*,#15818,.T.); +#15820=ORIENTED_EDGE('',*,*,#15791,.T.); +#15821=ORIENTED_EDGE('',*,*,#11729,.T.); +#15823=ORIENTED_EDGE('',*,*,#15822,.F.); +#15825=ORIENTED_EDGE('',*,*,#15824,.T.); +#15827=ORIENTED_EDGE('',*,*,#15826,.T.); +#15828=ORIENTED_EDGE('',*,*,#11721,.F.); +#15830=ORIENTED_EDGE('',*,*,#15829,.F.); +#15831=EDGE_LOOP('',(#15805,#15807,#15809,#15811,#15813,#15815,#15816,#15817, +#15819,#15820,#15821,#15823,#15825,#15827,#15828,#15830)); +#15832=FACE_OUTER_BOUND('',#15831,.F.); +#15834=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.8E0)); +#15835=DIRECTION('',(0.E0,0.E0,1.E0)); +#15836=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15837=AXIS2_PLACEMENT_3D('',#15834,#15835,#15836); +#15838=PLANE('',#15837); +#15840=ORIENTED_EDGE('',*,*,#15839,.T.); +#15842=ORIENTED_EDGE('',*,*,#15841,.T.); +#15843=ORIENTED_EDGE('',*,*,#15804,.T.); +#15845=ORIENTED_EDGE('',*,*,#15844,.T.); +#15847=ORIENTED_EDGE('',*,*,#15846,.T.); +#15848=EDGE_LOOP('',(#15840,#15842,#15843,#15845,#15847)); +#15849=FACE_OUTER_BOUND('',#15848,.F.); +#15851=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,0.E0)); +#15852=DIRECTION('',(-2.639156219341E-13,1.E0,0.E0)); +#15853=DIRECTION('',(-1.E0,-2.639156219341E-13,0.E0)); +#15854=AXIS2_PLACEMENT_3D('',#15851,#15852,#15853); +#15855=PLANE('',#15854); +#15856=ORIENTED_EDGE('',*,*,#15839,.F.); +#15858=ORIENTED_EDGE('',*,*,#15857,.T.); +#15859=ORIENTED_EDGE('',*,*,#11715,.T.); +#15861=ORIENTED_EDGE('',*,*,#15860,.F.); +#15862=EDGE_LOOP('',(#15856,#15858,#15859,#15861)); +#15863=FACE_OUTER_BOUND('',#15862,.F.); +#15865=CARTESIAN_POINT('',(-3.601977055660E0,4.273809E0,0.E0)); +#15866=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15867=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15868=AXIS2_PLACEMENT_3D('',#15865,#15866,#15867); +#15869=PLANE('',#15868); +#15870=ORIENTED_EDGE('',*,*,#15846,.F.); +#15872=ORIENTED_EDGE('',*,*,#15871,.T.); +#15873=ORIENTED_EDGE('',*,*,#11717,.T.); +#15874=ORIENTED_EDGE('',*,*,#15857,.F.); +#15875=EDGE_LOOP('',(#15870,#15872,#15873,#15874)); +#15876=FACE_OUTER_BOUND('',#15875,.F.); +#15878=CARTESIAN_POINT('',(-3.601977055660E0,1.366805E0,0.E0)); +#15879=DIRECTION('',(-7.071067811865E-1,-7.071067811865E-1,0.E0)); +#15880=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); +#15881=AXIS2_PLACEMENT_3D('',#15878,#15879,#15880); +#15882=PLANE('',#15881); +#15883=ORIENTED_EDGE('',*,*,#15844,.F.); +#15884=ORIENTED_EDGE('',*,*,#15829,.T.); +#15885=ORIENTED_EDGE('',*,*,#11719,.F.); +#15886=ORIENTED_EDGE('',*,*,#15871,.F.); +#15887=EDGE_LOOP('',(#15883,#15884,#15885,#15886)); +#15888=FACE_OUTER_BOUND('',#15887,.F.); +#15890=CARTESIAN_POINT('',(-2.551976055660E0,5.466807E0,0.E0)); +#15891=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15893=AXIS2_PLACEMENT_3D('',#15890,#15891,#15892); +#15894=PLANE('',#15893); +#15896=ORIENTED_EDGE('',*,*,#15895,.F.); +#15898=ORIENTED_EDGE('',*,*,#15897,.T.); +#15900=ORIENTED_EDGE('',*,*,#15899,.F.); +#15901=ORIENTED_EDGE('',*,*,#15806,.F.); +#15902=ORIENTED_EDGE('',*,*,#15841,.F.); +#15903=ORIENTED_EDGE('',*,*,#15860,.T.); +#15904=ORIENTED_EDGE('',*,*,#11713,.T.); +#15906=ORIENTED_EDGE('',*,*,#15905,.F.); +#15907=EDGE_LOOP('',(#15896,#15898,#15900,#15901,#15902,#15903,#15904,#15906)); +#15908=FACE_OUTER_BOUND('',#15907,.F.); +#15910=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,3.1E0)); +#15911=DIRECTION('',(0.E0,0.E0,1.E0)); +#15912=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15913=AXIS2_PLACEMENT_3D('',#15910,#15911,#15912); +#15914=PLANE('',#15913); +#15916=ORIENTED_EDGE('',*,*,#15915,.F.); +#15918=ORIENTED_EDGE('',*,*,#15917,.T.); +#15919=ORIENTED_EDGE('',*,*,#15895,.T.); +#15921=ORIENTED_EDGE('',*,*,#15920,.T.); +#15922=EDGE_LOOP('',(#15916,#15918,#15919,#15921)); +#15923=FACE_OUTER_BOUND('',#15922,.F.); +#15925=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,4.E-1)); +#15926=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15927=DIRECTION('',(0.E0,0.E0,1.E0)); +#15928=AXIS2_PLACEMENT_3D('',#15925,#15926,#15927); +#15929=PLANE('',#15928); +#15931=ORIENTED_EDGE('',*,*,#15930,.F.); +#15933=ORIENTED_EDGE('',*,*,#15932,.F.); +#15935=ORIENTED_EDGE('',*,*,#15934,.F.); +#15937=ORIENTED_EDGE('',*,*,#15936,.T.); +#15938=ORIENTED_EDGE('',*,*,#15915,.T.); +#15939=EDGE_LOOP('',(#15931,#15933,#15935,#15937,#15938)); +#15940=FACE_OUTER_BOUND('',#15939,.F.); +#15942=CARTESIAN_POINT('',(2.348021944340E0,5.466807E0,0.E0)); +#15943=DIRECTION('',(0.E0,1.E0,0.E0)); +#15944=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15945=AXIS2_PLACEMENT_3D('',#15942,#15943,#15944); +#15946=PLANE('',#15945); +#15948=ORIENTED_EDGE('',*,*,#15947,.F.); +#15950=ORIENTED_EDGE('',*,*,#15949,.F.); +#15951=ORIENTED_EDGE('',*,*,#15930,.T.); +#15952=ORIENTED_EDGE('',*,*,#15920,.F.); +#15953=ORIENTED_EDGE('',*,*,#15905,.T.); +#15954=ORIENTED_EDGE('',*,*,#11711,.T.); +#15956=ORIENTED_EDGE('',*,*,#15955,.F.); +#15958=ORIENTED_EDGE('',*,*,#15957,.F.); +#15959=EDGE_LOOP('',(#15948,#15950,#15951,#15952,#15953,#15954,#15956,#15958)); +#15960=FACE_OUTER_BOUND('',#15959,.F.); +#15962=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,3.1E0)); +#15963=DIRECTION('',(1.E0,0.E0,0.E0)); +#15964=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15965=AXIS2_PLACEMENT_3D('',#15962,#15963,#15964); +#15966=PLANE('',#15965); +#15967=ORIENTED_EDGE('',*,*,#15947,.T.); +#15969=ORIENTED_EDGE('',*,*,#15968,.F.); +#15971=ORIENTED_EDGE('',*,*,#15970,.F.); +#15973=ORIENTED_EDGE('',*,*,#15972,.F.); +#15975=ORIENTED_EDGE('',*,*,#15974,.T.); +#15976=EDGE_LOOP('',(#15967,#15969,#15971,#15973,#15975)); +#15977=FACE_OUTER_BOUND('',#15976,.F.); +#15979=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,3.1E0)); +#15980=DIRECTION('',(0.E0,0.E0,1.E0)); +#15981=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15982=AXIS2_PLACEMENT_3D('',#15979,#15980,#15981); +#15983=PLANE('',#15982); +#15984=ORIENTED_EDGE('',*,*,#15968,.T.); +#15985=ORIENTED_EDGE('',*,*,#15957,.T.); +#15987=ORIENTED_EDGE('',*,*,#15986,.F.); +#15989=ORIENTED_EDGE('',*,*,#15988,.T.); +#15990=EDGE_LOOP('',(#15984,#15985,#15987,#15989)); +#15991=FACE_OUTER_BOUND('',#15990,.F.); +#15993=CARTESIAN_POINT('',(2.348021944340E0,4.273809E0,0.E0)); +#15994=DIRECTION('',(1.E0,0.E0,0.E0)); +#15995=DIRECTION('',(0.E0,1.E0,0.E0)); +#15996=AXIS2_PLACEMENT_3D('',#15993,#15994,#15995); +#15997=PLANE('',#15996); +#15998=ORIENTED_EDGE('',*,*,#15986,.T.); +#15999=ORIENTED_EDGE('',*,*,#15955,.T.); +#16000=ORIENTED_EDGE('',*,*,#11709,.T.); +#16002=ORIENTED_EDGE('',*,*,#16001,.F.); +#16004=ORIENTED_EDGE('',*,*,#16003,.F.); +#16005=ORIENTED_EDGE('',*,*,#15810,.T.); +#16007=ORIENTED_EDGE('',*,*,#16006,.F.); +#16009=ORIENTED_EDGE('',*,*,#16008,.F.); +#16010=EDGE_LOOP('',(#15998,#15999,#16000,#16002,#16004,#16005,#16007,#16009)); +#16011=FACE_OUTER_BOUND('',#16010,.F.); +#16013=CARTESIAN_POINT('',(3.398018944340E0,4.273809E0,0.E0)); +#16014=DIRECTION('',(0.E0,1.E0,0.E0)); +#16015=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16016=AXIS2_PLACEMENT_3D('',#16013,#16014,#16015); +#16017=PLANE('',#16016); +#16019=ORIENTED_EDGE('',*,*,#16018,.F.); +#16020=ORIENTED_EDGE('',*,*,#16001,.T.); +#16021=ORIENTED_EDGE('',*,*,#11707,.T.); +#16023=ORIENTED_EDGE('',*,*,#16022,.F.); +#16024=EDGE_LOOP('',(#16019,#16020,#16021,#16023)); +#16025=FACE_OUTER_BOUND('',#16024,.F.); +#16027=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.8E0)); +#16028=DIRECTION('',(0.E0,0.E0,1.E0)); +#16029=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16030=AXIS2_PLACEMENT_3D('',#16027,#16028,#16029); +#16031=PLANE('',#16030); +#16032=ORIENTED_EDGE('',*,*,#15812,.T.); +#16033=ORIENTED_EDGE('',*,*,#16003,.T.); +#16034=ORIENTED_EDGE('',*,*,#16018,.T.); +#16036=ORIENTED_EDGE('',*,*,#16035,.T.); +#16038=ORIENTED_EDGE('',*,*,#16037,.T.); +#16039=EDGE_LOOP('',(#16032,#16033,#16034,#16036,#16038)); +#16040=FACE_OUTER_BOUND('',#16039,.F.); +#16042=CARTESIAN_POINT('',(3.398021944340E0,1.51681E0,0.E0)); +#16043=DIRECTION('',(9.999999999994E-1,1.088139673763E-6,0.E0)); +#16044=DIRECTION('',(-1.088139673763E-6,9.999999999994E-1,0.E0)); +#16045=AXIS2_PLACEMENT_3D('',#16042,#16043,#16044); +#16046=PLANE('',#16045); +#16047=ORIENTED_EDGE('',*,*,#16035,.F.); +#16048=ORIENTED_EDGE('',*,*,#16022,.T.); +#16049=ORIENTED_EDGE('',*,*,#11705,.T.); +#16051=ORIENTED_EDGE('',*,*,#16050,.F.); +#16052=EDGE_LOOP('',(#16047,#16048,#16049,#16051)); +#16053=FACE_OUTER_BOUND('',#16052,.F.); +#16055=CARTESIAN_POINT('',(3.048024944340E0,1.166808E0,0.E0)); +#16056=DIRECTION('',(7.071118319384E-1,-7.071017303986E-1,0.E0)); +#16057=DIRECTION('',(7.071017303986E-1,7.071118319384E-1,0.E0)); +#16058=AXIS2_PLACEMENT_3D('',#16055,#16056,#16057); +#16059=PLANE('',#16058); +#16060=ORIENTED_EDGE('',*,*,#16037,.F.); +#16061=ORIENTED_EDGE('',*,*,#16050,.T.); +#16062=ORIENTED_EDGE('',*,*,#11703,.F.); +#16063=ORIENTED_EDGE('',*,*,#15814,.F.); +#16064=EDGE_LOOP('',(#16060,#16061,#16062,#16063)); +#16065=FACE_OUTER_BOUND('',#16064,.F.); +#16067=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,3.5E0)); +#16068=DIRECTION('',(0.E0,0.E0,1.E0)); +#16069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16070=AXIS2_PLACEMENT_3D('',#16067,#16068,#16069); +#16071=PLANE('',#16070); +#16073=ORIENTED_EDGE('',*,*,#16072,.F.); +#16074=ORIENTED_EDGE('',*,*,#16006,.T.); +#16075=ORIENTED_EDGE('',*,*,#15808,.T.); +#16076=ORIENTED_EDGE('',*,*,#15899,.T.); +#16077=EDGE_LOOP('',(#16073,#16074,#16075,#16076)); +#16078=FACE_OUTER_BOUND('',#16077,.F.); +#16080=CARTESIAN_POINT('',(-2.551976055660E0,4.273809E0,3.5E0)); +#16081=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16082=DIRECTION('',(1.E0,0.E0,0.E0)); +#16083=AXIS2_PLACEMENT_3D('',#16080,#16081,#16082); +#16084=PLANE('',#16083); +#16086=ORIENTED_EDGE('',*,*,#16085,.F.); +#16087=ORIENTED_EDGE('',*,*,#15988,.F.); +#16088=ORIENTED_EDGE('',*,*,#16008,.T.); +#16089=ORIENTED_EDGE('',*,*,#16072,.T.); +#16090=ORIENTED_EDGE('',*,*,#15897,.F.); +#16091=ORIENTED_EDGE('',*,*,#15917,.F.); +#16092=EDGE_LOOP('',(#16086,#16087,#16088,#16089,#16090,#16091)); +#16093=FACE_OUTER_BOUND('',#16092,.F.); +#16095=CARTESIAN_POINT('',(-2.151976055660E0,5.466807E0,3.1E0)); +#16096=DIRECTION('',(0.E0,0.E0,1.E0)); +#16097=DIRECTION('',(1.E0,0.E0,0.E0)); +#16098=AXIS2_PLACEMENT_3D('',#16095,#16096,#16097); +#16099=PLANE('',#16098); +#16100=ORIENTED_EDGE('',*,*,#16085,.T.); +#16101=ORIENTED_EDGE('',*,*,#15936,.F.); +#16103=ORIENTED_EDGE('',*,*,#16102,.F.); +#16104=ORIENTED_EDGE('',*,*,#15970,.T.); +#16105=EDGE_LOOP('',(#16100,#16101,#16103,#16104)); +#16106=FACE_OUTER_BOUND('',#16105,.F.); +#16108=CARTESIAN_POINT('',(-9.273516905566E1,2.766807E0,3.5E0)); +#16109=DIRECTION('',(0.E0,1.E0,0.E0)); +#16110=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16111=AXIS2_PLACEMENT_3D('',#16108,#16109,#16110); +#16112=PLANE('',#16111); +#16113=ORIENTED_EDGE('',*,*,#15972,.T.); +#16114=ORIENTED_EDGE('',*,*,#16102,.T.); +#16115=ORIENTED_EDGE('',*,*,#15934,.T.); +#16117=ORIENTED_EDGE('',*,*,#16116,.T.); +#16118=EDGE_LOOP('',(#16113,#16114,#16115,#16117)); +#16119=FACE_OUTER_BOUND('',#16118,.F.); +#16121=CARTESIAN_POINT('',(1.948021944340E0,5.466807E0,4.E-1)); +#16122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16123=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16124=AXIS2_PLACEMENT_3D('',#16121,#16122,#16123); +#16125=PLANE('',#16124); +#16126=ORIENTED_EDGE('',*,*,#15949,.T.); +#16127=ORIENTED_EDGE('',*,*,#15974,.F.); +#16128=ORIENTED_EDGE('',*,*,#16116,.F.); +#16129=ORIENTED_EDGE('',*,*,#15932,.T.); +#16130=EDGE_LOOP('',(#16126,#16127,#16128,#16129)); +#16131=FACE_OUTER_BOUND('',#16130,.F.); +#16133=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#16134=DIRECTION('',(0.E0,0.E0,1.E0)); +#16135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16136=AXIS2_PLACEMENT_3D('',#16133,#16134,#16135); +#16137=PLANE('',#16136); +#16138=ORIENTED_EDGE('',*,*,#15818,.F.); +#16139=ORIENTED_EDGE('',*,*,#15764,.T.); +#16140=ORIENTED_EDGE('',*,*,#15779,.T.); +#16141=ORIENTED_EDGE('',*,*,#15793,.T.); +#16142=EDGE_LOOP('',(#16138,#16139,#16140,#16141)); +#16143=FACE_OUTER_BOUND('',#16142,.F.); +#16145=CARTESIAN_POINT('',(-3.719760556596E-1,3.16812E-1,0.E0)); +#16146=DIRECTION('',(1.E0,0.E0,0.E0)); +#16147=DIRECTION('',(0.E0,1.E0,0.E0)); +#16148=AXIS2_PLACEMENT_3D('',#16145,#16146,#16147); +#16149=PLANE('',#16148); +#16150=ORIENTED_EDGE('',*,*,#11727,.T.); +#16152=ORIENTED_EDGE('',*,*,#16151,.F.); +#16154=ORIENTED_EDGE('',*,*,#16153,.F.); +#16155=ORIENTED_EDGE('',*,*,#15822,.T.); +#16156=EDGE_LOOP('',(#16150,#16152,#16154,#16155)); +#16157=FACE_OUTER_BOUND('',#16156,.F.); +#16159=CARTESIAN_POINT('',(-1.071974055660E0,3.16812E-1,0.E0)); +#16160=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16161=DIRECTION('',(1.E0,0.E0,0.E0)); +#16162=AXIS2_PLACEMENT_3D('',#16159,#16160,#16161); +#16163=PLANE('',#16162); +#16164=ORIENTED_EDGE('',*,*,#11725,.T.); +#16166=ORIENTED_EDGE('',*,*,#16165,.F.); +#16168=ORIENTED_EDGE('',*,*,#16167,.F.); +#16169=ORIENTED_EDGE('',*,*,#16151,.T.); +#16170=EDGE_LOOP('',(#16164,#16166,#16168,#16169)); +#16171=FACE_OUTER_BOUND('',#16170,.F.); +#16173=CARTESIAN_POINT('',(-1.071974055660E0,1.816806E0,0.E0)); +#16174=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16175=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16176=AXIS2_PLACEMENT_3D('',#16173,#16174,#16175); +#16177=PLANE('',#16176); +#16178=ORIENTED_EDGE('',*,*,#11723,.T.); +#16179=ORIENTED_EDGE('',*,*,#15826,.F.); +#16181=ORIENTED_EDGE('',*,*,#16180,.F.); +#16182=ORIENTED_EDGE('',*,*,#16165,.T.); +#16183=EDGE_LOOP('',(#16178,#16179,#16181,#16182)); +#16184=FACE_OUTER_BOUND('',#16183,.F.); +#16186=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#16187=DIRECTION('',(0.E0,0.E0,1.E0)); +#16188=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16189=AXIS2_PLACEMENT_3D('',#16186,#16187,#16188); +#16190=PLANE('',#16189); +#16191=ORIENTED_EDGE('',*,*,#15824,.F.); +#16192=ORIENTED_EDGE('',*,*,#16153,.T.); +#16193=ORIENTED_EDGE('',*,*,#16167,.T.); +#16194=ORIENTED_EDGE('',*,*,#16180,.T.); +#16195=EDGE_LOOP('',(#16191,#16192,#16193,#16194)); +#16196=FACE_OUTER_BOUND('',#16195,.F.); +#16198=CARTESIAN_POINT('',(1.191762344434E1,1.296241E1,0.E0)); +#16199=DIRECTION('',(0.E0,0.E0,1.E0)); +#16200=DIRECTION('',(1.E0,0.E0,0.E0)); +#16201=AXIS2_PLACEMENT_3D('',#16198,#16199,#16200); +#16202=CYLINDRICAL_SURFACE('',#16201,6.01599E-1); +#16203=ORIENTED_EDGE('',*,*,#11741,.F.); +#16204=ORIENTED_EDGE('',*,*,#11739,.F.); +#16205=ORIENTED_EDGE('',*,*,#11737,.F.); +#16207=ORIENTED_EDGE('',*,*,#16206,.T.); +#16209=ORIENTED_EDGE('',*,*,#16208,.F.); +#16211=ORIENTED_EDGE('',*,*,#16210,.F.); +#16213=ORIENTED_EDGE('',*,*,#16212,.F.); +#16215=ORIENTED_EDGE('',*,*,#16214,.T.); +#16216=EDGE_LOOP('',(#16203,#16204,#16205,#16207,#16209,#16211,#16213,#16215)); +#16217=FACE_OUTER_BOUND('',#16216,.F.); +#16219=CARTESIAN_POINT('',(1.221762494434E1,8.012411E0,0.E0)); +#16220=DIRECTION('',(9.999999999999E-1,4.347829856701E-7,0.E0)); +#16221=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); +#16222=AXIS2_PLACEMENT_3D('',#16219,#16220,#16221); +#16223=PLANE('',#16222); +#16225=ORIENTED_EDGE('',*,*,#16224,.T.); +#16227=ORIENTED_EDGE('',*,*,#16226,.F.); +#16229=ORIENTED_EDGE('',*,*,#16228,.F.); +#16231=ORIENTED_EDGE('',*,*,#16230,.T.); +#16233=ORIENTED_EDGE('',*,*,#16232,.T.); +#16234=ORIENTED_EDGE('',*,*,#16206,.F.); +#16235=ORIENTED_EDGE('',*,*,#11767,.T.); +#16237=ORIENTED_EDGE('',*,*,#16236,.F.); +#16238=EDGE_LOOP('',(#16225,#16227,#16229,#16231,#16233,#16234,#16235,#16237)); +#16239=FACE_OUTER_BOUND('',#16238,.F.); +#16241=ORIENTED_EDGE('',*,*,#16240,.F.); +#16243=ORIENTED_EDGE('',*,*,#16242,.F.); +#16245=ORIENTED_EDGE('',*,*,#16244,.F.); +#16247=ORIENTED_EDGE('',*,*,#16246,.F.); +#16248=EDGE_LOOP('',(#16241,#16243,#16245,#16247)); +#16249=FACE_BOUND('',#16248,.F.); +#16251=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#16252=DIRECTION('',(0.E0,0.E0,1.E0)); +#16253=DIRECTION('',(1.E0,0.E0,0.E0)); +#16254=AXIS2_PLACEMENT_3D('',#16251,#16252,#16253); +#16255=PLANE('',#16254); +#16257=ORIENTED_EDGE('',*,*,#16256,.F.); +#16258=ORIENTED_EDGE('',*,*,#16224,.F.); +#16260=ORIENTED_EDGE('',*,*,#16259,.T.); +#16262=ORIENTED_EDGE('',*,*,#16261,.T.); +#16264=ORIENTED_EDGE('',*,*,#16263,.T.); +#16265=EDGE_LOOP('',(#16257,#16258,#16260,#16262,#16264)); +#16266=FACE_OUTER_BOUND('',#16265,.F.); +#16268=CARTESIAN_POINT('',(9.917624944340E0,8.01241E0,0.E0)); +#16269=DIRECTION('',(4.347829844941E-7,-9.999999999999E-1,0.E0)); +#16270=DIRECTION('',(9.999999999999E-1,4.347829844941E-7,0.E0)); +#16271=AXIS2_PLACEMENT_3D('',#16268,#16269,#16270); +#16272=PLANE('',#16271); +#16273=ORIENTED_EDGE('',*,*,#16256,.T.); +#16275=ORIENTED_EDGE('',*,*,#16274,.F.); +#16276=ORIENTED_EDGE('',*,*,#11759,.T.); +#16278=ORIENTED_EDGE('',*,*,#16277,.F.); +#16280=ORIENTED_EDGE('',*,*,#16279,.F.); +#16281=ORIENTED_EDGE('',*,*,#16226,.T.); +#16282=EDGE_LOOP('',(#16273,#16275,#16276,#16278,#16280,#16281)); +#16283=FACE_OUTER_BOUND('',#16282,.F.); +#16285=CARTESIAN_POINT('',(1.191762344434E1,7.662406E0,0.E0)); +#16286=DIRECTION('',(0.E0,0.E0,1.E0)); +#16287=DIRECTION('',(1.E0,0.E0,0.E0)); +#16288=AXIS2_PLACEMENT_3D('',#16285,#16286,#16287); +#16289=CYLINDRICAL_SURFACE('',#16288,6.01599E-1); +#16290=ORIENTED_EDGE('',*,*,#11765,.F.); +#16291=ORIENTED_EDGE('',*,*,#11763,.F.); +#16292=ORIENTED_EDGE('',*,*,#11761,.F.); +#16293=ORIENTED_EDGE('',*,*,#16274,.T.); +#16294=ORIENTED_EDGE('',*,*,#16263,.F.); +#16295=ORIENTED_EDGE('',*,*,#16261,.F.); +#16296=ORIENTED_EDGE('',*,*,#16259,.F.); +#16297=ORIENTED_EDGE('',*,*,#16236,.T.); +#16298=EDGE_LOOP('',(#16290,#16291,#16292,#16293,#16294,#16295,#16296,#16297)); +#16299=FACE_OUTER_BOUND('',#16298,.F.); +#16301=CARTESIAN_POINT('',(9.917622944340E0,1.2612406E1,0.E0)); +#16302=DIRECTION('',(-9.999999999999E-1,-4.347829856701E-7,0.E0)); +#16303=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); +#16304=AXIS2_PLACEMENT_3D('',#16301,#16302,#16303); +#16305=PLANE('',#16304); +#16307=ORIENTED_EDGE('',*,*,#16306,.T.); +#16309=ORIENTED_EDGE('',*,*,#16308,.F.); +#16310=ORIENTED_EDGE('',*,*,#11745,.T.); +#16312=ORIENTED_EDGE('',*,*,#16311,.F.); +#16314=ORIENTED_EDGE('',*,*,#16313,.F.); +#16315=ORIENTED_EDGE('',*,*,#16277,.T.); +#16316=ORIENTED_EDGE('',*,*,#11757,.T.); +#16318=ORIENTED_EDGE('',*,*,#16317,.F.); +#16320=ORIENTED_EDGE('',*,*,#16319,.T.); +#16322=ORIENTED_EDGE('',*,*,#16321,.F.); +#16323=ORIENTED_EDGE('',*,*,#11751,.T.); +#16325=ORIENTED_EDGE('',*,*,#16324,.F.); +#16326=EDGE_LOOP('',(#16307,#16309,#16310,#16312,#16314,#16315,#16316,#16318, +#16320,#16322,#16323,#16325)); +#16327=FACE_OUTER_BOUND('',#16326,.F.); +#16329=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#16330=DIRECTION('',(0.E0,0.E0,1.E0)); +#16331=DIRECTION('',(1.E0,0.E0,0.E0)); +#16332=AXIS2_PLACEMENT_3D('',#16329,#16330,#16331); +#16333=PLANE('',#16332); +#16334=ORIENTED_EDGE('',*,*,#16306,.F.); +#16336=ORIENTED_EDGE('',*,*,#16335,.T.); +#16338=ORIENTED_EDGE('',*,*,#16337,.T.); +#16339=EDGE_LOOP('',(#16334,#16336,#16338)); +#16340=FACE_OUTER_BOUND('',#16339,.F.); +#16342=CARTESIAN_POINT('',(9.667618444340E0,1.2012405E1,0.E0)); +#16343=DIRECTION('',(0.E0,0.E0,1.E0)); +#16344=DIRECTION('',(1.E0,0.E0,0.E0)); +#16345=AXIS2_PLACEMENT_3D('',#16342,#16343,#16344); +#16346=CYLINDRICAL_SURFACE('',#16345,5.516E-1); +#16347=ORIENTED_EDGE('',*,*,#16337,.F.); +#16348=ORIENTED_EDGE('',*,*,#16335,.F.); +#16349=ORIENTED_EDGE('',*,*,#16324,.T.); +#16350=ORIENTED_EDGE('',*,*,#11749,.F.); +#16351=ORIENTED_EDGE('',*,*,#11747,.F.); +#16352=ORIENTED_EDGE('',*,*,#16308,.T.); +#16353=EDGE_LOOP('',(#16347,#16348,#16349,#16350,#16351,#16352)); +#16354=FACE_OUTER_BOUND('',#16353,.F.); +#16356=CARTESIAN_POINT('',(1.221762294434E1,1.2612407E1,0.E0)); +#16357=DIRECTION('',(-4.347829844941E-7,9.999999999999E-1,0.E0)); +#16358=DIRECTION('',(-9.999999999999E-1,-4.347829844941E-7,0.E0)); +#16359=AXIS2_PLACEMENT_3D('',#16356,#16357,#16358); +#16360=PLANE('',#16359); +#16362=ORIENTED_EDGE('',*,*,#16361,.T.); +#16363=ORIENTED_EDGE('',*,*,#16230,.F.); +#16365=ORIENTED_EDGE('',*,*,#16364,.F.); +#16366=ORIENTED_EDGE('',*,*,#16311,.T.); +#16367=ORIENTED_EDGE('',*,*,#11743,.T.); +#16368=ORIENTED_EDGE('',*,*,#16214,.F.); +#16369=EDGE_LOOP('',(#16362,#16363,#16365,#16366,#16367,#16368)); +#16370=FACE_OUTER_BOUND('',#16369,.F.); +#16372=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#16373=DIRECTION('',(0.E0,0.E0,1.E0)); +#16374=DIRECTION('',(1.E0,0.E0,0.E0)); +#16375=AXIS2_PLACEMENT_3D('',#16372,#16373,#16374); +#16376=PLANE('',#16375); +#16377=ORIENTED_EDGE('',*,*,#16232,.F.); +#16378=ORIENTED_EDGE('',*,*,#16361,.F.); +#16379=ORIENTED_EDGE('',*,*,#16212,.T.); +#16380=ORIENTED_EDGE('',*,*,#16210,.T.); +#16381=ORIENTED_EDGE('',*,*,#16208,.T.); +#16382=EDGE_LOOP('',(#16377,#16378,#16379,#16380,#16381)); +#16383=FACE_OUTER_BOUND('',#16382,.F.); +#16385=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.8E0)); +#16386=DIRECTION('',(0.E0,0.E0,1.E0)); +#16387=DIRECTION('',(0.E0,1.E0,0.E0)); +#16388=AXIS2_PLACEMENT_3D('',#16385,#16386,#16387); +#16389=PLANE('',#16388); +#16390=ORIENTED_EDGE('',*,*,#16279,.T.); +#16391=ORIENTED_EDGE('',*,*,#16313,.T.); +#16392=ORIENTED_EDGE('',*,*,#16364,.T.); +#16393=ORIENTED_EDGE('',*,*,#16228,.T.); +#16394=EDGE_LOOP('',(#16390,#16391,#16392,#16393)); +#16395=FACE_OUTER_BOUND('',#16394,.F.); +#16397=CARTESIAN_POINT('',(9.667620944340E0,8.612409E0,0.E0)); +#16398=DIRECTION('',(0.E0,0.E0,1.E0)); +#16399=DIRECTION('',(1.E0,0.E0,0.E0)); +#16400=AXIS2_PLACEMENT_3D('',#16397,#16398,#16399); +#16401=CYLINDRICAL_SURFACE('',#16400,5.516005E-1); +#16403=ORIENTED_EDGE('',*,*,#16402,.F.); +#16405=ORIENTED_EDGE('',*,*,#16404,.F.); +#16406=ORIENTED_EDGE('',*,*,#16317,.T.); +#16407=ORIENTED_EDGE('',*,*,#11755,.F.); +#16408=ORIENTED_EDGE('',*,*,#11753,.F.); +#16409=ORIENTED_EDGE('',*,*,#16321,.T.); +#16410=EDGE_LOOP('',(#16403,#16405,#16406,#16407,#16408,#16409)); +#16411=FACE_OUTER_BOUND('',#16410,.F.); +#16413=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#16414=DIRECTION('',(0.E0,0.E0,1.E0)); +#16415=DIRECTION('',(1.E0,0.E0,0.E0)); +#16416=AXIS2_PLACEMENT_3D('',#16413,#16414,#16415); +#16417=PLANE('',#16416); +#16418=ORIENTED_EDGE('',*,*,#16319,.F.); +#16419=ORIENTED_EDGE('',*,*,#16404,.T.); +#16420=ORIENTED_EDGE('',*,*,#16402,.T.); +#16421=EDGE_LOOP('',(#16418,#16419,#16420)); +#16422=FACE_OUTER_BOUND('',#16421,.F.); +#16424=CARTESIAN_POINT('',(1.221762434654E1,9.387409E0,1.4E0)); +#16425=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); +#16426=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16427=AXIS2_PLACEMENT_3D('',#16424,#16425,#16426); +#16428=PLANE('',#16427); +#16429=ORIENTED_EDGE('',*,*,#16240,.T.); +#16431=ORIENTED_EDGE('',*,*,#16430,.F.); +#16433=ORIENTED_EDGE('',*,*,#16432,.F.); +#16435=ORIENTED_EDGE('',*,*,#16434,.T.); +#16436=EDGE_LOOP('',(#16429,#16431,#16433,#16435)); +#16437=FACE_OUTER_BOUND('',#16436,.F.); +#16439=CARTESIAN_POINT('',(1.221762354214E1,1.1237409E1,1.4E0)); +#16440=DIRECTION('',(0.E0,0.E0,1.E0)); +#16441=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); +#16442=AXIS2_PLACEMENT_3D('',#16439,#16440,#16441); +#16443=PLANE('',#16442); +#16444=ORIENTED_EDGE('',*,*,#16246,.T.); +#16446=ORIENTED_EDGE('',*,*,#16445,.F.); +#16448=ORIENTED_EDGE('',*,*,#16447,.F.); +#16449=ORIENTED_EDGE('',*,*,#16430,.T.); +#16450=EDGE_LOOP('',(#16444,#16446,#16448,#16449)); +#16451=FACE_OUTER_BOUND('',#16450,.F.); +#16453=CARTESIAN_POINT('',(1.221762354214E1,1.1237409E1,6.E-1)); +#16454=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); +#16455=DIRECTION('',(0.E0,0.E0,1.E0)); +#16456=AXIS2_PLACEMENT_3D('',#16453,#16454,#16455); +#16457=PLANE('',#16456); +#16458=ORIENTED_EDGE('',*,*,#16244,.T.); +#16460=ORIENTED_EDGE('',*,*,#16459,.F.); +#16462=ORIENTED_EDGE('',*,*,#16461,.F.); +#16463=ORIENTED_EDGE('',*,*,#16445,.T.); +#16464=EDGE_LOOP('',(#16458,#16460,#16462,#16463)); +#16465=FACE_OUTER_BOUND('',#16464,.F.); +#16467=CARTESIAN_POINT('',(1.221762434654E1,9.387409E0,6.E-1)); +#16468=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16469=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); +#16470=AXIS2_PLACEMENT_3D('',#16467,#16468,#16469); +#16471=PLANE('',#16470); +#16472=ORIENTED_EDGE('',*,*,#16242,.T.); +#16473=ORIENTED_EDGE('',*,*,#16434,.F.); +#16475=ORIENTED_EDGE('',*,*,#16474,.F.); +#16476=ORIENTED_EDGE('',*,*,#16459,.T.); +#16477=EDGE_LOOP('',(#16472,#16473,#16475,#16476)); +#16478=FACE_OUTER_BOUND('',#16477,.F.); +#16480=CARTESIAN_POINT('',(1.333764963144E1,-4.876794588134E1,1.8E0)); +#16481=DIRECTION('',(9.999999999999E-1,4.347829856701E-7,0.E0)); +#16482=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); +#16483=AXIS2_PLACEMENT_3D('',#16480,#16481,#16482); +#16484=PLANE('',#16483); +#16485=ORIENTED_EDGE('',*,*,#16432,.T.); +#16486=ORIENTED_EDGE('',*,*,#16447,.T.); +#16487=ORIENTED_EDGE('',*,*,#16461,.T.); +#16488=ORIENTED_EDGE('',*,*,#16474,.T.); +#16489=EDGE_LOOP('',(#16485,#16486,#16487,#16488)); +#16490=FACE_OUTER_BOUND('',#16489,.F.); +#16492=CARTESIAN_POINT('',(2.489430944340E0,2.8168608E1,0.E0)); +#16493=DIRECTION('',(0.E0,1.E0,0.E0)); +#16494=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16495=AXIS2_PLACEMENT_3D('',#16492,#16493,#16494); +#16496=PLANE('',#16495); +#16497=ORIENTED_EDGE('',*,*,#11771,.T.); +#16499=ORIENTED_EDGE('',*,*,#16498,.F.); +#16501=ORIENTED_EDGE('',*,*,#16500,.F.); +#16503=ORIENTED_EDGE('',*,*,#16502,.T.); +#16504=EDGE_LOOP('',(#16497,#16499,#16501,#16503)); +#16505=FACE_OUTER_BOUND('',#16504,.F.); +#16507=CARTESIAN_POINT('',(2.489430944340E0,2.3342608E1,0.E0)); +#16508=DIRECTION('',(1.E0,0.E0,0.E0)); +#16509=DIRECTION('',(0.E0,1.E0,0.E0)); +#16510=AXIS2_PLACEMENT_3D('',#16507,#16508,#16509); +#16511=PLANE('',#16510); +#16512=ORIENTED_EDGE('',*,*,#11777,.T.); +#16514=ORIENTED_EDGE('',*,*,#16513,.F.); +#16516=ORIENTED_EDGE('',*,*,#16515,.F.); +#16517=ORIENTED_EDGE('',*,*,#16498,.T.); +#16518=EDGE_LOOP('',(#16512,#16514,#16516,#16517)); +#16519=FACE_OUTER_BOUND('',#16518,.F.); +#16521=ORIENTED_EDGE('',*,*,#16520,.T.); +#16523=ORIENTED_EDGE('',*,*,#16522,.F.); +#16525=ORIENTED_EDGE('',*,*,#16524,.F.); +#16527=ORIENTED_EDGE('',*,*,#16526,.T.); +#16528=EDGE_LOOP('',(#16521,#16523,#16525,#16527)); +#16529=FACE_BOUND('',#16528,.F.); +#16531=ORIENTED_EDGE('',*,*,#16530,.T.); +#16533=ORIENTED_EDGE('',*,*,#16532,.F.); +#16535=ORIENTED_EDGE('',*,*,#16534,.F.); +#16537=ORIENTED_EDGE('',*,*,#16536,.T.); +#16538=EDGE_LOOP('',(#16531,#16533,#16535,#16537)); +#16539=FACE_BOUND('',#16538,.F.); +#16541=ORIENTED_EDGE('',*,*,#16540,.T.); +#16543=ORIENTED_EDGE('',*,*,#16542,.F.); +#16545=ORIENTED_EDGE('',*,*,#16544,.F.); +#16547=ORIENTED_EDGE('',*,*,#16546,.T.); +#16548=EDGE_LOOP('',(#16541,#16543,#16545,#16547)); +#16549=FACE_BOUND('',#16548,.F.); +#16551=ORIENTED_EDGE('',*,*,#16550,.T.); +#16553=ORIENTED_EDGE('',*,*,#16552,.F.); +#16555=ORIENTED_EDGE('',*,*,#16554,.F.); +#16557=ORIENTED_EDGE('',*,*,#16556,.T.); +#16558=EDGE_LOOP('',(#16551,#16553,#16555,#16557)); +#16559=FACE_BOUND('',#16558,.F.); +#16561=CARTESIAN_POINT('',(-2.590569055660E0,2.3342608E1,0.E0)); +#16562=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16563=DIRECTION('',(1.E0,0.E0,0.E0)); +#16564=AXIS2_PLACEMENT_3D('',#16561,#16562,#16563); +#16565=PLANE('',#16564); +#16566=ORIENTED_EDGE('',*,*,#11775,.T.); +#16568=ORIENTED_EDGE('',*,*,#16567,.F.); +#16570=ORIENTED_EDGE('',*,*,#16569,.F.); +#16571=ORIENTED_EDGE('',*,*,#16513,.T.); +#16572=EDGE_LOOP('',(#16566,#16568,#16570,#16571)); +#16573=FACE_OUTER_BOUND('',#16572,.F.); +#16575=CARTESIAN_POINT('',(-2.590569055660E0,2.8168608E1,0.E0)); +#16576=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16577=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16578=AXIS2_PLACEMENT_3D('',#16575,#16576,#16577); +#16579=PLANE('',#16578); +#16580=ORIENTED_EDGE('',*,*,#11773,.T.); +#16581=ORIENTED_EDGE('',*,*,#16502,.F.); +#16583=ORIENTED_EDGE('',*,*,#16582,.F.); +#16584=ORIENTED_EDGE('',*,*,#16567,.T.); +#16585=EDGE_LOOP('',(#16580,#16581,#16583,#16584)); +#16586=FACE_OUTER_BOUND('',#16585,.F.); +#16588=ORIENTED_EDGE('',*,*,#16587,.F.); +#16590=ORIENTED_EDGE('',*,*,#16589,.F.); +#16592=ORIENTED_EDGE('',*,*,#16591,.T.); +#16594=ORIENTED_EDGE('',*,*,#16593,.T.); +#16595=EDGE_LOOP('',(#16588,#16590,#16592,#16594)); +#16596=FACE_BOUND('',#16595,.F.); +#16598=ORIENTED_EDGE('',*,*,#16597,.F.); +#16600=ORIENTED_EDGE('',*,*,#16599,.F.); +#16602=ORIENTED_EDGE('',*,*,#16601,.T.); +#16604=ORIENTED_EDGE('',*,*,#16603,.T.); +#16605=EDGE_LOOP('',(#16598,#16600,#16602,#16604)); +#16606=FACE_BOUND('',#16605,.F.); +#16608=ORIENTED_EDGE('',*,*,#16607,.F.); +#16610=ORIENTED_EDGE('',*,*,#16609,.F.); +#16612=ORIENTED_EDGE('',*,*,#16611,.T.); +#16614=ORIENTED_EDGE('',*,*,#16613,.T.); +#16615=EDGE_LOOP('',(#16608,#16610,#16612,#16614)); +#16616=FACE_BOUND('',#16615,.F.); +#16618=ORIENTED_EDGE('',*,*,#16617,.F.); +#16620=ORIENTED_EDGE('',*,*,#16619,.F.); +#16622=ORIENTED_EDGE('',*,*,#16621,.T.); +#16624=ORIENTED_EDGE('',*,*,#16623,.T.); +#16625=EDGE_LOOP('',(#16618,#16620,#16622,#16624)); +#16626=FACE_BOUND('',#16625,.F.); +#16628=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E0)); +#16629=DIRECTION('',(0.E0,0.E0,1.E0)); +#16630=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16631=AXIS2_PLACEMENT_3D('',#16628,#16629,#16630); +#16632=PLANE('',#16631); +#16633=ORIENTED_EDGE('',*,*,#16500,.T.); +#16634=ORIENTED_EDGE('',*,*,#16515,.T.); +#16635=ORIENTED_EDGE('',*,*,#16569,.T.); +#16636=ORIENTED_EDGE('',*,*,#16582,.T.); +#16637=EDGE_LOOP('',(#16633,#16634,#16635,#16636)); +#16638=FACE_OUTER_BOUND('',#16637,.F.); +#16640=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); +#16641=DIRECTION('',(0.E0,0.E0,1.E0)); +#16642=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16643=AXIS2_PLACEMENT_3D('',#16640,#16641,#16642); +#16644=PLANE('',#16643); +#16645=ORIENTED_EDGE('',*,*,#16587,.T.); +#16647=ORIENTED_EDGE('',*,*,#16646,.F.); +#16649=ORIENTED_EDGE('',*,*,#16648,.T.); +#16651=ORIENTED_EDGE('',*,*,#16650,.F.); +#16652=EDGE_LOOP('',(#16645,#16647,#16649,#16651)); +#16653=FACE_OUTER_BOUND('',#16652,.F.); +#16655=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,0.E0)); +#16656=DIRECTION('',(0.E0,1.E0,0.E0)); +#16657=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16658=AXIS2_PLACEMENT_3D('',#16655,#16656,#16657); +#16659=PLANE('',#16658); +#16661=ORIENTED_EDGE('',*,*,#16660,.T.); +#16663=ORIENTED_EDGE('',*,*,#16662,.F.); +#16665=ORIENTED_EDGE('',*,*,#16664,.T.); +#16666=ORIENTED_EDGE('',*,*,#11785,.F.); +#16668=ORIENTED_EDGE('',*,*,#16667,.F.); +#16669=ORIENTED_EDGE('',*,*,#16646,.T.); +#16670=ORIENTED_EDGE('',*,*,#16593,.F.); +#16672=ORIENTED_EDGE('',*,*,#16671,.F.); +#16673=EDGE_LOOP('',(#16661,#16663,#16665,#16666,#16668,#16669,#16670,#16672)); +#16674=FACE_OUTER_BOUND('',#16673,.F.); +#16676=CARTESIAN_POINT('',(-3.479569055660E0,2.8067008E1,0.E0)); +#16677=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16679=AXIS2_PLACEMENT_3D('',#16676,#16677,#16678); +#16680=PLANE('',#16679); +#16681=ORIENTED_EDGE('',*,*,#16660,.F.); +#16683=ORIENTED_EDGE('',*,*,#16682,.F.); +#16685=ORIENTED_EDGE('',*,*,#16684,.F.); +#16687=ORIENTED_EDGE('',*,*,#16686,.T.); +#16688=EDGE_LOOP('',(#16681,#16683,#16685,#16687)); +#16689=FACE_OUTER_BOUND('',#16688,.F.); +#16691=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); +#16692=DIRECTION('',(0.E0,0.E0,1.E0)); +#16693=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16694=AXIS2_PLACEMENT_3D('',#16691,#16692,#16693); +#16695=PLANE('',#16694); +#16696=ORIENTED_EDGE('',*,*,#16591,.F.); +#16698=ORIENTED_EDGE('',*,*,#16697,.T.); +#16699=ORIENTED_EDGE('',*,*,#16682,.T.); +#16700=ORIENTED_EDGE('',*,*,#16671,.T.); +#16701=EDGE_LOOP('',(#16696,#16698,#16699,#16700)); +#16702=FACE_OUTER_BOUND('',#16701,.F.); +#16704=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,0.E0)); +#16705=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16706=DIRECTION('',(1.E0,0.E0,0.E0)); +#16707=AXIS2_PLACEMENT_3D('',#16704,#16705,#16706); +#16708=PLANE('',#16707); +#16709=ORIENTED_EDGE('',*,*,#16650,.T.); +#16711=ORIENTED_EDGE('',*,*,#16710,.T.); +#16712=ORIENTED_EDGE('',*,*,#11781,.F.); +#16714=ORIENTED_EDGE('',*,*,#16713,.F.); +#16716=ORIENTED_EDGE('',*,*,#16715,.F.); +#16717=ORIENTED_EDGE('',*,*,#16684,.T.); +#16718=ORIENTED_EDGE('',*,*,#16697,.F.); +#16719=ORIENTED_EDGE('',*,*,#16589,.T.); +#16720=EDGE_LOOP('',(#16709,#16711,#16712,#16714,#16716,#16717,#16718,#16719)); +#16721=FACE_OUTER_BOUND('',#16720,.F.); +#16723=CARTESIAN_POINT('',(-3.073169055660E0,2.7254208E1,0.E0)); +#16724=DIRECTION('',(1.E0,0.E0,0.E0)); +#16725=DIRECTION('',(0.E0,1.E0,0.E0)); +#16726=AXIS2_PLACEMENT_3D('',#16723,#16724,#16725); +#16727=PLANE('',#16726); +#16728=ORIENTED_EDGE('',*,*,#16648,.F.); +#16729=ORIENTED_EDGE('',*,*,#16667,.T.); +#16730=ORIENTED_EDGE('',*,*,#11783,.T.); +#16731=ORIENTED_EDGE('',*,*,#16710,.F.); +#16732=EDGE_LOOP('',(#16728,#16729,#16730,#16731)); +#16733=FACE_OUTER_BOUND('',#16732,.F.); +#16735=CARTESIAN_POINT('',(-4.749569055660E0,2.8067008E1,0.E0)); +#16736=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16737=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16738=AXIS2_PLACEMENT_3D('',#16735,#16736,#16737); +#16739=PLANE('',#16738); +#16740=ORIENTED_EDGE('',*,*,#11787,.T.); +#16741=ORIENTED_EDGE('',*,*,#16664,.F.); +#16743=ORIENTED_EDGE('',*,*,#16742,.F.); +#16744=ORIENTED_EDGE('',*,*,#16713,.T.); +#16745=EDGE_LOOP('',(#16740,#16741,#16743,#16744)); +#16746=FACE_OUTER_BOUND('',#16745,.F.); +#16748=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#16749=DIRECTION('',(0.E0,0.E0,1.E0)); +#16750=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16751=AXIS2_PLACEMENT_3D('',#16748,#16749,#16750); +#16752=PLANE('',#16751); +#16753=ORIENTED_EDGE('',*,*,#16686,.F.); +#16754=ORIENTED_EDGE('',*,*,#16715,.T.); +#16755=ORIENTED_EDGE('',*,*,#16742,.T.); +#16756=ORIENTED_EDGE('',*,*,#16662,.T.); +#16757=EDGE_LOOP('',(#16753,#16754,#16755,#16756)); +#16758=FACE_OUTER_BOUND('',#16757,.F.); +#16760=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); +#16761=DIRECTION('',(0.E0,0.E0,1.E0)); +#16762=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16763=AXIS2_PLACEMENT_3D('',#16760,#16761,#16762); +#16764=PLANE('',#16763); +#16765=ORIENTED_EDGE('',*,*,#16597,.T.); +#16767=ORIENTED_EDGE('',*,*,#16766,.F.); +#16769=ORIENTED_EDGE('',*,*,#16768,.T.); +#16771=ORIENTED_EDGE('',*,*,#16770,.F.); +#16772=EDGE_LOOP('',(#16765,#16767,#16769,#16771)); +#16773=FACE_OUTER_BOUND('',#16772,.F.); +#16775=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,0.E0)); +#16776=DIRECTION('',(0.E0,1.E0,0.E0)); +#16777=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16778=AXIS2_PLACEMENT_3D('',#16775,#16776,#16777); +#16779=PLANE('',#16778); +#16781=ORIENTED_EDGE('',*,*,#16780,.T.); +#16783=ORIENTED_EDGE('',*,*,#16782,.F.); +#16785=ORIENTED_EDGE('',*,*,#16784,.T.); +#16786=ORIENTED_EDGE('',*,*,#11795,.F.); +#16788=ORIENTED_EDGE('',*,*,#16787,.F.); +#16789=ORIENTED_EDGE('',*,*,#16766,.T.); +#16790=ORIENTED_EDGE('',*,*,#16603,.F.); +#16792=ORIENTED_EDGE('',*,*,#16791,.F.); +#16793=EDGE_LOOP('',(#16781,#16783,#16785,#16786,#16788,#16789,#16790,#16792)); +#16794=FACE_OUTER_BOUND('',#16793,.F.); +#16796=CARTESIAN_POINT('',(-3.479569055660E0,2.6797008E1,0.E0)); +#16797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16798=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16799=AXIS2_PLACEMENT_3D('',#16796,#16797,#16798); +#16800=PLANE('',#16799); +#16801=ORIENTED_EDGE('',*,*,#16780,.F.); +#16803=ORIENTED_EDGE('',*,*,#16802,.F.); +#16805=ORIENTED_EDGE('',*,*,#16804,.T.); +#16807=ORIENTED_EDGE('',*,*,#16806,.T.); +#16808=EDGE_LOOP('',(#16801,#16803,#16805,#16807)); +#16809=FACE_OUTER_BOUND('',#16808,.F.); +#16811=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); +#16812=DIRECTION('',(0.E0,0.E0,1.E0)); +#16813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16814=AXIS2_PLACEMENT_3D('',#16811,#16812,#16813); +#16815=PLANE('',#16814); +#16816=ORIENTED_EDGE('',*,*,#16601,.F.); +#16818=ORIENTED_EDGE('',*,*,#16817,.T.); +#16819=ORIENTED_EDGE('',*,*,#16802,.T.); +#16820=ORIENTED_EDGE('',*,*,#16791,.T.); +#16821=EDGE_LOOP('',(#16816,#16818,#16819,#16820)); +#16822=FACE_OUTER_BOUND('',#16821,.F.); +#16824=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,0.E0)); +#16825=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16826=DIRECTION('',(1.E0,0.E0,0.E0)); +#16827=AXIS2_PLACEMENT_3D('',#16824,#16825,#16826); +#16828=PLANE('',#16827); +#16829=ORIENTED_EDGE('',*,*,#16770,.T.); +#16831=ORIENTED_EDGE('',*,*,#16830,.T.); +#16832=ORIENTED_EDGE('',*,*,#11791,.F.); +#16834=ORIENTED_EDGE('',*,*,#16833,.F.); +#16836=ORIENTED_EDGE('',*,*,#16835,.F.); +#16837=ORIENTED_EDGE('',*,*,#16804,.F.); +#16838=ORIENTED_EDGE('',*,*,#16817,.F.); +#16839=ORIENTED_EDGE('',*,*,#16599,.T.); +#16840=EDGE_LOOP('',(#16829,#16831,#16832,#16834,#16836,#16837,#16838,#16839)); +#16841=FACE_OUTER_BOUND('',#16840,.F.); +#16843=CARTESIAN_POINT('',(-3.073169055660E0,2.5984208E1,0.E0)); +#16844=DIRECTION('',(1.E0,0.E0,0.E0)); +#16845=DIRECTION('',(0.E0,1.E0,0.E0)); +#16846=AXIS2_PLACEMENT_3D('',#16843,#16844,#16845); +#16847=PLANE('',#16846); +#16848=ORIENTED_EDGE('',*,*,#16768,.F.); +#16849=ORIENTED_EDGE('',*,*,#16787,.T.); +#16850=ORIENTED_EDGE('',*,*,#11793,.T.); +#16851=ORIENTED_EDGE('',*,*,#16830,.F.); +#16852=EDGE_LOOP('',(#16848,#16849,#16850,#16851)); +#16853=FACE_OUTER_BOUND('',#16852,.F.); +#16855=CARTESIAN_POINT('',(-4.749569055660E0,2.6797008E1,0.E0)); +#16856=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16858=AXIS2_PLACEMENT_3D('',#16855,#16856,#16857); +#16859=PLANE('',#16858); +#16860=ORIENTED_EDGE('',*,*,#11797,.T.); +#16861=ORIENTED_EDGE('',*,*,#16784,.F.); +#16863=ORIENTED_EDGE('',*,*,#16862,.F.); +#16864=ORIENTED_EDGE('',*,*,#16833,.T.); +#16865=EDGE_LOOP('',(#16860,#16861,#16863,#16864)); +#16866=FACE_OUTER_BOUND('',#16865,.F.); +#16868=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#16869=DIRECTION('',(0.E0,0.E0,1.E0)); +#16870=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16871=AXIS2_PLACEMENT_3D('',#16868,#16869,#16870); +#16872=PLANE('',#16871); +#16873=ORIENTED_EDGE('',*,*,#16806,.F.); +#16874=ORIENTED_EDGE('',*,*,#16835,.T.); +#16875=ORIENTED_EDGE('',*,*,#16862,.T.); +#16876=ORIENTED_EDGE('',*,*,#16782,.T.); +#16877=EDGE_LOOP('',(#16873,#16874,#16875,#16876)); +#16878=FACE_OUTER_BOUND('',#16877,.F.); +#16880=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); +#16881=DIRECTION('',(0.E0,0.E0,1.E0)); +#16882=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16883=AXIS2_PLACEMENT_3D('',#16880,#16881,#16882); +#16884=PLANE('',#16883); +#16885=ORIENTED_EDGE('',*,*,#16607,.T.); +#16887=ORIENTED_EDGE('',*,*,#16886,.F.); +#16889=ORIENTED_EDGE('',*,*,#16888,.T.); +#16891=ORIENTED_EDGE('',*,*,#16890,.F.); +#16892=EDGE_LOOP('',(#16885,#16887,#16889,#16891)); +#16893=FACE_OUTER_BOUND('',#16892,.F.); +#16895=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,0.E0)); +#16896=DIRECTION('',(0.E0,1.E0,0.E0)); +#16897=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16898=AXIS2_PLACEMENT_3D('',#16895,#16896,#16897); +#16899=PLANE('',#16898); +#16901=ORIENTED_EDGE('',*,*,#16900,.T.); +#16903=ORIENTED_EDGE('',*,*,#16902,.F.); +#16905=ORIENTED_EDGE('',*,*,#16904,.T.); +#16906=ORIENTED_EDGE('',*,*,#11805,.F.); +#16908=ORIENTED_EDGE('',*,*,#16907,.F.); +#16909=ORIENTED_EDGE('',*,*,#16886,.T.); +#16910=ORIENTED_EDGE('',*,*,#16613,.F.); +#16912=ORIENTED_EDGE('',*,*,#16911,.F.); +#16913=EDGE_LOOP('',(#16901,#16903,#16905,#16906,#16908,#16909,#16910,#16912)); +#16914=FACE_OUTER_BOUND('',#16913,.F.); +#16916=CARTESIAN_POINT('',(-3.479569055660E0,2.5527008E1,0.E0)); +#16917=DIRECTION('',(-1.E0,1.748382715945E-14,0.E0)); +#16918=DIRECTION('',(-1.748382715945E-14,-1.E0,0.E0)); +#16919=AXIS2_PLACEMENT_3D('',#16916,#16917,#16918); +#16920=PLANE('',#16919); +#16921=ORIENTED_EDGE('',*,*,#16900,.F.); +#16923=ORIENTED_EDGE('',*,*,#16922,.F.); +#16925=ORIENTED_EDGE('',*,*,#16924,.F.); +#16927=ORIENTED_EDGE('',*,*,#16926,.T.); +#16928=EDGE_LOOP('',(#16921,#16923,#16925,#16927)); +#16929=FACE_OUTER_BOUND('',#16928,.F.); +#16931=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); +#16932=DIRECTION('',(0.E0,0.E0,1.E0)); +#16933=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16934=AXIS2_PLACEMENT_3D('',#16931,#16932,#16933); +#16935=PLANE('',#16934); +#16936=ORIENTED_EDGE('',*,*,#16611,.F.); +#16938=ORIENTED_EDGE('',*,*,#16937,.T.); +#16939=ORIENTED_EDGE('',*,*,#16922,.T.); +#16940=ORIENTED_EDGE('',*,*,#16911,.T.); +#16941=EDGE_LOOP('',(#16936,#16938,#16939,#16940)); +#16942=FACE_OUTER_BOUND('',#16941,.F.); +#16944=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,0.E0)); +#16945=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16946=DIRECTION('',(1.E0,0.E0,0.E0)); +#16947=AXIS2_PLACEMENT_3D('',#16944,#16945,#16946); +#16948=PLANE('',#16947); +#16949=ORIENTED_EDGE('',*,*,#16890,.T.); +#16951=ORIENTED_EDGE('',*,*,#16950,.T.); +#16952=ORIENTED_EDGE('',*,*,#11801,.F.); +#16954=ORIENTED_EDGE('',*,*,#16953,.F.); +#16956=ORIENTED_EDGE('',*,*,#16955,.F.); +#16957=ORIENTED_EDGE('',*,*,#16924,.T.); +#16958=ORIENTED_EDGE('',*,*,#16937,.F.); +#16959=ORIENTED_EDGE('',*,*,#16609,.T.); +#16960=EDGE_LOOP('',(#16949,#16951,#16952,#16954,#16956,#16957,#16958,#16959)); +#16961=FACE_OUTER_BOUND('',#16960,.F.); +#16963=CARTESIAN_POINT('',(-3.073169055660E0,2.4714208E1,0.E0)); +#16964=DIRECTION('',(1.E0,-3.496765431890E-14,0.E0)); +#16965=DIRECTION('',(3.496765431890E-14,1.E0,0.E0)); +#16966=AXIS2_PLACEMENT_3D('',#16963,#16964,#16965); +#16967=PLANE('',#16966); +#16968=ORIENTED_EDGE('',*,*,#16888,.F.); +#16969=ORIENTED_EDGE('',*,*,#16907,.T.); +#16970=ORIENTED_EDGE('',*,*,#11803,.T.); +#16971=ORIENTED_EDGE('',*,*,#16950,.F.); +#16972=EDGE_LOOP('',(#16968,#16969,#16970,#16971)); +#16973=FACE_OUTER_BOUND('',#16972,.F.); +#16975=CARTESIAN_POINT('',(-4.749569055660E0,2.5527008E1,0.E0)); +#16976=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16977=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16978=AXIS2_PLACEMENT_3D('',#16975,#16976,#16977); +#16979=PLANE('',#16978); +#16980=ORIENTED_EDGE('',*,*,#11807,.T.); +#16981=ORIENTED_EDGE('',*,*,#16904,.F.); +#16983=ORIENTED_EDGE('',*,*,#16982,.F.); +#16984=ORIENTED_EDGE('',*,*,#16953,.T.); +#16985=EDGE_LOOP('',(#16980,#16981,#16983,#16984)); +#16986=FACE_OUTER_BOUND('',#16985,.F.); +#16988=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#16989=DIRECTION('',(0.E0,0.E0,1.E0)); +#16990=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16991=AXIS2_PLACEMENT_3D('',#16988,#16989,#16990); +#16992=PLANE('',#16991); +#16993=ORIENTED_EDGE('',*,*,#16926,.F.); +#16994=ORIENTED_EDGE('',*,*,#16955,.T.); +#16995=ORIENTED_EDGE('',*,*,#16982,.T.); +#16996=ORIENTED_EDGE('',*,*,#16902,.T.); +#16997=EDGE_LOOP('',(#16993,#16994,#16995,#16996)); +#16998=FACE_OUTER_BOUND('',#16997,.F.); +#17000=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); +#17001=DIRECTION('',(0.E0,0.E0,1.E0)); +#17002=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17003=AXIS2_PLACEMENT_3D('',#17000,#17001,#17002); +#17004=PLANE('',#17003); +#17005=ORIENTED_EDGE('',*,*,#16617,.T.); +#17007=ORIENTED_EDGE('',*,*,#17006,.F.); +#17009=ORIENTED_EDGE('',*,*,#17008,.T.); +#17011=ORIENTED_EDGE('',*,*,#17010,.F.); +#17012=EDGE_LOOP('',(#17005,#17007,#17009,#17011)); +#17013=FACE_OUTER_BOUND('',#17012,.F.); +#17015=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,0.E0)); +#17016=DIRECTION('',(0.E0,1.E0,0.E0)); +#17017=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17018=AXIS2_PLACEMENT_3D('',#17015,#17016,#17017); +#17019=PLANE('',#17018); +#17021=ORIENTED_EDGE('',*,*,#17020,.T.); +#17023=ORIENTED_EDGE('',*,*,#17022,.F.); +#17025=ORIENTED_EDGE('',*,*,#17024,.T.); +#17026=ORIENTED_EDGE('',*,*,#11815,.F.); +#17028=ORIENTED_EDGE('',*,*,#17027,.F.); +#17029=ORIENTED_EDGE('',*,*,#17006,.T.); +#17030=ORIENTED_EDGE('',*,*,#16623,.F.); +#17032=ORIENTED_EDGE('',*,*,#17031,.F.); +#17033=EDGE_LOOP('',(#17021,#17023,#17025,#17026,#17028,#17029,#17030,#17032)); +#17034=FACE_OUTER_BOUND('',#17033,.F.); +#17036=CARTESIAN_POINT('',(-3.479569055660E0,2.4257008E1,0.E0)); +#17037=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17038=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17039=AXIS2_PLACEMENT_3D('',#17036,#17037,#17038); +#17040=PLANE('',#17039); +#17041=ORIENTED_EDGE('',*,*,#17020,.F.); +#17043=ORIENTED_EDGE('',*,*,#17042,.F.); +#17045=ORIENTED_EDGE('',*,*,#17044,.T.); +#17047=ORIENTED_EDGE('',*,*,#17046,.F.); +#17048=EDGE_LOOP('',(#17041,#17043,#17045,#17047)); +#17049=FACE_OUTER_BOUND('',#17048,.F.); +#17051=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); +#17052=DIRECTION('',(0.E0,0.E0,1.E0)); +#17053=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17054=AXIS2_PLACEMENT_3D('',#17051,#17052,#17053); +#17055=PLANE('',#17054); +#17056=ORIENTED_EDGE('',*,*,#16621,.F.); +#17058=ORIENTED_EDGE('',*,*,#17057,.T.); +#17059=ORIENTED_EDGE('',*,*,#17042,.T.); +#17060=ORIENTED_EDGE('',*,*,#17031,.T.); +#17061=EDGE_LOOP('',(#17056,#17058,#17059,#17060)); +#17062=FACE_OUTER_BOUND('',#17061,.F.); +#17064=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,0.E0)); +#17065=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17066=DIRECTION('',(1.E0,0.E0,0.E0)); +#17067=AXIS2_PLACEMENT_3D('',#17064,#17065,#17066); +#17068=PLANE('',#17067); +#17069=ORIENTED_EDGE('',*,*,#17010,.T.); +#17071=ORIENTED_EDGE('',*,*,#17070,.T.); +#17072=ORIENTED_EDGE('',*,*,#11811,.F.); +#17074=ORIENTED_EDGE('',*,*,#17073,.F.); +#17076=ORIENTED_EDGE('',*,*,#17075,.F.); +#17077=ORIENTED_EDGE('',*,*,#17044,.F.); +#17078=ORIENTED_EDGE('',*,*,#17057,.F.); +#17079=ORIENTED_EDGE('',*,*,#16619,.T.); +#17080=EDGE_LOOP('',(#17069,#17071,#17072,#17074,#17076,#17077,#17078,#17079)); +#17081=FACE_OUTER_BOUND('',#17080,.F.); +#17083=CARTESIAN_POINT('',(-3.073169055660E0,2.3444208E1,0.E0)); +#17084=DIRECTION('',(1.E0,0.E0,0.E0)); +#17085=DIRECTION('',(0.E0,1.E0,0.E0)); +#17086=AXIS2_PLACEMENT_3D('',#17083,#17084,#17085); +#17087=PLANE('',#17086); +#17088=ORIENTED_EDGE('',*,*,#17008,.F.); +#17089=ORIENTED_EDGE('',*,*,#17027,.T.); +#17090=ORIENTED_EDGE('',*,*,#11813,.T.); +#17091=ORIENTED_EDGE('',*,*,#17070,.F.); +#17092=EDGE_LOOP('',(#17088,#17089,#17090,#17091)); +#17093=FACE_OUTER_BOUND('',#17092,.F.); +#17095=CARTESIAN_POINT('',(-4.749569055660E0,2.4257008E1,0.E0)); +#17096=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17097=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17098=AXIS2_PLACEMENT_3D('',#17095,#17096,#17097); +#17099=PLANE('',#17098); +#17100=ORIENTED_EDGE('',*,*,#11817,.T.); +#17101=ORIENTED_EDGE('',*,*,#17024,.F.); +#17103=ORIENTED_EDGE('',*,*,#17102,.F.); +#17104=ORIENTED_EDGE('',*,*,#17073,.T.); +#17105=EDGE_LOOP('',(#17100,#17101,#17103,#17104)); +#17106=FACE_OUTER_BOUND('',#17105,.F.); +#17108=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#17109=DIRECTION('',(0.E0,0.E0,1.E0)); +#17110=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17111=AXIS2_PLACEMENT_3D('',#17108,#17109,#17110); +#17112=PLANE('',#17111); +#17113=ORIENTED_EDGE('',*,*,#17046,.T.); +#17114=ORIENTED_EDGE('',*,*,#17075,.T.); +#17115=ORIENTED_EDGE('',*,*,#17102,.T.); +#17116=ORIENTED_EDGE('',*,*,#17022,.T.); +#17117=EDGE_LOOP('',(#17113,#17114,#17115,#17116)); +#17118=FACE_OUTER_BOUND('',#17117,.F.); +#17120=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); +#17121=DIRECTION('',(0.E0,0.E0,1.E0)); +#17122=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17123=AXIS2_PLACEMENT_3D('',#17120,#17121,#17122); +#17124=PLANE('',#17123); +#17125=ORIENTED_EDGE('',*,*,#16520,.F.); +#17127=ORIENTED_EDGE('',*,*,#17126,.F.); +#17129=ORIENTED_EDGE('',*,*,#17128,.T.); +#17131=ORIENTED_EDGE('',*,*,#17130,.F.); +#17132=EDGE_LOOP('',(#17125,#17127,#17129,#17131)); +#17133=FACE_OUTER_BOUND('',#17132,.F.); +#17135=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,0.E0)); +#17136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17137=DIRECTION('',(1.E0,0.E0,0.E0)); +#17138=AXIS2_PLACEMENT_3D('',#17135,#17136,#17137); +#17139=PLANE('',#17138); +#17141=ORIENTED_EDGE('',*,*,#17140,.F.); +#17142=ORIENTED_EDGE('',*,*,#17126,.T.); +#17143=ORIENTED_EDGE('',*,*,#16526,.F.); +#17145=ORIENTED_EDGE('',*,*,#17144,.F.); +#17147=ORIENTED_EDGE('',*,*,#17146,.T.); +#17149=ORIENTED_EDGE('',*,*,#17148,.F.); +#17151=ORIENTED_EDGE('',*,*,#17150,.T.); +#17152=ORIENTED_EDGE('',*,*,#11825,.F.); +#17153=EDGE_LOOP('',(#17141,#17142,#17143,#17145,#17147,#17149,#17151,#17152)); +#17154=FACE_OUTER_BOUND('',#17153,.F.); +#17156=CARTESIAN_POINT('',(2.972030944340E0,2.8067008E1,0.E0)); +#17157=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17158=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17159=AXIS2_PLACEMENT_3D('',#17156,#17157,#17158); +#17160=PLANE('',#17159); +#17161=ORIENTED_EDGE('',*,*,#17128,.F.); +#17162=ORIENTED_EDGE('',*,*,#17140,.T.); +#17163=ORIENTED_EDGE('',*,*,#11823,.T.); +#17165=ORIENTED_EDGE('',*,*,#17164,.F.); +#17166=EDGE_LOOP('',(#17161,#17162,#17163,#17165)); +#17167=FACE_OUTER_BOUND('',#17166,.F.); +#17169=CARTESIAN_POINT('',(4.648430944340E0,2.8067008E1,0.E0)); +#17170=DIRECTION('',(0.E0,1.E0,0.E0)); +#17171=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17172=AXIS2_PLACEMENT_3D('',#17169,#17170,#17171); +#17173=PLANE('',#17172); +#17175=ORIENTED_EDGE('',*,*,#17174,.F.); +#17177=ORIENTED_EDGE('',*,*,#17176,.F.); +#17178=ORIENTED_EDGE('',*,*,#16522,.T.); +#17179=ORIENTED_EDGE('',*,*,#17130,.T.); +#17180=ORIENTED_EDGE('',*,*,#17164,.T.); +#17181=ORIENTED_EDGE('',*,*,#11821,.F.); +#17183=ORIENTED_EDGE('',*,*,#17182,.F.); +#17185=ORIENTED_EDGE('',*,*,#17184,.F.); +#17186=EDGE_LOOP('',(#17175,#17177,#17178,#17179,#17180,#17181,#17183,#17185)); +#17187=FACE_OUTER_BOUND('',#17186,.F.); +#17189=CARTESIAN_POINT('',(3.378430944340E0,2.7254208E1,0.E0)); +#17190=DIRECTION('',(1.E0,0.E0,0.E0)); +#17191=DIRECTION('',(0.E0,1.E0,0.E0)); +#17192=AXIS2_PLACEMENT_3D('',#17189,#17190,#17191); +#17193=PLANE('',#17192); +#17194=ORIENTED_EDGE('',*,*,#17146,.F.); +#17196=ORIENTED_EDGE('',*,*,#17195,.F.); +#17197=ORIENTED_EDGE('',*,*,#17174,.T.); +#17199=ORIENTED_EDGE('',*,*,#17198,.F.); +#17200=EDGE_LOOP('',(#17194,#17196,#17197,#17199)); +#17201=FACE_OUTER_BOUND('',#17200,.F.); +#17203=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); +#17204=DIRECTION('',(0.E0,0.E0,1.E0)); +#17205=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17206=AXIS2_PLACEMENT_3D('',#17203,#17204,#17205); +#17207=PLANE('',#17206); +#17208=ORIENTED_EDGE('',*,*,#16524,.T.); +#17209=ORIENTED_EDGE('',*,*,#17176,.T.); +#17210=ORIENTED_EDGE('',*,*,#17195,.T.); +#17211=ORIENTED_EDGE('',*,*,#17144,.T.); +#17212=EDGE_LOOP('',(#17208,#17209,#17210,#17211)); +#17213=FACE_OUTER_BOUND('',#17212,.F.); +#17215=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#17216=DIRECTION('',(0.E0,0.E0,1.E0)); +#17217=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17218=AXIS2_PLACEMENT_3D('',#17215,#17216,#17217); +#17219=PLANE('',#17218); +#17220=ORIENTED_EDGE('',*,*,#17198,.T.); +#17221=ORIENTED_EDGE('',*,*,#17184,.T.); +#17223=ORIENTED_EDGE('',*,*,#17222,.T.); +#17224=ORIENTED_EDGE('',*,*,#17148,.T.); +#17225=EDGE_LOOP('',(#17220,#17221,#17223,#17224)); +#17226=FACE_OUTER_BOUND('',#17225,.F.); +#17228=CARTESIAN_POINT('',(4.648430944340E0,2.7254208E1,0.E0)); +#17229=DIRECTION('',(1.E0,0.E0,0.E0)); +#17230=DIRECTION('',(0.E0,1.E0,0.E0)); +#17231=AXIS2_PLACEMENT_3D('',#17228,#17229,#17230); +#17232=PLANE('',#17231); +#17233=ORIENTED_EDGE('',*,*,#11827,.T.); +#17234=ORIENTED_EDGE('',*,*,#17150,.F.); +#17235=ORIENTED_EDGE('',*,*,#17222,.F.); +#17236=ORIENTED_EDGE('',*,*,#17182,.T.); +#17237=EDGE_LOOP('',(#17233,#17234,#17235,#17236)); +#17238=FACE_OUTER_BOUND('',#17237,.F.); +#17240=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); +#17241=DIRECTION('',(0.E0,0.E0,1.E0)); +#17242=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17243=AXIS2_PLACEMENT_3D('',#17240,#17241,#17242); +#17244=PLANE('',#17243); +#17245=ORIENTED_EDGE('',*,*,#16530,.F.); +#17247=ORIENTED_EDGE('',*,*,#17246,.F.); +#17249=ORIENTED_EDGE('',*,*,#17248,.T.); +#17251=ORIENTED_EDGE('',*,*,#17250,.F.); +#17252=EDGE_LOOP('',(#17245,#17247,#17249,#17251)); +#17253=FACE_OUTER_BOUND('',#17252,.F.); +#17255=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,0.E0)); +#17256=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17257=DIRECTION('',(1.E0,0.E0,0.E0)); +#17258=AXIS2_PLACEMENT_3D('',#17255,#17256,#17257); +#17259=PLANE('',#17258); +#17261=ORIENTED_EDGE('',*,*,#17260,.F.); +#17262=ORIENTED_EDGE('',*,*,#17246,.T.); +#17263=ORIENTED_EDGE('',*,*,#16536,.F.); +#17265=ORIENTED_EDGE('',*,*,#17264,.F.); +#17267=ORIENTED_EDGE('',*,*,#17266,.F.); +#17269=ORIENTED_EDGE('',*,*,#17268,.F.); +#17271=ORIENTED_EDGE('',*,*,#17270,.T.); +#17272=ORIENTED_EDGE('',*,*,#11835,.F.); +#17273=EDGE_LOOP('',(#17261,#17262,#17263,#17265,#17267,#17269,#17271,#17272)); +#17274=FACE_OUTER_BOUND('',#17273,.F.); +#17276=CARTESIAN_POINT('',(2.972030944340E0,2.6797008E1,0.E0)); +#17277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17278=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17279=AXIS2_PLACEMENT_3D('',#17276,#17277,#17278); +#17280=PLANE('',#17279); +#17281=ORIENTED_EDGE('',*,*,#17248,.F.); +#17282=ORIENTED_EDGE('',*,*,#17260,.T.); +#17283=ORIENTED_EDGE('',*,*,#11833,.T.); +#17285=ORIENTED_EDGE('',*,*,#17284,.F.); +#17286=EDGE_LOOP('',(#17281,#17282,#17283,#17285)); +#17287=FACE_OUTER_BOUND('',#17286,.F.); +#17289=CARTESIAN_POINT('',(4.648430944340E0,2.6797008E1,0.E0)); +#17290=DIRECTION('',(0.E0,1.E0,0.E0)); +#17291=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17292=AXIS2_PLACEMENT_3D('',#17289,#17290,#17291); +#17293=PLANE('',#17292); +#17295=ORIENTED_EDGE('',*,*,#17294,.F.); +#17297=ORIENTED_EDGE('',*,*,#17296,.F.); +#17298=ORIENTED_EDGE('',*,*,#16532,.T.); +#17299=ORIENTED_EDGE('',*,*,#17250,.T.); +#17300=ORIENTED_EDGE('',*,*,#17284,.T.); +#17301=ORIENTED_EDGE('',*,*,#11831,.F.); +#17303=ORIENTED_EDGE('',*,*,#17302,.F.); +#17305=ORIENTED_EDGE('',*,*,#17304,.F.); +#17306=EDGE_LOOP('',(#17295,#17297,#17298,#17299,#17300,#17301,#17303,#17305)); +#17307=FACE_OUTER_BOUND('',#17306,.F.); +#17309=CARTESIAN_POINT('',(3.378430944340E0,2.5984208E1,0.E0)); +#17310=DIRECTION('',(1.E0,0.E0,0.E0)); +#17311=DIRECTION('',(0.E0,1.E0,0.E0)); +#17312=AXIS2_PLACEMENT_3D('',#17309,#17310,#17311); +#17313=PLANE('',#17312); +#17314=ORIENTED_EDGE('',*,*,#17266,.T.); +#17316=ORIENTED_EDGE('',*,*,#17315,.F.); +#17317=ORIENTED_EDGE('',*,*,#17294,.T.); +#17319=ORIENTED_EDGE('',*,*,#17318,.T.); +#17320=EDGE_LOOP('',(#17314,#17316,#17317,#17319)); +#17321=FACE_OUTER_BOUND('',#17320,.F.); +#17323=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); +#17324=DIRECTION('',(0.E0,0.E0,1.E0)); +#17325=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17326=AXIS2_PLACEMENT_3D('',#17323,#17324,#17325); +#17327=PLANE('',#17326); +#17328=ORIENTED_EDGE('',*,*,#16534,.T.); +#17329=ORIENTED_EDGE('',*,*,#17296,.T.); +#17330=ORIENTED_EDGE('',*,*,#17315,.T.); +#17331=ORIENTED_EDGE('',*,*,#17264,.T.); +#17332=EDGE_LOOP('',(#17328,#17329,#17330,#17331)); +#17333=FACE_OUTER_BOUND('',#17332,.F.); +#17335=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#17336=DIRECTION('',(0.E0,0.E0,1.E0)); +#17337=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17338=AXIS2_PLACEMENT_3D('',#17335,#17336,#17337); +#17339=PLANE('',#17338); +#17340=ORIENTED_EDGE('',*,*,#17318,.F.); +#17341=ORIENTED_EDGE('',*,*,#17304,.T.); +#17343=ORIENTED_EDGE('',*,*,#17342,.T.); +#17344=ORIENTED_EDGE('',*,*,#17268,.T.); +#17345=EDGE_LOOP('',(#17340,#17341,#17343,#17344)); +#17346=FACE_OUTER_BOUND('',#17345,.F.); +#17348=CARTESIAN_POINT('',(4.648430944340E0,2.5984208E1,0.E0)); +#17349=DIRECTION('',(1.E0,0.E0,0.E0)); +#17350=DIRECTION('',(0.E0,1.E0,0.E0)); +#17351=AXIS2_PLACEMENT_3D('',#17348,#17349,#17350); +#17352=PLANE('',#17351); +#17353=ORIENTED_EDGE('',*,*,#11837,.T.); +#17354=ORIENTED_EDGE('',*,*,#17270,.F.); +#17355=ORIENTED_EDGE('',*,*,#17342,.F.); +#17356=ORIENTED_EDGE('',*,*,#17302,.T.); +#17357=EDGE_LOOP('',(#17353,#17354,#17355,#17356)); +#17358=FACE_OUTER_BOUND('',#17357,.F.); +#17360=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); +#17361=DIRECTION('',(0.E0,0.E0,1.E0)); +#17362=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17363=AXIS2_PLACEMENT_3D('',#17360,#17361,#17362); +#17364=PLANE('',#17363); +#17365=ORIENTED_EDGE('',*,*,#16540,.F.); +#17367=ORIENTED_EDGE('',*,*,#17366,.F.); +#17369=ORIENTED_EDGE('',*,*,#17368,.T.); +#17371=ORIENTED_EDGE('',*,*,#17370,.F.); +#17372=EDGE_LOOP('',(#17365,#17367,#17369,#17371)); +#17373=FACE_OUTER_BOUND('',#17372,.F.); +#17375=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,0.E0)); +#17376=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17377=DIRECTION('',(1.E0,0.E0,0.E0)); +#17378=AXIS2_PLACEMENT_3D('',#17375,#17376,#17377); +#17379=PLANE('',#17378); +#17381=ORIENTED_EDGE('',*,*,#17380,.F.); +#17382=ORIENTED_EDGE('',*,*,#17366,.T.); +#17383=ORIENTED_EDGE('',*,*,#16546,.F.); +#17385=ORIENTED_EDGE('',*,*,#17384,.F.); +#17387=ORIENTED_EDGE('',*,*,#17386,.T.); +#17389=ORIENTED_EDGE('',*,*,#17388,.F.); +#17391=ORIENTED_EDGE('',*,*,#17390,.T.); +#17392=ORIENTED_EDGE('',*,*,#11845,.F.); +#17393=EDGE_LOOP('',(#17381,#17382,#17383,#17385,#17387,#17389,#17391,#17392)); +#17394=FACE_OUTER_BOUND('',#17393,.F.); +#17396=CARTESIAN_POINT('',(2.972030944340E0,2.5527008E1,0.E0)); +#17397=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17398=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17399=AXIS2_PLACEMENT_3D('',#17396,#17397,#17398); +#17400=PLANE('',#17399); +#17401=ORIENTED_EDGE('',*,*,#17368,.F.); +#17402=ORIENTED_EDGE('',*,*,#17380,.T.); +#17403=ORIENTED_EDGE('',*,*,#11843,.T.); +#17405=ORIENTED_EDGE('',*,*,#17404,.F.); +#17406=EDGE_LOOP('',(#17401,#17402,#17403,#17405)); +#17407=FACE_OUTER_BOUND('',#17406,.F.); +#17409=CARTESIAN_POINT('',(4.648430944340E0,2.5527008E1,0.E0)); +#17410=DIRECTION('',(0.E0,1.E0,0.E0)); +#17411=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17412=AXIS2_PLACEMENT_3D('',#17409,#17410,#17411); +#17413=PLANE('',#17412); +#17415=ORIENTED_EDGE('',*,*,#17414,.F.); +#17417=ORIENTED_EDGE('',*,*,#17416,.F.); +#17418=ORIENTED_EDGE('',*,*,#16542,.T.); +#17419=ORIENTED_EDGE('',*,*,#17370,.T.); +#17420=ORIENTED_EDGE('',*,*,#17404,.T.); +#17421=ORIENTED_EDGE('',*,*,#11841,.F.); +#17423=ORIENTED_EDGE('',*,*,#17422,.F.); +#17425=ORIENTED_EDGE('',*,*,#17424,.F.); +#17426=EDGE_LOOP('',(#17415,#17417,#17418,#17419,#17420,#17421,#17423,#17425)); +#17427=FACE_OUTER_BOUND('',#17426,.F.); +#17429=CARTESIAN_POINT('',(3.378430944340E0,2.4714208E1,0.E0)); +#17430=DIRECTION('',(1.E0,0.E0,0.E0)); +#17431=DIRECTION('',(0.E0,1.E0,0.E0)); +#17432=AXIS2_PLACEMENT_3D('',#17429,#17430,#17431); +#17433=PLANE('',#17432); +#17434=ORIENTED_EDGE('',*,*,#17386,.F.); +#17436=ORIENTED_EDGE('',*,*,#17435,.F.); +#17437=ORIENTED_EDGE('',*,*,#17414,.T.); +#17439=ORIENTED_EDGE('',*,*,#17438,.T.); +#17440=EDGE_LOOP('',(#17434,#17436,#17437,#17439)); +#17441=FACE_OUTER_BOUND('',#17440,.F.); +#17443=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); +#17444=DIRECTION('',(0.E0,0.E0,1.E0)); +#17445=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17446=AXIS2_PLACEMENT_3D('',#17443,#17444,#17445); +#17447=PLANE('',#17446); +#17448=ORIENTED_EDGE('',*,*,#16544,.T.); +#17449=ORIENTED_EDGE('',*,*,#17416,.T.); +#17450=ORIENTED_EDGE('',*,*,#17435,.T.); +#17451=ORIENTED_EDGE('',*,*,#17384,.T.); +#17452=EDGE_LOOP('',(#17448,#17449,#17450,#17451)); +#17453=FACE_OUTER_BOUND('',#17452,.F.); +#17455=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#17456=DIRECTION('',(0.E0,0.E0,1.E0)); +#17457=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17458=AXIS2_PLACEMENT_3D('',#17455,#17456,#17457); +#17459=PLANE('',#17458); +#17460=ORIENTED_EDGE('',*,*,#17438,.F.); +#17461=ORIENTED_EDGE('',*,*,#17424,.T.); +#17463=ORIENTED_EDGE('',*,*,#17462,.T.); +#17464=ORIENTED_EDGE('',*,*,#17388,.T.); +#17465=EDGE_LOOP('',(#17460,#17461,#17463,#17464)); +#17466=FACE_OUTER_BOUND('',#17465,.F.); +#17468=CARTESIAN_POINT('',(4.648430944340E0,2.4714208E1,0.E0)); +#17469=DIRECTION('',(1.E0,0.E0,0.E0)); +#17470=DIRECTION('',(0.E0,1.E0,0.E0)); +#17471=AXIS2_PLACEMENT_3D('',#17468,#17469,#17470); +#17472=PLANE('',#17471); +#17473=ORIENTED_EDGE('',*,*,#11847,.T.); +#17474=ORIENTED_EDGE('',*,*,#17390,.F.); +#17475=ORIENTED_EDGE('',*,*,#17462,.F.); +#17476=ORIENTED_EDGE('',*,*,#17422,.T.); +#17477=EDGE_LOOP('',(#17473,#17474,#17475,#17476)); +#17478=FACE_OUTER_BOUND('',#17477,.F.); +#17480=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.E0)); +#17481=DIRECTION('',(0.E0,0.E0,1.E0)); +#17482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17483=AXIS2_PLACEMENT_3D('',#17480,#17481,#17482); +#17484=PLANE('',#17483); +#17485=ORIENTED_EDGE('',*,*,#16550,.F.); +#17487=ORIENTED_EDGE('',*,*,#17486,.F.); +#17489=ORIENTED_EDGE('',*,*,#17488,.T.); +#17491=ORIENTED_EDGE('',*,*,#17490,.F.); +#17492=EDGE_LOOP('',(#17485,#17487,#17489,#17491)); +#17493=FACE_OUTER_BOUND('',#17492,.F.); +#17495=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,0.E0)); +#17496=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17497=DIRECTION('',(1.E0,0.E0,0.E0)); +#17498=AXIS2_PLACEMENT_3D('',#17495,#17496,#17497); +#17499=PLANE('',#17498); +#17501=ORIENTED_EDGE('',*,*,#17500,.F.); +#17502=ORIENTED_EDGE('',*,*,#17486,.T.); +#17503=ORIENTED_EDGE('',*,*,#16556,.F.); +#17505=ORIENTED_EDGE('',*,*,#17504,.F.); +#17507=ORIENTED_EDGE('',*,*,#17506,.F.); +#17509=ORIENTED_EDGE('',*,*,#17508,.F.); +#17511=ORIENTED_EDGE('',*,*,#17510,.T.); +#17512=ORIENTED_EDGE('',*,*,#11855,.F.); +#17513=EDGE_LOOP('',(#17501,#17502,#17503,#17505,#17507,#17509,#17511,#17512)); +#17514=FACE_OUTER_BOUND('',#17513,.F.); +#17516=CARTESIAN_POINT('',(2.972030944340E0,2.4257008E1,0.E0)); +#17517=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17518=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17519=AXIS2_PLACEMENT_3D('',#17516,#17517,#17518); +#17520=PLANE('',#17519); +#17521=ORIENTED_EDGE('',*,*,#17488,.F.); +#17522=ORIENTED_EDGE('',*,*,#17500,.T.); +#17523=ORIENTED_EDGE('',*,*,#11853,.T.); +#17525=ORIENTED_EDGE('',*,*,#17524,.F.); +#17526=EDGE_LOOP('',(#17521,#17522,#17523,#17525)); +#17527=FACE_OUTER_BOUND('',#17526,.F.); +#17529=CARTESIAN_POINT('',(4.648430944340E0,2.4257008E1,0.E0)); +#17530=DIRECTION('',(0.E0,1.E0,0.E0)); +#17531=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17532=AXIS2_PLACEMENT_3D('',#17529,#17530,#17531); +#17533=PLANE('',#17532); +#17535=ORIENTED_EDGE('',*,*,#17534,.F.); +#17537=ORIENTED_EDGE('',*,*,#17536,.F.); +#17538=ORIENTED_EDGE('',*,*,#16552,.T.); +#17539=ORIENTED_EDGE('',*,*,#17490,.T.); +#17540=ORIENTED_EDGE('',*,*,#17524,.T.); +#17541=ORIENTED_EDGE('',*,*,#11851,.F.); +#17543=ORIENTED_EDGE('',*,*,#17542,.F.); +#17545=ORIENTED_EDGE('',*,*,#17544,.F.); +#17546=EDGE_LOOP('',(#17535,#17537,#17538,#17539,#17540,#17541,#17543,#17545)); +#17547=FACE_OUTER_BOUND('',#17546,.F.); +#17549=CARTESIAN_POINT('',(3.378430944340E0,2.3444208E1,0.E0)); +#17550=DIRECTION('',(1.E0,0.E0,0.E0)); +#17551=DIRECTION('',(0.E0,1.E0,0.E0)); +#17552=AXIS2_PLACEMENT_3D('',#17549,#17550,#17551); +#17553=PLANE('',#17552); +#17554=ORIENTED_EDGE('',*,*,#17506,.T.); +#17556=ORIENTED_EDGE('',*,*,#17555,.F.); +#17557=ORIENTED_EDGE('',*,*,#17534,.T.); +#17559=ORIENTED_EDGE('',*,*,#17558,.T.); +#17560=EDGE_LOOP('',(#17554,#17556,#17557,#17559)); +#17561=FACE_OUTER_BOUND('',#17560,.F.); +#17563=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); +#17564=DIRECTION('',(0.E0,0.E0,1.E0)); +#17565=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17566=AXIS2_PLACEMENT_3D('',#17563,#17564,#17565); +#17567=PLANE('',#17566); +#17568=ORIENTED_EDGE('',*,*,#16554,.T.); +#17569=ORIENTED_EDGE('',*,*,#17536,.T.); +#17570=ORIENTED_EDGE('',*,*,#17555,.T.); +#17571=ORIENTED_EDGE('',*,*,#17504,.T.); +#17572=EDGE_LOOP('',(#17568,#17569,#17570,#17571)); +#17573=FACE_OUTER_BOUND('',#17572,.F.); +#17575=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#17576=DIRECTION('',(0.E0,0.E0,1.E0)); +#17577=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17578=AXIS2_PLACEMENT_3D('',#17575,#17576,#17577); +#17579=PLANE('',#17578); +#17580=ORIENTED_EDGE('',*,*,#17558,.F.); +#17581=ORIENTED_EDGE('',*,*,#17544,.T.); +#17583=ORIENTED_EDGE('',*,*,#17582,.T.); +#17584=ORIENTED_EDGE('',*,*,#17508,.T.); +#17585=EDGE_LOOP('',(#17580,#17581,#17583,#17584)); +#17586=FACE_OUTER_BOUND('',#17585,.F.); +#17588=CARTESIAN_POINT('',(4.648430944340E0,2.3444208E1,0.E0)); +#17589=DIRECTION('',(1.E0,0.E0,0.E0)); +#17590=DIRECTION('',(0.E0,1.E0,0.E0)); +#17591=AXIS2_PLACEMENT_3D('',#17588,#17589,#17590); +#17592=PLANE('',#17591); +#17593=ORIENTED_EDGE('',*,*,#11857,.T.); +#17594=ORIENTED_EDGE('',*,*,#17510,.F.); +#17595=ORIENTED_EDGE('',*,*,#17582,.F.); +#17596=ORIENTED_EDGE('',*,*,#17542,.T.); +#17597=EDGE_LOOP('',(#17593,#17594,#17595,#17596)); +#17598=FACE_OUTER_BOUND('',#17597,.F.); +#17600=CARTESIAN_POINT('',(4.476916944340E0,4.4762326E1,0.E0)); +#17601=DIRECTION('',(0.E0,1.E0,0.E0)); +#17602=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17603=AXIS2_PLACEMENT_3D('',#17600,#17601,#17602); +#17604=PLANE('',#17603); +#17605=ORIENTED_EDGE('',*,*,#11861,.T.); +#17607=ORIENTED_EDGE('',*,*,#17606,.F.); +#17609=ORIENTED_EDGE('',*,*,#17608,.F.); +#17611=ORIENTED_EDGE('',*,*,#17610,.T.); +#17612=EDGE_LOOP('',(#17605,#17607,#17609,#17611)); +#17613=FACE_OUTER_BOUND('',#17612,.F.); +#17615=CARTESIAN_POINT('',(4.476916944340E0,3.9159137E1,0.E0)); +#17616=DIRECTION('',(1.E0,0.E0,0.E0)); +#17617=DIRECTION('',(0.E0,1.E0,0.E0)); +#17618=AXIS2_PLACEMENT_3D('',#17615,#17616,#17617); +#17619=PLANE('',#17618); +#17620=ORIENTED_EDGE('',*,*,#11899,.T.); +#17622=ORIENTED_EDGE('',*,*,#17621,.F.); +#17624=ORIENTED_EDGE('',*,*,#17623,.F.); +#17625=ORIENTED_EDGE('',*,*,#17606,.T.); +#17626=EDGE_LOOP('',(#17620,#17622,#17624,#17625)); +#17627=FACE_OUTER_BOUND('',#17626,.F.); +#17629=CARTESIAN_POINT('',(4.211525944340E0,3.9159137E1,0.E0)); +#17630=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17631=DIRECTION('',(1.E0,0.E0,0.E0)); +#17632=AXIS2_PLACEMENT_3D('',#17629,#17630,#17631); +#17633=PLANE('',#17632); +#17634=ORIENTED_EDGE('',*,*,#11897,.T.); +#17636=ORIENTED_EDGE('',*,*,#17635,.F.); +#17638=ORIENTED_EDGE('',*,*,#17637,.F.); +#17639=ORIENTED_EDGE('',*,*,#17621,.T.); +#17640=EDGE_LOOP('',(#17634,#17636,#17638,#17639)); +#17641=FACE_OUTER_BOUND('',#17640,.F.); +#17643=CARTESIAN_POINT('',(4.211525944340E0,3.8384513E1,0.E0)); +#17644=DIRECTION('',(1.E0,0.E0,0.E0)); +#17645=DIRECTION('',(0.E0,1.E0,0.E0)); +#17646=AXIS2_PLACEMENT_3D('',#17643,#17644,#17645); +#17647=PLANE('',#17646); +#17649=ORIENTED_EDGE('',*,*,#17648,.T.); +#17650=ORIENTED_EDGE('',*,*,#17635,.T.); +#17651=ORIENTED_EDGE('',*,*,#11895,.T.); +#17653=ORIENTED_EDGE('',*,*,#17652,.F.); +#17655=ORIENTED_EDGE('',*,*,#17654,.F.); +#17657=ORIENTED_EDGE('',*,*,#17656,.T.); +#17658=ORIENTED_EDGE('',*,*,#11863,.T.); +#17659=ORIENTED_EDGE('',*,*,#17610,.F.); +#17660=EDGE_LOOP('',(#17649,#17650,#17651,#17653,#17655,#17657,#17658,#17659)); +#17661=FACE_OUTER_BOUND('',#17660,.F.); +#17663=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); +#17664=DIRECTION('',(0.E0,0.E0,1.E0)); +#17665=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17666=AXIS2_PLACEMENT_3D('',#17663,#17664,#17665); +#17667=PLANE('',#17666); +#17668=ORIENTED_EDGE('',*,*,#17648,.F.); +#17669=ORIENTED_EDGE('',*,*,#17608,.T.); +#17670=ORIENTED_EDGE('',*,*,#17623,.T.); +#17671=ORIENTED_EDGE('',*,*,#17637,.T.); +#17672=EDGE_LOOP('',(#17668,#17669,#17670,#17671)); +#17673=FACE_OUTER_BOUND('',#17672,.F.); +#17675=CARTESIAN_POINT('',(-2.940911055660E0,3.8384513E1,0.E0)); +#17676=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17677=DIRECTION('',(1.E0,0.E0,0.E0)); +#17678=AXIS2_PLACEMENT_3D('',#17675,#17676,#17677); +#17679=PLANE('',#17678); +#17681=ORIENTED_EDGE('',*,*,#17680,.T.); +#17683=ORIENTED_EDGE('',*,*,#17682,.T.); +#17684=ORIENTED_EDGE('',*,*,#11885,.T.); +#17686=ORIENTED_EDGE('',*,*,#17685,.F.); +#17688=ORIENTED_EDGE('',*,*,#17687,.F.); +#17689=ORIENTED_EDGE('',*,*,#17652,.T.); +#17690=ORIENTED_EDGE('',*,*,#11893,.T.); +#17692=ORIENTED_EDGE('',*,*,#17691,.F.); +#17693=EDGE_LOOP('',(#17681,#17683,#17684,#17686,#17688,#17689,#17690,#17692)); +#17694=FACE_OUTER_BOUND('',#17693,.F.); +#17696=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); +#17697=DIRECTION('',(0.E0,0.E0,1.E0)); +#17698=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17699=AXIS2_PLACEMENT_3D('',#17696,#17697,#17698); +#17700=PLANE('',#17699); +#17701=ORIENTED_EDGE('',*,*,#17680,.F.); +#17703=ORIENTED_EDGE('',*,*,#17702,.T.); +#17705=ORIENTED_EDGE('',*,*,#17704,.T.); +#17707=ORIENTED_EDGE('',*,*,#17706,.T.); +#17708=EDGE_LOOP('',(#17701,#17703,#17705,#17707)); +#17709=FACE_OUTER_BOUND('',#17708,.F.); +#17711=CARTESIAN_POINT('',(3.436901944340E0,3.8119121E1,0.E0)); +#17712=DIRECTION('',(1.E0,0.E0,0.E0)); +#17713=DIRECTION('',(0.E0,1.E0,0.E0)); +#17714=AXIS2_PLACEMENT_3D('',#17711,#17712,#17713); +#17715=PLANE('',#17714); +#17716=ORIENTED_EDGE('',*,*,#11891,.T.); +#17718=ORIENTED_EDGE('',*,*,#17717,.F.); +#17719=ORIENTED_EDGE('',*,*,#17702,.F.); +#17720=ORIENTED_EDGE('',*,*,#17691,.T.); +#17721=EDGE_LOOP('',(#17716,#17718,#17719,#17720)); +#17722=FACE_OUTER_BOUND('',#17721,.F.); +#17724=CARTESIAN_POINT('',(-2.166287055660E0,3.8119121E1,0.E0)); +#17725=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17726=DIRECTION('',(1.E0,0.E0,0.E0)); +#17727=AXIS2_PLACEMENT_3D('',#17724,#17725,#17726); +#17728=PLANE('',#17727); +#17729=ORIENTED_EDGE('',*,*,#11889,.T.); +#17731=ORIENTED_EDGE('',*,*,#17730,.F.); +#17732=ORIENTED_EDGE('',*,*,#17704,.F.); +#17733=ORIENTED_EDGE('',*,*,#17717,.T.); +#17734=EDGE_LOOP('',(#17729,#17731,#17732,#17733)); +#17735=FACE_OUTER_BOUND('',#17734,.F.); +#17737=CARTESIAN_POINT('',(-2.166287055660E0,3.8384513E1,0.E0)); +#17738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17739=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17740=AXIS2_PLACEMENT_3D('',#17737,#17738,#17739); +#17741=PLANE('',#17740); +#17742=ORIENTED_EDGE('',*,*,#11887,.T.); +#17743=ORIENTED_EDGE('',*,*,#17682,.F.); +#17744=ORIENTED_EDGE('',*,*,#17706,.F.); +#17745=ORIENTED_EDGE('',*,*,#17730,.T.); +#17746=EDGE_LOOP('',(#17742,#17743,#17744,#17745)); +#17747=FACE_OUTER_BOUND('',#17746,.F.); +#17749=CARTESIAN_POINT('',(-2.940911055660E0,4.553695E1,0.E0)); +#17750=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17751=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17752=AXIS2_PLACEMENT_3D('',#17749,#17750,#17751); +#17753=PLANE('',#17752); +#17755=ORIENTED_EDGE('',*,*,#17754,.T.); +#17757=ORIENTED_EDGE('',*,*,#17756,.T.); +#17758=ORIENTED_EDGE('',*,*,#11875,.T.); +#17760=ORIENTED_EDGE('',*,*,#17759,.F.); +#17762=ORIENTED_EDGE('',*,*,#17761,.F.); +#17763=ORIENTED_EDGE('',*,*,#17685,.T.); +#17764=ORIENTED_EDGE('',*,*,#11883,.T.); +#17766=ORIENTED_EDGE('',*,*,#17765,.F.); +#17767=EDGE_LOOP('',(#17755,#17757,#17758,#17760,#17762,#17763,#17764,#17766)); +#17768=FACE_OUTER_BOUND('',#17767,.F.); +#17770=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); +#17771=DIRECTION('',(0.E0,0.E0,1.E0)); +#17772=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17773=AXIS2_PLACEMENT_3D('',#17770,#17771,#17772); +#17774=PLANE('',#17773); +#17775=ORIENTED_EDGE('',*,*,#17754,.F.); +#17777=ORIENTED_EDGE('',*,*,#17776,.T.); +#17779=ORIENTED_EDGE('',*,*,#17778,.T.); +#17781=ORIENTED_EDGE('',*,*,#17780,.T.); +#17782=EDGE_LOOP('',(#17775,#17777,#17779,#17781)); +#17783=FACE_OUTER_BOUND('',#17782,.F.); +#17785=CARTESIAN_POINT('',(-3.206303055660E0,3.9159137E1,0.E0)); +#17786=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17787=DIRECTION('',(1.E0,0.E0,0.E0)); +#17788=AXIS2_PLACEMENT_3D('',#17785,#17786,#17787); +#17789=PLANE('',#17788); +#17790=ORIENTED_EDGE('',*,*,#11881,.T.); +#17792=ORIENTED_EDGE('',*,*,#17791,.F.); +#17793=ORIENTED_EDGE('',*,*,#17776,.F.); +#17794=ORIENTED_EDGE('',*,*,#17765,.T.); +#17795=EDGE_LOOP('',(#17790,#17792,#17793,#17794)); +#17796=FACE_OUTER_BOUND('',#17795,.F.); +#17798=CARTESIAN_POINT('',(-3.206303055660E0,4.4762326E1,0.E0)); +#17799=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17800=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17801=AXIS2_PLACEMENT_3D('',#17798,#17799,#17800); +#17802=PLANE('',#17801); +#17803=ORIENTED_EDGE('',*,*,#11879,.T.); +#17805=ORIENTED_EDGE('',*,*,#17804,.F.); +#17806=ORIENTED_EDGE('',*,*,#17778,.F.); +#17807=ORIENTED_EDGE('',*,*,#17791,.T.); +#17808=EDGE_LOOP('',(#17803,#17805,#17806,#17807)); +#17809=FACE_OUTER_BOUND('',#17808,.F.); +#17811=CARTESIAN_POINT('',(-2.940911055660E0,4.4762326E1,0.E0)); +#17812=DIRECTION('',(0.E0,1.E0,0.E0)); +#17813=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17814=AXIS2_PLACEMENT_3D('',#17811,#17812,#17813); +#17815=PLANE('',#17814); +#17816=ORIENTED_EDGE('',*,*,#11877,.T.); +#17817=ORIENTED_EDGE('',*,*,#17756,.F.); +#17818=ORIENTED_EDGE('',*,*,#17780,.F.); +#17819=ORIENTED_EDGE('',*,*,#17804,.T.); +#17820=EDGE_LOOP('',(#17816,#17817,#17818,#17819)); +#17821=FACE_OUTER_BOUND('',#17820,.F.); +#17823=CARTESIAN_POINT('',(4.211525944340E0,4.553695E1,0.E0)); +#17824=DIRECTION('',(0.E0,1.E0,0.E0)); +#17825=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17826=AXIS2_PLACEMENT_3D('',#17823,#17824,#17825); +#17827=PLANE('',#17826); +#17829=ORIENTED_EDGE('',*,*,#17828,.T.); +#17831=ORIENTED_EDGE('',*,*,#17830,.T.); +#17832=ORIENTED_EDGE('',*,*,#11865,.T.); +#17833=ORIENTED_EDGE('',*,*,#17656,.F.); +#17835=ORIENTED_EDGE('',*,*,#17834,.F.); +#17836=ORIENTED_EDGE('',*,*,#17759,.T.); +#17837=ORIENTED_EDGE('',*,*,#11873,.T.); +#17839=ORIENTED_EDGE('',*,*,#17838,.F.); +#17840=EDGE_LOOP('',(#17829,#17831,#17832,#17833,#17835,#17836,#17837,#17839)); +#17841=FACE_OUTER_BOUND('',#17840,.F.); +#17843=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); +#17844=DIRECTION('',(0.E0,0.E0,1.E0)); +#17845=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17846=AXIS2_PLACEMENT_3D('',#17843,#17844,#17845); +#17847=PLANE('',#17846); +#17848=ORIENTED_EDGE('',*,*,#17828,.F.); +#17850=ORIENTED_EDGE('',*,*,#17849,.T.); +#17852=ORIENTED_EDGE('',*,*,#17851,.T.); +#17854=ORIENTED_EDGE('',*,*,#17853,.T.); +#17855=EDGE_LOOP('',(#17848,#17850,#17852,#17854)); +#17856=FACE_OUTER_BOUND('',#17855,.F.); +#17858=CARTESIAN_POINT('',(-2.166287055660E0,4.5802342E1,0.E0)); +#17859=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17861=AXIS2_PLACEMENT_3D('',#17858,#17859,#17860); +#17862=PLANE('',#17861); +#17863=ORIENTED_EDGE('',*,*,#11871,.T.); +#17865=ORIENTED_EDGE('',*,*,#17864,.F.); +#17866=ORIENTED_EDGE('',*,*,#17849,.F.); +#17867=ORIENTED_EDGE('',*,*,#17838,.T.); +#17868=EDGE_LOOP('',(#17863,#17865,#17866,#17867)); +#17869=FACE_OUTER_BOUND('',#17868,.F.); +#17871=CARTESIAN_POINT('',(3.436901944340E0,4.5802342E1,0.E0)); +#17872=DIRECTION('',(0.E0,1.E0,0.E0)); +#17873=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17874=AXIS2_PLACEMENT_3D('',#17871,#17872,#17873); +#17875=PLANE('',#17874); +#17876=ORIENTED_EDGE('',*,*,#11869,.T.); +#17878=ORIENTED_EDGE('',*,*,#17877,.F.); +#17879=ORIENTED_EDGE('',*,*,#17851,.F.); +#17880=ORIENTED_EDGE('',*,*,#17864,.T.); +#17881=EDGE_LOOP('',(#17876,#17878,#17879,#17880)); +#17882=FACE_OUTER_BOUND('',#17881,.F.); +#17884=CARTESIAN_POINT('',(3.436901944340E0,4.553695E1,0.E0)); +#17885=DIRECTION('',(1.E0,0.E0,0.E0)); +#17886=DIRECTION('',(0.E0,1.E0,0.E0)); +#17887=AXIS2_PLACEMENT_3D('',#17884,#17885,#17886); +#17888=PLANE('',#17887); +#17889=ORIENTED_EDGE('',*,*,#11867,.T.); +#17890=ORIENTED_EDGE('',*,*,#17830,.F.); +#17891=ORIENTED_EDGE('',*,*,#17853,.F.); +#17892=ORIENTED_EDGE('',*,*,#17877,.T.); +#17893=EDGE_LOOP('',(#17889,#17890,#17891,#17892)); +#17894=FACE_OUTER_BOUND('',#17893,.F.); +#17896=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#17897=DIRECTION('',(0.E0,0.E0,1.E0)); +#17898=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17899=AXIS2_PLACEMENT_3D('',#17896,#17897,#17898); +#17900=PLANE('',#17899); +#17901=ORIENTED_EDGE('',*,*,#17761,.T.); +#17902=ORIENTED_EDGE('',*,*,#17834,.T.); +#17903=ORIENTED_EDGE('',*,*,#17654,.T.); +#17904=ORIENTED_EDGE('',*,*,#17687,.T.); +#17905=EDGE_LOOP('',(#17901,#17902,#17903,#17904)); +#17906=FACE_OUTER_BOUND('',#17905,.F.); +#17908=CARTESIAN_POINT('',(4.166846944340E0,3.353672E1,0.E0)); +#17909=DIRECTION('',(0.E0,1.E0,0.E0)); +#17910=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17911=AXIS2_PLACEMENT_3D('',#17908,#17909,#17910); +#17912=PLANE('',#17911); +#17913=ORIENTED_EDGE('',*,*,#11903,.T.); +#17915=ORIENTED_EDGE('',*,*,#17914,.F.); +#17917=ORIENTED_EDGE('',*,*,#17916,.F.); +#17919=ORIENTED_EDGE('',*,*,#17918,.T.); +#17920=EDGE_LOOP('',(#17913,#17915,#17917,#17919)); +#17921=FACE_OUTER_BOUND('',#17920,.F.); +#17923=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,0.E0)); +#17924=DIRECTION('',(1.E0,0.E0,0.E0)); +#17925=DIRECTION('',(0.E0,1.E0,0.E0)); +#17926=AXIS2_PLACEMENT_3D('',#17923,#17924,#17925); +#17927=PLANE('',#17926); +#17928=ORIENTED_EDGE('',*,*,#11925,.T.); +#17930=ORIENTED_EDGE('',*,*,#17929,.F.); +#17932=ORIENTED_EDGE('',*,*,#17931,.F.); +#17933=ORIENTED_EDGE('',*,*,#17914,.T.); +#17934=EDGE_LOOP('',(#17928,#17930,#17932,#17933)); +#17935=FACE_OUTER_BOUND('',#17934,.F.); +#17937=CARTESIAN_POINT('',(4.251428944340E0,3.3308806E1,0.E0)); +#17938=DIRECTION('',(0.E0,1.E0,0.E0)); +#17939=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17940=AXIS2_PLACEMENT_3D('',#17937,#17938,#17939); +#17941=PLANE('',#17940); +#17943=ORIENTED_EDGE('',*,*,#17942,.F.); +#17944=ORIENTED_EDGE('',*,*,#17929,.T.); +#17945=ORIENTED_EDGE('',*,*,#11923,.T.); +#17947=ORIENTED_EDGE('',*,*,#17946,.F.); +#17949=ORIENTED_EDGE('',*,*,#17948,.F.); +#17951=ORIENTED_EDGE('',*,*,#17950,.T.); +#17952=ORIENTED_EDGE('',*,*,#11907,.T.); +#17954=ORIENTED_EDGE('',*,*,#17953,.F.); +#17955=EDGE_LOOP('',(#17943,#17944,#17945,#17947,#17949,#17951,#17952,#17954)); +#17956=FACE_OUTER_BOUND('',#17955,.F.); +#17958=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); +#17959=DIRECTION('',(0.E0,0.E0,1.E0)); +#17960=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17961=AXIS2_PLACEMENT_3D('',#17958,#17959,#17960); +#17962=PLANE('',#17961); +#17963=ORIENTED_EDGE('',*,*,#17942,.T.); +#17965=ORIENTED_EDGE('',*,*,#17964,.T.); +#17966=ORIENTED_EDGE('',*,*,#17916,.T.); +#17967=ORIENTED_EDGE('',*,*,#17931,.T.); +#17968=EDGE_LOOP('',(#17963,#17965,#17966,#17967)); +#17969=FACE_OUTER_BOUND('',#17968,.F.); +#17971=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,0.E0)); +#17972=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17973=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17974=AXIS2_PLACEMENT_3D('',#17971,#17972,#17973); +#17975=PLANE('',#17974); +#17976=ORIENTED_EDGE('',*,*,#11905,.T.); +#17977=ORIENTED_EDGE('',*,*,#17918,.F.); +#17978=ORIENTED_EDGE('',*,*,#17964,.F.); +#17979=ORIENTED_EDGE('',*,*,#17953,.T.); +#17980=EDGE_LOOP('',(#17976,#17977,#17978,#17979)); +#17981=FACE_OUTER_BOUND('',#17980,.F.); +#17983=CARTESIAN_POINT('',(4.251428944340E0,3.115641E1,0.E0)); +#17984=DIRECTION('',(1.E0,0.E0,0.E0)); +#17985=DIRECTION('',(0.E0,1.E0,0.E0)); +#17986=AXIS2_PLACEMENT_3D('',#17983,#17984,#17985); +#17987=PLANE('',#17986); +#17988=ORIENTED_EDGE('',*,*,#11921,.T.); +#17990=ORIENTED_EDGE('',*,*,#17989,.F.); +#17992=ORIENTED_EDGE('',*,*,#17991,.F.); +#17993=ORIENTED_EDGE('',*,*,#17946,.T.); +#17994=EDGE_LOOP('',(#17988,#17990,#17992,#17993)); +#17995=FACE_OUTER_BOUND('',#17994,.F.); +#17997=CARTESIAN_POINT('',(2.099032944340E0,3.115641E1,0.E0)); +#17998=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17999=DIRECTION('',(1.E0,0.E0,0.E0)); +#18000=AXIS2_PLACEMENT_3D('',#17997,#17998,#17999); +#18001=PLANE('',#18000); +#18003=ORIENTED_EDGE('',*,*,#18002,.T.); +#18005=ORIENTED_EDGE('',*,*,#18004,.T.); +#18006=ORIENTED_EDGE('',*,*,#11911,.T.); +#18008=ORIENTED_EDGE('',*,*,#18007,.F.); +#18010=ORIENTED_EDGE('',*,*,#18009,.F.); +#18011=ORIENTED_EDGE('',*,*,#17989,.T.); +#18012=ORIENTED_EDGE('',*,*,#11919,.T.); +#18014=ORIENTED_EDGE('',*,*,#18013,.F.); +#18015=EDGE_LOOP('',(#18003,#18005,#18006,#18008,#18010,#18011,#18012,#18014)); +#18016=FACE_OUTER_BOUND('',#18015,.F.); +#18018=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); +#18019=DIRECTION('',(0.E0,0.E0,1.E0)); +#18020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18021=AXIS2_PLACEMENT_3D('',#18018,#18019,#18020); +#18022=PLANE('',#18021); +#18023=ORIENTED_EDGE('',*,*,#18002,.F.); +#18025=ORIENTED_EDGE('',*,*,#18024,.T.); +#18027=ORIENTED_EDGE('',*,*,#18026,.T.); +#18029=ORIENTED_EDGE('',*,*,#18028,.T.); +#18030=EDGE_LOOP('',(#18023,#18025,#18027,#18029)); +#18031=FACE_OUTER_BOUND('',#18030,.F.); +#18033=CARTESIAN_POINT('',(4.166846944340E0,3.0928496E1,0.E0)); +#18034=DIRECTION('',(1.E0,0.E0,0.E0)); +#18035=DIRECTION('',(0.E0,1.E0,0.E0)); +#18036=AXIS2_PLACEMENT_3D('',#18033,#18034,#18035); +#18037=PLANE('',#18036); +#18038=ORIENTED_EDGE('',*,*,#11917,.T.); +#18040=ORIENTED_EDGE('',*,*,#18039,.F.); +#18041=ORIENTED_EDGE('',*,*,#18024,.F.); +#18042=ORIENTED_EDGE('',*,*,#18013,.T.); +#18043=EDGE_LOOP('',(#18038,#18040,#18041,#18042)); +#18044=FACE_OUTER_BOUND('',#18043,.F.); +#18046=CARTESIAN_POINT('',(2.183614944340E0,3.0928496E1,0.E0)); +#18047=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18048=DIRECTION('',(1.E0,0.E0,0.E0)); +#18049=AXIS2_PLACEMENT_3D('',#18046,#18047,#18048); +#18050=PLANE('',#18049); +#18051=ORIENTED_EDGE('',*,*,#11915,.T.); +#18053=ORIENTED_EDGE('',*,*,#18052,.F.); +#18054=ORIENTED_EDGE('',*,*,#18026,.F.); +#18055=ORIENTED_EDGE('',*,*,#18039,.T.); +#18056=EDGE_LOOP('',(#18051,#18053,#18054,#18055)); +#18057=FACE_OUTER_BOUND('',#18056,.F.); +#18059=CARTESIAN_POINT('',(2.183614944340E0,3.353672E1,0.E0)); +#18060=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18061=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18062=AXIS2_PLACEMENT_3D('',#18059,#18060,#18061); +#18063=PLANE('',#18062); +#18064=ORIENTED_EDGE('',*,*,#11913,.T.); +#18065=ORIENTED_EDGE('',*,*,#18004,.F.); +#18066=ORIENTED_EDGE('',*,*,#18028,.F.); +#18067=ORIENTED_EDGE('',*,*,#18052,.T.); +#18068=EDGE_LOOP('',(#18064,#18065,#18066,#18067)); +#18069=FACE_OUTER_BOUND('',#18068,.F.); +#18071=CARTESIAN_POINT('',(2.099032944340E0,3.3308806E1,0.E0)); +#18072=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18073=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18074=AXIS2_PLACEMENT_3D('',#18071,#18072,#18073); +#18075=PLANE('',#18074); +#18076=ORIENTED_EDGE('',*,*,#11909,.T.); +#18077=ORIENTED_EDGE('',*,*,#17950,.F.); +#18079=ORIENTED_EDGE('',*,*,#18078,.F.); +#18080=ORIENTED_EDGE('',*,*,#18007,.T.); +#18081=EDGE_LOOP('',(#18076,#18077,#18079,#18080)); +#18082=FACE_OUTER_BOUND('',#18081,.F.); +#18084=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#18085=DIRECTION('',(0.E0,0.E0,1.E0)); +#18086=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18087=AXIS2_PLACEMENT_3D('',#18084,#18085,#18086); +#18088=PLANE('',#18087); +#18089=ORIENTED_EDGE('',*,*,#17948,.T.); +#18090=ORIENTED_EDGE('',*,*,#17991,.T.); +#18091=ORIENTED_EDGE('',*,*,#18009,.T.); +#18092=ORIENTED_EDGE('',*,*,#18078,.T.); +#18093=EDGE_LOOP('',(#18089,#18090,#18091,#18092)); +#18094=FACE_OUTER_BOUND('',#18093,.F.); +#18096=CARTESIAN_POINT('',(9.900629944340E0,4.6385409E1,0.E0)); +#18097=DIRECTION('',(0.E0,1.E0,0.E0)); +#18098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18099=AXIS2_PLACEMENT_3D('',#18096,#18097,#18098); +#18100=PLANE('',#18099); +#18101=ORIENTED_EDGE('',*,*,#11929,.T.); +#18103=ORIENTED_EDGE('',*,*,#18102,.F.); +#18105=ORIENTED_EDGE('',*,*,#18104,.F.); +#18107=ORIENTED_EDGE('',*,*,#18106,.T.); +#18108=EDGE_LOOP('',(#18101,#18103,#18105,#18107)); +#18109=FACE_OUTER_BOUND('',#18108,.F.); +#18111=CARTESIAN_POINT('',(9.900629944340E0,4.2565407E1,0.E0)); +#18112=DIRECTION('',(1.E0,0.E0,0.E0)); +#18113=DIRECTION('',(0.E0,1.E0,0.E0)); +#18114=AXIS2_PLACEMENT_3D('',#18111,#18112,#18113); +#18115=PLANE('',#18114); +#18116=ORIENTED_EDGE('',*,*,#11935,.T.); +#18118=ORIENTED_EDGE('',*,*,#18117,.F.); +#18120=ORIENTED_EDGE('',*,*,#18119,.F.); +#18121=ORIENTED_EDGE('',*,*,#18102,.T.); +#18122=EDGE_LOOP('',(#18116,#18118,#18120,#18121)); +#18123=FACE_OUTER_BOUND('',#18122,.F.); +#18125=CARTESIAN_POINT('',(6.630631944340E0,4.2565407E1,0.E0)); +#18126=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18127=DIRECTION('',(1.E0,0.E0,0.E0)); +#18128=AXIS2_PLACEMENT_3D('',#18125,#18126,#18127); +#18129=PLANE('',#18128); +#18130=ORIENTED_EDGE('',*,*,#11933,.T.); +#18132=ORIENTED_EDGE('',*,*,#18131,.F.); +#18134=ORIENTED_EDGE('',*,*,#18133,.F.); +#18135=ORIENTED_EDGE('',*,*,#18117,.T.); +#18136=EDGE_LOOP('',(#18130,#18132,#18134,#18135)); +#18137=FACE_OUTER_BOUND('',#18136,.F.); +#18139=CARTESIAN_POINT('',(6.630631944340E0,4.6385409E1,0.E0)); +#18140=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18141=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18142=AXIS2_PLACEMENT_3D('',#18139,#18140,#18141); +#18143=PLANE('',#18142); +#18144=ORIENTED_EDGE('',*,*,#11931,.T.); +#18145=ORIENTED_EDGE('',*,*,#18106,.F.); +#18147=ORIENTED_EDGE('',*,*,#18146,.F.); +#18148=ORIENTED_EDGE('',*,*,#18131,.T.); +#18149=EDGE_LOOP('',(#18144,#18145,#18147,#18148)); +#18150=FACE_OUTER_BOUND('',#18149,.F.); +#18152=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#18153=DIRECTION('',(0.E0,0.E0,1.E0)); +#18154=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18155=AXIS2_PLACEMENT_3D('',#18152,#18153,#18154); +#18156=PLANE('',#18155); +#18157=ORIENTED_EDGE('',*,*,#18104,.T.); +#18158=ORIENTED_EDGE('',*,*,#18119,.T.); +#18159=ORIENTED_EDGE('',*,*,#18133,.T.); +#18160=ORIENTED_EDGE('',*,*,#18146,.T.); +#18161=EDGE_LOOP('',(#18157,#18158,#18159,#18160)); +#18162=FACE_OUTER_BOUND('',#18161,.F.); +#18164=CARTESIAN_POINT('',(9.398230944340E0,5.3409647E1,0.E0)); +#18165=DIRECTION('',(1.E0,0.E0,0.E0)); +#18166=DIRECTION('',(0.E0,1.E0,0.E0)); +#18167=AXIS2_PLACEMENT_3D('',#18164,#18165,#18166); +#18168=PLANE('',#18167); +#18169=ORIENTED_EDGE('',*,*,#11939,.T.); +#18171=ORIENTED_EDGE('',*,*,#18170,.F.); +#18173=ORIENTED_EDGE('',*,*,#18172,.F.); +#18175=ORIENTED_EDGE('',*,*,#18174,.T.); +#18176=EDGE_LOOP('',(#18169,#18171,#18173,#18175)); +#18177=FACE_OUTER_BOUND('',#18176,.F.); +#18179=CARTESIAN_POINT('',(9.742820047540E0,5.3409647E1,0.E0)); +#18180=DIRECTION('',(0.E0,1.E0,0.E0)); +#18181=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18182=AXIS2_PLACEMENT_3D('',#18179,#18180,#18181); +#18183=PLANE('',#18182); +#18185=ORIENTED_EDGE('',*,*,#18184,.F.); +#18186=ORIENTED_EDGE('',*,*,#18170,.T.); +#18187=ORIENTED_EDGE('',*,*,#11969,.T.); +#18189=ORIENTED_EDGE('',*,*,#18188,.F.); +#18191=ORIENTED_EDGE('',*,*,#18190,.F.); +#18193=ORIENTED_EDGE('',*,*,#18192,.T.); +#18194=ORIENTED_EDGE('',*,*,#11945,.T.); +#18196=ORIENTED_EDGE('',*,*,#18195,.F.); +#18197=EDGE_LOOP('',(#18185,#18186,#18187,#18189,#18191,#18193,#18194,#18196)); +#18198=FACE_OUTER_BOUND('',#18197,.F.); +#18200=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); +#18201=DIRECTION('',(0.E0,0.E0,1.E0)); +#18202=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18203=AXIS2_PLACEMENT_3D('',#18200,#18201,#18202); +#18204=PLANE('',#18203); +#18205=ORIENTED_EDGE('',*,*,#18184,.T.); +#18207=ORIENTED_EDGE('',*,*,#18206,.T.); +#18209=ORIENTED_EDGE('',*,*,#18208,.T.); +#18210=ORIENTED_EDGE('',*,*,#18172,.T.); +#18211=EDGE_LOOP('',(#18205,#18207,#18209,#18210)); +#18212=FACE_OUTER_BOUND('',#18211,.F.); +#18214=CARTESIAN_POINT('',(7.735902944340E0,5.356860840300E1,0.E0)); +#18215=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18216=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18217=AXIS2_PLACEMENT_3D('',#18214,#18215,#18216); +#18218=PLANE('',#18217); +#18219=ORIENTED_EDGE('',*,*,#11943,.T.); +#18221=ORIENTED_EDGE('',*,*,#18220,.F.); +#18222=ORIENTED_EDGE('',*,*,#18206,.F.); +#18223=ORIENTED_EDGE('',*,*,#18195,.T.); +#18224=EDGE_LOOP('',(#18219,#18221,#18222,#18223)); +#18225=FACE_OUTER_BOUND('',#18224,.F.); +#18227=CARTESIAN_POINT('',(9.398230944340E0,5.356860840300E1,0.E0)); +#18228=DIRECTION('',(0.E0,1.E0,0.E0)); +#18229=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18230=AXIS2_PLACEMENT_3D('',#18227,#18228,#18229); +#18231=PLANE('',#18230); +#18232=ORIENTED_EDGE('',*,*,#11941,.T.); +#18233=ORIENTED_EDGE('',*,*,#18174,.F.); +#18234=ORIENTED_EDGE('',*,*,#18208,.F.); +#18235=ORIENTED_EDGE('',*,*,#18220,.T.); +#18236=EDGE_LOOP('',(#18232,#18233,#18234,#18235)); +#18237=FACE_OUTER_BOUND('',#18236,.F.); +#18239=CARTESIAN_POINT('',(9.742820047540E0,4.9889649E1,0.E0)); +#18240=DIRECTION('',(1.E0,0.E0,0.E0)); +#18241=DIRECTION('',(0.E0,1.E0,0.E0)); +#18242=AXIS2_PLACEMENT_3D('',#18239,#18240,#18241); +#18243=PLANE('',#18242); +#18244=ORIENTED_EDGE('',*,*,#11967,.T.); +#18246=ORIENTED_EDGE('',*,*,#18245,.F.); +#18248=ORIENTED_EDGE('',*,*,#18247,.F.); +#18250=ORIENTED_EDGE('',*,*,#18249,.F.); +#18251=ORIENTED_EDGE('',*,*,#18188,.T.); +#18252=EDGE_LOOP('',(#18244,#18246,#18248,#18250,#18251)); +#18253=FACE_OUTER_BOUND('',#18252,.F.); +#18255=CARTESIAN_POINT('',(7.177422944340E0,4.9889649E1,0.E0)); +#18256=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18257=DIRECTION('',(1.E0,0.E0,0.E0)); +#18258=AXIS2_PLACEMENT_3D('',#18255,#18256,#18257); +#18259=PLANE('',#18258); +#18261=ORIENTED_EDGE('',*,*,#18260,.T.); +#18263=ORIENTED_EDGE('',*,*,#18262,.T.); +#18264=ORIENTED_EDGE('',*,*,#11957,.T.); +#18266=ORIENTED_EDGE('',*,*,#18265,.F.); +#18268=ORIENTED_EDGE('',*,*,#18267,.F.); +#18269=ORIENTED_EDGE('',*,*,#18245,.T.); +#18270=ORIENTED_EDGE('',*,*,#11965,.T.); +#18272=ORIENTED_EDGE('',*,*,#18271,.F.); +#18273=EDGE_LOOP('',(#18261,#18263,#18264,#18266,#18268,#18269,#18270,#18272)); +#18274=FACE_OUTER_BOUND('',#18273,.F.); +#18276=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); +#18277=DIRECTION('',(0.E0,0.E0,1.E0)); +#18278=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18279=AXIS2_PLACEMENT_3D('',#18276,#18277,#18278); +#18280=PLANE('',#18279); +#18281=ORIENTED_EDGE('',*,*,#18260,.F.); +#18283=ORIENTED_EDGE('',*,*,#18282,.T.); +#18285=ORIENTED_EDGE('',*,*,#18284,.T.); +#18287=ORIENTED_EDGE('',*,*,#18286,.T.); +#18288=EDGE_LOOP('',(#18281,#18283,#18285,#18287)); +#18289=FACE_OUTER_BOUND('',#18288,.F.); +#18291=CARTESIAN_POINT('',(9.220430944340E0,4.9758605E1,0.E0)); +#18292=DIRECTION('',(1.E0,0.E0,0.E0)); +#18293=DIRECTION('',(0.E0,1.E0,0.E0)); +#18294=AXIS2_PLACEMENT_3D('',#18291,#18292,#18293); +#18295=PLANE('',#18294); +#18296=ORIENTED_EDGE('',*,*,#11963,.T.); +#18298=ORIENTED_EDGE('',*,*,#18297,.F.); +#18299=ORIENTED_EDGE('',*,*,#18282,.F.); +#18300=ORIENTED_EDGE('',*,*,#18271,.T.); +#18301=EDGE_LOOP('',(#18296,#18298,#18299,#18300)); +#18302=FACE_OUTER_BOUND('',#18301,.F.); +#18304=CARTESIAN_POINT('',(7.735902944340E0,4.9758605E1,0.E0)); +#18305=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18306=DIRECTION('',(1.E0,0.E0,0.E0)); +#18307=AXIS2_PLACEMENT_3D('',#18304,#18305,#18306); +#18308=PLANE('',#18307); +#18309=ORIENTED_EDGE('',*,*,#11961,.T.); +#18311=ORIENTED_EDGE('',*,*,#18310,.F.); +#18312=ORIENTED_EDGE('',*,*,#18284,.F.); +#18313=ORIENTED_EDGE('',*,*,#18297,.T.); +#18314=EDGE_LOOP('',(#18309,#18311,#18312,#18313)); +#18315=FACE_OUTER_BOUND('',#18314,.F.); +#18317=CARTESIAN_POINT('',(7.735902944340E0,4.9889649E1,0.E0)); +#18318=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18319=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18320=AXIS2_PLACEMENT_3D('',#18317,#18318,#18319); +#18321=PLANE('',#18320); +#18322=ORIENTED_EDGE('',*,*,#11959,.T.); +#18323=ORIENTED_EDGE('',*,*,#18262,.F.); +#18324=ORIENTED_EDGE('',*,*,#18286,.F.); +#18325=ORIENTED_EDGE('',*,*,#18310,.T.); +#18326=EDGE_LOOP('',(#18322,#18323,#18324,#18325)); +#18327=FACE_OUTER_BOUND('',#18326,.F.); +#18329=CARTESIAN_POINT('',(7.177422944340E0,5.3409647E1,0.E0)); +#18330=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18331=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18332=AXIS2_PLACEMENT_3D('',#18329,#18330,#18331); +#18333=PLANE('',#18332); +#18335=ORIENTED_EDGE('',*,*,#18334,.T.); +#18337=ORIENTED_EDGE('',*,*,#18336,.T.); +#18338=ORIENTED_EDGE('',*,*,#11947,.T.); +#18339=ORIENTED_EDGE('',*,*,#18192,.F.); +#18341=ORIENTED_EDGE('',*,*,#18340,.F.); +#18342=ORIENTED_EDGE('',*,*,#18265,.T.); +#18343=ORIENTED_EDGE('',*,*,#11955,.T.); +#18345=ORIENTED_EDGE('',*,*,#18344,.F.); +#18346=EDGE_LOOP('',(#18335,#18337,#18338,#18339,#18341,#18342,#18343,#18345)); +#18347=FACE_OUTER_BOUND('',#18346,.F.); +#18349=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,2.E-1)); +#18350=DIRECTION('',(0.E0,0.E0,1.E0)); +#18351=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18352=AXIS2_PLACEMENT_3D('',#18349,#18350,#18351); +#18353=PLANE('',#18352); +#18354=ORIENTED_EDGE('',*,*,#18334,.F.); +#18356=ORIENTED_EDGE('',*,*,#18355,.T.); +#18358=ORIENTED_EDGE('',*,*,#18357,.T.); +#18360=ORIENTED_EDGE('',*,*,#18359,.T.); +#18361=EDGE_LOOP('',(#18354,#18356,#18358,#18360)); +#18362=FACE_OUTER_BOUND('',#18361,.F.); +#18364=CARTESIAN_POINT('',(6.605904944340E0,5.1168046E1,0.E0)); +#18365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18366=DIRECTION('',(1.E0,0.E0,0.E0)); +#18367=AXIS2_PLACEMENT_3D('',#18364,#18365,#18366); +#18368=PLANE('',#18367); +#18369=ORIENTED_EDGE('',*,*,#11953,.T.); +#18371=ORIENTED_EDGE('',*,*,#18370,.F.); +#18372=ORIENTED_EDGE('',*,*,#18355,.F.); +#18373=ORIENTED_EDGE('',*,*,#18344,.T.); +#18374=EDGE_LOOP('',(#18369,#18371,#18372,#18373)); +#18375=FACE_OUTER_BOUND('',#18374,.F.); +#18377=CARTESIAN_POINT('',(6.605904944340E0,5.2171245E1,0.E0)); +#18378=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18379=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18380=AXIS2_PLACEMENT_3D('',#18377,#18378,#18379); +#18381=PLANE('',#18380); +#18382=ORIENTED_EDGE('',*,*,#11951,.T.); +#18384=ORIENTED_EDGE('',*,*,#18383,.F.); +#18385=ORIENTED_EDGE('',*,*,#18357,.F.); +#18386=ORIENTED_EDGE('',*,*,#18370,.T.); +#18387=EDGE_LOOP('',(#18382,#18384,#18385,#18386)); +#18388=FACE_OUTER_BOUND('',#18387,.F.); +#18390=CARTESIAN_POINT('',(7.291781618540E0,5.2171245E1,0.E0)); +#18391=DIRECTION('',(0.E0,1.E0,0.E0)); +#18392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18393=AXIS2_PLACEMENT_3D('',#18390,#18391,#18392); +#18394=PLANE('',#18393); +#18395=ORIENTED_EDGE('',*,*,#11949,.T.); +#18396=ORIENTED_EDGE('',*,*,#18336,.F.); +#18397=ORIENTED_EDGE('',*,*,#18359,.F.); +#18398=ORIENTED_EDGE('',*,*,#18383,.T.); +#18399=EDGE_LOOP('',(#18395,#18396,#18397,#18398)); +#18400=FACE_OUTER_BOUND('',#18399,.F.); +#18402=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,3.E-1)); +#18403=DIRECTION('',(0.E0,0.E0,1.E0)); +#18404=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18405=AXIS2_PLACEMENT_3D('',#18402,#18403,#18404); +#18406=PLANE('',#18405); +#18407=ORIENTED_EDGE('',*,*,#18247,.T.); +#18408=ORIENTED_EDGE('',*,*,#18267,.T.); +#18409=ORIENTED_EDGE('',*,*,#18340,.T.); +#18410=ORIENTED_EDGE('',*,*,#18190,.T.); +#18411=ORIENTED_EDGE('',*,*,#18249,.T.); +#18413=ORIENTED_EDGE('',*,*,#18412,.T.); +#18415=ORIENTED_EDGE('',*,*,#18414,.T.); +#18417=ORIENTED_EDGE('',*,*,#18416,.T.); +#18418=EDGE_LOOP('',(#18407,#18408,#18409,#18410,#18411,#18413,#18415,#18417)); +#18419=FACE_OUTER_BOUND('',#18418,.F.); +#18421=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); +#18422=DIRECTION('',(0.E0,0.E0,1.E0)); +#18423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18424=AXIS2_PLACEMENT_3D('',#18421,#18422,#18423); +#18425=CYLINDRICAL_SURFACE('',#18424,1.E0); +#18426=ORIENTED_EDGE('',*,*,#18416,.F.); +#18428=ORIENTED_EDGE('',*,*,#18427,.F.); +#18430=ORIENTED_EDGE('',*,*,#18429,.F.); +#18432=ORIENTED_EDGE('',*,*,#18431,.T.); +#18433=ORIENTED_EDGE('',*,*,#18412,.F.); +#18434=EDGE_LOOP('',(#18426,#18428,#18430,#18432,#18433)); +#18435=FACE_OUTER_BOUND('',#18434,.F.); +#18437=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); +#18438=DIRECTION('',(0.E0,0.E0,1.E0)); +#18439=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18440=AXIS2_PLACEMENT_3D('',#18437,#18438,#18439); +#18441=CYLINDRICAL_SURFACE('',#18440,1.E0); +#18442=ORIENTED_EDGE('',*,*,#18414,.F.); +#18443=ORIENTED_EDGE('',*,*,#18431,.F.); +#18445=ORIENTED_EDGE('',*,*,#18444,.F.); +#18446=ORIENTED_EDGE('',*,*,#18427,.T.); +#18447=EDGE_LOOP('',(#18442,#18443,#18445,#18446)); +#18448=FACE_OUTER_BOUND('',#18447,.F.); +#18450=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#18451=DIRECTION('',(0.E0,0.E0,1.E0)); +#18452=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18453=AXIS2_PLACEMENT_3D('',#18450,#18451,#18452); +#18454=PLANE('',#18453); +#18455=ORIENTED_EDGE('',*,*,#18429,.T.); +#18456=ORIENTED_EDGE('',*,*,#18444,.T.); +#18457=EDGE_LOOP('',(#18455,#18456)); +#18458=FACE_OUTER_BOUND('',#18457,.F.); +#18460=ORIENTED_EDGE('',*,*,#18459,.T.); +#18462=ORIENTED_EDGE('',*,*,#18461,.T.); +#18463=EDGE_LOOP('',(#18460,#18462)); +#18464=FACE_BOUND('',#18463,.F.); +#18466=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); +#18467=DIRECTION('',(0.E0,0.E0,1.E0)); +#18468=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18469=AXIS2_PLACEMENT_3D('',#18466,#18467,#18468); +#18470=CYLINDRICAL_SURFACE('',#18469,8.E-1); +#18471=ORIENTED_EDGE('',*,*,#18459,.F.); +#18473=ORIENTED_EDGE('',*,*,#18472,.T.); +#18475=ORIENTED_EDGE('',*,*,#18474,.F.); +#18477=ORIENTED_EDGE('',*,*,#18476,.F.); +#18478=EDGE_LOOP('',(#18471,#18473,#18475,#18477)); +#18479=FACE_OUTER_BOUND('',#18478,.F.); +#18481=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); +#18482=DIRECTION('',(0.E0,0.E0,1.E0)); +#18483=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18484=AXIS2_PLACEMENT_3D('',#18481,#18482,#18483); +#18485=CYLINDRICAL_SURFACE('',#18484,8.E-1); +#18486=ORIENTED_EDGE('',*,*,#18461,.F.); +#18487=ORIENTED_EDGE('',*,*,#18476,.T.); +#18489=ORIENTED_EDGE('',*,*,#18488,.F.); +#18490=ORIENTED_EDGE('',*,*,#18472,.F.); +#18491=EDGE_LOOP('',(#18486,#18487,#18489,#18490)); +#18492=FACE_OUTER_BOUND('',#18491,.F.); +#18494=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,0.E0)); +#18495=DIRECTION('',(0.E0,0.E0,1.E0)); +#18496=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18497=AXIS2_PLACEMENT_3D('',#18494,#18495,#18496); +#18498=PLANE('',#18497); +#18499=ORIENTED_EDGE('',*,*,#18474,.T.); +#18500=ORIENTED_EDGE('',*,*,#18488,.T.); +#18501=EDGE_LOOP('',(#18499,#18500)); +#18502=FACE_OUTER_BOUND('',#18501,.F.); +#18504=ORIENTED_EDGE('',*,*,#18503,.T.); +#18506=ORIENTED_EDGE('',*,*,#18505,.T.); +#18507=EDGE_LOOP('',(#18504,#18506)); +#18508=FACE_BOUND('',#18507,.F.); +#18510=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); +#18511=DIRECTION('',(0.E0,0.E0,1.E0)); +#18512=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18513=AXIS2_PLACEMENT_3D('',#18510,#18511,#18512); +#18514=CYLINDRICAL_SURFACE('',#18513,2.5E-1); +#18516=ORIENTED_EDGE('',*,*,#18515,.F.); +#18518=ORIENTED_EDGE('',*,*,#18517,.T.); +#18519=ORIENTED_EDGE('',*,*,#18503,.F.); +#18521=ORIENTED_EDGE('',*,*,#18520,.F.); +#18522=EDGE_LOOP('',(#18516,#18518,#18519,#18521)); +#18523=FACE_OUTER_BOUND('',#18522,.F.); +#18525=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#18526=DIRECTION('',(0.E0,0.E0,1.E0)); +#18527=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18528=AXIS2_PLACEMENT_3D('',#18525,#18526,#18527); +#18529=PLANE('',#18528); +#18530=ORIENTED_EDGE('',*,*,#18515,.T.); +#18532=ORIENTED_EDGE('',*,*,#18531,.T.); +#18533=EDGE_LOOP('',(#18530,#18532)); +#18534=FACE_OUTER_BOUND('',#18533,.F.); +#18536=CARTESIAN_POINT('',(8.737500944340E0,5.16696455E1,0.E0)); +#18537=DIRECTION('',(0.E0,0.E0,1.E0)); +#18538=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18539=AXIS2_PLACEMENT_3D('',#18536,#18537,#18538); +#18540=CYLINDRICAL_SURFACE('',#18539,2.5E-1); +#18541=ORIENTED_EDGE('',*,*,#18531,.F.); +#18542=ORIENTED_EDGE('',*,*,#18520,.T.); +#18543=ORIENTED_EDGE('',*,*,#18505,.F.); +#18544=ORIENTED_EDGE('',*,*,#18517,.F.); +#18545=EDGE_LOOP('',(#18541,#18542,#18543,#18544)); +#18546=FACE_OUTER_BOUND('',#18545,.F.); +#18548=CARTESIAN_POINT('',(9.731219944340E0,1.5133209E1,0.E0)); +#18549=DIRECTION('',(0.E0,1.E0,0.E0)); +#18550=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18551=AXIS2_PLACEMENT_3D('',#18548,#18549,#18550); +#18552=PLANE('',#18551); +#18553=ORIENTED_EDGE('',*,*,#11973,.T.); +#18555=ORIENTED_EDGE('',*,*,#18554,.F.); +#18557=ORIENTED_EDGE('',*,*,#18556,.F.); +#18559=ORIENTED_EDGE('',*,*,#18558,.T.); +#18560=EDGE_LOOP('',(#18553,#18555,#18557,#18559)); +#18561=FACE_OUTER_BOUND('',#18560,.F.); +#18563=CARTESIAN_POINT('',(9.731219944340E0,1.4381607E1,0.E0)); +#18564=DIRECTION('',(1.E0,0.E0,0.E0)); +#18565=DIRECTION('',(0.E0,1.E0,0.E0)); +#18566=AXIS2_PLACEMENT_3D('',#18563,#18564,#18565); +#18567=PLANE('',#18566); +#18568=ORIENTED_EDGE('',*,*,#11979,.T.); +#18570=ORIENTED_EDGE('',*,*,#18569,.F.); +#18572=ORIENTED_EDGE('',*,*,#18571,.F.); +#18573=ORIENTED_EDGE('',*,*,#18554,.T.); +#18574=EDGE_LOOP('',(#18568,#18570,#18572,#18573)); +#18575=FACE_OUTER_BOUND('',#18574,.F.); +#18577=CARTESIAN_POINT('',(8.179619944340E0,1.4381607E1,0.E0)); +#18578=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18579=DIRECTION('',(1.E0,0.E0,0.E0)); +#18580=AXIS2_PLACEMENT_3D('',#18577,#18578,#18579); +#18581=PLANE('',#18580); +#18582=ORIENTED_EDGE('',*,*,#11977,.T.); +#18584=ORIENTED_EDGE('',*,*,#18583,.F.); +#18586=ORIENTED_EDGE('',*,*,#18585,.F.); +#18587=ORIENTED_EDGE('',*,*,#18569,.T.); +#18588=EDGE_LOOP('',(#18582,#18584,#18586,#18587)); +#18589=FACE_OUTER_BOUND('',#18588,.F.); +#18591=CARTESIAN_POINT('',(8.179619944340E0,1.5133209E1,0.E0)); +#18592=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18593=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18594=AXIS2_PLACEMENT_3D('',#18591,#18592,#18593); +#18595=PLANE('',#18594); +#18596=ORIENTED_EDGE('',*,*,#11975,.T.); +#18597=ORIENTED_EDGE('',*,*,#18558,.F.); +#18599=ORIENTED_EDGE('',*,*,#18598,.F.); +#18600=ORIENTED_EDGE('',*,*,#18583,.T.); +#18601=EDGE_LOOP('',(#18596,#18597,#18599,#18600)); +#18602=FACE_OUTER_BOUND('',#18601,.F.); +#18604=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#18605=DIRECTION('',(0.E0,0.E0,1.E0)); +#18606=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18607=AXIS2_PLACEMENT_3D('',#18604,#18605,#18606); +#18608=PLANE('',#18607); +#18609=ORIENTED_EDGE('',*,*,#18556,.T.); +#18610=ORIENTED_EDGE('',*,*,#18571,.T.); +#18611=ORIENTED_EDGE('',*,*,#18585,.T.); +#18612=ORIENTED_EDGE('',*,*,#18598,.T.); +#18613=EDGE_LOOP('',(#18609,#18610,#18611,#18612)); +#18614=FACE_OUTER_BOUND('',#18613,.F.); +#18616=FILL_AREA_STYLE_COLOUR('',#43); +#18617=FILL_AREA_STYLE('',(#18616)); +#18618=SURFACE_STYLE_FILL_AREA(#18617); +#18619=SURFACE_SIDE_STYLE('',(#18618)); +#18620=SURFACE_STYLE_USAGE(.POSITIVE.,#18619); +#18621=PRESENTATION_STYLE_ASSIGNMENT((#18620)); +#18622=STYLED_ITEM('',(#18621),#18615); +#18623=CARTESIAN_POINT('',(1.300249443404E-1,9.189609E0,0.E0)); +#18624=DIRECTION('',(0.E0,1.E0,0.E0)); +#18625=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18626=AXIS2_PLACEMENT_3D('',#18623,#18624,#18625); +#18627=PLANE('',#18626); +#18628=ORIENTED_EDGE('',*,*,#11983,.T.); +#18630=ORIENTED_EDGE('',*,*,#18629,.F.); +#18632=ORIENTED_EDGE('',*,*,#18631,.F.); +#18634=ORIENTED_EDGE('',*,*,#18633,.T.); +#18635=EDGE_LOOP('',(#18628,#18630,#18632,#18634)); +#18636=FACE_OUTER_BOUND('',#18635,.F.); +#18638=CARTESIAN_POINT('',(1.300249443404E-1,8.438007E0,0.E0)); +#18639=DIRECTION('',(1.E0,0.E0,0.E0)); +#18640=DIRECTION('',(0.E0,1.E0,0.E0)); +#18641=AXIS2_PLACEMENT_3D('',#18638,#18639,#18640); +#18642=PLANE('',#18641); +#18643=ORIENTED_EDGE('',*,*,#11989,.T.); +#18645=ORIENTED_EDGE('',*,*,#18644,.F.); +#18647=ORIENTED_EDGE('',*,*,#18646,.F.); +#18648=ORIENTED_EDGE('',*,*,#18629,.T.); +#18649=EDGE_LOOP('',(#18643,#18645,#18647,#18648)); +#18650=FACE_OUTER_BOUND('',#18649,.F.); +#18652=CARTESIAN_POINT('',(-1.421575055660E0,8.438007E0,0.E0)); +#18653=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18654=DIRECTION('',(1.E0,0.E0,0.E0)); +#18655=AXIS2_PLACEMENT_3D('',#18652,#18653,#18654); +#18656=PLANE('',#18655); +#18657=ORIENTED_EDGE('',*,*,#11987,.T.); +#18659=ORIENTED_EDGE('',*,*,#18658,.F.); +#18661=ORIENTED_EDGE('',*,*,#18660,.F.); +#18662=ORIENTED_EDGE('',*,*,#18644,.T.); +#18663=EDGE_LOOP('',(#18657,#18659,#18661,#18662)); +#18664=FACE_OUTER_BOUND('',#18663,.F.); +#18666=CARTESIAN_POINT('',(-1.421575055660E0,9.189609E0,0.E0)); +#18667=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18668=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18669=AXIS2_PLACEMENT_3D('',#18666,#18667,#18668); +#18670=PLANE('',#18669); +#18671=ORIENTED_EDGE('',*,*,#11985,.T.); +#18672=ORIENTED_EDGE('',*,*,#18633,.F.); +#18674=ORIENTED_EDGE('',*,*,#18673,.F.); +#18675=ORIENTED_EDGE('',*,*,#18658,.T.); +#18676=EDGE_LOOP('',(#18671,#18672,#18674,#18675)); +#18677=FACE_OUTER_BOUND('',#18676,.F.); +#18679=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#18680=DIRECTION('',(0.E0,0.E0,1.E0)); +#18681=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18682=AXIS2_PLACEMENT_3D('',#18679,#18680,#18681); +#18683=PLANE('',#18682); +#18684=ORIENTED_EDGE('',*,*,#18631,.T.); +#18685=ORIENTED_EDGE('',*,*,#18646,.T.); +#18686=ORIENTED_EDGE('',*,*,#18660,.T.); +#18687=ORIENTED_EDGE('',*,*,#18673,.T.); +#18688=EDGE_LOOP('',(#18684,#18685,#18686,#18687)); +#18689=FACE_OUTER_BOUND('',#18688,.F.); +#18691=FILL_AREA_STYLE_COLOUR('',#4); +#18692=FILL_AREA_STYLE('',(#18691)); +#18693=SURFACE_STYLE_FILL_AREA(#18692); +#18694=SURFACE_SIDE_STYLE('',(#18693)); +#18695=SURFACE_STYLE_USAGE(.POSITIVE.,#18694); +#18696=PRESENTATION_STYLE_ASSIGNMENT((#18695)); +#18697=STYLED_ITEM('',(#18696),#18690); +#18698=CARTESIAN_POINT('',(9.731219944340E0,1.6301609E1,0.E0)); +#18699=DIRECTION('',(0.E0,1.E0,0.E0)); +#18700=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18701=AXIS2_PLACEMENT_3D('',#18698,#18699,#18700); +#18702=PLANE('',#18701); +#18703=ORIENTED_EDGE('',*,*,#11993,.T.); +#18705=ORIENTED_EDGE('',*,*,#18704,.F.); +#18707=ORIENTED_EDGE('',*,*,#18706,.F.); +#18709=ORIENTED_EDGE('',*,*,#18708,.T.); +#18710=EDGE_LOOP('',(#18703,#18705,#18707,#18709)); +#18711=FACE_OUTER_BOUND('',#18710,.F.); +#18713=CARTESIAN_POINT('',(9.731219944340E0,1.5550007E1,0.E0)); +#18714=DIRECTION('',(1.E0,0.E0,0.E0)); +#18715=DIRECTION('',(0.E0,1.E0,0.E0)); +#18716=AXIS2_PLACEMENT_3D('',#18713,#18714,#18715); +#18717=PLANE('',#18716); +#18718=ORIENTED_EDGE('',*,*,#11999,.T.); +#18720=ORIENTED_EDGE('',*,*,#18719,.F.); +#18722=ORIENTED_EDGE('',*,*,#18721,.F.); +#18723=ORIENTED_EDGE('',*,*,#18704,.T.); +#18724=EDGE_LOOP('',(#18718,#18720,#18722,#18723)); +#18725=FACE_OUTER_BOUND('',#18724,.F.); +#18727=CARTESIAN_POINT('',(8.179619944340E0,1.5550007E1,0.E0)); +#18728=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18729=DIRECTION('',(1.E0,0.E0,0.E0)); +#18730=AXIS2_PLACEMENT_3D('',#18727,#18728,#18729); +#18731=PLANE('',#18730); +#18732=ORIENTED_EDGE('',*,*,#11997,.T.); +#18734=ORIENTED_EDGE('',*,*,#18733,.F.); +#18736=ORIENTED_EDGE('',*,*,#18735,.F.); +#18737=ORIENTED_EDGE('',*,*,#18719,.T.); +#18738=EDGE_LOOP('',(#18732,#18734,#18736,#18737)); +#18739=FACE_OUTER_BOUND('',#18738,.F.); +#18741=CARTESIAN_POINT('',(8.179619944340E0,1.6301609E1,0.E0)); +#18742=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18743=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18744=AXIS2_PLACEMENT_3D('',#18741,#18742,#18743); +#18745=PLANE('',#18744); +#18746=ORIENTED_EDGE('',*,*,#11995,.T.); +#18747=ORIENTED_EDGE('',*,*,#18708,.F.); +#18749=ORIENTED_EDGE('',*,*,#18748,.F.); +#18750=ORIENTED_EDGE('',*,*,#18733,.T.); +#18751=EDGE_LOOP('',(#18746,#18747,#18749,#18750)); +#18752=FACE_OUTER_BOUND('',#18751,.F.); +#18754=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#18755=DIRECTION('',(0.E0,0.E0,1.E0)); +#18756=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18757=AXIS2_PLACEMENT_3D('',#18754,#18755,#18756); +#18758=PLANE('',#18757); +#18759=ORIENTED_EDGE('',*,*,#18706,.T.); +#18760=ORIENTED_EDGE('',*,*,#18721,.T.); +#18761=ORIENTED_EDGE('',*,*,#18735,.T.); +#18762=ORIENTED_EDGE('',*,*,#18748,.T.); +#18763=EDGE_LOOP('',(#18759,#18760,#18761,#18762)); +#18764=FACE_OUTER_BOUND('',#18763,.F.); +#18766=CARTESIAN_POINT('',(8.179624944340E0,1.3990209E1,0.E0)); +#18767=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18768=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18769=AXIS2_PLACEMENT_3D('',#18766,#18767,#18768); +#18770=PLANE('',#18769); +#18771=ORIENTED_EDGE('',*,*,#12003,.T.); +#18773=ORIENTED_EDGE('',*,*,#18772,.F.); +#18775=ORIENTED_EDGE('',*,*,#18774,.F.); +#18777=ORIENTED_EDGE('',*,*,#18776,.T.); +#18778=EDGE_LOOP('',(#18771,#18773,#18775,#18777)); +#18779=FACE_OUTER_BOUND('',#18778,.F.); +#18781=CARTESIAN_POINT('',(9.731224944340E0,1.3990209E1,0.E0)); +#18782=DIRECTION('',(0.E0,1.E0,0.E0)); +#18783=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18784=AXIS2_PLACEMENT_3D('',#18781,#18782,#18783); +#18785=PLANE('',#18784); +#18786=ORIENTED_EDGE('',*,*,#12009,.T.); +#18788=ORIENTED_EDGE('',*,*,#18787,.F.); +#18790=ORIENTED_EDGE('',*,*,#18789,.F.); +#18791=ORIENTED_EDGE('',*,*,#18772,.T.); +#18792=EDGE_LOOP('',(#18786,#18788,#18790,#18791)); +#18793=FACE_OUTER_BOUND('',#18792,.F.); +#18795=CARTESIAN_POINT('',(9.731224944340E0,1.3238607E1,0.E0)); +#18796=DIRECTION('',(1.E0,0.E0,0.E0)); +#18797=DIRECTION('',(0.E0,1.E0,0.E0)); +#18798=AXIS2_PLACEMENT_3D('',#18795,#18796,#18797); +#18799=PLANE('',#18798); +#18800=ORIENTED_EDGE('',*,*,#12007,.T.); +#18802=ORIENTED_EDGE('',*,*,#18801,.F.); +#18804=ORIENTED_EDGE('',*,*,#18803,.F.); +#18805=ORIENTED_EDGE('',*,*,#18787,.T.); +#18806=EDGE_LOOP('',(#18800,#18802,#18804,#18805)); +#18807=FACE_OUTER_BOUND('',#18806,.F.); +#18809=CARTESIAN_POINT('',(8.179624944340E0,1.3238607E1,0.E0)); +#18810=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18811=DIRECTION('',(1.E0,0.E0,0.E0)); +#18812=AXIS2_PLACEMENT_3D('',#18809,#18810,#18811); +#18813=PLANE('',#18812); +#18814=ORIENTED_EDGE('',*,*,#12005,.T.); +#18815=ORIENTED_EDGE('',*,*,#18776,.F.); +#18817=ORIENTED_EDGE('',*,*,#18816,.F.); +#18818=ORIENTED_EDGE('',*,*,#18801,.T.); +#18819=EDGE_LOOP('',(#18814,#18815,#18817,#18818)); +#18820=FACE_OUTER_BOUND('',#18819,.F.); +#18822=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#18823=DIRECTION('',(0.E0,0.E0,1.E0)); +#18824=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18825=AXIS2_PLACEMENT_3D('',#18822,#18823,#18824); +#18826=PLANE('',#18825); +#18827=ORIENTED_EDGE('',*,*,#18774,.T.); +#18828=ORIENTED_EDGE('',*,*,#18789,.T.); +#18829=ORIENTED_EDGE('',*,*,#18803,.T.); +#18830=ORIENTED_EDGE('',*,*,#18816,.T.); +#18831=EDGE_LOOP('',(#18827,#18828,#18829,#18830)); +#18832=FACE_OUTER_BOUND('',#18831,.F.); +#18834=CARTESIAN_POINT('',(1.077261994434E1,7.030609E0,0.E0)); +#18835=DIRECTION('',(0.E0,1.E0,0.E0)); +#18836=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18837=AXIS2_PLACEMENT_3D('',#18834,#18835,#18836); +#18838=PLANE('',#18837); +#18839=ORIENTED_EDGE('',*,*,#12013,.T.); +#18841=ORIENTED_EDGE('',*,*,#18840,.F.); +#18843=ORIENTED_EDGE('',*,*,#18842,.F.); +#18845=ORIENTED_EDGE('',*,*,#18844,.T.); +#18846=EDGE_LOOP('',(#18839,#18841,#18843,#18845)); +#18847=FACE_OUTER_BOUND('',#18846,.F.); +#18849=CARTESIAN_POINT('',(1.077261994434E1,6.279007E0,0.E0)); +#18850=DIRECTION('',(1.E0,0.E0,0.E0)); +#18851=DIRECTION('',(0.E0,1.E0,0.E0)); +#18852=AXIS2_PLACEMENT_3D('',#18849,#18850,#18851); +#18853=PLANE('',#18852); +#18854=ORIENTED_EDGE('',*,*,#12019,.T.); +#18856=ORIENTED_EDGE('',*,*,#18855,.F.); +#18858=ORIENTED_EDGE('',*,*,#18857,.F.); +#18859=ORIENTED_EDGE('',*,*,#18840,.T.); +#18860=EDGE_LOOP('',(#18854,#18856,#18858,#18859)); +#18861=FACE_OUTER_BOUND('',#18860,.F.); +#18863=CARTESIAN_POINT('',(9.221019944340E0,6.279007E0,0.E0)); +#18864=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18865=DIRECTION('',(1.E0,0.E0,0.E0)); +#18866=AXIS2_PLACEMENT_3D('',#18863,#18864,#18865); +#18867=PLANE('',#18866); +#18868=ORIENTED_EDGE('',*,*,#12017,.T.); +#18870=ORIENTED_EDGE('',*,*,#18869,.F.); +#18872=ORIENTED_EDGE('',*,*,#18871,.F.); +#18873=ORIENTED_EDGE('',*,*,#18855,.T.); +#18874=EDGE_LOOP('',(#18868,#18870,#18872,#18873)); +#18875=FACE_OUTER_BOUND('',#18874,.F.); +#18877=CARTESIAN_POINT('',(9.221019944340E0,7.030609E0,0.E0)); +#18878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18879=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18880=AXIS2_PLACEMENT_3D('',#18877,#18878,#18879); +#18881=PLANE('',#18880); +#18882=ORIENTED_EDGE('',*,*,#12015,.T.); +#18883=ORIENTED_EDGE('',*,*,#18844,.F.); +#18885=ORIENTED_EDGE('',*,*,#18884,.F.); +#18886=ORIENTED_EDGE('',*,*,#18869,.T.); +#18887=EDGE_LOOP('',(#18882,#18883,#18885,#18886)); +#18888=FACE_OUTER_BOUND('',#18887,.F.); +#18890=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#18891=DIRECTION('',(0.E0,0.E0,1.E0)); +#18892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18893=AXIS2_PLACEMENT_3D('',#18890,#18891,#18892); +#18894=PLANE('',#18893); +#18895=ORIENTED_EDGE('',*,*,#18842,.T.); +#18896=ORIENTED_EDGE('',*,*,#18857,.T.); +#18897=ORIENTED_EDGE('',*,*,#18871,.T.); +#18898=ORIENTED_EDGE('',*,*,#18884,.T.); +#18899=EDGE_LOOP('',(#18895,#18896,#18897,#18898)); +#18900=FACE_OUTER_BOUND('',#18899,.F.); +#18902=CARTESIAN_POINT('',(8.816824944340E0,6.279007E0,0.E0)); +#18903=DIRECTION('',(1.E0,0.E0,0.E0)); +#18904=DIRECTION('',(0.E0,1.E0,0.E0)); +#18905=AXIS2_PLACEMENT_3D('',#18902,#18903,#18904); +#18906=PLANE('',#18905); +#18907=ORIENTED_EDGE('',*,*,#12023,.T.); +#18909=ORIENTED_EDGE('',*,*,#18908,.F.); +#18911=ORIENTED_EDGE('',*,*,#18910,.F.); +#18913=ORIENTED_EDGE('',*,*,#18912,.T.); +#18914=EDGE_LOOP('',(#18907,#18909,#18911,#18913)); +#18915=FACE_OUTER_BOUND('',#18914,.F.); +#18917=CARTESIAN_POINT('',(7.265224944340E0,6.279007E0,0.E0)); +#18918=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18919=DIRECTION('',(1.E0,0.E0,0.E0)); +#18920=AXIS2_PLACEMENT_3D('',#18917,#18918,#18919); +#18921=PLANE('',#18920); +#18922=ORIENTED_EDGE('',*,*,#12029,.T.); +#18924=ORIENTED_EDGE('',*,*,#18923,.F.); +#18926=ORIENTED_EDGE('',*,*,#18925,.F.); +#18927=ORIENTED_EDGE('',*,*,#18908,.T.); +#18928=EDGE_LOOP('',(#18922,#18924,#18926,#18927)); +#18929=FACE_OUTER_BOUND('',#18928,.F.); +#18931=CARTESIAN_POINT('',(7.265224944340E0,7.030609E0,0.E0)); +#18932=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18934=AXIS2_PLACEMENT_3D('',#18931,#18932,#18933); +#18935=PLANE('',#18934); +#18936=ORIENTED_EDGE('',*,*,#12027,.T.); +#18938=ORIENTED_EDGE('',*,*,#18937,.F.); +#18940=ORIENTED_EDGE('',*,*,#18939,.F.); +#18941=ORIENTED_EDGE('',*,*,#18923,.T.); +#18942=EDGE_LOOP('',(#18936,#18938,#18940,#18941)); +#18943=FACE_OUTER_BOUND('',#18942,.F.); +#18945=CARTESIAN_POINT('',(8.816824944340E0,7.030609E0,0.E0)); +#18946=DIRECTION('',(0.E0,1.E0,0.E0)); +#18947=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18948=AXIS2_PLACEMENT_3D('',#18945,#18946,#18947); +#18949=PLANE('',#18948); +#18950=ORIENTED_EDGE('',*,*,#12025,.T.); +#18951=ORIENTED_EDGE('',*,*,#18912,.F.); +#18953=ORIENTED_EDGE('',*,*,#18952,.F.); +#18954=ORIENTED_EDGE('',*,*,#18937,.T.); +#18955=EDGE_LOOP('',(#18950,#18951,#18953,#18954)); +#18956=FACE_OUTER_BOUND('',#18955,.F.); +#18958=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#18959=DIRECTION('',(0.E0,0.E0,1.E0)); +#18960=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18961=AXIS2_PLACEMENT_3D('',#18958,#18959,#18960); +#18962=PLANE('',#18961); +#18963=ORIENTED_EDGE('',*,*,#18910,.T.); +#18964=ORIENTED_EDGE('',*,*,#18925,.T.); +#18965=ORIENTED_EDGE('',*,*,#18939,.T.); +#18966=ORIENTED_EDGE('',*,*,#18952,.T.); +#18967=EDGE_LOOP('',(#18963,#18964,#18965,#18966)); +#18968=FACE_OUTER_BOUND('',#18967,.F.); +#18970=CARTESIAN_POINT('',(-7.616975055660E0,5.227209E0,0.E0)); +#18971=DIRECTION('',(0.E0,1.E0,0.E0)); +#18972=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18973=AXIS2_PLACEMENT_3D('',#18970,#18971,#18972); +#18974=PLANE('',#18973); +#18975=ORIENTED_EDGE('',*,*,#12033,.T.); +#18977=ORIENTED_EDGE('',*,*,#18976,.F.); +#18979=ORIENTED_EDGE('',*,*,#18978,.F.); +#18981=ORIENTED_EDGE('',*,*,#18980,.T.); +#18982=EDGE_LOOP('',(#18975,#18977,#18979,#18981)); +#18983=FACE_OUTER_BOUND('',#18982,.F.); +#18985=CARTESIAN_POINT('',(-7.616975055660E0,4.475607E0,0.E0)); +#18986=DIRECTION('',(1.E0,0.E0,0.E0)); +#18987=DIRECTION('',(0.E0,1.E0,0.E0)); +#18988=AXIS2_PLACEMENT_3D('',#18985,#18986,#18987); +#18989=PLANE('',#18988); +#18990=ORIENTED_EDGE('',*,*,#12039,.T.); +#18992=ORIENTED_EDGE('',*,*,#18991,.F.); +#18994=ORIENTED_EDGE('',*,*,#18993,.F.); +#18995=ORIENTED_EDGE('',*,*,#18976,.T.); +#18996=EDGE_LOOP('',(#18990,#18992,#18994,#18995)); +#18997=FACE_OUTER_BOUND('',#18996,.F.); +#18999=CARTESIAN_POINT('',(-9.168575055660E0,4.475607E0,0.E0)); +#19000=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19001=DIRECTION('',(1.E0,0.E0,0.E0)); +#19002=AXIS2_PLACEMENT_3D('',#18999,#19000,#19001); +#19003=PLANE('',#19002); +#19004=ORIENTED_EDGE('',*,*,#12037,.T.); +#19006=ORIENTED_EDGE('',*,*,#19005,.F.); +#19008=ORIENTED_EDGE('',*,*,#19007,.F.); +#19009=ORIENTED_EDGE('',*,*,#18991,.T.); +#19010=EDGE_LOOP('',(#19004,#19006,#19008,#19009)); +#19011=FACE_OUTER_BOUND('',#19010,.F.); +#19013=CARTESIAN_POINT('',(-9.168575055660E0,5.227209E0,0.E0)); +#19014=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19015=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19016=AXIS2_PLACEMENT_3D('',#19013,#19014,#19015); +#19017=PLANE('',#19016); +#19018=ORIENTED_EDGE('',*,*,#12035,.T.); +#19019=ORIENTED_EDGE('',*,*,#18980,.F.); +#19021=ORIENTED_EDGE('',*,*,#19020,.F.); +#19022=ORIENTED_EDGE('',*,*,#19005,.T.); +#19023=EDGE_LOOP('',(#19018,#19019,#19021,#19022)); +#19024=FACE_OUTER_BOUND('',#19023,.F.); +#19026=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19027=DIRECTION('',(0.E0,0.E0,1.E0)); +#19028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19029=AXIS2_PLACEMENT_3D('',#19026,#19027,#19028); +#19030=PLANE('',#19029); +#19031=ORIENTED_EDGE('',*,*,#18978,.T.); +#19032=ORIENTED_EDGE('',*,*,#18993,.T.); +#19033=ORIENTED_EDGE('',*,*,#19007,.T.); +#19034=ORIENTED_EDGE('',*,*,#19020,.T.); +#19035=EDGE_LOOP('',(#19031,#19032,#19033,#19034)); +#19036=FACE_OUTER_BOUND('',#19035,.F.); +#19038=CARTESIAN_POINT('',(-1.876575055660E0,9.189609E0,0.E0)); +#19039=DIRECTION('',(0.E0,1.E0,0.E0)); +#19040=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19041=AXIS2_PLACEMENT_3D('',#19038,#19039,#19040); +#19042=PLANE('',#19041); +#19043=ORIENTED_EDGE('',*,*,#12043,.T.); +#19045=ORIENTED_EDGE('',*,*,#19044,.F.); +#19047=ORIENTED_EDGE('',*,*,#19046,.F.); +#19049=ORIENTED_EDGE('',*,*,#19048,.T.); +#19050=EDGE_LOOP('',(#19043,#19045,#19047,#19049)); +#19051=FACE_OUTER_BOUND('',#19050,.F.); +#19053=CARTESIAN_POINT('',(-1.876575055660E0,8.438007E0,0.E0)); +#19054=DIRECTION('',(1.E0,0.E0,0.E0)); +#19055=DIRECTION('',(0.E0,1.E0,0.E0)); +#19056=AXIS2_PLACEMENT_3D('',#19053,#19054,#19055); +#19057=PLANE('',#19056); +#19058=ORIENTED_EDGE('',*,*,#12049,.T.); +#19060=ORIENTED_EDGE('',*,*,#19059,.F.); +#19062=ORIENTED_EDGE('',*,*,#19061,.F.); +#19063=ORIENTED_EDGE('',*,*,#19044,.T.); +#19064=EDGE_LOOP('',(#19058,#19060,#19062,#19063)); +#19065=FACE_OUTER_BOUND('',#19064,.F.); +#19067=CARTESIAN_POINT('',(-3.428175055660E0,8.438007E0,0.E0)); +#19068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19069=DIRECTION('',(1.E0,0.E0,0.E0)); +#19070=AXIS2_PLACEMENT_3D('',#19067,#19068,#19069); +#19071=PLANE('',#19070); +#19072=ORIENTED_EDGE('',*,*,#12047,.T.); +#19074=ORIENTED_EDGE('',*,*,#19073,.F.); +#19076=ORIENTED_EDGE('',*,*,#19075,.F.); +#19077=ORIENTED_EDGE('',*,*,#19059,.T.); +#19078=EDGE_LOOP('',(#19072,#19074,#19076,#19077)); +#19079=FACE_OUTER_BOUND('',#19078,.F.); +#19081=CARTESIAN_POINT('',(-3.428175055660E0,9.189609E0,0.E0)); +#19082=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19083=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19084=AXIS2_PLACEMENT_3D('',#19081,#19082,#19083); +#19085=PLANE('',#19084); +#19086=ORIENTED_EDGE('',*,*,#12045,.T.); +#19087=ORIENTED_EDGE('',*,*,#19048,.F.); +#19089=ORIENTED_EDGE('',*,*,#19088,.F.); +#19090=ORIENTED_EDGE('',*,*,#19073,.T.); +#19091=EDGE_LOOP('',(#19086,#19087,#19089,#19090)); +#19092=FACE_OUTER_BOUND('',#19091,.F.); +#19094=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19095=DIRECTION('',(0.E0,0.E0,1.E0)); +#19096=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19097=AXIS2_PLACEMENT_3D('',#19094,#19095,#19096); +#19098=PLANE('',#19097); +#19099=ORIENTED_EDGE('',*,*,#19046,.T.); +#19100=ORIENTED_EDGE('',*,*,#19061,.T.); +#19101=ORIENTED_EDGE('',*,*,#19075,.T.); +#19102=ORIENTED_EDGE('',*,*,#19088,.T.); +#19103=EDGE_LOOP('',(#19099,#19100,#19101,#19102)); +#19104=FACE_OUTER_BOUND('',#19103,.F.); +#19106=CARTESIAN_POINT('',(-6.425380055660E0,1.2552807E1,0.E0)); +#19107=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19108=DIRECTION('',(1.E0,0.E0,0.E0)); +#19109=AXIS2_PLACEMENT_3D('',#19106,#19107,#19108); +#19110=PLANE('',#19109); +#19111=ORIENTED_EDGE('',*,*,#12053,.T.); +#19113=ORIENTED_EDGE('',*,*,#19112,.F.); +#19115=ORIENTED_EDGE('',*,*,#19114,.F.); +#19117=ORIENTED_EDGE('',*,*,#19116,.T.); +#19118=EDGE_LOOP('',(#19111,#19113,#19115,#19117)); +#19119=FACE_OUTER_BOUND('',#19118,.F.); +#19121=CARTESIAN_POINT('',(-6.425380055660E0,1.3304409E1,0.E0)); +#19122=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19123=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19124=AXIS2_PLACEMENT_3D('',#19121,#19122,#19123); +#19125=PLANE('',#19124); +#19126=ORIENTED_EDGE('',*,*,#12059,.T.); +#19128=ORIENTED_EDGE('',*,*,#19127,.F.); +#19130=ORIENTED_EDGE('',*,*,#19129,.F.); +#19131=ORIENTED_EDGE('',*,*,#19112,.T.); +#19132=EDGE_LOOP('',(#19126,#19128,#19130,#19131)); +#19133=FACE_OUTER_BOUND('',#19132,.F.); +#19135=CARTESIAN_POINT('',(-4.873780055660E0,1.3304409E1,0.E0)); +#19136=DIRECTION('',(0.E0,1.E0,0.E0)); +#19137=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19138=AXIS2_PLACEMENT_3D('',#19135,#19136,#19137); +#19139=PLANE('',#19138); +#19140=ORIENTED_EDGE('',*,*,#12057,.T.); +#19142=ORIENTED_EDGE('',*,*,#19141,.F.); +#19144=ORIENTED_EDGE('',*,*,#19143,.F.); +#19145=ORIENTED_EDGE('',*,*,#19127,.T.); +#19146=EDGE_LOOP('',(#19140,#19142,#19144,#19145)); +#19147=FACE_OUTER_BOUND('',#19146,.F.); +#19149=CARTESIAN_POINT('',(-4.873780055660E0,1.2552807E1,0.E0)); +#19150=DIRECTION('',(1.E0,0.E0,0.E0)); +#19151=DIRECTION('',(0.E0,1.E0,0.E0)); +#19152=AXIS2_PLACEMENT_3D('',#19149,#19150,#19151); +#19153=PLANE('',#19152); +#19154=ORIENTED_EDGE('',*,*,#12055,.T.); +#19155=ORIENTED_EDGE('',*,*,#19116,.F.); +#19157=ORIENTED_EDGE('',*,*,#19156,.F.); +#19158=ORIENTED_EDGE('',*,*,#19141,.T.); +#19159=EDGE_LOOP('',(#19154,#19155,#19157,#19158)); +#19160=FACE_OUTER_BOUND('',#19159,.F.); +#19162=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19163=DIRECTION('',(0.E0,0.E0,1.E0)); +#19164=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19165=AXIS2_PLACEMENT_3D('',#19162,#19163,#19164); +#19166=PLANE('',#19165); +#19167=ORIENTED_EDGE('',*,*,#19114,.T.); +#19168=ORIENTED_EDGE('',*,*,#19129,.T.); +#19169=ORIENTED_EDGE('',*,*,#19143,.T.); +#19170=ORIENTED_EDGE('',*,*,#19156,.T.); +#19171=EDGE_LOOP('',(#19167,#19168,#19169,#19170)); +#19172=FACE_OUTER_BOUND('',#19171,.F.); +#19174=CARTESIAN_POINT('',(-5.593578055660E0,1.3981606E1,0.E0)); +#19175=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19176=DIRECTION('',(1.E0,0.E0,0.E0)); +#19177=AXIS2_PLACEMENT_3D('',#19174,#19175,#19176); +#19178=PLANE('',#19177); +#19179=ORIENTED_EDGE('',*,*,#12063,.T.); +#19181=ORIENTED_EDGE('',*,*,#19180,.F.); +#19183=ORIENTED_EDGE('',*,*,#19182,.F.); +#19185=ORIENTED_EDGE('',*,*,#19184,.T.); +#19186=EDGE_LOOP('',(#19179,#19181,#19183,#19185)); +#19187=FACE_OUTER_BOUND('',#19186,.F.); +#19189=CARTESIAN_POINT('',(-5.593578055660E0,1.5533205E1,0.E0)); +#19190=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19191=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19192=AXIS2_PLACEMENT_3D('',#19189,#19190,#19191); +#19193=PLANE('',#19192); +#19194=ORIENTED_EDGE('',*,*,#12069,.T.); +#19196=ORIENTED_EDGE('',*,*,#19195,.F.); +#19198=ORIENTED_EDGE('',*,*,#19197,.F.); +#19199=ORIENTED_EDGE('',*,*,#19180,.T.); +#19200=EDGE_LOOP('',(#19194,#19196,#19198,#19199)); +#19201=FACE_OUTER_BOUND('',#19200,.F.); +#19203=CARTESIAN_POINT('',(-4.841977055660E0,1.5533205E1,0.E0)); +#19204=DIRECTION('',(0.E0,1.E0,0.E0)); +#19205=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19206=AXIS2_PLACEMENT_3D('',#19203,#19204,#19205); +#19207=PLANE('',#19206); +#19208=ORIENTED_EDGE('',*,*,#12067,.T.); +#19210=ORIENTED_EDGE('',*,*,#19209,.F.); +#19212=ORIENTED_EDGE('',*,*,#19211,.F.); +#19213=ORIENTED_EDGE('',*,*,#19195,.T.); +#19214=EDGE_LOOP('',(#19208,#19210,#19212,#19213)); +#19215=FACE_OUTER_BOUND('',#19214,.F.); +#19217=CARTESIAN_POINT('',(-4.841977055660E0,1.3981606E1,0.E0)); +#19218=DIRECTION('',(1.E0,0.E0,0.E0)); +#19219=DIRECTION('',(0.E0,1.E0,0.E0)); +#19220=AXIS2_PLACEMENT_3D('',#19217,#19218,#19219); +#19221=PLANE('',#19220); +#19222=ORIENTED_EDGE('',*,*,#12065,.T.); +#19223=ORIENTED_EDGE('',*,*,#19184,.F.); +#19225=ORIENTED_EDGE('',*,*,#19224,.F.); +#19226=ORIENTED_EDGE('',*,*,#19209,.T.); +#19227=EDGE_LOOP('',(#19222,#19223,#19225,#19226)); +#19228=FACE_OUTER_BOUND('',#19227,.F.); +#19230=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19231=DIRECTION('',(0.E0,0.E0,1.E0)); +#19232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19233=AXIS2_PLACEMENT_3D('',#19230,#19231,#19232); +#19234=PLANE('',#19233); +#19235=ORIENTED_EDGE('',*,*,#19182,.T.); +#19236=ORIENTED_EDGE('',*,*,#19197,.T.); +#19237=ORIENTED_EDGE('',*,*,#19211,.T.); +#19238=ORIENTED_EDGE('',*,*,#19224,.T.); +#19239=EDGE_LOOP('',(#19235,#19236,#19237,#19238)); +#19240=FACE_OUTER_BOUND('',#19239,.F.); +#19242=CARTESIAN_POINT('',(-1.362177055660E0,1.891141E1,0.E0)); +#19243=DIRECTION('',(0.E0,1.E0,0.E0)); +#19244=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19245=AXIS2_PLACEMENT_3D('',#19242,#19243,#19244); +#19246=PLANE('',#19245); +#19247=ORIENTED_EDGE('',*,*,#12073,.T.); +#19249=ORIENTED_EDGE('',*,*,#19248,.F.); +#19251=ORIENTED_EDGE('',*,*,#19250,.F.); +#19253=ORIENTED_EDGE('',*,*,#19252,.T.); +#19254=EDGE_LOOP('',(#19247,#19249,#19251,#19253)); +#19255=FACE_OUTER_BOUND('',#19254,.F.); +#19257=CARTESIAN_POINT('',(-1.362177055660E0,1.7359811E1,0.E0)); +#19258=DIRECTION('',(1.E0,0.E0,0.E0)); +#19259=DIRECTION('',(0.E0,1.E0,0.E0)); +#19260=AXIS2_PLACEMENT_3D('',#19257,#19258,#19259); +#19261=PLANE('',#19260); +#19262=ORIENTED_EDGE('',*,*,#12079,.T.); +#19264=ORIENTED_EDGE('',*,*,#19263,.F.); +#19266=ORIENTED_EDGE('',*,*,#19265,.F.); +#19267=ORIENTED_EDGE('',*,*,#19248,.T.); +#19268=EDGE_LOOP('',(#19262,#19264,#19266,#19267)); +#19269=FACE_OUTER_BOUND('',#19268,.F.); +#19271=CARTESIAN_POINT('',(-2.113778055660E0,1.7359811E1,0.E0)); +#19272=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19273=DIRECTION('',(1.E0,0.E0,0.E0)); +#19274=AXIS2_PLACEMENT_3D('',#19271,#19272,#19273); +#19275=PLANE('',#19274); +#19276=ORIENTED_EDGE('',*,*,#12077,.T.); +#19278=ORIENTED_EDGE('',*,*,#19277,.F.); +#19280=ORIENTED_EDGE('',*,*,#19279,.F.); +#19281=ORIENTED_EDGE('',*,*,#19263,.T.); +#19282=EDGE_LOOP('',(#19276,#19278,#19280,#19281)); +#19283=FACE_OUTER_BOUND('',#19282,.F.); +#19285=CARTESIAN_POINT('',(-2.113778055660E0,1.891141E1,0.E0)); +#19286=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19287=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19288=AXIS2_PLACEMENT_3D('',#19285,#19286,#19287); +#19289=PLANE('',#19288); +#19290=ORIENTED_EDGE('',*,*,#12075,.T.); +#19291=ORIENTED_EDGE('',*,*,#19252,.F.); +#19293=ORIENTED_EDGE('',*,*,#19292,.F.); +#19294=ORIENTED_EDGE('',*,*,#19277,.T.); +#19295=EDGE_LOOP('',(#19290,#19291,#19293,#19294)); +#19296=FACE_OUTER_BOUND('',#19295,.F.); +#19298=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19299=DIRECTION('',(0.E0,0.E0,1.E0)); +#19300=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19301=AXIS2_PLACEMENT_3D('',#19298,#19299,#19300); +#19302=PLANE('',#19301); +#19303=ORIENTED_EDGE('',*,*,#19250,.T.); +#19304=ORIENTED_EDGE('',*,*,#19265,.T.); +#19305=ORIENTED_EDGE('',*,*,#19279,.T.); +#19306=ORIENTED_EDGE('',*,*,#19292,.T.); +#19307=EDGE_LOOP('',(#19303,#19304,#19305,#19306)); +#19308=FACE_OUTER_BOUND('',#19307,.F.); +#19310=CARTESIAN_POINT('',(9.746229443404E-1,1.8911405E1,0.E0)); +#19311=DIRECTION('',(0.E0,1.E0,0.E0)); +#19312=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19313=AXIS2_PLACEMENT_3D('',#19310,#19311,#19312); +#19314=PLANE('',#19313); +#19315=ORIENTED_EDGE('',*,*,#12083,.T.); +#19317=ORIENTED_EDGE('',*,*,#19316,.F.); +#19319=ORIENTED_EDGE('',*,*,#19318,.F.); +#19321=ORIENTED_EDGE('',*,*,#19320,.T.); +#19322=EDGE_LOOP('',(#19315,#19317,#19319,#19321)); +#19323=FACE_OUTER_BOUND('',#19322,.F.); +#19325=CARTESIAN_POINT('',(9.746229443404E-1,1.7359806E1,0.E0)); +#19326=DIRECTION('',(1.E0,0.E0,0.E0)); +#19327=DIRECTION('',(0.E0,1.E0,0.E0)); +#19328=AXIS2_PLACEMENT_3D('',#19325,#19326,#19327); +#19329=PLANE('',#19328); +#19330=ORIENTED_EDGE('',*,*,#12089,.T.); +#19332=ORIENTED_EDGE('',*,*,#19331,.F.); +#19334=ORIENTED_EDGE('',*,*,#19333,.F.); +#19335=ORIENTED_EDGE('',*,*,#19316,.T.); +#19336=EDGE_LOOP('',(#19330,#19332,#19334,#19335)); +#19337=FACE_OUTER_BOUND('',#19336,.F.); +#19339=CARTESIAN_POINT('',(2.230219443404E-1,1.7359806E1,0.E0)); +#19340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19341=DIRECTION('',(1.E0,0.E0,0.E0)); +#19342=AXIS2_PLACEMENT_3D('',#19339,#19340,#19341); +#19343=PLANE('',#19342); +#19344=ORIENTED_EDGE('',*,*,#12087,.T.); +#19346=ORIENTED_EDGE('',*,*,#19345,.F.); +#19348=ORIENTED_EDGE('',*,*,#19347,.F.); +#19349=ORIENTED_EDGE('',*,*,#19331,.T.); +#19350=EDGE_LOOP('',(#19344,#19346,#19348,#19349)); +#19351=FACE_OUTER_BOUND('',#19350,.F.); +#19353=CARTESIAN_POINT('',(2.230219443404E-1,1.8911405E1,0.E0)); +#19354=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19356=AXIS2_PLACEMENT_3D('',#19353,#19354,#19355); +#19357=PLANE('',#19356); +#19358=ORIENTED_EDGE('',*,*,#12085,.T.); +#19359=ORIENTED_EDGE('',*,*,#19320,.F.); +#19361=ORIENTED_EDGE('',*,*,#19360,.F.); +#19362=ORIENTED_EDGE('',*,*,#19345,.T.); +#19363=EDGE_LOOP('',(#19358,#19359,#19361,#19362)); +#19364=FACE_OUTER_BOUND('',#19363,.F.); +#19366=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19367=DIRECTION('',(0.E0,0.E0,1.E0)); +#19368=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19369=AXIS2_PLACEMENT_3D('',#19366,#19367,#19368); +#19370=PLANE('',#19369); +#19371=ORIENTED_EDGE('',*,*,#19318,.T.); +#19372=ORIENTED_EDGE('',*,*,#19333,.T.); +#19373=ORIENTED_EDGE('',*,*,#19347,.T.); +#19374=ORIENTED_EDGE('',*,*,#19360,.T.); +#19375=EDGE_LOOP('',(#19371,#19372,#19373,#19374)); +#19376=FACE_OUTER_BOUND('',#19375,.F.); +#19378=CARTESIAN_POINT('',(1.171419944340E0,2.0695809E1,0.E0)); +#19379=DIRECTION('',(0.E0,1.E0,0.E0)); +#19380=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19381=AXIS2_PLACEMENT_3D('',#19378,#19379,#19380); +#19382=PLANE('',#19381); +#19383=ORIENTED_EDGE('',*,*,#12093,.T.); +#19385=ORIENTED_EDGE('',*,*,#19384,.F.); +#19387=ORIENTED_EDGE('',*,*,#19386,.F.); +#19389=ORIENTED_EDGE('',*,*,#19388,.T.); +#19390=EDGE_LOOP('',(#19383,#19385,#19387,#19389)); +#19391=FACE_OUTER_BOUND('',#19390,.F.); +#19393=CARTESIAN_POINT('',(1.171419944340E0,1.9944207E1,0.E0)); +#19394=DIRECTION('',(1.E0,0.E0,0.E0)); +#19395=DIRECTION('',(0.E0,1.E0,0.E0)); +#19396=AXIS2_PLACEMENT_3D('',#19393,#19394,#19395); +#19397=PLANE('',#19396); +#19398=ORIENTED_EDGE('',*,*,#12099,.T.); +#19400=ORIENTED_EDGE('',*,*,#19399,.F.); +#19402=ORIENTED_EDGE('',*,*,#19401,.F.); +#19403=ORIENTED_EDGE('',*,*,#19384,.T.); +#19404=EDGE_LOOP('',(#19398,#19400,#19402,#19403)); +#19405=FACE_OUTER_BOUND('',#19404,.F.); +#19407=CARTESIAN_POINT('',(-3.801800556596E-1,1.9944207E1,0.E0)); +#19408=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19409=DIRECTION('',(1.E0,0.E0,0.E0)); +#19410=AXIS2_PLACEMENT_3D('',#19407,#19408,#19409); +#19411=PLANE('',#19410); +#19412=ORIENTED_EDGE('',*,*,#12097,.T.); +#19414=ORIENTED_EDGE('',*,*,#19413,.F.); +#19416=ORIENTED_EDGE('',*,*,#19415,.F.); +#19417=ORIENTED_EDGE('',*,*,#19399,.T.); +#19418=EDGE_LOOP('',(#19412,#19414,#19416,#19417)); +#19419=FACE_OUTER_BOUND('',#19418,.F.); +#19421=CARTESIAN_POINT('',(-3.801800556596E-1,2.0695809E1,0.E0)); +#19422=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19424=AXIS2_PLACEMENT_3D('',#19421,#19422,#19423); +#19425=PLANE('',#19424); +#19426=ORIENTED_EDGE('',*,*,#12095,.T.); +#19427=ORIENTED_EDGE('',*,*,#19388,.F.); +#19429=ORIENTED_EDGE('',*,*,#19428,.F.); +#19430=ORIENTED_EDGE('',*,*,#19413,.T.); +#19431=EDGE_LOOP('',(#19426,#19427,#19429,#19430)); +#19432=FACE_OUTER_BOUND('',#19431,.F.); +#19434=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19435=DIRECTION('',(0.E0,0.E0,1.E0)); +#19436=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19437=AXIS2_PLACEMENT_3D('',#19434,#19435,#19436); +#19438=PLANE('',#19437); +#19439=ORIENTED_EDGE('',*,*,#19386,.T.); +#19440=ORIENTED_EDGE('',*,*,#19401,.T.); +#19441=ORIENTED_EDGE('',*,*,#19415,.T.); +#19442=ORIENTED_EDGE('',*,*,#19428,.T.); +#19443=EDGE_LOOP('',(#19439,#19440,#19441,#19442)); +#19444=FACE_OUTER_BOUND('',#19443,.F.); +#19446=CARTESIAN_POINT('',(6.911819944340E0,1.7556607E1,0.E0)); +#19447=DIRECTION('',(1.E0,0.E0,0.E0)); +#19448=DIRECTION('',(0.E0,1.E0,0.E0)); +#19449=AXIS2_PLACEMENT_3D('',#19446,#19447,#19448); +#19450=PLANE('',#19449); +#19451=ORIENTED_EDGE('',*,*,#12103,.T.); +#19453=ORIENTED_EDGE('',*,*,#19452,.F.); +#19455=ORIENTED_EDGE('',*,*,#19454,.F.); +#19457=ORIENTED_EDGE('',*,*,#19456,.T.); +#19458=EDGE_LOOP('',(#19451,#19453,#19455,#19457)); +#19459=FACE_OUTER_BOUND('',#19458,.F.); +#19461=CARTESIAN_POINT('',(5.360219944340E0,1.7556607E1,0.E0)); +#19462=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19463=DIRECTION('',(1.E0,0.E0,0.E0)); +#19464=AXIS2_PLACEMENT_3D('',#19461,#19462,#19463); +#19465=PLANE('',#19464); +#19466=ORIENTED_EDGE('',*,*,#12109,.T.); +#19468=ORIENTED_EDGE('',*,*,#19467,.F.); +#19470=ORIENTED_EDGE('',*,*,#19469,.F.); +#19471=ORIENTED_EDGE('',*,*,#19452,.T.); +#19472=EDGE_LOOP('',(#19466,#19468,#19470,#19471)); +#19473=FACE_OUTER_BOUND('',#19472,.F.); +#19475=CARTESIAN_POINT('',(5.360219944340E0,1.8308209E1,0.E0)); +#19476=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19477=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19478=AXIS2_PLACEMENT_3D('',#19475,#19476,#19477); +#19479=PLANE('',#19478); +#19480=ORIENTED_EDGE('',*,*,#12107,.T.); +#19482=ORIENTED_EDGE('',*,*,#19481,.F.); +#19484=ORIENTED_EDGE('',*,*,#19483,.F.); +#19485=ORIENTED_EDGE('',*,*,#19467,.T.); +#19486=EDGE_LOOP('',(#19480,#19482,#19484,#19485)); +#19487=FACE_OUTER_BOUND('',#19486,.F.); +#19489=CARTESIAN_POINT('',(6.911819944340E0,1.8308209E1,0.E0)); +#19490=DIRECTION('',(0.E0,1.E0,0.E0)); +#19491=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19492=AXIS2_PLACEMENT_3D('',#19489,#19490,#19491); +#19493=PLANE('',#19492); +#19494=ORIENTED_EDGE('',*,*,#12105,.T.); +#19495=ORIENTED_EDGE('',*,*,#19456,.F.); +#19497=ORIENTED_EDGE('',*,*,#19496,.F.); +#19498=ORIENTED_EDGE('',*,*,#19481,.T.); +#19499=EDGE_LOOP('',(#19494,#19495,#19497,#19498)); +#19500=FACE_OUTER_BOUND('',#19499,.F.); +#19502=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19503=DIRECTION('',(0.E0,0.E0,1.E0)); +#19504=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19505=AXIS2_PLACEMENT_3D('',#19502,#19503,#19504); +#19506=PLANE('',#19505); +#19507=ORIENTED_EDGE('',*,*,#19454,.T.); +#19508=ORIENTED_EDGE('',*,*,#19469,.T.); +#19509=ORIENTED_EDGE('',*,*,#19483,.T.); +#19510=ORIENTED_EDGE('',*,*,#19496,.T.); +#19511=EDGE_LOOP('',(#19507,#19508,#19509,#19510)); +#19512=FACE_OUTER_BOUND('',#19511,.F.); +#19514=CARTESIAN_POINT('',(5.360219944340E0,1.8750407E1,0.E0)); +#19515=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19516=DIRECTION('',(1.E0,0.E0,0.E0)); +#19517=AXIS2_PLACEMENT_3D('',#19514,#19515,#19516); +#19518=PLANE('',#19517); +#19519=ORIENTED_EDGE('',*,*,#12113,.T.); +#19521=ORIENTED_EDGE('',*,*,#19520,.F.); +#19523=ORIENTED_EDGE('',*,*,#19522,.F.); +#19525=ORIENTED_EDGE('',*,*,#19524,.T.); +#19526=EDGE_LOOP('',(#19519,#19521,#19523,#19525)); +#19527=FACE_OUTER_BOUND('',#19526,.F.); +#19529=CARTESIAN_POINT('',(5.360219944340E0,1.9502009E1,0.E0)); +#19530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19531=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19532=AXIS2_PLACEMENT_3D('',#19529,#19530,#19531); +#19533=PLANE('',#19532); +#19534=ORIENTED_EDGE('',*,*,#12119,.T.); +#19536=ORIENTED_EDGE('',*,*,#19535,.F.); +#19538=ORIENTED_EDGE('',*,*,#19537,.F.); +#19539=ORIENTED_EDGE('',*,*,#19520,.T.); +#19540=EDGE_LOOP('',(#19534,#19536,#19538,#19539)); +#19541=FACE_OUTER_BOUND('',#19540,.F.); +#19543=CARTESIAN_POINT('',(6.911819944340E0,1.9502009E1,0.E0)); +#19544=DIRECTION('',(0.E0,1.E0,0.E0)); +#19545=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19546=AXIS2_PLACEMENT_3D('',#19543,#19544,#19545); +#19547=PLANE('',#19546); +#19548=ORIENTED_EDGE('',*,*,#12117,.T.); +#19550=ORIENTED_EDGE('',*,*,#19549,.F.); +#19552=ORIENTED_EDGE('',*,*,#19551,.F.); +#19553=ORIENTED_EDGE('',*,*,#19535,.T.); +#19554=EDGE_LOOP('',(#19548,#19550,#19552,#19553)); +#19555=FACE_OUTER_BOUND('',#19554,.F.); +#19557=CARTESIAN_POINT('',(6.911819944340E0,1.8750407E1,0.E0)); +#19558=DIRECTION('',(1.E0,0.E0,0.E0)); +#19559=DIRECTION('',(0.E0,1.E0,0.E0)); +#19560=AXIS2_PLACEMENT_3D('',#19557,#19558,#19559); +#19561=PLANE('',#19560); +#19562=ORIENTED_EDGE('',*,*,#12115,.T.); +#19563=ORIENTED_EDGE('',*,*,#19524,.F.); +#19565=ORIENTED_EDGE('',*,*,#19564,.F.); +#19566=ORIENTED_EDGE('',*,*,#19549,.T.); +#19567=EDGE_LOOP('',(#19562,#19563,#19565,#19566)); +#19568=FACE_OUTER_BOUND('',#19567,.F.); +#19570=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19571=DIRECTION('',(0.E0,0.E0,1.E0)); +#19572=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19573=AXIS2_PLACEMENT_3D('',#19570,#19571,#19572); +#19574=PLANE('',#19573); +#19575=ORIENTED_EDGE('',*,*,#19522,.T.); +#19576=ORIENTED_EDGE('',*,*,#19537,.T.); +#19577=ORIENTED_EDGE('',*,*,#19551,.T.); +#19578=ORIENTED_EDGE('',*,*,#19564,.T.); +#19579=EDGE_LOOP('',(#19575,#19576,#19577,#19578)); +#19580=FACE_OUTER_BOUND('',#19579,.F.); +#19582=CARTESIAN_POINT('',(6.911824944340E0,1.9918807E1,0.E0)); +#19583=DIRECTION('',(1.E0,0.E0,0.E0)); +#19584=DIRECTION('',(0.E0,1.E0,0.E0)); +#19585=AXIS2_PLACEMENT_3D('',#19582,#19583,#19584); +#19586=PLANE('',#19585); +#19587=ORIENTED_EDGE('',*,*,#12123,.T.); +#19589=ORIENTED_EDGE('',*,*,#19588,.F.); +#19591=ORIENTED_EDGE('',*,*,#19590,.F.); +#19593=ORIENTED_EDGE('',*,*,#19592,.T.); +#19594=EDGE_LOOP('',(#19587,#19589,#19591,#19593)); +#19595=FACE_OUTER_BOUND('',#19594,.F.); +#19597=CARTESIAN_POINT('',(5.360224944340E0,1.9918807E1,0.E0)); +#19598=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19599=DIRECTION('',(1.E0,0.E0,0.E0)); +#19600=AXIS2_PLACEMENT_3D('',#19597,#19598,#19599); +#19601=PLANE('',#19600); +#19602=ORIENTED_EDGE('',*,*,#12129,.T.); +#19604=ORIENTED_EDGE('',*,*,#19603,.F.); +#19606=ORIENTED_EDGE('',*,*,#19605,.F.); +#19607=ORIENTED_EDGE('',*,*,#19588,.T.); +#19608=EDGE_LOOP('',(#19602,#19604,#19606,#19607)); +#19609=FACE_OUTER_BOUND('',#19608,.F.); +#19611=CARTESIAN_POINT('',(5.360224944340E0,2.0670409E1,0.E0)); +#19612=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19613=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19614=AXIS2_PLACEMENT_3D('',#19611,#19612,#19613); +#19615=PLANE('',#19614); +#19616=ORIENTED_EDGE('',*,*,#12127,.T.); +#19618=ORIENTED_EDGE('',*,*,#19617,.F.); +#19620=ORIENTED_EDGE('',*,*,#19619,.F.); +#19621=ORIENTED_EDGE('',*,*,#19603,.T.); +#19622=EDGE_LOOP('',(#19616,#19618,#19620,#19621)); +#19623=FACE_OUTER_BOUND('',#19622,.F.); +#19625=CARTESIAN_POINT('',(6.911824944340E0,2.0670409E1,0.E0)); +#19626=DIRECTION('',(0.E0,1.E0,0.E0)); +#19627=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19628=AXIS2_PLACEMENT_3D('',#19625,#19626,#19627); +#19629=PLANE('',#19628); +#19630=ORIENTED_EDGE('',*,*,#12125,.T.); +#19631=ORIENTED_EDGE('',*,*,#19592,.F.); +#19633=ORIENTED_EDGE('',*,*,#19632,.F.); +#19634=ORIENTED_EDGE('',*,*,#19617,.T.); +#19635=EDGE_LOOP('',(#19630,#19631,#19633,#19634)); +#19636=FACE_OUTER_BOUND('',#19635,.F.); +#19638=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19639=DIRECTION('',(0.E0,0.E0,1.E0)); +#19640=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19641=AXIS2_PLACEMENT_3D('',#19638,#19639,#19640); +#19642=PLANE('',#19641); +#19643=ORIENTED_EDGE('',*,*,#19590,.T.); +#19644=ORIENTED_EDGE('',*,*,#19605,.T.); +#19645=ORIENTED_EDGE('',*,*,#19619,.T.); +#19646=ORIENTED_EDGE('',*,*,#19632,.T.); +#19647=EDGE_LOOP('',(#19643,#19644,#19645,#19646)); +#19648=FACE_OUTER_BOUND('',#19647,.F.); +#19650=CARTESIAN_POINT('',(-6.321575055660E0,3.1973409E1,0.E0)); +#19651=DIRECTION('',(0.E0,1.E0,0.E0)); +#19652=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19653=AXIS2_PLACEMENT_3D('',#19650,#19651,#19652); +#19654=PLANE('',#19653); +#19655=ORIENTED_EDGE('',*,*,#12133,.T.); +#19657=ORIENTED_EDGE('',*,*,#19656,.F.); +#19659=ORIENTED_EDGE('',*,*,#19658,.F.); +#19661=ORIENTED_EDGE('',*,*,#19660,.T.); +#19662=EDGE_LOOP('',(#19655,#19657,#19659,#19661)); +#19663=FACE_OUTER_BOUND('',#19662,.F.); +#19665=CARTESIAN_POINT('',(-6.321575055660E0,3.1221807E1,0.E0)); +#19666=DIRECTION('',(1.E0,0.E0,0.E0)); +#19667=DIRECTION('',(0.E0,1.E0,0.E0)); +#19668=AXIS2_PLACEMENT_3D('',#19665,#19666,#19667); +#19669=PLANE('',#19668); +#19670=ORIENTED_EDGE('',*,*,#12139,.T.); +#19672=ORIENTED_EDGE('',*,*,#19671,.F.); +#19674=ORIENTED_EDGE('',*,*,#19673,.F.); +#19675=ORIENTED_EDGE('',*,*,#19656,.T.); +#19676=EDGE_LOOP('',(#19670,#19672,#19674,#19675)); +#19677=FACE_OUTER_BOUND('',#19676,.F.); +#19679=CARTESIAN_POINT('',(-7.873175055660E0,3.1221807E1,0.E0)); +#19680=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19681=DIRECTION('',(1.E0,0.E0,0.E0)); +#19682=AXIS2_PLACEMENT_3D('',#19679,#19680,#19681); +#19683=PLANE('',#19682); +#19684=ORIENTED_EDGE('',*,*,#12137,.T.); +#19686=ORIENTED_EDGE('',*,*,#19685,.F.); +#19688=ORIENTED_EDGE('',*,*,#19687,.F.); +#19689=ORIENTED_EDGE('',*,*,#19671,.T.); +#19690=EDGE_LOOP('',(#19684,#19686,#19688,#19689)); +#19691=FACE_OUTER_BOUND('',#19690,.F.); +#19693=CARTESIAN_POINT('',(-7.873175055660E0,3.1973409E1,0.E0)); +#19694=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19695=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19696=AXIS2_PLACEMENT_3D('',#19693,#19694,#19695); +#19697=PLANE('',#19696); +#19698=ORIENTED_EDGE('',*,*,#12135,.T.); +#19699=ORIENTED_EDGE('',*,*,#19660,.F.); +#19701=ORIENTED_EDGE('',*,*,#19700,.F.); +#19702=ORIENTED_EDGE('',*,*,#19685,.T.); +#19703=EDGE_LOOP('',(#19698,#19699,#19701,#19702)); +#19704=FACE_OUTER_BOUND('',#19703,.F.); +#19706=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19707=DIRECTION('',(0.E0,0.E0,1.E0)); +#19708=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19709=AXIS2_PLACEMENT_3D('',#19706,#19707,#19708); +#19710=PLANE('',#19709); +#19711=ORIENTED_EDGE('',*,*,#19658,.T.); +#19712=ORIENTED_EDGE('',*,*,#19673,.T.); +#19713=ORIENTED_EDGE('',*,*,#19687,.T.); +#19714=ORIENTED_EDGE('',*,*,#19700,.T.); +#19715=EDGE_LOOP('',(#19711,#19712,#19713,#19714)); +#19716=FACE_OUTER_BOUND('',#19715,.F.); +#19718=CARTESIAN_POINT('',(-7.873175055660E0,3.0830409E1,0.E0)); +#19719=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19720=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19721=AXIS2_PLACEMENT_3D('',#19718,#19719,#19720); +#19722=PLANE('',#19721); +#19723=ORIENTED_EDGE('',*,*,#12143,.T.); +#19725=ORIENTED_EDGE('',*,*,#19724,.F.); +#19727=ORIENTED_EDGE('',*,*,#19726,.F.); +#19729=ORIENTED_EDGE('',*,*,#19728,.T.); +#19730=EDGE_LOOP('',(#19723,#19725,#19727,#19729)); +#19731=FACE_OUTER_BOUND('',#19730,.F.); +#19733=CARTESIAN_POINT('',(-6.321575055660E0,3.0830409E1,0.E0)); +#19734=DIRECTION('',(0.E0,1.E0,0.E0)); +#19735=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19736=AXIS2_PLACEMENT_3D('',#19733,#19734,#19735); +#19737=PLANE('',#19736); +#19738=ORIENTED_EDGE('',*,*,#12149,.T.); +#19740=ORIENTED_EDGE('',*,*,#19739,.F.); +#19742=ORIENTED_EDGE('',*,*,#19741,.F.); +#19743=ORIENTED_EDGE('',*,*,#19724,.T.); +#19744=EDGE_LOOP('',(#19738,#19740,#19742,#19743)); +#19745=FACE_OUTER_BOUND('',#19744,.F.); +#19747=CARTESIAN_POINT('',(-6.321575055660E0,3.0078807E1,0.E0)); +#19748=DIRECTION('',(1.E0,0.E0,0.E0)); +#19749=DIRECTION('',(0.E0,1.E0,0.E0)); +#19750=AXIS2_PLACEMENT_3D('',#19747,#19748,#19749); +#19751=PLANE('',#19750); +#19752=ORIENTED_EDGE('',*,*,#12147,.T.); +#19754=ORIENTED_EDGE('',*,*,#19753,.F.); +#19756=ORIENTED_EDGE('',*,*,#19755,.F.); +#19757=ORIENTED_EDGE('',*,*,#19739,.T.); +#19758=EDGE_LOOP('',(#19752,#19754,#19756,#19757)); +#19759=FACE_OUTER_BOUND('',#19758,.F.); +#19761=CARTESIAN_POINT('',(-7.873175055660E0,3.0078807E1,0.E0)); +#19762=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19763=DIRECTION('',(1.E0,0.E0,0.E0)); +#19764=AXIS2_PLACEMENT_3D('',#19761,#19762,#19763); +#19765=PLANE('',#19764); +#19766=ORIENTED_EDGE('',*,*,#12145,.T.); +#19767=ORIENTED_EDGE('',*,*,#19728,.F.); +#19769=ORIENTED_EDGE('',*,*,#19768,.F.); +#19770=ORIENTED_EDGE('',*,*,#19753,.T.); +#19771=EDGE_LOOP('',(#19766,#19767,#19769,#19770)); +#19772=FACE_OUTER_BOUND('',#19771,.F.); +#19774=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19775=DIRECTION('',(0.E0,0.E0,1.E0)); +#19776=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19777=AXIS2_PLACEMENT_3D('',#19774,#19775,#19776); +#19778=PLANE('',#19777); +#19779=ORIENTED_EDGE('',*,*,#19726,.T.); +#19780=ORIENTED_EDGE('',*,*,#19741,.T.); +#19781=ORIENTED_EDGE('',*,*,#19755,.T.); +#19782=ORIENTED_EDGE('',*,*,#19768,.T.); +#19783=EDGE_LOOP('',(#19779,#19780,#19781,#19782)); +#19784=FACE_OUTER_BOUND('',#19783,.F.); +#19786=CARTESIAN_POINT('',(-6.321575055660E0,2.9687409E1,0.E0)); +#19787=DIRECTION('',(0.E0,1.E0,0.E0)); +#19788=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19789=AXIS2_PLACEMENT_3D('',#19786,#19787,#19788); +#19790=PLANE('',#19789); +#19791=ORIENTED_EDGE('',*,*,#12153,.T.); +#19793=ORIENTED_EDGE('',*,*,#19792,.F.); +#19795=ORIENTED_EDGE('',*,*,#19794,.F.); +#19797=ORIENTED_EDGE('',*,*,#19796,.T.); +#19798=EDGE_LOOP('',(#19791,#19793,#19795,#19797)); +#19799=FACE_OUTER_BOUND('',#19798,.F.); +#19801=CARTESIAN_POINT('',(-6.321575055660E0,2.8935807E1,0.E0)); +#19802=DIRECTION('',(1.E0,0.E0,0.E0)); +#19803=DIRECTION('',(0.E0,1.E0,0.E0)); +#19804=AXIS2_PLACEMENT_3D('',#19801,#19802,#19803); +#19805=PLANE('',#19804); +#19806=ORIENTED_EDGE('',*,*,#12159,.T.); +#19808=ORIENTED_EDGE('',*,*,#19807,.F.); +#19810=ORIENTED_EDGE('',*,*,#19809,.F.); +#19811=ORIENTED_EDGE('',*,*,#19792,.T.); +#19812=EDGE_LOOP('',(#19806,#19808,#19810,#19811)); +#19813=FACE_OUTER_BOUND('',#19812,.F.); +#19815=CARTESIAN_POINT('',(-7.873175055660E0,2.8935807E1,0.E0)); +#19816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19817=DIRECTION('',(1.E0,0.E0,0.E0)); +#19818=AXIS2_PLACEMENT_3D('',#19815,#19816,#19817); +#19819=PLANE('',#19818); +#19820=ORIENTED_EDGE('',*,*,#12157,.T.); +#19822=ORIENTED_EDGE('',*,*,#19821,.F.); +#19824=ORIENTED_EDGE('',*,*,#19823,.F.); +#19825=ORIENTED_EDGE('',*,*,#19807,.T.); +#19826=EDGE_LOOP('',(#19820,#19822,#19824,#19825)); +#19827=FACE_OUTER_BOUND('',#19826,.F.); +#19829=CARTESIAN_POINT('',(-7.873175055660E0,2.9687409E1,0.E0)); +#19830=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19831=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19832=AXIS2_PLACEMENT_3D('',#19829,#19830,#19831); +#19833=PLANE('',#19832); +#19834=ORIENTED_EDGE('',*,*,#12155,.T.); +#19835=ORIENTED_EDGE('',*,*,#19796,.F.); +#19837=ORIENTED_EDGE('',*,*,#19836,.F.); +#19838=ORIENTED_EDGE('',*,*,#19821,.T.); +#19839=EDGE_LOOP('',(#19834,#19835,#19837,#19838)); +#19840=FACE_OUTER_BOUND('',#19839,.F.); +#19842=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19843=DIRECTION('',(0.E0,0.E0,1.E0)); +#19844=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19845=AXIS2_PLACEMENT_3D('',#19842,#19843,#19844); +#19846=PLANE('',#19845); +#19847=ORIENTED_EDGE('',*,*,#19794,.T.); +#19848=ORIENTED_EDGE('',*,*,#19809,.T.); +#19849=ORIENTED_EDGE('',*,*,#19823,.T.); +#19850=ORIENTED_EDGE('',*,*,#19836,.T.); +#19851=EDGE_LOOP('',(#19847,#19848,#19849,#19850)); +#19852=FACE_OUTER_BOUND('',#19851,.F.); +#19854=CARTESIAN_POINT('',(3.805031944340E0,5.2845805E1,0.E0)); +#19855=DIRECTION('',(0.E0,1.E0,0.E0)); +#19856=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19857=AXIS2_PLACEMENT_3D('',#19854,#19855,#19856); +#19858=PLANE('',#19857); +#19859=ORIENTED_EDGE('',*,*,#12163,.T.); +#19861=ORIENTED_EDGE('',*,*,#19860,.F.); +#19863=ORIENTED_EDGE('',*,*,#19862,.F.); +#19865=ORIENTED_EDGE('',*,*,#19864,.T.); +#19866=EDGE_LOOP('',(#19859,#19861,#19863,#19865)); +#19867=FACE_OUTER_BOUND('',#19866,.F.); +#19869=CARTESIAN_POINT('',(3.805031944340E0,5.1294206E1,0.E0)); +#19870=DIRECTION('',(1.E0,0.E0,0.E0)); +#19871=DIRECTION('',(0.E0,1.E0,0.E0)); +#19872=AXIS2_PLACEMENT_3D('',#19869,#19870,#19871); +#19873=PLANE('',#19872); +#19874=ORIENTED_EDGE('',*,*,#12169,.T.); +#19876=ORIENTED_EDGE('',*,*,#19875,.F.); +#19878=ORIENTED_EDGE('',*,*,#19877,.F.); +#19879=ORIENTED_EDGE('',*,*,#19860,.T.); +#19880=EDGE_LOOP('',(#19874,#19876,#19878,#19879)); +#19881=FACE_OUTER_BOUND('',#19880,.F.); +#19883=CARTESIAN_POINT('',(3.053429944340E0,5.1294206E1,0.E0)); +#19884=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19885=DIRECTION('',(1.E0,0.E0,0.E0)); +#19886=AXIS2_PLACEMENT_3D('',#19883,#19884,#19885); +#19887=PLANE('',#19886); +#19888=ORIENTED_EDGE('',*,*,#12167,.T.); +#19890=ORIENTED_EDGE('',*,*,#19889,.F.); +#19892=ORIENTED_EDGE('',*,*,#19891,.F.); +#19893=ORIENTED_EDGE('',*,*,#19875,.T.); +#19894=EDGE_LOOP('',(#19888,#19890,#19892,#19893)); +#19895=FACE_OUTER_BOUND('',#19894,.F.); +#19897=CARTESIAN_POINT('',(3.053429944340E0,5.2845805E1,0.E0)); +#19898=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19899=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19900=AXIS2_PLACEMENT_3D('',#19897,#19898,#19899); +#19901=PLANE('',#19900); +#19902=ORIENTED_EDGE('',*,*,#12165,.T.); +#19903=ORIENTED_EDGE('',*,*,#19864,.F.); +#19905=ORIENTED_EDGE('',*,*,#19904,.F.); +#19906=ORIENTED_EDGE('',*,*,#19889,.T.); +#19907=EDGE_LOOP('',(#19902,#19903,#19905,#19906)); +#19908=FACE_OUTER_BOUND('',#19907,.F.); +#19910=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19911=DIRECTION('',(0.E0,0.E0,1.E0)); +#19912=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19913=AXIS2_PLACEMENT_3D('',#19910,#19911,#19912); +#19914=PLANE('',#19913); +#19915=ORIENTED_EDGE('',*,*,#19862,.T.); +#19916=ORIENTED_EDGE('',*,*,#19877,.T.); +#19917=ORIENTED_EDGE('',*,*,#19891,.T.); +#19918=ORIENTED_EDGE('',*,*,#19904,.T.); +#19919=EDGE_LOOP('',(#19915,#19916,#19917,#19918)); +#19920=FACE_OUTER_BOUND('',#19919,.F.); +#19922=CARTESIAN_POINT('',(4.611432944340E0,5.2039409E1,0.E0)); +#19923=DIRECTION('',(0.E0,1.E0,0.E0)); +#19924=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19925=AXIS2_PLACEMENT_3D('',#19922,#19923,#19924); +#19926=PLANE('',#19925); +#19927=ORIENTED_EDGE('',*,*,#12173,.T.); +#19929=ORIENTED_EDGE('',*,*,#19928,.F.); +#19931=ORIENTED_EDGE('',*,*,#19930,.F.); +#19933=ORIENTED_EDGE('',*,*,#19932,.T.); +#19934=EDGE_LOOP('',(#19927,#19929,#19931,#19933)); +#19935=FACE_OUTER_BOUND('',#19934,.F.); +#19937=CARTESIAN_POINT('',(4.611432944340E0,5.1287807E1,0.E0)); +#19938=DIRECTION('',(1.E0,0.E0,0.E0)); +#19939=DIRECTION('',(0.E0,1.E0,0.E0)); +#19940=AXIS2_PLACEMENT_3D('',#19937,#19938,#19939); +#19941=PLANE('',#19940); +#19942=ORIENTED_EDGE('',*,*,#12179,.T.); +#19944=ORIENTED_EDGE('',*,*,#19943,.F.); +#19946=ORIENTED_EDGE('',*,*,#19945,.F.); +#19947=ORIENTED_EDGE('',*,*,#19928,.T.); +#19948=EDGE_LOOP('',(#19942,#19944,#19946,#19947)); +#19949=FACE_OUTER_BOUND('',#19948,.F.); +#19951=CARTESIAN_POINT('',(3.909834944340E0,5.1287807E1,0.E0)); +#19952=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19953=DIRECTION('',(1.E0,0.E0,0.E0)); +#19954=AXIS2_PLACEMENT_3D('',#19951,#19952,#19953); +#19955=PLANE('',#19954); +#19956=ORIENTED_EDGE('',*,*,#12177,.T.); +#19958=ORIENTED_EDGE('',*,*,#19957,.F.); +#19960=ORIENTED_EDGE('',*,*,#19959,.F.); +#19961=ORIENTED_EDGE('',*,*,#19943,.T.); +#19962=EDGE_LOOP('',(#19956,#19958,#19960,#19961)); +#19963=FACE_OUTER_BOUND('',#19962,.F.); +#19965=CARTESIAN_POINT('',(3.909834944340E0,5.2039409E1,0.E0)); +#19966=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19967=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19968=AXIS2_PLACEMENT_3D('',#19965,#19966,#19967); +#19969=PLANE('',#19968); +#19970=ORIENTED_EDGE('',*,*,#12175,.T.); +#19971=ORIENTED_EDGE('',*,*,#19932,.F.); +#19973=ORIENTED_EDGE('',*,*,#19972,.F.); +#19974=ORIENTED_EDGE('',*,*,#19957,.T.); +#19975=EDGE_LOOP('',(#19970,#19971,#19973,#19974)); +#19976=FACE_OUTER_BOUND('',#19975,.F.); +#19978=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#19979=DIRECTION('',(0.E0,0.E0,1.E0)); +#19980=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19981=AXIS2_PLACEMENT_3D('',#19978,#19979,#19980); +#19982=PLANE('',#19981); +#19983=ORIENTED_EDGE('',*,*,#19930,.T.); +#19984=ORIENTED_EDGE('',*,*,#19945,.T.); +#19985=ORIENTED_EDGE('',*,*,#19959,.T.); +#19986=ORIENTED_EDGE('',*,*,#19972,.T.); +#19987=EDGE_LOOP('',(#19983,#19984,#19985,#19986)); +#19988=FACE_OUTER_BOUND('',#19987,.F.); +#19990=CARTESIAN_POINT('',(3.028029944340E0,4.9721608E1,0.E0)); +#19991=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19993=AXIS2_PLACEMENT_3D('',#19990,#19991,#19992); +#19994=PLANE('',#19993); +#19995=ORIENTED_EDGE('',*,*,#12183,.T.); +#19997=ORIENTED_EDGE('',*,*,#19996,.F.); +#19999=ORIENTED_EDGE('',*,*,#19998,.F.); +#20001=ORIENTED_EDGE('',*,*,#20000,.T.); +#20002=EDGE_LOOP('',(#19995,#19997,#19999,#20001)); +#20003=FACE_OUTER_BOUND('',#20002,.F.); +#20005=CARTESIAN_POINT('',(3.779631944340E0,4.9721608E1,0.E0)); +#20006=DIRECTION('',(0.E0,1.E0,0.E0)); +#20007=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20008=AXIS2_PLACEMENT_3D('',#20005,#20006,#20007); +#20009=PLANE('',#20008); +#20010=ORIENTED_EDGE('',*,*,#12189,.T.); +#20012=ORIENTED_EDGE('',*,*,#20011,.F.); +#20014=ORIENTED_EDGE('',*,*,#20013,.F.); +#20015=ORIENTED_EDGE('',*,*,#19996,.T.); +#20016=EDGE_LOOP('',(#20010,#20012,#20014,#20015)); +#20017=FACE_OUTER_BOUND('',#20016,.F.); +#20019=CARTESIAN_POINT('',(3.779631944340E0,4.8170008E1,0.E0)); +#20020=DIRECTION('',(1.E0,0.E0,0.E0)); +#20021=DIRECTION('',(0.E0,1.E0,0.E0)); +#20022=AXIS2_PLACEMENT_3D('',#20019,#20020,#20021); +#20023=PLANE('',#20022); +#20024=ORIENTED_EDGE('',*,*,#12187,.T.); +#20026=ORIENTED_EDGE('',*,*,#20025,.F.); +#20028=ORIENTED_EDGE('',*,*,#20027,.F.); +#20029=ORIENTED_EDGE('',*,*,#20011,.T.); +#20030=EDGE_LOOP('',(#20024,#20026,#20028,#20029)); +#20031=FACE_OUTER_BOUND('',#20030,.F.); +#20033=CARTESIAN_POINT('',(3.028029944340E0,4.8170008E1,0.E0)); +#20034=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20035=DIRECTION('',(1.E0,0.E0,0.E0)); +#20036=AXIS2_PLACEMENT_3D('',#20033,#20034,#20035); +#20037=PLANE('',#20036); +#20038=ORIENTED_EDGE('',*,*,#12185,.T.); +#20039=ORIENTED_EDGE('',*,*,#20000,.F.); +#20041=ORIENTED_EDGE('',*,*,#20040,.F.); +#20042=ORIENTED_EDGE('',*,*,#20025,.T.); +#20043=EDGE_LOOP('',(#20038,#20039,#20041,#20042)); +#20044=FACE_OUTER_BOUND('',#20043,.F.); +#20046=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,4.E-1)); +#20047=DIRECTION('',(0.E0,0.E0,1.E0)); +#20048=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20049=AXIS2_PLACEMENT_3D('',#20046,#20047,#20048); +#20050=PLANE('',#20049); +#20051=ORIENTED_EDGE('',*,*,#19998,.T.); +#20052=ORIENTED_EDGE('',*,*,#20013,.T.); +#20053=ORIENTED_EDGE('',*,*,#20027,.T.); +#20054=ORIENTED_EDGE('',*,*,#20040,.T.); +#20055=EDGE_LOOP('',(#20051,#20052,#20053,#20054)); +#20056=FACE_OUTER_BOUND('',#20055,.F.); +#20058=CARTESIAN_POINT('',(-1.112437705566E1,5.227206E0,0.E0)); +#20059=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20061=AXIS2_PLACEMENT_3D('',#20058,#20059,#20060); +#20062=PLANE('',#20061); +#20063=ORIENTED_EDGE('',*,*,#12193,.T.); +#20065=ORIENTED_EDGE('',*,*,#20064,.F.); +#20067=ORIENTED_EDGE('',*,*,#20066,.F.); +#20069=ORIENTED_EDGE('',*,*,#20068,.T.); +#20070=EDGE_LOOP('',(#20063,#20065,#20067,#20069)); +#20071=FACE_OUTER_BOUND('',#20070,.F.); +#20073=CARTESIAN_POINT('',(-9.572778055660E0,5.227206E0,0.E0)); +#20074=DIRECTION('',(0.E0,1.E0,0.E0)); +#20075=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20076=AXIS2_PLACEMENT_3D('',#20073,#20074,#20075); +#20077=PLANE('',#20076); +#20078=ORIENTED_EDGE('',*,*,#12199,.T.); +#20080=ORIENTED_EDGE('',*,*,#20079,.F.); +#20082=ORIENTED_EDGE('',*,*,#20081,.F.); +#20083=ORIENTED_EDGE('',*,*,#20064,.T.); +#20084=EDGE_LOOP('',(#20078,#20080,#20082,#20083)); +#20085=FACE_OUTER_BOUND('',#20084,.F.); +#20087=CARTESIAN_POINT('',(-9.572778055660E0,4.475605E0,0.E0)); +#20088=DIRECTION('',(1.E0,0.E0,0.E0)); +#20089=DIRECTION('',(0.E0,1.E0,0.E0)); +#20090=AXIS2_PLACEMENT_3D('',#20087,#20088,#20089); +#20091=PLANE('',#20090); +#20092=ORIENTED_EDGE('',*,*,#12197,.T.); +#20094=ORIENTED_EDGE('',*,*,#20093,.F.); +#20096=ORIENTED_EDGE('',*,*,#20095,.F.); +#20097=ORIENTED_EDGE('',*,*,#20079,.T.); +#20098=EDGE_LOOP('',(#20092,#20094,#20096,#20097)); +#20099=FACE_OUTER_BOUND('',#20098,.F.); +#20101=CARTESIAN_POINT('',(-1.112437705566E1,4.475605E0,0.E0)); +#20102=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20103=DIRECTION('',(1.E0,0.E0,0.E0)); +#20104=AXIS2_PLACEMENT_3D('',#20101,#20102,#20103); +#20105=PLANE('',#20104); +#20106=ORIENTED_EDGE('',*,*,#12195,.T.); +#20107=ORIENTED_EDGE('',*,*,#20068,.F.); +#20109=ORIENTED_EDGE('',*,*,#20108,.F.); +#20110=ORIENTED_EDGE('',*,*,#20093,.T.); +#20111=EDGE_LOOP('',(#20106,#20107,#20109,#20110)); +#20112=FACE_OUTER_BOUND('',#20111,.F.); +#20114=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20115=DIRECTION('',(0.E0,0.E0,1.E0)); +#20116=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20117=AXIS2_PLACEMENT_3D('',#20114,#20115,#20116); +#20118=PLANE('',#20117); +#20119=ORIENTED_EDGE('',*,*,#20066,.T.); +#20120=ORIENTED_EDGE('',*,*,#20081,.T.); +#20121=ORIENTED_EDGE('',*,*,#20095,.T.); +#20122=ORIENTED_EDGE('',*,*,#20108,.T.); +#20123=EDGE_LOOP('',(#20119,#20120,#20121,#20122)); +#20124=FACE_OUTER_BOUND('',#20123,.F.); +#20126=CARTESIAN_POINT('',(6.861021944340E0,7.030611E0,0.E0)); +#20127=DIRECTION('',(0.E0,1.E0,0.E0)); +#20128=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20129=AXIS2_PLACEMENT_3D('',#20126,#20127,#20128); +#20130=PLANE('',#20129); +#20131=ORIENTED_EDGE('',*,*,#12203,.T.); +#20133=ORIENTED_EDGE('',*,*,#20132,.F.); +#20135=ORIENTED_EDGE('',*,*,#20134,.F.); +#20137=ORIENTED_EDGE('',*,*,#20136,.T.); +#20138=EDGE_LOOP('',(#20131,#20133,#20135,#20137)); +#20139=FACE_OUTER_BOUND('',#20138,.F.); +#20141=CARTESIAN_POINT('',(6.861021944340E0,6.27901E0,0.E0)); +#20142=DIRECTION('',(1.E0,0.E0,0.E0)); +#20143=DIRECTION('',(0.E0,1.E0,0.E0)); +#20144=AXIS2_PLACEMENT_3D('',#20141,#20142,#20143); +#20145=PLANE('',#20144); +#20146=ORIENTED_EDGE('',*,*,#12209,.T.); +#20148=ORIENTED_EDGE('',*,*,#20147,.F.); +#20150=ORIENTED_EDGE('',*,*,#20149,.F.); +#20151=ORIENTED_EDGE('',*,*,#20132,.T.); +#20152=EDGE_LOOP('',(#20146,#20148,#20150,#20151)); +#20153=FACE_OUTER_BOUND('',#20152,.F.); +#20155=CARTESIAN_POINT('',(5.309422944340E0,6.27901E0,0.E0)); +#20156=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20157=DIRECTION('',(1.E0,0.E0,0.E0)); +#20158=AXIS2_PLACEMENT_3D('',#20155,#20156,#20157); +#20159=PLANE('',#20158); +#20160=ORIENTED_EDGE('',*,*,#12207,.T.); +#20162=ORIENTED_EDGE('',*,*,#20161,.F.); +#20164=ORIENTED_EDGE('',*,*,#20163,.F.); +#20165=ORIENTED_EDGE('',*,*,#20147,.T.); +#20166=EDGE_LOOP('',(#20160,#20162,#20164,#20165)); +#20167=FACE_OUTER_BOUND('',#20166,.F.); +#20169=CARTESIAN_POINT('',(5.309422944340E0,7.030611E0,0.E0)); +#20170=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20171=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20172=AXIS2_PLACEMENT_3D('',#20169,#20170,#20171); +#20173=PLANE('',#20172); +#20174=ORIENTED_EDGE('',*,*,#12205,.T.); +#20175=ORIENTED_EDGE('',*,*,#20136,.F.); +#20177=ORIENTED_EDGE('',*,*,#20176,.F.); +#20178=ORIENTED_EDGE('',*,*,#20161,.T.); +#20179=EDGE_LOOP('',(#20174,#20175,#20177,#20178)); +#20180=FACE_OUTER_BOUND('',#20179,.F.); +#20182=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20183=DIRECTION('',(0.E0,0.E0,1.E0)); +#20184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20185=AXIS2_PLACEMENT_3D('',#20182,#20183,#20184); +#20186=PLANE('',#20185); +#20187=ORIENTED_EDGE('',*,*,#20134,.T.); +#20188=ORIENTED_EDGE('',*,*,#20149,.T.); +#20189=ORIENTED_EDGE('',*,*,#20163,.T.); +#20190=ORIENTED_EDGE('',*,*,#20176,.T.); +#20191=EDGE_LOOP('',(#20187,#20188,#20189,#20190)); +#20192=FACE_OUTER_BOUND('',#20191,.F.); +#20194=CARTESIAN_POINT('',(-9.453750556596E-1,1.8911405E1,0.E0)); +#20195=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20196=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20197=AXIS2_PLACEMENT_3D('',#20194,#20195,#20196); +#20198=PLANE('',#20197); +#20199=ORIENTED_EDGE('',*,*,#12213,.T.); +#20201=ORIENTED_EDGE('',*,*,#20200,.F.); +#20203=ORIENTED_EDGE('',*,*,#20202,.F.); +#20205=ORIENTED_EDGE('',*,*,#20204,.T.); +#20206=EDGE_LOOP('',(#20199,#20201,#20203,#20205)); +#20207=FACE_OUTER_BOUND('',#20206,.F.); +#20209=CARTESIAN_POINT('',(-1.937740556596E-1,1.8911405E1,0.E0)); +#20210=DIRECTION('',(0.E0,1.E0,0.E0)); +#20211=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20212=AXIS2_PLACEMENT_3D('',#20209,#20210,#20211); +#20213=PLANE('',#20212); +#20214=ORIENTED_EDGE('',*,*,#12219,.T.); +#20216=ORIENTED_EDGE('',*,*,#20215,.F.); +#20218=ORIENTED_EDGE('',*,*,#20217,.F.); +#20219=ORIENTED_EDGE('',*,*,#20200,.T.); +#20220=EDGE_LOOP('',(#20214,#20216,#20218,#20219)); +#20221=FACE_OUTER_BOUND('',#20220,.F.); +#20223=CARTESIAN_POINT('',(-1.937740556596E-1,1.7359806E1,0.E0)); +#20224=DIRECTION('',(1.E0,0.E0,0.E0)); +#20225=DIRECTION('',(0.E0,1.E0,0.E0)); +#20226=AXIS2_PLACEMENT_3D('',#20223,#20224,#20225); +#20227=PLANE('',#20226); +#20228=ORIENTED_EDGE('',*,*,#12217,.T.); +#20230=ORIENTED_EDGE('',*,*,#20229,.F.); +#20232=ORIENTED_EDGE('',*,*,#20231,.F.); +#20233=ORIENTED_EDGE('',*,*,#20215,.T.); +#20234=EDGE_LOOP('',(#20228,#20230,#20232,#20233)); +#20235=FACE_OUTER_BOUND('',#20234,.F.); +#20237=CARTESIAN_POINT('',(-9.453750556596E-1,1.7359806E1,0.E0)); +#20238=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20239=DIRECTION('',(1.E0,0.E0,0.E0)); +#20240=AXIS2_PLACEMENT_3D('',#20237,#20238,#20239); +#20241=PLANE('',#20240); +#20242=ORIENTED_EDGE('',*,*,#12215,.T.); +#20243=ORIENTED_EDGE('',*,*,#20204,.F.); +#20245=ORIENTED_EDGE('',*,*,#20244,.F.); +#20246=ORIENTED_EDGE('',*,*,#20229,.T.); +#20247=EDGE_LOOP('',(#20242,#20243,#20245,#20246)); +#20248=FACE_OUTER_BOUND('',#20247,.F.); +#20250=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20251=DIRECTION('',(0.E0,0.E0,1.E0)); +#20252=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20253=AXIS2_PLACEMENT_3D('',#20250,#20251,#20252); +#20254=PLANE('',#20253); +#20255=ORIENTED_EDGE('',*,*,#20202,.T.); +#20256=ORIENTED_EDGE('',*,*,#20217,.T.); +#20257=ORIENTED_EDGE('',*,*,#20231,.T.); +#20258=ORIENTED_EDGE('',*,*,#20244,.T.); +#20259=EDGE_LOOP('',(#20255,#20256,#20257,#20258)); +#20260=FACE_OUTER_BOUND('',#20259,.F.); +#20262=CARTESIAN_POINT('',(-1.102277705566E1,1.7165206E1,0.E0)); +#20263=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20264=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20265=AXIS2_PLACEMENT_3D('',#20262,#20263,#20264); +#20266=PLANE('',#20265); +#20267=ORIENTED_EDGE('',*,*,#12223,.T.); +#20269=ORIENTED_EDGE('',*,*,#20268,.F.); +#20271=ORIENTED_EDGE('',*,*,#20270,.F.); +#20273=ORIENTED_EDGE('',*,*,#20272,.T.); +#20274=EDGE_LOOP('',(#20267,#20269,#20271,#20273)); +#20275=FACE_OUTER_BOUND('',#20274,.F.); +#20277=CARTESIAN_POINT('',(-9.471178055660E0,1.7165206E1,0.E0)); +#20278=DIRECTION('',(0.E0,1.E0,0.E0)); +#20279=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20280=AXIS2_PLACEMENT_3D('',#20277,#20278,#20279); +#20281=PLANE('',#20280); +#20282=ORIENTED_EDGE('',*,*,#12229,.T.); +#20284=ORIENTED_EDGE('',*,*,#20283,.F.); +#20286=ORIENTED_EDGE('',*,*,#20285,.F.); +#20287=ORIENTED_EDGE('',*,*,#20268,.T.); +#20288=EDGE_LOOP('',(#20282,#20284,#20286,#20287)); +#20289=FACE_OUTER_BOUND('',#20288,.F.); +#20291=CARTESIAN_POINT('',(-9.471178055660E0,1.6413605E1,0.E0)); +#20292=DIRECTION('',(1.E0,0.E0,0.E0)); +#20293=DIRECTION('',(0.E0,1.E0,0.E0)); +#20294=AXIS2_PLACEMENT_3D('',#20291,#20292,#20293); +#20295=PLANE('',#20294); +#20296=ORIENTED_EDGE('',*,*,#12227,.T.); +#20298=ORIENTED_EDGE('',*,*,#20297,.F.); +#20300=ORIENTED_EDGE('',*,*,#20299,.F.); +#20301=ORIENTED_EDGE('',*,*,#20283,.T.); +#20302=EDGE_LOOP('',(#20296,#20298,#20300,#20301)); +#20303=FACE_OUTER_BOUND('',#20302,.F.); +#20305=CARTESIAN_POINT('',(-1.102277705566E1,1.6413605E1,0.E0)); +#20306=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20307=DIRECTION('',(1.E0,0.E0,0.E0)); +#20308=AXIS2_PLACEMENT_3D('',#20305,#20306,#20307); +#20309=PLANE('',#20308); +#20310=ORIENTED_EDGE('',*,*,#12225,.T.); +#20311=ORIENTED_EDGE('',*,*,#20272,.F.); +#20313=ORIENTED_EDGE('',*,*,#20312,.F.); +#20314=ORIENTED_EDGE('',*,*,#20297,.T.); +#20315=EDGE_LOOP('',(#20310,#20311,#20313,#20314)); +#20316=FACE_OUTER_BOUND('',#20315,.F.); +#20318=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20319=DIRECTION('',(0.E0,0.E0,1.E0)); +#20320=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20321=AXIS2_PLACEMENT_3D('',#20318,#20319,#20320); +#20322=PLANE('',#20321); +#20323=ORIENTED_EDGE('',*,*,#20270,.T.); +#20324=ORIENTED_EDGE('',*,*,#20285,.T.); +#20325=ORIENTED_EDGE('',*,*,#20299,.T.); +#20326=ORIENTED_EDGE('',*,*,#20312,.T.); +#20327=EDGE_LOOP('',(#20323,#20324,#20325,#20326)); +#20328=FACE_OUTER_BOUND('',#20327,.F.); +#20330=CARTESIAN_POINT('',(-7.947178055660E0,3.9872806E1,0.E0)); +#20331=DIRECTION('',(0.E0,1.E0,0.E0)); +#20332=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20333=AXIS2_PLACEMENT_3D('',#20330,#20331,#20332); +#20334=PLANE('',#20333); +#20335=ORIENTED_EDGE('',*,*,#12233,.T.); +#20337=ORIENTED_EDGE('',*,*,#20336,.F.); +#20339=ORIENTED_EDGE('',*,*,#20338,.F.); +#20341=ORIENTED_EDGE('',*,*,#20340,.T.); +#20342=EDGE_LOOP('',(#20335,#20337,#20339,#20341)); +#20343=FACE_OUTER_BOUND('',#20342,.F.); +#20345=CARTESIAN_POINT('',(-7.947178055660E0,3.9121205E1,0.E0)); +#20346=DIRECTION('',(1.E0,0.E0,0.E0)); +#20347=DIRECTION('',(0.E0,1.E0,0.E0)); +#20348=AXIS2_PLACEMENT_3D('',#20345,#20346,#20347); +#20349=PLANE('',#20348); +#20350=ORIENTED_EDGE('',*,*,#12239,.T.); +#20352=ORIENTED_EDGE('',*,*,#20351,.F.); +#20354=ORIENTED_EDGE('',*,*,#20353,.F.); +#20355=ORIENTED_EDGE('',*,*,#20336,.T.); +#20356=EDGE_LOOP('',(#20350,#20352,#20354,#20355)); +#20357=FACE_OUTER_BOUND('',#20356,.F.); +#20359=CARTESIAN_POINT('',(-9.498777055660E0,3.9121205E1,0.E0)); +#20360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20361=DIRECTION('',(1.E0,0.E0,0.E0)); +#20362=AXIS2_PLACEMENT_3D('',#20359,#20360,#20361); +#20363=PLANE('',#20362); +#20364=ORIENTED_EDGE('',*,*,#12237,.T.); +#20366=ORIENTED_EDGE('',*,*,#20365,.F.); +#20368=ORIENTED_EDGE('',*,*,#20367,.F.); +#20369=ORIENTED_EDGE('',*,*,#20351,.T.); +#20370=EDGE_LOOP('',(#20364,#20366,#20368,#20369)); +#20371=FACE_OUTER_BOUND('',#20370,.F.); +#20373=CARTESIAN_POINT('',(-9.498777055660E0,3.9872806E1,0.E0)); +#20374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20375=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20376=AXIS2_PLACEMENT_3D('',#20373,#20374,#20375); +#20377=PLANE('',#20376); +#20378=ORIENTED_EDGE('',*,*,#12235,.T.); +#20379=ORIENTED_EDGE('',*,*,#20340,.F.); +#20381=ORIENTED_EDGE('',*,*,#20380,.F.); +#20382=ORIENTED_EDGE('',*,*,#20365,.T.); +#20383=EDGE_LOOP('',(#20378,#20379,#20381,#20382)); +#20384=FACE_OUTER_BOUND('',#20383,.F.); +#20386=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20387=DIRECTION('',(0.E0,0.E0,1.E0)); +#20388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20389=AXIS2_PLACEMENT_3D('',#20386,#20387,#20388); +#20390=PLANE('',#20389); +#20391=ORIENTED_EDGE('',*,*,#20338,.T.); +#20392=ORIENTED_EDGE('',*,*,#20353,.T.); +#20393=ORIENTED_EDGE('',*,*,#20367,.T.); +#20394=ORIENTED_EDGE('',*,*,#20380,.T.); +#20395=EDGE_LOOP('',(#20391,#20392,#20393,#20394)); +#20396=FACE_OUTER_BOUND('',#20395,.F.); +#20398=CARTESIAN_POINT('',(-9.498777055660E0,3.8729806E1,0.E0)); +#20399=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20400=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20401=AXIS2_PLACEMENT_3D('',#20398,#20399,#20400); +#20402=PLANE('',#20401); +#20403=ORIENTED_EDGE('',*,*,#12243,.T.); +#20405=ORIENTED_EDGE('',*,*,#20404,.F.); +#20407=ORIENTED_EDGE('',*,*,#20406,.F.); +#20409=ORIENTED_EDGE('',*,*,#20408,.T.); +#20410=EDGE_LOOP('',(#20403,#20405,#20407,#20409)); +#20411=FACE_OUTER_BOUND('',#20410,.F.); +#20413=CARTESIAN_POINT('',(-7.947178055660E0,3.8729806E1,0.E0)); +#20414=DIRECTION('',(0.E0,1.E0,0.E0)); +#20415=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20416=AXIS2_PLACEMENT_3D('',#20413,#20414,#20415); +#20417=PLANE('',#20416); +#20418=ORIENTED_EDGE('',*,*,#12249,.T.); +#20420=ORIENTED_EDGE('',*,*,#20419,.F.); +#20422=ORIENTED_EDGE('',*,*,#20421,.F.); +#20423=ORIENTED_EDGE('',*,*,#20404,.T.); +#20424=EDGE_LOOP('',(#20418,#20420,#20422,#20423)); +#20425=FACE_OUTER_BOUND('',#20424,.F.); +#20427=CARTESIAN_POINT('',(-7.947178055660E0,3.7978205E1,0.E0)); +#20428=DIRECTION('',(1.E0,0.E0,0.E0)); +#20429=DIRECTION('',(0.E0,1.E0,0.E0)); +#20430=AXIS2_PLACEMENT_3D('',#20427,#20428,#20429); +#20431=PLANE('',#20430); +#20432=ORIENTED_EDGE('',*,*,#12247,.T.); +#20434=ORIENTED_EDGE('',*,*,#20433,.F.); +#20436=ORIENTED_EDGE('',*,*,#20435,.F.); +#20437=ORIENTED_EDGE('',*,*,#20419,.T.); +#20438=EDGE_LOOP('',(#20432,#20434,#20436,#20437)); +#20439=FACE_OUTER_BOUND('',#20438,.F.); +#20441=CARTESIAN_POINT('',(-9.498777055660E0,3.7978205E1,0.E0)); +#20442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20443=DIRECTION('',(1.E0,0.E0,0.E0)); +#20444=AXIS2_PLACEMENT_3D('',#20441,#20442,#20443); +#20445=PLANE('',#20444); +#20446=ORIENTED_EDGE('',*,*,#12245,.T.); +#20447=ORIENTED_EDGE('',*,*,#20408,.F.); +#20449=ORIENTED_EDGE('',*,*,#20448,.F.); +#20450=ORIENTED_EDGE('',*,*,#20433,.T.); +#20451=EDGE_LOOP('',(#20446,#20447,#20449,#20450)); +#20452=FACE_OUTER_BOUND('',#20451,.F.); +#20454=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20455=DIRECTION('',(0.E0,0.E0,1.E0)); +#20456=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20457=AXIS2_PLACEMENT_3D('',#20454,#20455,#20456); +#20458=PLANE('',#20457); +#20459=ORIENTED_EDGE('',*,*,#20406,.T.); +#20460=ORIENTED_EDGE('',*,*,#20421,.T.); +#20461=ORIENTED_EDGE('',*,*,#20435,.T.); +#20462=ORIENTED_EDGE('',*,*,#20448,.T.); +#20463=EDGE_LOOP('',(#20459,#20460,#20461,#20462)); +#20464=FACE_OUTER_BOUND('',#20463,.F.); +#20466=CARTESIAN_POINT('',(-5.929569055660E0,4.2692211E1,0.E0)); +#20467=DIRECTION('',(0.E0,1.E0,0.E0)); +#20468=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20469=AXIS2_PLACEMENT_3D('',#20466,#20467,#20468); +#20470=PLANE('',#20469); +#20471=ORIENTED_EDGE('',*,*,#12253,.T.); +#20473=ORIENTED_EDGE('',*,*,#20472,.F.); +#20475=ORIENTED_EDGE('',*,*,#20474,.F.); +#20477=ORIENTED_EDGE('',*,*,#20476,.T.); +#20478=EDGE_LOOP('',(#20471,#20473,#20475,#20477)); +#20479=FACE_OUTER_BOUND('',#20478,.F.); +#20481=CARTESIAN_POINT('',(-5.929569055660E0,4.194061E1,0.E0)); +#20482=DIRECTION('',(1.E0,0.E0,0.E0)); +#20483=DIRECTION('',(0.E0,1.E0,0.E0)); +#20484=AXIS2_PLACEMENT_3D('',#20481,#20482,#20483); +#20485=PLANE('',#20484); +#20486=ORIENTED_EDGE('',*,*,#12259,.T.); +#20488=ORIENTED_EDGE('',*,*,#20487,.F.); +#20490=ORIENTED_EDGE('',*,*,#20489,.F.); +#20491=ORIENTED_EDGE('',*,*,#20472,.T.); +#20492=EDGE_LOOP('',(#20486,#20488,#20490,#20491)); +#20493=FACE_OUTER_BOUND('',#20492,.F.); +#20495=CARTESIAN_POINT('',(-7.481169055660E0,4.194061E1,0.E0)); +#20496=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20497=DIRECTION('',(1.E0,0.E0,0.E0)); +#20498=AXIS2_PLACEMENT_3D('',#20495,#20496,#20497); +#20499=PLANE('',#20498); +#20500=ORIENTED_EDGE('',*,*,#12257,.T.); +#20502=ORIENTED_EDGE('',*,*,#20501,.F.); +#20504=ORIENTED_EDGE('',*,*,#20503,.F.); +#20505=ORIENTED_EDGE('',*,*,#20487,.T.); +#20506=EDGE_LOOP('',(#20500,#20502,#20504,#20505)); +#20507=FACE_OUTER_BOUND('',#20506,.F.); +#20509=CARTESIAN_POINT('',(-7.481169055660E0,4.2692211E1,0.E0)); +#20510=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20511=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20512=AXIS2_PLACEMENT_3D('',#20509,#20510,#20511); +#20513=PLANE('',#20512); +#20514=ORIENTED_EDGE('',*,*,#12255,.T.); +#20515=ORIENTED_EDGE('',*,*,#20476,.F.); +#20517=ORIENTED_EDGE('',*,*,#20516,.F.); +#20518=ORIENTED_EDGE('',*,*,#20501,.T.); +#20519=EDGE_LOOP('',(#20514,#20515,#20517,#20518)); +#20520=FACE_OUTER_BOUND('',#20519,.F.); +#20522=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20523=DIRECTION('',(0.E0,0.E0,1.E0)); +#20524=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20525=AXIS2_PLACEMENT_3D('',#20522,#20523,#20524); +#20526=PLANE('',#20525); +#20527=ORIENTED_EDGE('',*,*,#20474,.T.); +#20528=ORIENTED_EDGE('',*,*,#20489,.T.); +#20529=ORIENTED_EDGE('',*,*,#20503,.T.); +#20530=ORIENTED_EDGE('',*,*,#20516,.T.); +#20531=EDGE_LOOP('',(#20527,#20528,#20529,#20530)); +#20532=FACE_OUTER_BOUND('',#20531,.F.); +#20534=CARTESIAN_POINT('',(-2.500569055660E0,3.5961211E1,0.E0)); +#20535=DIRECTION('',(0.E0,1.E0,0.E0)); +#20536=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20537=AXIS2_PLACEMENT_3D('',#20534,#20535,#20536); +#20538=PLANE('',#20537); +#20539=ORIENTED_EDGE('',*,*,#12263,.T.); +#20541=ORIENTED_EDGE('',*,*,#20540,.F.); +#20543=ORIENTED_EDGE('',*,*,#20542,.F.); +#20545=ORIENTED_EDGE('',*,*,#20544,.T.); +#20546=EDGE_LOOP('',(#20539,#20541,#20543,#20545)); +#20547=FACE_OUTER_BOUND('',#20546,.F.); +#20549=CARTESIAN_POINT('',(-2.500569055660E0,3.520961E1,0.E0)); +#20550=DIRECTION('',(1.E0,0.E0,0.E0)); +#20551=DIRECTION('',(0.E0,1.E0,0.E0)); +#20552=AXIS2_PLACEMENT_3D('',#20549,#20550,#20551); +#20553=PLANE('',#20552); +#20554=ORIENTED_EDGE('',*,*,#12269,.T.); +#20556=ORIENTED_EDGE('',*,*,#20555,.F.); +#20558=ORIENTED_EDGE('',*,*,#20557,.F.); +#20559=ORIENTED_EDGE('',*,*,#20540,.T.); +#20560=EDGE_LOOP('',(#20554,#20556,#20558,#20559)); +#20561=FACE_OUTER_BOUND('',#20560,.F.); +#20563=CARTESIAN_POINT('',(-4.052169055660E0,3.520961E1,0.E0)); +#20564=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20565=DIRECTION('',(1.E0,0.E0,0.E0)); +#20566=AXIS2_PLACEMENT_3D('',#20563,#20564,#20565); +#20567=PLANE('',#20566); +#20568=ORIENTED_EDGE('',*,*,#12267,.T.); +#20570=ORIENTED_EDGE('',*,*,#20569,.F.); +#20572=ORIENTED_EDGE('',*,*,#20571,.F.); +#20573=ORIENTED_EDGE('',*,*,#20555,.T.); +#20574=EDGE_LOOP('',(#20568,#20570,#20572,#20573)); +#20575=FACE_OUTER_BOUND('',#20574,.F.); +#20577=CARTESIAN_POINT('',(-4.052169055660E0,3.5961211E1,0.E0)); +#20578=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20579=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20580=AXIS2_PLACEMENT_3D('',#20577,#20578,#20579); +#20581=PLANE('',#20580); +#20582=ORIENTED_EDGE('',*,*,#12265,.T.); +#20583=ORIENTED_EDGE('',*,*,#20544,.F.); +#20585=ORIENTED_EDGE('',*,*,#20584,.F.); +#20586=ORIENTED_EDGE('',*,*,#20569,.T.); +#20587=EDGE_LOOP('',(#20582,#20583,#20585,#20586)); +#20588=FACE_OUTER_BOUND('',#20587,.F.); +#20590=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20591=DIRECTION('',(0.E0,0.E0,1.E0)); +#20592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20593=AXIS2_PLACEMENT_3D('',#20590,#20591,#20592); +#20594=PLANE('',#20593); +#20595=ORIENTED_EDGE('',*,*,#20542,.T.); +#20596=ORIENTED_EDGE('',*,*,#20557,.T.); +#20597=ORIENTED_EDGE('',*,*,#20571,.T.); +#20598=ORIENTED_EDGE('',*,*,#20584,.T.); +#20599=EDGE_LOOP('',(#20595,#20596,#20597,#20598)); +#20600=FACE_OUTER_BOUND('',#20599,.F.); +#20602=CARTESIAN_POINT('',(-2.421690556596E-1,3.2532211E1,0.E0)); +#20603=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20604=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20605=AXIS2_PLACEMENT_3D('',#20602,#20603,#20604); +#20606=PLANE('',#20605); +#20607=ORIENTED_EDGE('',*,*,#12273,.T.); +#20609=ORIENTED_EDGE('',*,*,#20608,.F.); +#20611=ORIENTED_EDGE('',*,*,#20610,.F.); +#20613=ORIENTED_EDGE('',*,*,#20612,.T.); +#20614=EDGE_LOOP('',(#20607,#20609,#20611,#20613)); +#20615=FACE_OUTER_BOUND('',#20614,.F.); +#20617=CARTESIAN_POINT('',(1.309430944340E0,3.2532211E1,0.E0)); +#20618=DIRECTION('',(0.E0,1.E0,0.E0)); +#20619=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20620=AXIS2_PLACEMENT_3D('',#20617,#20618,#20619); +#20621=PLANE('',#20620); +#20622=ORIENTED_EDGE('',*,*,#12279,.T.); +#20624=ORIENTED_EDGE('',*,*,#20623,.F.); +#20626=ORIENTED_EDGE('',*,*,#20625,.F.); +#20627=ORIENTED_EDGE('',*,*,#20608,.T.); +#20628=EDGE_LOOP('',(#20622,#20624,#20626,#20627)); +#20629=FACE_OUTER_BOUND('',#20628,.F.); +#20631=CARTESIAN_POINT('',(1.309430944340E0,3.178061E1,0.E0)); +#20632=DIRECTION('',(1.E0,0.E0,0.E0)); +#20633=DIRECTION('',(0.E0,1.E0,0.E0)); +#20634=AXIS2_PLACEMENT_3D('',#20631,#20632,#20633); +#20635=PLANE('',#20634); +#20636=ORIENTED_EDGE('',*,*,#12277,.T.); +#20638=ORIENTED_EDGE('',*,*,#20637,.F.); +#20640=ORIENTED_EDGE('',*,*,#20639,.F.); +#20641=ORIENTED_EDGE('',*,*,#20623,.T.); +#20642=EDGE_LOOP('',(#20636,#20638,#20640,#20641)); +#20643=FACE_OUTER_BOUND('',#20642,.F.); +#20645=CARTESIAN_POINT('',(-2.421690556596E-1,3.178061E1,0.E0)); +#20646=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20647=DIRECTION('',(1.E0,0.E0,0.E0)); +#20648=AXIS2_PLACEMENT_3D('',#20645,#20646,#20647); +#20649=PLANE('',#20648); +#20650=ORIENTED_EDGE('',*,*,#12275,.T.); +#20651=ORIENTED_EDGE('',*,*,#20612,.F.); +#20653=ORIENTED_EDGE('',*,*,#20652,.F.); +#20654=ORIENTED_EDGE('',*,*,#20637,.T.); +#20655=EDGE_LOOP('',(#20650,#20651,#20653,#20654)); +#20656=FACE_OUTER_BOUND('',#20655,.F.); +#20658=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20659=DIRECTION('',(0.E0,0.E0,1.E0)); +#20660=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20661=AXIS2_PLACEMENT_3D('',#20658,#20659,#20660); +#20662=PLANE('',#20661); +#20663=ORIENTED_EDGE('',*,*,#20610,.T.); +#20664=ORIENTED_EDGE('',*,*,#20625,.T.); +#20665=ORIENTED_EDGE('',*,*,#20639,.T.); +#20666=ORIENTED_EDGE('',*,*,#20652,.T.); +#20667=EDGE_LOOP('',(#20663,#20664,#20665,#20666)); +#20668=FACE_OUTER_BOUND('',#20667,.F.); +#20670=CARTESIAN_POINT('',(-2.421690556596E-1,3.1389206E1,0.E0)); +#20671=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20672=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20673=AXIS2_PLACEMENT_3D('',#20670,#20671,#20672); +#20674=PLANE('',#20673); +#20675=ORIENTED_EDGE('',*,*,#12283,.T.); +#20677=ORIENTED_EDGE('',*,*,#20676,.F.); +#20679=ORIENTED_EDGE('',*,*,#20678,.F.); +#20681=ORIENTED_EDGE('',*,*,#20680,.T.); +#20682=EDGE_LOOP('',(#20675,#20677,#20679,#20681)); +#20683=FACE_OUTER_BOUND('',#20682,.F.); +#20685=CARTESIAN_POINT('',(1.309430944340E0,3.1389206E1,0.E0)); +#20686=DIRECTION('',(0.E0,1.E0,0.E0)); +#20687=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20688=AXIS2_PLACEMENT_3D('',#20685,#20686,#20687); +#20689=PLANE('',#20688); +#20690=ORIENTED_EDGE('',*,*,#12289,.T.); +#20692=ORIENTED_EDGE('',*,*,#20691,.F.); +#20694=ORIENTED_EDGE('',*,*,#20693,.F.); +#20695=ORIENTED_EDGE('',*,*,#20676,.T.); +#20696=EDGE_LOOP('',(#20690,#20692,#20694,#20695)); +#20697=FACE_OUTER_BOUND('',#20696,.F.); +#20699=CARTESIAN_POINT('',(1.309430944340E0,3.0637605E1,0.E0)); +#20700=DIRECTION('',(1.E0,0.E0,0.E0)); +#20701=DIRECTION('',(0.E0,1.E0,0.E0)); +#20702=AXIS2_PLACEMENT_3D('',#20699,#20700,#20701); +#20703=PLANE('',#20702); +#20704=ORIENTED_EDGE('',*,*,#12287,.T.); +#20706=ORIENTED_EDGE('',*,*,#20705,.F.); +#20708=ORIENTED_EDGE('',*,*,#20707,.F.); +#20709=ORIENTED_EDGE('',*,*,#20691,.T.); +#20710=EDGE_LOOP('',(#20704,#20706,#20708,#20709)); +#20711=FACE_OUTER_BOUND('',#20710,.F.); +#20713=CARTESIAN_POINT('',(-2.421690556596E-1,3.0637605E1,0.E0)); +#20714=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20715=DIRECTION('',(1.E0,0.E0,0.E0)); +#20716=AXIS2_PLACEMENT_3D('',#20713,#20714,#20715); +#20717=PLANE('',#20716); +#20718=ORIENTED_EDGE('',*,*,#12285,.T.); +#20719=ORIENTED_EDGE('',*,*,#20680,.F.); +#20721=ORIENTED_EDGE('',*,*,#20720,.F.); +#20722=ORIENTED_EDGE('',*,*,#20705,.T.); +#20723=EDGE_LOOP('',(#20718,#20719,#20721,#20722)); +#20724=FACE_OUTER_BOUND('',#20723,.F.); +#20726=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20727=DIRECTION('',(0.E0,0.E0,1.E0)); +#20728=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20729=AXIS2_PLACEMENT_3D('',#20726,#20727,#20728); +#20730=PLANE('',#20729); +#20731=ORIENTED_EDGE('',*,*,#20678,.T.); +#20732=ORIENTED_EDGE('',*,*,#20693,.T.); +#20733=ORIENTED_EDGE('',*,*,#20707,.T.); +#20734=ORIENTED_EDGE('',*,*,#20720,.T.); +#20735=EDGE_LOOP('',(#20731,#20732,#20733,#20734)); +#20736=FACE_OUTER_BOUND('',#20735,.F.); +#20738=CARTESIAN_POINT('',(5.669632944340E0,2.7979208E1,0.E0)); +#20739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20741=AXIS2_PLACEMENT_3D('',#20738,#20739,#20740); +#20742=PLANE('',#20741); +#20743=ORIENTED_EDGE('',*,*,#12293,.T.); +#20745=ORIENTED_EDGE('',*,*,#20744,.F.); +#20747=ORIENTED_EDGE('',*,*,#20746,.F.); +#20749=ORIENTED_EDGE('',*,*,#20748,.T.); +#20750=EDGE_LOOP('',(#20743,#20745,#20747,#20749)); +#20751=FACE_OUTER_BOUND('',#20750,.F.); +#20753=CARTESIAN_POINT('',(6.421233944340E0,2.7979208E1,0.E0)); +#20754=DIRECTION('',(0.E0,1.E0,0.E0)); +#20755=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20756=AXIS2_PLACEMENT_3D('',#20753,#20754,#20755); +#20757=PLANE('',#20756); +#20758=ORIENTED_EDGE('',*,*,#12299,.T.); +#20760=ORIENTED_EDGE('',*,*,#20759,.F.); +#20762=ORIENTED_EDGE('',*,*,#20761,.F.); +#20763=ORIENTED_EDGE('',*,*,#20744,.T.); +#20764=EDGE_LOOP('',(#20758,#20760,#20762,#20763)); +#20765=FACE_OUTER_BOUND('',#20764,.F.); +#20767=CARTESIAN_POINT('',(6.421233944340E0,2.6427608E1,0.E0)); +#20768=DIRECTION('',(1.E0,0.E0,0.E0)); +#20769=DIRECTION('',(0.E0,1.E0,0.E0)); +#20770=AXIS2_PLACEMENT_3D('',#20767,#20768,#20769); +#20771=PLANE('',#20770); +#20772=ORIENTED_EDGE('',*,*,#12297,.T.); +#20774=ORIENTED_EDGE('',*,*,#20773,.F.); +#20776=ORIENTED_EDGE('',*,*,#20775,.F.); +#20777=ORIENTED_EDGE('',*,*,#20759,.T.); +#20778=EDGE_LOOP('',(#20772,#20774,#20776,#20777)); +#20779=FACE_OUTER_BOUND('',#20778,.F.); +#20781=CARTESIAN_POINT('',(5.669632944340E0,2.6427608E1,0.E0)); +#20782=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20783=DIRECTION('',(1.E0,0.E0,0.E0)); +#20784=AXIS2_PLACEMENT_3D('',#20781,#20782,#20783); +#20785=PLANE('',#20784); +#20786=ORIENTED_EDGE('',*,*,#12295,.T.); +#20787=ORIENTED_EDGE('',*,*,#20748,.F.); +#20789=ORIENTED_EDGE('',*,*,#20788,.F.); +#20790=ORIENTED_EDGE('',*,*,#20773,.T.); +#20791=EDGE_LOOP('',(#20786,#20787,#20789,#20790)); +#20792=FACE_OUTER_BOUND('',#20791,.F.); +#20794=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20795=DIRECTION('',(0.E0,0.E0,1.E0)); +#20796=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20797=AXIS2_PLACEMENT_3D('',#20794,#20795,#20796); +#20798=PLANE('',#20797); +#20799=ORIENTED_EDGE('',*,*,#20746,.T.); +#20800=ORIENTED_EDGE('',*,*,#20761,.T.); +#20801=ORIENTED_EDGE('',*,*,#20775,.T.); +#20802=ORIENTED_EDGE('',*,*,#20788,.T.); +#20803=EDGE_LOOP('',(#20799,#20800,#20801,#20802)); +#20804=FACE_OUTER_BOUND('',#20803,.F.); +#20806=CARTESIAN_POINT('',(6.054625944340E0,3.9866408E1,0.E0)); +#20807=DIRECTION('',(0.E0,1.E0,0.E0)); +#20808=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20809=AXIS2_PLACEMENT_3D('',#20806,#20807,#20808); +#20810=PLANE('',#20809); +#20811=ORIENTED_EDGE('',*,*,#12303,.T.); +#20813=ORIENTED_EDGE('',*,*,#20812,.F.); +#20815=ORIENTED_EDGE('',*,*,#20814,.F.); +#20817=ORIENTED_EDGE('',*,*,#20816,.T.); +#20818=EDGE_LOOP('',(#20811,#20813,#20815,#20817)); +#20819=FACE_OUTER_BOUND('',#20818,.F.); +#20821=CARTESIAN_POINT('',(6.054625944340E0,3.8314808E1,0.E0)); +#20822=DIRECTION('',(1.E0,0.E0,0.E0)); +#20823=DIRECTION('',(0.E0,1.E0,0.E0)); +#20824=AXIS2_PLACEMENT_3D('',#20821,#20822,#20823); +#20825=PLANE('',#20824); +#20826=ORIENTED_EDGE('',*,*,#12309,.T.); +#20828=ORIENTED_EDGE('',*,*,#20827,.F.); +#20830=ORIENTED_EDGE('',*,*,#20829,.F.); +#20831=ORIENTED_EDGE('',*,*,#20812,.T.); +#20832=EDGE_LOOP('',(#20826,#20828,#20830,#20831)); +#20833=FACE_OUTER_BOUND('',#20832,.F.); +#20835=CARTESIAN_POINT('',(5.303024944340E0,3.8314808E1,0.E0)); +#20836=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20837=DIRECTION('',(1.E0,0.E0,0.E0)); +#20838=AXIS2_PLACEMENT_3D('',#20835,#20836,#20837); +#20839=PLANE('',#20838); +#20840=ORIENTED_EDGE('',*,*,#12307,.T.); +#20842=ORIENTED_EDGE('',*,*,#20841,.F.); +#20844=ORIENTED_EDGE('',*,*,#20843,.F.); +#20845=ORIENTED_EDGE('',*,*,#20827,.T.); +#20846=EDGE_LOOP('',(#20840,#20842,#20844,#20845)); +#20847=FACE_OUTER_BOUND('',#20846,.F.); +#20849=CARTESIAN_POINT('',(5.303024944340E0,3.9866408E1,0.E0)); +#20850=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20852=AXIS2_PLACEMENT_3D('',#20849,#20850,#20851); +#20853=PLANE('',#20852); +#20854=ORIENTED_EDGE('',*,*,#12305,.T.); +#20855=ORIENTED_EDGE('',*,*,#20816,.F.); +#20857=ORIENTED_EDGE('',*,*,#20856,.F.); +#20858=ORIENTED_EDGE('',*,*,#20841,.T.); +#20859=EDGE_LOOP('',(#20854,#20855,#20857,#20858)); +#20860=FACE_OUTER_BOUND('',#20859,.F.); +#20862=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20863=DIRECTION('',(0.E0,0.E0,1.E0)); +#20864=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20865=AXIS2_PLACEMENT_3D('',#20862,#20863,#20864); +#20866=PLANE('',#20865); +#20867=ORIENTED_EDGE('',*,*,#20814,.T.); +#20868=ORIENTED_EDGE('',*,*,#20829,.T.); +#20869=ORIENTED_EDGE('',*,*,#20843,.T.); +#20870=ORIENTED_EDGE('',*,*,#20856,.T.); +#20871=EDGE_LOOP('',(#20867,#20868,#20869,#20870)); +#20872=FACE_OUTER_BOUND('',#20871,.F.); +#20874=CARTESIAN_POINT('',(9.578821944340E0,4.2209606E1,0.E0)); +#20875=DIRECTION('',(0.E0,1.E0,0.E0)); +#20876=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20877=AXIS2_PLACEMENT_3D('',#20874,#20875,#20876); +#20878=PLANE('',#20877); +#20879=ORIENTED_EDGE('',*,*,#12313,.T.); +#20881=ORIENTED_EDGE('',*,*,#20880,.F.); +#20883=ORIENTED_EDGE('',*,*,#20882,.F.); +#20885=ORIENTED_EDGE('',*,*,#20884,.T.); +#20886=EDGE_LOOP('',(#20879,#20881,#20883,#20885)); +#20887=FACE_OUTER_BOUND('',#20886,.F.); +#20889=CARTESIAN_POINT('',(9.578821944340E0,4.1458005E1,0.E0)); +#20890=DIRECTION('',(1.E0,0.E0,0.E0)); +#20891=DIRECTION('',(0.E0,1.E0,0.E0)); +#20892=AXIS2_PLACEMENT_3D('',#20889,#20890,#20891); +#20893=PLANE('',#20892); +#20894=ORIENTED_EDGE('',*,*,#12319,.T.); +#20896=ORIENTED_EDGE('',*,*,#20895,.F.); +#20898=ORIENTED_EDGE('',*,*,#20897,.F.); +#20899=ORIENTED_EDGE('',*,*,#20880,.T.); +#20900=EDGE_LOOP('',(#20894,#20896,#20898,#20899)); +#20901=FACE_OUTER_BOUND('',#20900,.F.); +#20903=CARTESIAN_POINT('',(8.027222944340E0,4.1458005E1,0.E0)); +#20904=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20905=DIRECTION('',(1.E0,0.E0,0.E0)); +#20906=AXIS2_PLACEMENT_3D('',#20903,#20904,#20905); +#20907=PLANE('',#20906); +#20908=ORIENTED_EDGE('',*,*,#12317,.T.); +#20910=ORIENTED_EDGE('',*,*,#20909,.F.); +#20912=ORIENTED_EDGE('',*,*,#20911,.F.); +#20913=ORIENTED_EDGE('',*,*,#20895,.T.); +#20914=EDGE_LOOP('',(#20908,#20910,#20912,#20913)); +#20915=FACE_OUTER_BOUND('',#20914,.F.); +#20917=CARTESIAN_POINT('',(8.027222944340E0,4.2209606E1,0.E0)); +#20918=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20919=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20920=AXIS2_PLACEMENT_3D('',#20917,#20918,#20919); +#20921=PLANE('',#20920); +#20922=ORIENTED_EDGE('',*,*,#12315,.T.); +#20923=ORIENTED_EDGE('',*,*,#20884,.F.); +#20925=ORIENTED_EDGE('',*,*,#20924,.F.); +#20926=ORIENTED_EDGE('',*,*,#20909,.T.); +#20927=EDGE_LOOP('',(#20922,#20923,#20925,#20926)); +#20928=FACE_OUTER_BOUND('',#20927,.F.); +#20930=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20931=DIRECTION('',(0.E0,0.E0,1.E0)); +#20932=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20933=AXIS2_PLACEMENT_3D('',#20930,#20931,#20932); +#20934=PLANE('',#20933); +#20935=ORIENTED_EDGE('',*,*,#20882,.T.); +#20936=ORIENTED_EDGE('',*,*,#20897,.T.); +#20937=ORIENTED_EDGE('',*,*,#20911,.T.); +#20938=ORIENTED_EDGE('',*,*,#20924,.T.); +#20939=EDGE_LOOP('',(#20935,#20936,#20937,#20938)); +#20940=FACE_OUTER_BOUND('',#20939,.F.); +#20942=CARTESIAN_POINT('',(9.280425944340E0,4.8451608E1,0.E0)); +#20943=DIRECTION('',(0.E0,1.E0,0.E0)); +#20944=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20945=AXIS2_PLACEMENT_3D('',#20942,#20943,#20944); +#20946=PLANE('',#20945); +#20947=ORIENTED_EDGE('',*,*,#12323,.T.); +#20949=ORIENTED_EDGE('',*,*,#20948,.F.); +#20951=ORIENTED_EDGE('',*,*,#20950,.F.); +#20953=ORIENTED_EDGE('',*,*,#20952,.T.); +#20954=EDGE_LOOP('',(#20947,#20949,#20951,#20953)); +#20955=FACE_OUTER_BOUND('',#20954,.F.); +#20957=CARTESIAN_POINT('',(9.280425944340E0,4.6900008E1,0.E0)); +#20958=DIRECTION('',(1.E0,0.E0,0.E0)); +#20959=DIRECTION('',(0.E0,1.E0,0.E0)); +#20960=AXIS2_PLACEMENT_3D('',#20957,#20958,#20959); +#20961=PLANE('',#20960); +#20962=ORIENTED_EDGE('',*,*,#12329,.T.); +#20964=ORIENTED_EDGE('',*,*,#20963,.F.); +#20966=ORIENTED_EDGE('',*,*,#20965,.F.); +#20967=ORIENTED_EDGE('',*,*,#20948,.T.); +#20968=EDGE_LOOP('',(#20962,#20964,#20966,#20967)); +#20969=FACE_OUTER_BOUND('',#20968,.F.); +#20971=CARTESIAN_POINT('',(8.528824944340E0,4.6900008E1,0.E0)); +#20972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20973=DIRECTION('',(1.E0,0.E0,0.E0)); +#20974=AXIS2_PLACEMENT_3D('',#20971,#20972,#20973); +#20975=PLANE('',#20974); +#20976=ORIENTED_EDGE('',*,*,#12327,.T.); +#20978=ORIENTED_EDGE('',*,*,#20977,.F.); +#20980=ORIENTED_EDGE('',*,*,#20979,.F.); +#20981=ORIENTED_EDGE('',*,*,#20963,.T.); +#20982=EDGE_LOOP('',(#20976,#20978,#20980,#20981)); +#20983=FACE_OUTER_BOUND('',#20982,.F.); +#20985=CARTESIAN_POINT('',(8.528824944340E0,4.8451608E1,0.E0)); +#20986=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20987=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20988=AXIS2_PLACEMENT_3D('',#20985,#20986,#20987); +#20989=PLANE('',#20988); +#20990=ORIENTED_EDGE('',*,*,#12325,.T.); +#20991=ORIENTED_EDGE('',*,*,#20952,.F.); +#20993=ORIENTED_EDGE('',*,*,#20992,.F.); +#20994=ORIENTED_EDGE('',*,*,#20977,.T.); +#20995=EDGE_LOOP('',(#20990,#20991,#20993,#20994)); +#20996=FACE_OUTER_BOUND('',#20995,.F.); +#20998=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#20999=DIRECTION('',(0.E0,0.E0,1.E0)); +#21000=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21001=AXIS2_PLACEMENT_3D('',#20998,#20999,#21000); +#21002=PLANE('',#21001); +#21003=ORIENTED_EDGE('',*,*,#20950,.T.); +#21004=ORIENTED_EDGE('',*,*,#20965,.T.); +#21005=ORIENTED_EDGE('',*,*,#20979,.T.); +#21006=ORIENTED_EDGE('',*,*,#20992,.T.); +#21007=EDGE_LOOP('',(#21003,#21004,#21005,#21006)); +#21008=FACE_OUTER_BOUND('',#21007,.F.); +#21010=CARTESIAN_POINT('',(8.097628944340E0,4.8451608E1,0.E0)); +#21011=DIRECTION('',(0.E0,1.E0,0.E0)); +#21012=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21013=AXIS2_PLACEMENT_3D('',#21010,#21011,#21012); +#21014=PLANE('',#21013); +#21015=ORIENTED_EDGE('',*,*,#12333,.T.); +#21017=ORIENTED_EDGE('',*,*,#21016,.F.); +#21019=ORIENTED_EDGE('',*,*,#21018,.F.); +#21021=ORIENTED_EDGE('',*,*,#21020,.T.); +#21022=EDGE_LOOP('',(#21015,#21017,#21019,#21021)); +#21023=FACE_OUTER_BOUND('',#21022,.F.); +#21025=CARTESIAN_POINT('',(8.097628944340E0,4.6900008E1,0.E0)); +#21026=DIRECTION('',(1.E0,0.E0,0.E0)); +#21027=DIRECTION('',(0.E0,1.E0,0.E0)); +#21028=AXIS2_PLACEMENT_3D('',#21025,#21026,#21027); +#21029=PLANE('',#21028); +#21030=ORIENTED_EDGE('',*,*,#12339,.T.); +#21032=ORIENTED_EDGE('',*,*,#21031,.F.); +#21034=ORIENTED_EDGE('',*,*,#21033,.F.); +#21035=ORIENTED_EDGE('',*,*,#21016,.T.); +#21036=EDGE_LOOP('',(#21030,#21032,#21034,#21035)); +#21037=FACE_OUTER_BOUND('',#21036,.F.); +#21039=CARTESIAN_POINT('',(7.346027944340E0,4.6900008E1,0.E0)); +#21040=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21041=DIRECTION('',(1.E0,0.E0,0.E0)); +#21042=AXIS2_PLACEMENT_3D('',#21039,#21040,#21041); +#21043=PLANE('',#21042); +#21044=ORIENTED_EDGE('',*,*,#12337,.T.); +#21046=ORIENTED_EDGE('',*,*,#21045,.F.); +#21048=ORIENTED_EDGE('',*,*,#21047,.F.); +#21049=ORIENTED_EDGE('',*,*,#21031,.T.); +#21050=EDGE_LOOP('',(#21044,#21046,#21048,#21049)); +#21051=FACE_OUTER_BOUND('',#21050,.F.); +#21053=CARTESIAN_POINT('',(7.346027944340E0,4.8451608E1,0.E0)); +#21054=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21055=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21056=AXIS2_PLACEMENT_3D('',#21053,#21054,#21055); +#21057=PLANE('',#21056); +#21058=ORIENTED_EDGE('',*,*,#12335,.T.); +#21059=ORIENTED_EDGE('',*,*,#21020,.F.); +#21061=ORIENTED_EDGE('',*,*,#21060,.F.); +#21062=ORIENTED_EDGE('',*,*,#21045,.T.); +#21063=EDGE_LOOP('',(#21058,#21059,#21061,#21062)); +#21064=FACE_OUTER_BOUND('',#21063,.F.); +#21066=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#21067=DIRECTION('',(0.E0,0.E0,1.E0)); +#21068=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21069=AXIS2_PLACEMENT_3D('',#21066,#21067,#21068); +#21070=PLANE('',#21069); +#21071=ORIENTED_EDGE('',*,*,#21018,.T.); +#21072=ORIENTED_EDGE('',*,*,#21033,.T.); +#21073=ORIENTED_EDGE('',*,*,#21047,.T.); +#21074=ORIENTED_EDGE('',*,*,#21060,.T.); +#21075=EDGE_LOOP('',(#21071,#21072,#21073,#21074)); +#21076=FACE_OUTER_BOUND('',#21075,.F.); +#21078=CARTESIAN_POINT('',(6.954628944340E0,4.8451608E1,0.E0)); +#21079=DIRECTION('',(0.E0,1.E0,0.E0)); +#21080=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21081=AXIS2_PLACEMENT_3D('',#21078,#21079,#21080); +#21082=PLANE('',#21081); +#21083=ORIENTED_EDGE('',*,*,#12343,.T.); +#21085=ORIENTED_EDGE('',*,*,#21084,.F.); +#21087=ORIENTED_EDGE('',*,*,#21086,.F.); +#21089=ORIENTED_EDGE('',*,*,#21088,.T.); +#21090=EDGE_LOOP('',(#21083,#21085,#21087,#21089)); +#21091=FACE_OUTER_BOUND('',#21090,.F.); +#21093=CARTESIAN_POINT('',(6.954628944340E0,4.6900008E1,0.E0)); +#21094=DIRECTION('',(1.E0,0.E0,0.E0)); +#21095=DIRECTION('',(0.E0,1.E0,0.E0)); +#21096=AXIS2_PLACEMENT_3D('',#21093,#21094,#21095); +#21097=PLANE('',#21096); +#21098=ORIENTED_EDGE('',*,*,#12349,.T.); +#21100=ORIENTED_EDGE('',*,*,#21099,.F.); +#21102=ORIENTED_EDGE('',*,*,#21101,.F.); +#21103=ORIENTED_EDGE('',*,*,#21084,.T.); +#21104=EDGE_LOOP('',(#21098,#21100,#21102,#21103)); +#21105=FACE_OUTER_BOUND('',#21104,.F.); +#21107=CARTESIAN_POINT('',(6.203027944340E0,4.6900008E1,0.E0)); +#21108=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21109=DIRECTION('',(1.E0,0.E0,0.E0)); +#21110=AXIS2_PLACEMENT_3D('',#21107,#21108,#21109); +#21111=PLANE('',#21110); +#21112=ORIENTED_EDGE('',*,*,#12347,.T.); +#21114=ORIENTED_EDGE('',*,*,#21113,.F.); +#21116=ORIENTED_EDGE('',*,*,#21115,.F.); +#21117=ORIENTED_EDGE('',*,*,#21099,.T.); +#21118=EDGE_LOOP('',(#21112,#21114,#21116,#21117)); +#21119=FACE_OUTER_BOUND('',#21118,.F.); +#21121=CARTESIAN_POINT('',(6.203027944340E0,4.8451608E1,0.E0)); +#21122=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21123=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21124=AXIS2_PLACEMENT_3D('',#21121,#21122,#21123); +#21125=PLANE('',#21124); +#21126=ORIENTED_EDGE('',*,*,#12345,.T.); +#21127=ORIENTED_EDGE('',*,*,#21088,.F.); +#21129=ORIENTED_EDGE('',*,*,#21128,.F.); +#21130=ORIENTED_EDGE('',*,*,#21113,.T.); +#21131=EDGE_LOOP('',(#21126,#21127,#21129,#21130)); +#21132=FACE_OUTER_BOUND('',#21131,.F.); +#21134=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#21135=DIRECTION('',(0.E0,0.E0,1.E0)); +#21136=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21137=AXIS2_PLACEMENT_3D('',#21134,#21135,#21136); +#21138=PLANE('',#21137); +#21139=ORIENTED_EDGE('',*,*,#21086,.T.); +#21140=ORIENTED_EDGE('',*,*,#21101,.T.); +#21141=ORIENTED_EDGE('',*,*,#21115,.T.); +#21142=ORIENTED_EDGE('',*,*,#21128,.T.); +#21143=EDGE_LOOP('',(#21139,#21140,#21141,#21142)); +#21144=FACE_OUTER_BOUND('',#21143,.F.); +#21146=CARTESIAN_POINT('',(4.611430944340E0,4.7772211E1,0.E0)); +#21147=DIRECTION('',(0.E0,1.E0,0.E0)); +#21148=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21149=AXIS2_PLACEMENT_3D('',#21146,#21147,#21148); +#21150=PLANE('',#21149); +#21151=ORIENTED_EDGE('',*,*,#12353,.T.); +#21153=ORIENTED_EDGE('',*,*,#21152,.F.); +#21155=ORIENTED_EDGE('',*,*,#21154,.F.); +#21157=ORIENTED_EDGE('',*,*,#21156,.T.); +#21158=EDGE_LOOP('',(#21151,#21153,#21155,#21157)); +#21159=FACE_OUTER_BOUND('',#21158,.F.); +#21161=CARTESIAN_POINT('',(4.611430944340E0,4.702061E1,0.E0)); +#21162=DIRECTION('',(1.E0,0.E0,0.E0)); +#21163=DIRECTION('',(0.E0,1.E0,0.E0)); +#21164=AXIS2_PLACEMENT_3D('',#21161,#21162,#21163); +#21165=PLANE('',#21164); +#21166=ORIENTED_EDGE('',*,*,#12359,.T.); +#21168=ORIENTED_EDGE('',*,*,#21167,.F.); +#21170=ORIENTED_EDGE('',*,*,#21169,.F.); +#21171=ORIENTED_EDGE('',*,*,#21152,.T.); +#21172=EDGE_LOOP('',(#21166,#21168,#21170,#21171)); +#21173=FACE_OUTER_BOUND('',#21172,.F.); +#21175=CARTESIAN_POINT('',(3.059830944340E0,4.702061E1,0.E0)); +#21176=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21177=DIRECTION('',(1.E0,0.E0,0.E0)); +#21178=AXIS2_PLACEMENT_3D('',#21175,#21176,#21177); +#21179=PLANE('',#21178); +#21180=ORIENTED_EDGE('',*,*,#12357,.T.); +#21182=ORIENTED_EDGE('',*,*,#21181,.F.); +#21184=ORIENTED_EDGE('',*,*,#21183,.F.); +#21185=ORIENTED_EDGE('',*,*,#21167,.T.); +#21186=EDGE_LOOP('',(#21180,#21182,#21184,#21185)); +#21187=FACE_OUTER_BOUND('',#21186,.F.); +#21189=CARTESIAN_POINT('',(3.059830944340E0,4.7772211E1,0.E0)); +#21190=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21191=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21192=AXIS2_PLACEMENT_3D('',#21189,#21190,#21191); +#21193=PLANE('',#21192); +#21194=ORIENTED_EDGE('',*,*,#12355,.T.); +#21195=ORIENTED_EDGE('',*,*,#21156,.F.); +#21197=ORIENTED_EDGE('',*,*,#21196,.F.); +#21198=ORIENTED_EDGE('',*,*,#21181,.T.); +#21199=EDGE_LOOP('',(#21194,#21195,#21197,#21198)); +#21200=FACE_OUTER_BOUND('',#21199,.F.); +#21202=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#21203=DIRECTION('',(0.E0,0.E0,1.E0)); +#21204=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21205=AXIS2_PLACEMENT_3D('',#21202,#21203,#21204); +#21206=PLANE('',#21205); +#21207=ORIENTED_EDGE('',*,*,#21154,.T.); +#21208=ORIENTED_EDGE('',*,*,#21169,.T.); +#21209=ORIENTED_EDGE('',*,*,#21183,.T.); +#21210=ORIENTED_EDGE('',*,*,#21196,.T.); +#21211=EDGE_LOOP('',(#21207,#21208,#21209,#21210)); +#21212=FACE_OUTER_BOUND('',#21211,.F.); +#21214=CARTESIAN_POINT('',(1.138028944340E0,5.1677408E1,0.E0)); +#21215=DIRECTION('',(0.E0,1.E0,0.E0)); +#21216=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21217=AXIS2_PLACEMENT_3D('',#21214,#21215,#21216); +#21218=PLANE('',#21217); +#21219=ORIENTED_EDGE('',*,*,#12363,.T.); +#21221=ORIENTED_EDGE('',*,*,#21220,.F.); +#21223=ORIENTED_EDGE('',*,*,#21222,.F.); +#21225=ORIENTED_EDGE('',*,*,#21224,.T.); +#21226=EDGE_LOOP('',(#21219,#21221,#21223,#21225)); +#21227=FACE_OUTER_BOUND('',#21226,.F.); +#21229=CARTESIAN_POINT('',(1.138028944340E0,5.0125808E1,0.E0)); +#21230=DIRECTION('',(1.E0,0.E0,0.E0)); +#21231=DIRECTION('',(0.E0,1.E0,0.E0)); +#21232=AXIS2_PLACEMENT_3D('',#21229,#21230,#21231); +#21233=PLANE('',#21232); +#21234=ORIENTED_EDGE('',*,*,#12369,.T.); +#21236=ORIENTED_EDGE('',*,*,#21235,.F.); +#21238=ORIENTED_EDGE('',*,*,#21237,.F.); +#21239=ORIENTED_EDGE('',*,*,#21220,.T.); +#21240=EDGE_LOOP('',(#21234,#21236,#21238,#21239)); +#21241=FACE_OUTER_BOUND('',#21240,.F.); +#21243=CARTESIAN_POINT('',(3.864279443404E-1,5.0125808E1,0.E0)); +#21244=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21245=DIRECTION('',(1.E0,0.E0,0.E0)); +#21246=AXIS2_PLACEMENT_3D('',#21243,#21244,#21245); +#21247=PLANE('',#21246); +#21248=ORIENTED_EDGE('',*,*,#12367,.T.); +#21250=ORIENTED_EDGE('',*,*,#21249,.F.); +#21252=ORIENTED_EDGE('',*,*,#21251,.F.); +#21253=ORIENTED_EDGE('',*,*,#21235,.T.); +#21254=EDGE_LOOP('',(#21248,#21250,#21252,#21253)); +#21255=FACE_OUTER_BOUND('',#21254,.F.); +#21257=CARTESIAN_POINT('',(3.864279443404E-1,5.1677408E1,0.E0)); +#21258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21259=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21260=AXIS2_PLACEMENT_3D('',#21257,#21258,#21259); +#21261=PLANE('',#21260); +#21262=ORIENTED_EDGE('',*,*,#12365,.T.); +#21263=ORIENTED_EDGE('',*,*,#21224,.F.); +#21265=ORIENTED_EDGE('',*,*,#21264,.F.); +#21266=ORIENTED_EDGE('',*,*,#21249,.T.); +#21267=EDGE_LOOP('',(#21262,#21263,#21265,#21266)); +#21268=FACE_OUTER_BOUND('',#21267,.F.); +#21270=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#21271=DIRECTION('',(0.E0,0.E0,1.E0)); +#21272=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21273=AXIS2_PLACEMENT_3D('',#21270,#21271,#21272); +#21274=PLANE('',#21273); +#21275=ORIENTED_EDGE('',*,*,#21222,.T.); +#21276=ORIENTED_EDGE('',*,*,#21237,.T.); +#21277=ORIENTED_EDGE('',*,*,#21251,.T.); +#21278=ORIENTED_EDGE('',*,*,#21264,.T.); +#21279=EDGE_LOOP('',(#21275,#21276,#21277,#21278)); +#21280=FACE_OUTER_BOUND('',#21279,.F.); +#21282=CARTESIAN_POINT('',(4.611430944340E0,5.0871011E1,0.E0)); +#21283=DIRECTION('',(0.E0,1.E0,0.E0)); +#21284=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21285=AXIS2_PLACEMENT_3D('',#21282,#21283,#21284); +#21286=PLANE('',#21285); +#21287=ORIENTED_EDGE('',*,*,#12373,.T.); +#21289=ORIENTED_EDGE('',*,*,#21288,.F.); +#21291=ORIENTED_EDGE('',*,*,#21290,.F.); +#21293=ORIENTED_EDGE('',*,*,#21292,.T.); +#21294=EDGE_LOOP('',(#21287,#21289,#21291,#21293)); +#21295=FACE_OUTER_BOUND('',#21294,.F.); +#21297=CARTESIAN_POINT('',(4.611430944340E0,5.0125808E1,0.E0)); +#21298=DIRECTION('',(1.E0,0.E0,0.E0)); +#21299=DIRECTION('',(0.E0,1.E0,0.E0)); +#21300=AXIS2_PLACEMENT_3D('',#21297,#21298,#21299); +#21301=PLANE('',#21300); +#21302=ORIENTED_EDGE('',*,*,#12379,.T.); +#21304=ORIENTED_EDGE('',*,*,#21303,.F.); +#21306=ORIENTED_EDGE('',*,*,#21305,.F.); +#21307=ORIENTED_EDGE('',*,*,#21288,.T.); +#21308=EDGE_LOOP('',(#21302,#21304,#21306,#21307)); +#21309=FACE_OUTER_BOUND('',#21308,.F.); +#21311=CARTESIAN_POINT('',(3.059830944340E0,5.0125808E1,0.E0)); +#21312=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21313=DIRECTION('',(1.E0,0.E0,0.E0)); +#21314=AXIS2_PLACEMENT_3D('',#21311,#21312,#21313); +#21315=PLANE('',#21314); +#21316=ORIENTED_EDGE('',*,*,#12377,.T.); +#21318=ORIENTED_EDGE('',*,*,#21317,.F.); +#21320=ORIENTED_EDGE('',*,*,#21319,.F.); +#21321=ORIENTED_EDGE('',*,*,#21303,.T.); +#21322=EDGE_LOOP('',(#21316,#21318,#21320,#21321)); +#21323=FACE_OUTER_BOUND('',#21322,.F.); +#21325=CARTESIAN_POINT('',(3.059830944340E0,5.0871011E1,0.E0)); +#21326=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21327=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21328=AXIS2_PLACEMENT_3D('',#21325,#21326,#21327); +#21329=PLANE('',#21328); +#21330=ORIENTED_EDGE('',*,*,#12375,.T.); +#21331=ORIENTED_EDGE('',*,*,#21292,.F.); +#21333=ORIENTED_EDGE('',*,*,#21332,.F.); +#21334=ORIENTED_EDGE('',*,*,#21317,.T.); +#21335=EDGE_LOOP('',(#21330,#21331,#21333,#21334)); +#21336=FACE_OUTER_BOUND('',#21335,.F.); +#21338=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#21339=DIRECTION('',(0.E0,0.E0,1.E0)); +#21340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21341=AXIS2_PLACEMENT_3D('',#21338,#21339,#21340); +#21342=PLANE('',#21341); +#21343=ORIENTED_EDGE('',*,*,#21290,.T.); +#21344=ORIENTED_EDGE('',*,*,#21305,.T.); +#21345=ORIENTED_EDGE('',*,*,#21319,.T.); +#21346=ORIENTED_EDGE('',*,*,#21332,.T.); +#21347=EDGE_LOOP('',(#21343,#21344,#21345,#21346)); +#21348=FACE_OUTER_BOUND('',#21347,.F.); +#21350=CARTESIAN_POINT('',(-7.208579055660E0,2.2039809E1,0.E0)); +#21351=DIRECTION('',(1.E0,0.E0,0.E0)); +#21352=DIRECTION('',(0.E0,1.E0,0.E0)); +#21353=AXIS2_PLACEMENT_3D('',#21350,#21351,#21352); +#21354=PLANE('',#21353); +#21355=ORIENTED_EDGE('',*,*,#12383,.T.); +#21357=ORIENTED_EDGE('',*,*,#21356,.F.); +#21359=ORIENTED_EDGE('',*,*,#21358,.F.); +#21361=ORIENTED_EDGE('',*,*,#21360,.T.); +#21362=EDGE_LOOP('',(#21355,#21357,#21359,#21361)); +#21363=FACE_OUTER_BOUND('',#21362,.F.); +#21365=CARTESIAN_POINT('',(-9.881781055660E0,2.2039809E1,0.E0)); +#21366=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21367=DIRECTION('',(1.E0,0.E0,0.E0)); +#21368=AXIS2_PLACEMENT_3D('',#21365,#21366,#21367); +#21369=PLANE('',#21368); +#21370=ORIENTED_EDGE('',*,*,#12389,.T.); +#21372=ORIENTED_EDGE('',*,*,#21371,.F.); +#21374=ORIENTED_EDGE('',*,*,#21373,.F.); +#21375=ORIENTED_EDGE('',*,*,#21356,.T.); +#21376=EDGE_LOOP('',(#21370,#21372,#21374,#21375)); +#21377=FACE_OUTER_BOUND('',#21376,.F.); +#21379=CARTESIAN_POINT('',(-9.881781055660E0,2.3223007E1,0.E0)); +#21380=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21381=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21382=AXIS2_PLACEMENT_3D('',#21379,#21380,#21381); +#21383=PLANE('',#21382); +#21384=ORIENTED_EDGE('',*,*,#12387,.T.); +#21386=ORIENTED_EDGE('',*,*,#21385,.F.); +#21388=ORIENTED_EDGE('',*,*,#21387,.F.); +#21389=ORIENTED_EDGE('',*,*,#21371,.T.); +#21390=EDGE_LOOP('',(#21384,#21386,#21388,#21389)); +#21391=FACE_OUTER_BOUND('',#21390,.F.); +#21393=CARTESIAN_POINT('',(-7.208579055660E0,2.3223007E1,0.E0)); +#21394=DIRECTION('',(0.E0,1.E0,0.E0)); +#21395=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21396=AXIS2_PLACEMENT_3D('',#21393,#21394,#21395); +#21397=PLANE('',#21396); +#21398=ORIENTED_EDGE('',*,*,#12385,.T.); +#21399=ORIENTED_EDGE('',*,*,#21360,.F.); +#21401=ORIENTED_EDGE('',*,*,#21400,.F.); +#21402=ORIENTED_EDGE('',*,*,#21385,.T.); +#21403=EDGE_LOOP('',(#21398,#21399,#21401,#21402)); +#21404=FACE_OUTER_BOUND('',#21403,.F.); +#21406=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#21407=DIRECTION('',(0.E0,0.E0,1.E0)); +#21408=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21409=AXIS2_PLACEMENT_3D('',#21406,#21407,#21408); +#21410=PLANE('',#21409); +#21411=ORIENTED_EDGE('',*,*,#21358,.T.); +#21412=ORIENTED_EDGE('',*,*,#21373,.T.); +#21413=ORIENTED_EDGE('',*,*,#21387,.T.); +#21414=ORIENTED_EDGE('',*,*,#21400,.T.); +#21415=EDGE_LOOP('',(#21411,#21412,#21413,#21414)); +#21416=FACE_OUTER_BOUND('',#21415,.F.); +#21418=CARTESIAN_POINT('',(-6.037976055660E0,8.823409E0,0.E0)); +#21419=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21420=DIRECTION('',(1.E0,0.E0,0.E0)); +#21421=AXIS2_PLACEMENT_3D('',#21418,#21419,#21420); +#21422=PLANE('',#21421); +#21423=ORIENTED_EDGE('',*,*,#12393,.T.); +#21425=ORIENTED_EDGE('',*,*,#21424,.F.); +#21427=ORIENTED_EDGE('',*,*,#21426,.F.); +#21429=ORIENTED_EDGE('',*,*,#21428,.T.); +#21430=EDGE_LOOP('',(#21423,#21425,#21427,#21429)); +#21431=FACE_OUTER_BOUND('',#21430,.F.); +#21433=CARTESIAN_POINT('',(-6.037976055660E0,1.1496612E1,0.E0)); +#21434=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21436=AXIS2_PLACEMENT_3D('',#21433,#21434,#21435); +#21437=PLANE('',#21436); +#21438=ORIENTED_EDGE('',*,*,#12399,.T.); +#21440=ORIENTED_EDGE('',*,*,#21439,.F.); +#21442=ORIENTED_EDGE('',*,*,#21441,.F.); +#21443=ORIENTED_EDGE('',*,*,#21424,.T.); +#21444=EDGE_LOOP('',(#21438,#21440,#21442,#21443)); +#21445=FACE_OUTER_BOUND('',#21444,.F.); +#21447=CARTESIAN_POINT('',(-4.854778055660E0,1.1496612E1,0.E0)); +#21448=DIRECTION('',(0.E0,1.E0,0.E0)); +#21449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21450=AXIS2_PLACEMENT_3D('',#21447,#21448,#21449); +#21451=PLANE('',#21450); +#21452=ORIENTED_EDGE('',*,*,#12397,.T.); +#21454=ORIENTED_EDGE('',*,*,#21453,.F.); +#21456=ORIENTED_EDGE('',*,*,#21455,.F.); +#21457=ORIENTED_EDGE('',*,*,#21439,.T.); +#21458=EDGE_LOOP('',(#21452,#21454,#21456,#21457)); +#21459=FACE_OUTER_BOUND('',#21458,.F.); +#21461=CARTESIAN_POINT('',(-4.854778055660E0,8.823409E0,0.E0)); +#21462=DIRECTION('',(1.E0,0.E0,0.E0)); +#21463=DIRECTION('',(0.E0,1.E0,0.E0)); +#21464=AXIS2_PLACEMENT_3D('',#21461,#21462,#21463); +#21465=PLANE('',#21464); +#21466=ORIENTED_EDGE('',*,*,#12395,.T.); +#21467=ORIENTED_EDGE('',*,*,#21428,.F.); +#21469=ORIENTED_EDGE('',*,*,#21468,.F.); +#21470=ORIENTED_EDGE('',*,*,#21453,.T.); +#21471=EDGE_LOOP('',(#21466,#21467,#21469,#21470)); +#21472=FACE_OUTER_BOUND('',#21471,.F.); +#21474=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#21475=DIRECTION('',(0.E0,0.E0,1.E0)); +#21476=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21477=AXIS2_PLACEMENT_3D('',#21474,#21475,#21476); +#21478=PLANE('',#21477); +#21479=ORIENTED_EDGE('',*,*,#21426,.T.); +#21480=ORIENTED_EDGE('',*,*,#21441,.T.); +#21481=ORIENTED_EDGE('',*,*,#21455,.T.); +#21482=ORIENTED_EDGE('',*,*,#21468,.T.); +#21483=EDGE_LOOP('',(#21479,#21480,#21481,#21482)); +#21484=FACE_OUTER_BOUND('',#21483,.F.); +#21486=CARTESIAN_POINT('',(6.824219443404E-1,1.3344609E1,0.E0)); +#21487=DIRECTION('',(1.E0,0.E0,0.E0)); +#21488=DIRECTION('',(0.E0,1.E0,0.E0)); +#21489=AXIS2_PLACEMENT_3D('',#21486,#21487,#21488); +#21490=PLANE('',#21489); +#21491=ORIENTED_EDGE('',*,*,#12403,.T.); +#21493=ORIENTED_EDGE('',*,*,#21492,.F.); +#21495=ORIENTED_EDGE('',*,*,#21494,.F.); +#21497=ORIENTED_EDGE('',*,*,#21496,.T.); +#21498=EDGE_LOOP('',(#21491,#21493,#21495,#21497)); +#21499=FACE_OUTER_BOUND('',#21498,.F.); +#21501=CARTESIAN_POINT('',(-5.007760556596E-1,1.3344609E1,0.E0)); +#21502=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21503=DIRECTION('',(1.E0,0.E0,0.E0)); +#21504=AXIS2_PLACEMENT_3D('',#21501,#21502,#21503); +#21505=PLANE('',#21504); +#21506=ORIENTED_EDGE('',*,*,#12409,.T.); +#21508=ORIENTED_EDGE('',*,*,#21507,.F.); +#21510=ORIENTED_EDGE('',*,*,#21509,.F.); +#21511=ORIENTED_EDGE('',*,*,#21492,.T.); +#21512=EDGE_LOOP('',(#21506,#21508,#21510,#21511)); +#21513=FACE_OUTER_BOUND('',#21512,.F.); +#21515=CARTESIAN_POINT('',(-5.007760556596E-1,1.6017812E1,0.E0)); +#21516=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21517=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21518=AXIS2_PLACEMENT_3D('',#21515,#21516,#21517); +#21519=PLANE('',#21518); +#21520=ORIENTED_EDGE('',*,*,#12407,.T.); +#21522=ORIENTED_EDGE('',*,*,#21521,.F.); +#21524=ORIENTED_EDGE('',*,*,#21523,.F.); +#21525=ORIENTED_EDGE('',*,*,#21507,.T.); +#21526=EDGE_LOOP('',(#21520,#21522,#21524,#21525)); +#21527=FACE_OUTER_BOUND('',#21526,.F.); +#21529=CARTESIAN_POINT('',(6.824219443404E-1,1.6017812E1,0.E0)); +#21530=DIRECTION('',(0.E0,1.E0,0.E0)); +#21531=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21532=AXIS2_PLACEMENT_3D('',#21529,#21530,#21531); +#21533=PLANE('',#21532); +#21534=ORIENTED_EDGE('',*,*,#12405,.T.); +#21535=ORIENTED_EDGE('',*,*,#21496,.F.); +#21537=ORIENTED_EDGE('',*,*,#21536,.F.); +#21538=ORIENTED_EDGE('',*,*,#21521,.T.); +#21539=EDGE_LOOP('',(#21534,#21535,#21537,#21538)); +#21540=FACE_OUTER_BOUND('',#21539,.F.); +#21542=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#21543=DIRECTION('',(0.E0,0.E0,1.E0)); +#21544=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21545=AXIS2_PLACEMENT_3D('',#21542,#21543,#21544); +#21546=PLANE('',#21545); +#21547=ORIENTED_EDGE('',*,*,#21494,.T.); +#21548=ORIENTED_EDGE('',*,*,#21509,.T.); +#21549=ORIENTED_EDGE('',*,*,#21523,.T.); +#21550=ORIENTED_EDGE('',*,*,#21536,.T.); +#21551=EDGE_LOOP('',(#21547,#21548,#21549,#21550)); +#21552=FACE_OUTER_BOUND('',#21551,.F.); +#21554=CARTESIAN_POINT('',(2.257221944340E0,1.6017812E1,0.E0)); +#21555=DIRECTION('',(0.E0,1.E0,0.E0)); +#21556=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21557=AXIS2_PLACEMENT_3D('',#21554,#21555,#21556); +#21558=PLANE('',#21557); +#21559=ORIENTED_EDGE('',*,*,#12413,.T.); +#21561=ORIENTED_EDGE('',*,*,#21560,.F.); +#21563=ORIENTED_EDGE('',*,*,#21562,.F.); +#21565=ORIENTED_EDGE('',*,*,#21564,.T.); +#21566=EDGE_LOOP('',(#21559,#21561,#21563,#21565)); +#21567=FACE_OUTER_BOUND('',#21566,.F.); +#21569=CARTESIAN_POINT('',(2.257221944340E0,1.3344609E1,0.E0)); +#21570=DIRECTION('',(1.E0,0.E0,0.E0)); +#21571=DIRECTION('',(0.E0,1.E0,0.E0)); +#21572=AXIS2_PLACEMENT_3D('',#21569,#21570,#21571); +#21573=PLANE('',#21572); +#21574=ORIENTED_EDGE('',*,*,#12419,.T.); +#21576=ORIENTED_EDGE('',*,*,#21575,.F.); +#21578=ORIENTED_EDGE('',*,*,#21577,.F.); +#21579=ORIENTED_EDGE('',*,*,#21560,.T.); +#21580=EDGE_LOOP('',(#21574,#21576,#21578,#21579)); +#21581=FACE_OUTER_BOUND('',#21580,.F.); +#21583=CARTESIAN_POINT('',(1.074023944340E0,1.3344609E1,0.E0)); +#21584=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21585=DIRECTION('',(1.E0,0.E0,0.E0)); +#21586=AXIS2_PLACEMENT_3D('',#21583,#21584,#21585); +#21587=PLANE('',#21586); +#21588=ORIENTED_EDGE('',*,*,#12417,.T.); +#21590=ORIENTED_EDGE('',*,*,#21589,.F.); +#21592=ORIENTED_EDGE('',*,*,#21591,.F.); +#21593=ORIENTED_EDGE('',*,*,#21575,.T.); +#21594=EDGE_LOOP('',(#21588,#21590,#21592,#21593)); +#21595=FACE_OUTER_BOUND('',#21594,.F.); +#21597=CARTESIAN_POINT('',(1.074023944340E0,1.6017812E1,0.E0)); +#21598=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21599=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21600=AXIS2_PLACEMENT_3D('',#21597,#21598,#21599); +#21601=PLANE('',#21600); +#21602=ORIENTED_EDGE('',*,*,#12415,.T.); +#21603=ORIENTED_EDGE('',*,*,#21564,.F.); +#21605=ORIENTED_EDGE('',*,*,#21604,.F.); +#21606=ORIENTED_EDGE('',*,*,#21589,.T.); +#21607=EDGE_LOOP('',(#21602,#21603,#21605,#21606)); +#21608=FACE_OUTER_BOUND('',#21607,.F.); +#21610=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#21611=DIRECTION('',(0.E0,0.E0,1.E0)); +#21612=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21613=AXIS2_PLACEMENT_3D('',#21610,#21611,#21612); +#21614=PLANE('',#21613); +#21615=ORIENTED_EDGE('',*,*,#21562,.T.); +#21616=ORIENTED_EDGE('',*,*,#21577,.T.); +#21617=ORIENTED_EDGE('',*,*,#21591,.T.); +#21618=ORIENTED_EDGE('',*,*,#21604,.T.); +#21619=EDGE_LOOP('',(#21615,#21616,#21617,#21618)); +#21620=FACE_OUTER_BOUND('',#21619,.F.); +#21622=CARTESIAN_POINT('',(8.869626944340E0,1.2859807E1,0.E0)); +#21623=DIRECTION('',(0.E0,1.E0,0.E0)); +#21624=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21625=AXIS2_PLACEMENT_3D('',#21622,#21623,#21624); +#21626=PLANE('',#21625); +#21627=ORIENTED_EDGE('',*,*,#12423,.T.); +#21629=ORIENTED_EDGE('',*,*,#21628,.F.); +#21631=ORIENTED_EDGE('',*,*,#21630,.F.); +#21633=ORIENTED_EDGE('',*,*,#21632,.T.); +#21634=EDGE_LOOP('',(#21627,#21629,#21631,#21633)); +#21635=FACE_OUTER_BOUND('',#21634,.F.); +#21637=CARTESIAN_POINT('',(8.869626944340E0,1.1676609E1,0.E0)); +#21638=DIRECTION('',(1.E0,0.E0,0.E0)); +#21639=DIRECTION('',(0.E0,1.E0,0.E0)); +#21640=AXIS2_PLACEMENT_3D('',#21637,#21638,#21639); +#21641=PLANE('',#21640); +#21642=ORIENTED_EDGE('',*,*,#12429,.T.); +#21644=ORIENTED_EDGE('',*,*,#21643,.F.); +#21646=ORIENTED_EDGE('',*,*,#21645,.F.); +#21647=ORIENTED_EDGE('',*,*,#21628,.T.); +#21648=EDGE_LOOP('',(#21642,#21644,#21646,#21647)); +#21649=FACE_OUTER_BOUND('',#21648,.F.); +#21651=CARTESIAN_POINT('',(6.196423944340E0,1.1676609E1,0.E0)); +#21652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21653=DIRECTION('',(1.E0,0.E0,0.E0)); +#21654=AXIS2_PLACEMENT_3D('',#21651,#21652,#21653); +#21655=PLANE('',#21654); +#21656=ORIENTED_EDGE('',*,*,#12427,.T.); +#21658=ORIENTED_EDGE('',*,*,#21657,.F.); +#21660=ORIENTED_EDGE('',*,*,#21659,.F.); +#21661=ORIENTED_EDGE('',*,*,#21643,.T.); +#21662=EDGE_LOOP('',(#21656,#21658,#21660,#21661)); +#21663=FACE_OUTER_BOUND('',#21662,.F.); +#21665=CARTESIAN_POINT('',(6.196423944340E0,1.2859807E1,0.E0)); +#21666=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21667=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21668=AXIS2_PLACEMENT_3D('',#21665,#21666,#21667); +#21669=PLANE('',#21668); +#21670=ORIENTED_EDGE('',*,*,#12425,.T.); +#21671=ORIENTED_EDGE('',*,*,#21632,.F.); +#21673=ORIENTED_EDGE('',*,*,#21672,.F.); +#21674=ORIENTED_EDGE('',*,*,#21657,.T.); +#21675=EDGE_LOOP('',(#21670,#21671,#21673,#21674)); +#21676=FACE_OUTER_BOUND('',#21675,.F.); +#21678=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#21679=DIRECTION('',(0.E0,0.E0,1.E0)); +#21680=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21681=AXIS2_PLACEMENT_3D('',#21678,#21679,#21680); +#21682=PLANE('',#21681); +#21683=ORIENTED_EDGE('',*,*,#21630,.T.); +#21684=ORIENTED_EDGE('',*,*,#21645,.T.); +#21685=ORIENTED_EDGE('',*,*,#21659,.T.); +#21686=ORIENTED_EDGE('',*,*,#21672,.T.); +#21687=EDGE_LOOP('',(#21683,#21684,#21685,#21686)); +#21688=FACE_OUTER_BOUND('',#21687,.F.); +#21690=CARTESIAN_POINT('',(5.415226944340E0,1.2504207E1,0.E0)); +#21691=DIRECTION('',(0.E0,1.E0,0.E0)); +#21692=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21693=AXIS2_PLACEMENT_3D('',#21690,#21691,#21692); +#21694=PLANE('',#21693); +#21695=ORIENTED_EDGE('',*,*,#12433,.T.); +#21697=ORIENTED_EDGE('',*,*,#21696,.F.); +#21699=ORIENTED_EDGE('',*,*,#21698,.F.); +#21701=ORIENTED_EDGE('',*,*,#21700,.T.); +#21702=EDGE_LOOP('',(#21695,#21697,#21699,#21701)); +#21703=FACE_OUTER_BOUND('',#21702,.F.); +#21705=CARTESIAN_POINT('',(5.415226944340E0,1.1321009E1,0.E0)); +#21706=DIRECTION('',(1.E0,0.E0,0.E0)); +#21707=DIRECTION('',(0.E0,1.E0,0.E0)); +#21708=AXIS2_PLACEMENT_3D('',#21705,#21706,#21707); +#21709=PLANE('',#21708); +#21710=ORIENTED_EDGE('',*,*,#12439,.T.); +#21712=ORIENTED_EDGE('',*,*,#21711,.F.); +#21714=ORIENTED_EDGE('',*,*,#21713,.F.); +#21715=ORIENTED_EDGE('',*,*,#21696,.T.); +#21716=EDGE_LOOP('',(#21710,#21712,#21714,#21715)); +#21717=FACE_OUTER_BOUND('',#21716,.F.); +#21719=CARTESIAN_POINT('',(2.742023944340E0,1.1321009E1,0.E0)); +#21720=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21721=DIRECTION('',(1.E0,0.E0,0.E0)); +#21722=AXIS2_PLACEMENT_3D('',#21719,#21720,#21721); +#21723=PLANE('',#21722); +#21724=ORIENTED_EDGE('',*,*,#12437,.T.); +#21726=ORIENTED_EDGE('',*,*,#21725,.F.); +#21728=ORIENTED_EDGE('',*,*,#21727,.F.); +#21729=ORIENTED_EDGE('',*,*,#21711,.T.); +#21730=EDGE_LOOP('',(#21724,#21726,#21728,#21729)); +#21731=FACE_OUTER_BOUND('',#21730,.F.); +#21733=CARTESIAN_POINT('',(2.742023944340E0,1.2504207E1,0.E0)); +#21734=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21735=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21736=AXIS2_PLACEMENT_3D('',#21733,#21734,#21735); +#21737=PLANE('',#21736); +#21738=ORIENTED_EDGE('',*,*,#12435,.T.); +#21739=ORIENTED_EDGE('',*,*,#21700,.F.); +#21741=ORIENTED_EDGE('',*,*,#21740,.F.); +#21742=ORIENTED_EDGE('',*,*,#21725,.T.); +#21743=EDGE_LOOP('',(#21738,#21739,#21741,#21742)); +#21744=FACE_OUTER_BOUND('',#21743,.F.); +#21746=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#21747=DIRECTION('',(0.E0,0.E0,1.E0)); +#21748=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21749=AXIS2_PLACEMENT_3D('',#21746,#21747,#21748); +#21750=PLANE('',#21749); +#21751=ORIENTED_EDGE('',*,*,#21698,.T.); +#21752=ORIENTED_EDGE('',*,*,#21713,.T.); +#21753=ORIENTED_EDGE('',*,*,#21727,.T.); +#21754=ORIENTED_EDGE('',*,*,#21740,.T.); +#21755=EDGE_LOOP('',(#21751,#21752,#21753,#21754)); +#21756=FACE_OUTER_BOUND('',#21755,.F.); +#21758=CARTESIAN_POINT('',(2.792823944340E0,1.0929407E1,0.E0)); +#21759=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21760=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21761=AXIS2_PLACEMENT_3D('',#21758,#21759,#21760); +#21762=PLANE('',#21761); +#21763=ORIENTED_EDGE('',*,*,#12443,.T.); +#21765=ORIENTED_EDGE('',*,*,#21764,.F.); +#21767=ORIENTED_EDGE('',*,*,#21766,.F.); +#21769=ORIENTED_EDGE('',*,*,#21768,.T.); +#21770=EDGE_LOOP('',(#21763,#21765,#21767,#21769)); +#21771=FACE_OUTER_BOUND('',#21770,.F.); +#21773=CARTESIAN_POINT('',(5.466026944340E0,1.0929407E1,0.E0)); +#21774=DIRECTION('',(0.E0,1.E0,0.E0)); +#21775=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21776=AXIS2_PLACEMENT_3D('',#21773,#21774,#21775); +#21777=PLANE('',#21776); +#21778=ORIENTED_EDGE('',*,*,#12449,.T.); +#21780=ORIENTED_EDGE('',*,*,#21779,.F.); +#21782=ORIENTED_EDGE('',*,*,#21781,.F.); +#21783=ORIENTED_EDGE('',*,*,#21764,.T.); +#21784=EDGE_LOOP('',(#21778,#21780,#21782,#21783)); +#21785=FACE_OUTER_BOUND('',#21784,.F.); +#21787=CARTESIAN_POINT('',(5.466026944340E0,9.746209E0,0.E0)); +#21788=DIRECTION('',(1.E0,0.E0,0.E0)); +#21789=DIRECTION('',(0.E0,1.E0,0.E0)); +#21790=AXIS2_PLACEMENT_3D('',#21787,#21788,#21789); +#21791=PLANE('',#21790); +#21792=ORIENTED_EDGE('',*,*,#12447,.T.); +#21794=ORIENTED_EDGE('',*,*,#21793,.F.); +#21796=ORIENTED_EDGE('',*,*,#21795,.F.); +#21797=ORIENTED_EDGE('',*,*,#21779,.T.); +#21798=EDGE_LOOP('',(#21792,#21794,#21796,#21797)); +#21799=FACE_OUTER_BOUND('',#21798,.F.); +#21801=CARTESIAN_POINT('',(2.792823944340E0,9.746209E0,0.E0)); +#21802=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21803=DIRECTION('',(1.E0,0.E0,0.E0)); +#21804=AXIS2_PLACEMENT_3D('',#21801,#21802,#21803); +#21805=PLANE('',#21804); +#21806=ORIENTED_EDGE('',*,*,#12445,.T.); +#21807=ORIENTED_EDGE('',*,*,#21768,.F.); +#21809=ORIENTED_EDGE('',*,*,#21808,.F.); +#21810=ORIENTED_EDGE('',*,*,#21793,.T.); +#21811=EDGE_LOOP('',(#21806,#21807,#21809,#21810)); +#21812=FACE_OUTER_BOUND('',#21811,.F.); +#21814=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#21815=DIRECTION('',(0.E0,0.E0,1.E0)); +#21816=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21817=AXIS2_PLACEMENT_3D('',#21814,#21815,#21816); +#21818=PLANE('',#21817); +#21819=ORIENTED_EDGE('',*,*,#21766,.T.); +#21820=ORIENTED_EDGE('',*,*,#21781,.T.); +#21821=ORIENTED_EDGE('',*,*,#21795,.T.); +#21822=ORIENTED_EDGE('',*,*,#21808,.T.); +#21823=EDGE_LOOP('',(#21819,#21820,#21821,#21822)); +#21824=FACE_OUTER_BOUND('',#21823,.F.); +#21826=CARTESIAN_POINT('',(-4.317005565964E-2,5.2441412E1,0.E0)); +#21827=DIRECTION('',(0.E0,1.E0,0.E0)); +#21828=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21829=AXIS2_PLACEMENT_3D('',#21826,#21827,#21828); +#21830=PLANE('',#21829); +#21831=ORIENTED_EDGE('',*,*,#12453,.T.); +#21833=ORIENTED_EDGE('',*,*,#21832,.F.); +#21835=ORIENTED_EDGE('',*,*,#21834,.F.); +#21837=ORIENTED_EDGE('',*,*,#21836,.T.); +#21838=EDGE_LOOP('',(#21831,#21833,#21835,#21837)); +#21839=FACE_OUTER_BOUND('',#21838,.F.); +#21841=CARTESIAN_POINT('',(-4.317005565964E-2,4.9768209E1,0.E0)); +#21842=DIRECTION('',(1.E0,0.E0,0.E0)); +#21843=DIRECTION('',(0.E0,1.E0,0.E0)); +#21844=AXIS2_PLACEMENT_3D('',#21841,#21842,#21843); +#21845=PLANE('',#21844); +#21846=ORIENTED_EDGE('',*,*,#12459,.T.); +#21848=ORIENTED_EDGE('',*,*,#21847,.F.); +#21850=ORIENTED_EDGE('',*,*,#21849,.F.); +#21851=ORIENTED_EDGE('',*,*,#21832,.T.); +#21852=EDGE_LOOP('',(#21846,#21848,#21850,#21851)); +#21853=FACE_OUTER_BOUND('',#21852,.F.); +#21855=CARTESIAN_POINT('',(-1.226368055660E0,4.9768209E1,0.E0)); +#21856=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21857=DIRECTION('',(1.E0,0.E0,0.E0)); +#21858=AXIS2_PLACEMENT_3D('',#21855,#21856,#21857); +#21859=PLANE('',#21858); +#21860=ORIENTED_EDGE('',*,*,#12457,.T.); +#21862=ORIENTED_EDGE('',*,*,#21861,.F.); +#21864=ORIENTED_EDGE('',*,*,#21863,.F.); +#21865=ORIENTED_EDGE('',*,*,#21847,.T.); +#21866=EDGE_LOOP('',(#21860,#21862,#21864,#21865)); +#21867=FACE_OUTER_BOUND('',#21866,.F.); +#21869=CARTESIAN_POINT('',(-1.226368055660E0,5.2441412E1,0.E0)); +#21870=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21871=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21872=AXIS2_PLACEMENT_3D('',#21869,#21870,#21871); +#21873=PLANE('',#21872); +#21874=ORIENTED_EDGE('',*,*,#12455,.T.); +#21875=ORIENTED_EDGE('',*,*,#21836,.F.); +#21877=ORIENTED_EDGE('',*,*,#21876,.F.); +#21878=ORIENTED_EDGE('',*,*,#21861,.T.); +#21879=EDGE_LOOP('',(#21874,#21875,#21877,#21878)); +#21880=FACE_OUTER_BOUND('',#21879,.F.); +#21882=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#21883=DIRECTION('',(0.E0,0.E0,1.E0)); +#21884=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21885=AXIS2_PLACEMENT_3D('',#21882,#21883,#21884); +#21886=PLANE('',#21885); +#21887=ORIENTED_EDGE('',*,*,#21834,.T.); +#21888=ORIENTED_EDGE('',*,*,#21849,.T.); +#21889=ORIENTED_EDGE('',*,*,#21863,.T.); +#21890=ORIENTED_EDGE('',*,*,#21876,.T.); +#21891=EDGE_LOOP('',(#21887,#21888,#21889,#21890)); +#21892=FACE_OUTER_BOUND('',#21891,.F.); +#21894=CARTESIAN_POINT('',(-1.626969055660E0,5.272721E1,0.E0)); +#21895=DIRECTION('',(0.E0,1.E0,0.E0)); +#21896=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21897=AXIS2_PLACEMENT_3D('',#21894,#21895,#21896); +#21898=PLANE('',#21897); +#21899=ORIENTED_EDGE('',*,*,#12463,.T.); +#21901=ORIENTED_EDGE('',*,*,#21900,.F.); +#21903=ORIENTED_EDGE('',*,*,#21902,.F.); +#21905=ORIENTED_EDGE('',*,*,#21904,.T.); +#21906=EDGE_LOOP('',(#21899,#21901,#21903,#21905)); +#21907=FACE_OUTER_BOUND('',#21906,.F.); +#21909=CARTESIAN_POINT('',(-1.626969055660E0,4.9584011E1,0.E0)); +#21910=DIRECTION('',(1.E0,0.E0,0.E0)); +#21911=DIRECTION('',(0.E0,1.E0,0.E0)); +#21912=AXIS2_PLACEMENT_3D('',#21909,#21910,#21911); +#21913=PLANE('',#21912); +#21914=ORIENTED_EDGE('',*,*,#12469,.T.); +#21916=ORIENTED_EDGE('',*,*,#21915,.F.); +#21918=ORIENTED_EDGE('',*,*,#21917,.F.); +#21919=ORIENTED_EDGE('',*,*,#21900,.T.); +#21920=EDGE_LOOP('',(#21914,#21916,#21918,#21919)); +#21921=FACE_OUTER_BOUND('',#21920,.F.); +#21923=CARTESIAN_POINT('',(-3.300169055660E0,4.9584011E1,0.E0)); +#21924=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21925=DIRECTION('',(1.E0,0.E0,0.E0)); +#21926=AXIS2_PLACEMENT_3D('',#21923,#21924,#21925); +#21927=PLANE('',#21926); +#21928=ORIENTED_EDGE('',*,*,#12467,.T.); +#21930=ORIENTED_EDGE('',*,*,#21929,.F.); +#21932=ORIENTED_EDGE('',*,*,#21931,.F.); +#21933=ORIENTED_EDGE('',*,*,#21915,.T.); +#21934=EDGE_LOOP('',(#21928,#21930,#21932,#21933)); +#21935=FACE_OUTER_BOUND('',#21934,.F.); +#21937=CARTESIAN_POINT('',(-3.300169055660E0,5.272721E1,0.E0)); +#21938=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21939=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21940=AXIS2_PLACEMENT_3D('',#21937,#21938,#21939); +#21941=PLANE('',#21940); +#21942=ORIENTED_EDGE('',*,*,#12465,.T.); +#21943=ORIENTED_EDGE('',*,*,#21904,.F.); +#21945=ORIENTED_EDGE('',*,*,#21944,.F.); +#21946=ORIENTED_EDGE('',*,*,#21929,.T.); +#21947=EDGE_LOOP('',(#21942,#21943,#21945,#21946)); +#21948=FACE_OUTER_BOUND('',#21947,.F.); +#21950=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#21951=DIRECTION('',(0.E0,0.E0,1.E0)); +#21952=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21953=AXIS2_PLACEMENT_3D('',#21950,#21951,#21952); +#21954=PLANE('',#21953); +#21955=ORIENTED_EDGE('',*,*,#21902,.T.); +#21956=ORIENTED_EDGE('',*,*,#21917,.T.); +#21957=ORIENTED_EDGE('',*,*,#21931,.T.); +#21958=ORIENTED_EDGE('',*,*,#21944,.T.); +#21959=EDGE_LOOP('',(#21955,#21956,#21957,#21958)); +#21960=FACE_OUTER_BOUND('',#21959,.F.); +#21962=CARTESIAN_POINT('',(-3.684369055660E0,5.275261E1,0.E0)); +#21963=DIRECTION('',(0.E0,1.E0,0.E0)); +#21964=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21965=AXIS2_PLACEMENT_3D('',#21962,#21963,#21964); +#21966=PLANE('',#21965); +#21967=ORIENTED_EDGE('',*,*,#12473,.T.); +#21969=ORIENTED_EDGE('',*,*,#21968,.F.); +#21971=ORIENTED_EDGE('',*,*,#21970,.F.); +#21973=ORIENTED_EDGE('',*,*,#21972,.T.); +#21974=EDGE_LOOP('',(#21967,#21969,#21971,#21973)); +#21975=FACE_OUTER_BOUND('',#21974,.F.); +#21977=CARTESIAN_POINT('',(-3.684369055660E0,4.9609411E1,0.E0)); +#21978=DIRECTION('',(1.E0,0.E0,0.E0)); +#21979=DIRECTION('',(0.E0,1.E0,0.E0)); +#21980=AXIS2_PLACEMENT_3D('',#21977,#21978,#21979); +#21981=PLANE('',#21980); +#21982=ORIENTED_EDGE('',*,*,#12479,.T.); +#21984=ORIENTED_EDGE('',*,*,#21983,.F.); +#21986=ORIENTED_EDGE('',*,*,#21985,.F.); +#21987=ORIENTED_EDGE('',*,*,#21968,.T.); +#21988=EDGE_LOOP('',(#21982,#21984,#21986,#21987)); +#21989=FACE_OUTER_BOUND('',#21988,.F.); +#21991=CARTESIAN_POINT('',(-5.357569055660E0,4.9609411E1,0.E0)); +#21992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21993=DIRECTION('',(1.E0,0.E0,0.E0)); +#21994=AXIS2_PLACEMENT_3D('',#21991,#21992,#21993); +#21995=PLANE('',#21994); +#21996=ORIENTED_EDGE('',*,*,#12477,.T.); +#21998=ORIENTED_EDGE('',*,*,#21997,.F.); +#22000=ORIENTED_EDGE('',*,*,#21999,.F.); +#22001=ORIENTED_EDGE('',*,*,#21983,.T.); +#22002=EDGE_LOOP('',(#21996,#21998,#22000,#22001)); +#22003=FACE_OUTER_BOUND('',#22002,.F.); +#22005=CARTESIAN_POINT('',(-5.357569055660E0,5.275261E1,0.E0)); +#22006=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22007=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22008=AXIS2_PLACEMENT_3D('',#22005,#22006,#22007); +#22009=PLANE('',#22008); +#22010=ORIENTED_EDGE('',*,*,#12475,.T.); +#22011=ORIENTED_EDGE('',*,*,#21972,.F.); +#22013=ORIENTED_EDGE('',*,*,#22012,.F.); +#22014=ORIENTED_EDGE('',*,*,#21997,.T.); +#22015=EDGE_LOOP('',(#22010,#22011,#22013,#22014)); +#22016=FACE_OUTER_BOUND('',#22015,.F.); +#22018=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#22019=DIRECTION('',(0.E0,0.E0,1.E0)); +#22020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22021=AXIS2_PLACEMENT_3D('',#22018,#22019,#22020); +#22022=PLANE('',#22021); +#22023=ORIENTED_EDGE('',*,*,#21970,.T.); +#22024=ORIENTED_EDGE('',*,*,#21985,.T.); +#22025=ORIENTED_EDGE('',*,*,#21999,.T.); +#22026=ORIENTED_EDGE('',*,*,#22012,.T.); +#22027=EDGE_LOOP('',(#22023,#22024,#22025,#22026)); +#22028=FACE_OUTER_BOUND('',#22027,.F.); +#22030=CARTESIAN_POINT('',(-7.100580055660E0,1.9966008E1,0.E0)); +#22031=DIRECTION('',(1.E0,0.E0,0.E0)); +#22032=DIRECTION('',(0.E0,1.E0,0.E0)); +#22033=AXIS2_PLACEMENT_3D('',#22030,#22031,#22032); +#22034=PLANE('',#22033); +#22035=ORIENTED_EDGE('',*,*,#12483,.T.); +#22037=ORIENTED_EDGE('',*,*,#22036,.F.); +#22039=ORIENTED_EDGE('',*,*,#22038,.F.); +#22041=ORIENTED_EDGE('',*,*,#22040,.T.); +#22042=EDGE_LOOP('',(#22035,#22037,#22039,#22041)); +#22043=FACE_OUTER_BOUND('',#22042,.F.); +#22045=CARTESIAN_POINT('',(-1.024378005566E1,1.9966008E1,0.E0)); +#22046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22047=DIRECTION('',(1.E0,0.E0,0.E0)); +#22048=AXIS2_PLACEMENT_3D('',#22045,#22046,#22047); +#22049=PLANE('',#22048); +#22050=ORIENTED_EDGE('',*,*,#12489,.T.); +#22052=ORIENTED_EDGE('',*,*,#22051,.F.); +#22054=ORIENTED_EDGE('',*,*,#22053,.F.); +#22055=ORIENTED_EDGE('',*,*,#22036,.T.); +#22056=EDGE_LOOP('',(#22050,#22052,#22054,#22055)); +#22057=FACE_OUTER_BOUND('',#22056,.F.); +#22059=CARTESIAN_POINT('',(-1.024378005566E1,2.1639208E1,0.E0)); +#22060=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22061=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22062=AXIS2_PLACEMENT_3D('',#22059,#22060,#22061); +#22063=PLANE('',#22062); +#22064=ORIENTED_EDGE('',*,*,#12487,.T.); +#22066=ORIENTED_EDGE('',*,*,#22065,.F.); +#22068=ORIENTED_EDGE('',*,*,#22067,.F.); +#22069=ORIENTED_EDGE('',*,*,#22051,.T.); +#22070=EDGE_LOOP('',(#22064,#22066,#22068,#22069)); +#22071=FACE_OUTER_BOUND('',#22070,.F.); +#22073=CARTESIAN_POINT('',(-7.100580055660E0,2.1639208E1,0.E0)); +#22074=DIRECTION('',(0.E0,1.E0,0.E0)); +#22075=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22076=AXIS2_PLACEMENT_3D('',#22073,#22074,#22075); +#22077=PLANE('',#22076); +#22078=ORIENTED_EDGE('',*,*,#12485,.T.); +#22079=ORIENTED_EDGE('',*,*,#22040,.F.); +#22081=ORIENTED_EDGE('',*,*,#22080,.F.); +#22082=ORIENTED_EDGE('',*,*,#22065,.T.); +#22083=EDGE_LOOP('',(#22078,#22079,#22081,#22082)); +#22084=FACE_OUTER_BOUND('',#22083,.F.); +#22086=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#22087=DIRECTION('',(0.E0,0.E0,1.E0)); +#22088=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22089=AXIS2_PLACEMENT_3D('',#22086,#22087,#22088); +#22090=PLANE('',#22089); +#22091=ORIENTED_EDGE('',*,*,#22038,.T.); +#22092=ORIENTED_EDGE('',*,*,#22053,.T.); +#22093=ORIENTED_EDGE('',*,*,#22067,.T.); +#22094=ORIENTED_EDGE('',*,*,#22080,.T.); +#22095=EDGE_LOOP('',(#22091,#22092,#22093,#22094)); +#22096=FACE_OUTER_BOUND('',#22095,.F.); +#22098=CARTESIAN_POINT('',(-6.438578055660E0,1.163001E1,0.E0)); +#22099=DIRECTION('',(0.E0,1.E0,0.E0)); +#22100=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22101=AXIS2_PLACEMENT_3D('',#22098,#22099,#22100); +#22102=PLANE('',#22101); +#22103=ORIENTED_EDGE('',*,*,#12493,.T.); +#22105=ORIENTED_EDGE('',*,*,#22104,.F.); +#22107=ORIENTED_EDGE('',*,*,#22106,.F.); +#22109=ORIENTED_EDGE('',*,*,#22108,.T.); +#22110=EDGE_LOOP('',(#22103,#22105,#22107,#22109)); +#22111=FACE_OUTER_BOUND('',#22110,.F.); +#22113=CARTESIAN_POINT('',(-6.438578055660E0,8.486811E0,0.E0)); +#22114=DIRECTION('',(1.E0,0.E0,0.E0)); +#22115=DIRECTION('',(0.E0,1.E0,0.E0)); +#22116=AXIS2_PLACEMENT_3D('',#22113,#22114,#22115); +#22117=PLANE('',#22116); +#22118=ORIENTED_EDGE('',*,*,#12499,.T.); +#22120=ORIENTED_EDGE('',*,*,#22119,.F.); +#22122=ORIENTED_EDGE('',*,*,#22121,.F.); +#22123=ORIENTED_EDGE('',*,*,#22104,.T.); +#22124=EDGE_LOOP('',(#22118,#22120,#22122,#22123)); +#22125=FACE_OUTER_BOUND('',#22124,.F.); +#22127=CARTESIAN_POINT('',(-8.111777055660E0,8.486811E0,0.E0)); +#22128=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22129=DIRECTION('',(1.E0,0.E0,0.E0)); +#22130=AXIS2_PLACEMENT_3D('',#22127,#22128,#22129); +#22131=PLANE('',#22130); +#22132=ORIENTED_EDGE('',*,*,#12497,.T.); +#22134=ORIENTED_EDGE('',*,*,#22133,.F.); +#22136=ORIENTED_EDGE('',*,*,#22135,.F.); +#22137=ORIENTED_EDGE('',*,*,#22119,.T.); +#22138=EDGE_LOOP('',(#22132,#22134,#22136,#22137)); +#22139=FACE_OUTER_BOUND('',#22138,.F.); +#22141=CARTESIAN_POINT('',(-8.111777055660E0,1.163001E1,0.E0)); +#22142=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22143=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22144=AXIS2_PLACEMENT_3D('',#22141,#22142,#22143); +#22145=PLANE('',#22144); +#22146=ORIENTED_EDGE('',*,*,#12495,.T.); +#22147=ORIENTED_EDGE('',*,*,#22108,.F.); +#22149=ORIENTED_EDGE('',*,*,#22148,.F.); +#22150=ORIENTED_EDGE('',*,*,#22133,.T.); +#22151=EDGE_LOOP('',(#22146,#22147,#22149,#22150)); +#22152=FACE_OUTER_BOUND('',#22151,.F.); +#22154=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#22155=DIRECTION('',(0.E0,0.E0,1.E0)); +#22156=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22157=AXIS2_PLACEMENT_3D('',#22154,#22155,#22156); +#22158=PLANE('',#22157); +#22159=ORIENTED_EDGE('',*,*,#22106,.T.); +#22160=ORIENTED_EDGE('',*,*,#22121,.T.); +#22161=ORIENTED_EDGE('',*,*,#22135,.T.); +#22162=ORIENTED_EDGE('',*,*,#22148,.T.); +#22163=EDGE_LOOP('',(#22159,#22160,#22161,#22162)); +#22164=FACE_OUTER_BOUND('',#22163,.F.); +#22166=CARTESIAN_POINT('',(9.003024944340E0,1.1276008E1,0.E0)); +#22167=DIRECTION('',(0.E0,1.E0,0.E0)); +#22168=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22169=AXIS2_PLACEMENT_3D('',#22166,#22167,#22168); +#22170=PLANE('',#22169); +#22171=ORIENTED_EDGE('',*,*,#12503,.T.); +#22173=ORIENTED_EDGE('',*,*,#22172,.F.); +#22175=ORIENTED_EDGE('',*,*,#22174,.F.); +#22177=ORIENTED_EDGE('',*,*,#22176,.T.); +#22178=EDGE_LOOP('',(#22171,#22173,#22175,#22177)); +#22179=FACE_OUTER_BOUND('',#22178,.F.); +#22181=CARTESIAN_POINT('',(9.003024944340E0,9.602808E0,0.E0)); +#22182=DIRECTION('',(1.E0,0.E0,0.E0)); +#22183=DIRECTION('',(0.E0,1.E0,0.E0)); +#22184=AXIS2_PLACEMENT_3D('',#22181,#22182,#22183); +#22185=PLANE('',#22184); +#22186=ORIENTED_EDGE('',*,*,#12509,.T.); +#22188=ORIENTED_EDGE('',*,*,#22187,.F.); +#22190=ORIENTED_EDGE('',*,*,#22189,.F.); +#22191=ORIENTED_EDGE('',*,*,#22172,.T.); +#22192=EDGE_LOOP('',(#22186,#22188,#22190,#22191)); +#22193=FACE_OUTER_BOUND('',#22192,.F.); +#22195=CARTESIAN_POINT('',(5.859825944340E0,9.602808E0,0.E0)); +#22196=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22197=DIRECTION('',(1.E0,0.E0,0.E0)); +#22198=AXIS2_PLACEMENT_3D('',#22195,#22196,#22197); +#22199=PLANE('',#22198); +#22200=ORIENTED_EDGE('',*,*,#12507,.T.); +#22202=ORIENTED_EDGE('',*,*,#22201,.F.); +#22204=ORIENTED_EDGE('',*,*,#22203,.F.); +#22205=ORIENTED_EDGE('',*,*,#22187,.T.); +#22206=EDGE_LOOP('',(#22200,#22202,#22204,#22205)); +#22207=FACE_OUTER_BOUND('',#22206,.F.); +#22209=CARTESIAN_POINT('',(5.859825944340E0,1.1276008E1,0.E0)); +#22210=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22211=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22212=AXIS2_PLACEMENT_3D('',#22209,#22210,#22211); +#22213=PLANE('',#22212); +#22214=ORIENTED_EDGE('',*,*,#12505,.T.); +#22215=ORIENTED_EDGE('',*,*,#22176,.F.); +#22217=ORIENTED_EDGE('',*,*,#22216,.F.); +#22218=ORIENTED_EDGE('',*,*,#22201,.T.); +#22219=EDGE_LOOP('',(#22214,#22215,#22217,#22218)); +#22220=FACE_OUTER_BOUND('',#22219,.F.); +#22222=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#22223=DIRECTION('',(0.E0,0.E0,1.E0)); +#22224=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22225=AXIS2_PLACEMENT_3D('',#22222,#22223,#22224); +#22226=PLANE('',#22225); +#22227=ORIENTED_EDGE('',*,*,#22174,.T.); +#22228=ORIENTED_EDGE('',*,*,#22189,.T.); +#22229=ORIENTED_EDGE('',*,*,#22203,.T.); +#22230=ORIENTED_EDGE('',*,*,#22216,.T.); +#22231=EDGE_LOOP('',(#22227,#22228,#22229,#22230)); +#22232=FACE_OUTER_BOUND('',#22231,.F.); +#22234=CARTESIAN_POINT('',(-7.175978055660E0,1.9569004E1,0.E0)); +#22235=DIRECTION('',(0.E0,1.E0,0.E0)); +#22236=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22237=AXIS2_PLACEMENT_3D('',#22234,#22235,#22236); +#22238=PLANE('',#22237); +#22239=ORIENTED_EDGE('',*,*,#12513,.T.); +#22241=ORIENTED_EDGE('',*,*,#22240,.F.); +#22243=ORIENTED_EDGE('',*,*,#22242,.F.); +#22245=ORIENTED_EDGE('',*,*,#22244,.T.); +#22246=EDGE_LOOP('',(#22239,#22241,#22243,#22245)); +#22247=FACE_OUTER_BOUND('',#22246,.F.); +#22249=CARTESIAN_POINT('',(-7.175978055660E0,1.7565807E1,0.E0)); +#22250=DIRECTION('',(1.E0,0.E0,0.E0)); +#22251=DIRECTION('',(0.E0,1.E0,0.E0)); +#22252=AXIS2_PLACEMENT_3D('',#22249,#22250,#22251); +#22253=PLANE('',#22252); +#22254=ORIENTED_EDGE('',*,*,#12519,.T.); +#22256=ORIENTED_EDGE('',*,*,#22255,.F.); +#22258=ORIENTED_EDGE('',*,*,#22257,.F.); +#22259=ORIENTED_EDGE('',*,*,#22240,.T.); +#22260=EDGE_LOOP('',(#22254,#22256,#22258,#22259)); +#22261=FACE_OUTER_BOUND('',#22260,.F.); +#22263=CARTESIAN_POINT('',(-1.148917705566E1,1.7565807E1,0.E0)); +#22264=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22265=DIRECTION('',(1.E0,0.E0,0.E0)); +#22266=AXIS2_PLACEMENT_3D('',#22263,#22264,#22265); +#22267=PLANE('',#22266); +#22268=ORIENTED_EDGE('',*,*,#12517,.T.); +#22270=ORIENTED_EDGE('',*,*,#22269,.F.); +#22272=ORIENTED_EDGE('',*,*,#22271,.F.); +#22273=ORIENTED_EDGE('',*,*,#22255,.T.); +#22274=EDGE_LOOP('',(#22268,#22270,#22272,#22273)); +#22275=FACE_OUTER_BOUND('',#22274,.F.); +#22277=CARTESIAN_POINT('',(-1.148917705566E1,1.9569004E1,0.E0)); +#22278=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22280=AXIS2_PLACEMENT_3D('',#22277,#22278,#22279); +#22281=PLANE('',#22280); +#22282=ORIENTED_EDGE('',*,*,#12515,.T.); +#22283=ORIENTED_EDGE('',*,*,#22244,.F.); +#22285=ORIENTED_EDGE('',*,*,#22284,.F.); +#22286=ORIENTED_EDGE('',*,*,#22269,.T.); +#22287=EDGE_LOOP('',(#22282,#22283,#22285,#22286)); +#22288=FACE_OUTER_BOUND('',#22287,.F.); +#22290=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); +#22291=DIRECTION('',(0.E0,0.E0,1.E0)); +#22292=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22293=AXIS2_PLACEMENT_3D('',#22290,#22291,#22292); +#22294=PLANE('',#22293); +#22295=ORIENTED_EDGE('',*,*,#22242,.T.); +#22296=ORIENTED_EDGE('',*,*,#22257,.T.); +#22297=ORIENTED_EDGE('',*,*,#22271,.T.); +#22298=ORIENTED_EDGE('',*,*,#22284,.T.); +#22299=EDGE_LOOP('',(#22295,#22296,#22297,#22298)); +#22300=FACE_OUTER_BOUND('',#22299,.F.); +#22302=CARTESIAN_POINT('',(-1.053737805566E1,1.1757808E1,0.E0)); +#22303=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22304=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22305=AXIS2_PLACEMENT_3D('',#22302,#22303,#22304); +#22306=PLANE('',#22305); +#22307=ORIENTED_EDGE('',*,*,#12523,.T.); +#22309=ORIENTED_EDGE('',*,*,#22308,.F.); +#22311=ORIENTED_EDGE('',*,*,#22310,.F.); +#22313=ORIENTED_EDGE('',*,*,#22312,.T.); +#22314=EDGE_LOOP('',(#22307,#22309,#22311,#22313)); +#22315=FACE_OUTER_BOUND('',#22314,.F.); +#22317=CARTESIAN_POINT('',(-8.534182055660E0,1.1757808E1,0.E0)); +#22318=DIRECTION('',(0.E0,1.E0,0.E0)); +#22319=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22320=AXIS2_PLACEMENT_3D('',#22317,#22318,#22319); +#22321=PLANE('',#22320); +#22322=ORIENTED_EDGE('',*,*,#12529,.T.); +#22324=ORIENTED_EDGE('',*,*,#22323,.F.); +#22326=ORIENTED_EDGE('',*,*,#22325,.F.); +#22327=ORIENTED_EDGE('',*,*,#22308,.T.); +#22328=EDGE_LOOP('',(#22322,#22324,#22326,#22327)); +#22329=FACE_OUTER_BOUND('',#22328,.F.); +#22331=CARTESIAN_POINT('',(-8.534182055660E0,7.444608E0,0.E0)); +#22332=DIRECTION('',(1.E0,0.E0,0.E0)); +#22333=DIRECTION('',(0.E0,1.E0,0.E0)); +#22334=AXIS2_PLACEMENT_3D('',#22331,#22332,#22333); +#22335=PLANE('',#22334); +#22336=ORIENTED_EDGE('',*,*,#12527,.T.); +#22338=ORIENTED_EDGE('',*,*,#22337,.F.); +#22340=ORIENTED_EDGE('',*,*,#22339,.F.); +#22341=ORIENTED_EDGE('',*,*,#22323,.T.); +#22342=EDGE_LOOP('',(#22336,#22338,#22340,#22341)); +#22343=FACE_OUTER_BOUND('',#22342,.F.); +#22345=CARTESIAN_POINT('',(-1.053737805566E1,7.444608E0,0.E0)); +#22346=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22347=DIRECTION('',(1.E0,0.E0,0.E0)); +#22348=AXIS2_PLACEMENT_3D('',#22345,#22346,#22347); +#22349=PLANE('',#22348); +#22350=ORIENTED_EDGE('',*,*,#12525,.T.); +#22351=ORIENTED_EDGE('',*,*,#22312,.F.); +#22353=ORIENTED_EDGE('',*,*,#22352,.F.); +#22354=ORIENTED_EDGE('',*,*,#22337,.T.); +#22355=EDGE_LOOP('',(#22350,#22351,#22353,#22354)); +#22356=FACE_OUTER_BOUND('',#22355,.F.); +#22358=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); +#22359=DIRECTION('',(0.E0,0.E0,1.E0)); +#22360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22361=AXIS2_PLACEMENT_3D('',#22358,#22359,#22360); +#22362=PLANE('',#22361); +#22363=ORIENTED_EDGE('',*,*,#22310,.T.); +#22364=ORIENTED_EDGE('',*,*,#22325,.T.); +#22365=ORIENTED_EDGE('',*,*,#22339,.T.); +#22366=ORIENTED_EDGE('',*,*,#22352,.T.); +#22367=EDGE_LOOP('',(#22363,#22364,#22365,#22366)); +#22368=FACE_OUTER_BOUND('',#22367,.F.); +#22370=CARTESIAN_POINT('',(1.020862094434E1,2.0882407E1,0.E0)); +#22371=DIRECTION('',(0.E0,1.E0,0.E0)); +#22372=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22373=AXIS2_PLACEMENT_3D('',#22370,#22371,#22372); +#22374=PLANE('',#22373); +#22375=ORIENTED_EDGE('',*,*,#12533,.T.); +#22377=ORIENTED_EDGE('',*,*,#22376,.F.); +#22379=ORIENTED_EDGE('',*,*,#22378,.F.); +#22381=ORIENTED_EDGE('',*,*,#22380,.T.); +#22382=EDGE_LOOP('',(#22375,#22377,#22379,#22381)); +#22383=FACE_OUTER_BOUND('',#22382,.F.); +#22385=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,0.E0)); +#22386=DIRECTION('',(1.E0,0.E0,0.E0)); +#22387=DIRECTION('',(0.E0,1.E0,0.E0)); +#22388=AXIS2_PLACEMENT_3D('',#22385,#22386,#22387); +#22389=PLANE('',#22388); +#22390=ORIENTED_EDGE('',*,*,#12555,.T.); +#22392=ORIENTED_EDGE('',*,*,#22391,.F.); +#22394=ORIENTED_EDGE('',*,*,#22393,.F.); +#22395=ORIENTED_EDGE('',*,*,#22376,.T.); +#22396=EDGE_LOOP('',(#22390,#22392,#22394,#22395)); +#22397=FACE_OUTER_BOUND('',#22396,.F.); +#22399=CARTESIAN_POINT('',(1.031701994434E1,1.9950809E1,0.E0)); +#22400=DIRECTION('',(0.E0,1.E0,0.E0)); +#22401=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22402=AXIS2_PLACEMENT_3D('',#22399,#22400,#22401); +#22403=PLANE('',#22402); +#22405=ORIENTED_EDGE('',*,*,#22404,.F.); +#22406=ORIENTED_EDGE('',*,*,#22391,.T.); +#22407=ORIENTED_EDGE('',*,*,#12553,.T.); +#22409=ORIENTED_EDGE('',*,*,#22408,.F.); +#22411=ORIENTED_EDGE('',*,*,#22410,.F.); +#22413=ORIENTED_EDGE('',*,*,#22412,.T.); +#22414=ORIENTED_EDGE('',*,*,#12537,.T.); +#22416=ORIENTED_EDGE('',*,*,#22415,.F.); +#22417=EDGE_LOOP('',(#22405,#22406,#22407,#22409,#22411,#22413,#22414,#22416)); +#22418=FACE_OUTER_BOUND('',#22417,.F.); +#22420=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#22421=DIRECTION('',(0.E0,0.E0,1.E0)); +#22422=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22423=AXIS2_PLACEMENT_3D('',#22420,#22421,#22422); +#22424=PLANE('',#22423); +#22425=ORIENTED_EDGE('',*,*,#22404,.T.); +#22427=ORIENTED_EDGE('',*,*,#22426,.T.); +#22428=ORIENTED_EDGE('',*,*,#22378,.T.); +#22429=ORIENTED_EDGE('',*,*,#22393,.T.); +#22430=EDGE_LOOP('',(#22425,#22427,#22428,#22429)); +#22431=FACE_OUTER_BOUND('',#22430,.F.); +#22433=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,0.E0)); +#22434=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22436=AXIS2_PLACEMENT_3D('',#22433,#22434,#22435); +#22437=PLANE('',#22436); +#22438=ORIENTED_EDGE('',*,*,#12535,.T.); +#22439=ORIENTED_EDGE('',*,*,#22380,.F.); +#22440=ORIENTED_EDGE('',*,*,#22426,.F.); +#22441=ORIENTED_EDGE('',*,*,#22415,.T.); +#22442=EDGE_LOOP('',(#22438,#22439,#22440,#22441)); +#22443=FACE_OUTER_BOUND('',#22442,.F.); +#22445=CARTESIAN_POINT('',(1.031701994434E1,1.8250807E1,0.E0)); +#22446=DIRECTION('',(1.E0,0.E0,0.E0)); +#22447=DIRECTION('',(0.E0,1.E0,0.E0)); +#22448=AXIS2_PLACEMENT_3D('',#22445,#22446,#22447); +#22449=PLANE('',#22448); +#22450=ORIENTED_EDGE('',*,*,#12551,.T.); +#22452=ORIENTED_EDGE('',*,*,#22451,.F.); +#22454=ORIENTED_EDGE('',*,*,#22453,.F.); +#22455=ORIENTED_EDGE('',*,*,#22408,.T.); +#22456=EDGE_LOOP('',(#22450,#22452,#22454,#22455)); +#22457=FACE_OUTER_BOUND('',#22456,.F.); +#22459=CARTESIAN_POINT('',(9.067024944340E0,1.8250807E1,0.E0)); +#22460=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22461=DIRECTION('',(1.E0,0.E0,0.E0)); +#22462=AXIS2_PLACEMENT_3D('',#22459,#22460,#22461); +#22463=PLANE('',#22462); +#22465=ORIENTED_EDGE('',*,*,#22464,.T.); +#22467=ORIENTED_EDGE('',*,*,#22466,.T.); +#22468=ORIENTED_EDGE('',*,*,#12541,.T.); +#22470=ORIENTED_EDGE('',*,*,#22469,.F.); +#22472=ORIENTED_EDGE('',*,*,#22471,.F.); +#22473=ORIENTED_EDGE('',*,*,#22451,.T.); +#22474=ORIENTED_EDGE('',*,*,#12549,.T.); +#22476=ORIENTED_EDGE('',*,*,#22475,.F.); +#22477=EDGE_LOOP('',(#22465,#22467,#22468,#22470,#22472,#22473,#22474,#22476)); +#22478=FACE_OUTER_BOUND('',#22477,.F.); +#22480=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#22481=DIRECTION('',(0.E0,0.E0,1.E0)); +#22482=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22483=AXIS2_PLACEMENT_3D('',#22480,#22481,#22482); +#22484=PLANE('',#22483); +#22485=ORIENTED_EDGE('',*,*,#22464,.F.); +#22487=ORIENTED_EDGE('',*,*,#22486,.T.); +#22489=ORIENTED_EDGE('',*,*,#22488,.T.); +#22491=ORIENTED_EDGE('',*,*,#22490,.T.); +#22492=EDGE_LOOP('',(#22485,#22487,#22489,#22491)); +#22493=FACE_OUTER_BOUND('',#22492,.F.); +#22495=CARTESIAN_POINT('',(1.020862094434E1,1.7319209E1,0.E0)); +#22496=DIRECTION('',(1.E0,0.E0,0.E0)); +#22497=DIRECTION('',(0.E0,1.E0,0.E0)); +#22498=AXIS2_PLACEMENT_3D('',#22495,#22496,#22497); +#22499=PLANE('',#22498); +#22500=ORIENTED_EDGE('',*,*,#12547,.T.); +#22502=ORIENTED_EDGE('',*,*,#22501,.F.); +#22503=ORIENTED_EDGE('',*,*,#22486,.F.); +#22504=ORIENTED_EDGE('',*,*,#22475,.T.); +#22505=EDGE_LOOP('',(#22500,#22502,#22503,#22504)); +#22506=FACE_OUTER_BOUND('',#22505,.F.); +#22508=CARTESIAN_POINT('',(9.175424944340E0,1.7319209E1,0.E0)); +#22509=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22510=DIRECTION('',(1.E0,0.E0,0.E0)); +#22511=AXIS2_PLACEMENT_3D('',#22508,#22509,#22510); +#22512=PLANE('',#22511); +#22513=ORIENTED_EDGE('',*,*,#12545,.T.); +#22515=ORIENTED_EDGE('',*,*,#22514,.F.); +#22516=ORIENTED_EDGE('',*,*,#22488,.F.); +#22517=ORIENTED_EDGE('',*,*,#22501,.T.); +#22518=EDGE_LOOP('',(#22513,#22515,#22516,#22517)); +#22519=FACE_OUTER_BOUND('',#22518,.F.); +#22521=CARTESIAN_POINT('',(9.175424944340E0,2.0882407E1,0.E0)); +#22522=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22523=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22524=AXIS2_PLACEMENT_3D('',#22521,#22522,#22523); +#22525=PLANE('',#22524); +#22526=ORIENTED_EDGE('',*,*,#12543,.T.); +#22527=ORIENTED_EDGE('',*,*,#22466,.F.); +#22528=ORIENTED_EDGE('',*,*,#22490,.F.); +#22529=ORIENTED_EDGE('',*,*,#22514,.T.); +#22530=EDGE_LOOP('',(#22526,#22527,#22528,#22529)); +#22531=FACE_OUTER_BOUND('',#22530,.F.); +#22533=CARTESIAN_POINT('',(9.067024944340E0,1.9950809E1,0.E0)); +#22534=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22536=AXIS2_PLACEMENT_3D('',#22533,#22534,#22535); +#22537=PLANE('',#22536); +#22538=ORIENTED_EDGE('',*,*,#12539,.T.); +#22539=ORIENTED_EDGE('',*,*,#22412,.F.); +#22541=ORIENTED_EDGE('',*,*,#22540,.F.); +#22542=ORIENTED_EDGE('',*,*,#22469,.T.); +#22543=EDGE_LOOP('',(#22538,#22539,#22541,#22542)); +#22544=FACE_OUTER_BOUND('',#22543,.F.); +#22546=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#22547=DIRECTION('',(0.E0,0.E0,1.E0)); +#22548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22549=AXIS2_PLACEMENT_3D('',#22546,#22547,#22548); +#22550=PLANE('',#22549); +#22551=ORIENTED_EDGE('',*,*,#22410,.T.); +#22552=ORIENTED_EDGE('',*,*,#22453,.T.); +#22553=ORIENTED_EDGE('',*,*,#22471,.T.); +#22554=ORIENTED_EDGE('',*,*,#22540,.T.); +#22555=EDGE_LOOP('',(#22551,#22552,#22553,#22554)); +#22556=FACE_OUTER_BOUND('',#22555,.F.); +#22558=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,0.E0)); +#22559=DIRECTION('',(1.E0,0.E0,0.E0)); +#22560=DIRECTION('',(0.E0,1.E0,0.E0)); +#22561=AXIS2_PLACEMENT_3D('',#22558,#22559,#22560); +#22562=PLANE('',#22561); +#22563=ORIENTED_EDGE('',*,*,#12559,.T.); +#22565=ORIENTED_EDGE('',*,*,#22564,.F.); +#22567=ORIENTED_EDGE('',*,*,#22566,.F.); +#22569=ORIENTED_EDGE('',*,*,#22568,.T.); +#22570=EDGE_LOOP('',(#22563,#22565,#22567,#22569)); +#22571=FACE_OUTER_BOUND('',#22570,.F.); +#22573=CARTESIAN_POINT('',(7.600619944340E0,1.7319209E1,0.E0)); +#22574=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22575=DIRECTION('',(1.E0,0.E0,0.E0)); +#22576=AXIS2_PLACEMENT_3D('',#22573,#22574,#22575); +#22577=PLANE('',#22576); +#22578=ORIENTED_EDGE('',*,*,#12581,.T.); +#22580=ORIENTED_EDGE('',*,*,#22579,.F.); +#22582=ORIENTED_EDGE('',*,*,#22581,.F.); +#22583=ORIENTED_EDGE('',*,*,#22564,.T.); +#22584=EDGE_LOOP('',(#22578,#22580,#22582,#22583)); +#22585=FACE_OUTER_BOUND('',#22584,.F.); +#22587=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,0.E0)); +#22588=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22589=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22590=AXIS2_PLACEMENT_3D('',#22587,#22588,#22589); +#22591=PLANE('',#22590); +#22592=ORIENTED_EDGE('',*,*,#12579,.T.); +#22594=ORIENTED_EDGE('',*,*,#22593,.F.); +#22596=ORIENTED_EDGE('',*,*,#22595,.F.); +#22597=ORIENTED_EDGE('',*,*,#22579,.T.); +#22598=EDGE_LOOP('',(#22592,#22594,#22596,#22597)); +#22599=FACE_OUTER_BOUND('',#22598,.F.); +#22601=CARTESIAN_POINT('',(7.492224944340E0,1.8250807E1,0.E0)); +#22602=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22603=DIRECTION('',(1.E0,0.E0,0.E0)); +#22604=AXIS2_PLACEMENT_3D('',#22601,#22602,#22603); +#22605=PLANE('',#22604); +#22607=ORIENTED_EDGE('',*,*,#22606,.F.); +#22608=ORIENTED_EDGE('',*,*,#22593,.T.); +#22609=ORIENTED_EDGE('',*,*,#12577,.T.); +#22611=ORIENTED_EDGE('',*,*,#22610,.F.); +#22613=ORIENTED_EDGE('',*,*,#22612,.F.); +#22615=ORIENTED_EDGE('',*,*,#22614,.T.); +#22616=ORIENTED_EDGE('',*,*,#12561,.T.); +#22617=ORIENTED_EDGE('',*,*,#22568,.F.); +#22618=EDGE_LOOP('',(#22607,#22608,#22609,#22611,#22613,#22615,#22616,#22617)); +#22619=FACE_OUTER_BOUND('',#22618,.F.); +#22621=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#22622=DIRECTION('',(0.E0,0.E0,1.E0)); +#22623=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22624=AXIS2_PLACEMENT_3D('',#22621,#22622,#22623); +#22625=PLANE('',#22624); +#22626=ORIENTED_EDGE('',*,*,#22606,.T.); +#22627=ORIENTED_EDGE('',*,*,#22566,.T.); +#22628=ORIENTED_EDGE('',*,*,#22581,.T.); +#22629=ORIENTED_EDGE('',*,*,#22595,.T.); +#22630=EDGE_LOOP('',(#22626,#22627,#22628,#22629)); +#22631=FACE_OUTER_BOUND('',#22630,.F.); +#22633=CARTESIAN_POINT('',(7.492224944340E0,1.9950809E1,0.E0)); +#22634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22635=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22636=AXIS2_PLACEMENT_3D('',#22633,#22634,#22635); +#22637=PLANE('',#22636); +#22638=ORIENTED_EDGE('',*,*,#12575,.T.); +#22640=ORIENTED_EDGE('',*,*,#22639,.F.); +#22642=ORIENTED_EDGE('',*,*,#22641,.F.); +#22643=ORIENTED_EDGE('',*,*,#22610,.T.); +#22644=EDGE_LOOP('',(#22638,#22640,#22642,#22643)); +#22645=FACE_OUTER_BOUND('',#22644,.F.); +#22647=CARTESIAN_POINT('',(8.742219944340E0,1.9950809E1,0.E0)); +#22648=DIRECTION('',(0.E0,1.E0,0.E0)); +#22649=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22650=AXIS2_PLACEMENT_3D('',#22647,#22648,#22649); +#22651=PLANE('',#22650); +#22653=ORIENTED_EDGE('',*,*,#22652,.T.); +#22655=ORIENTED_EDGE('',*,*,#22654,.T.); +#22656=ORIENTED_EDGE('',*,*,#12565,.T.); +#22658=ORIENTED_EDGE('',*,*,#22657,.F.); +#22660=ORIENTED_EDGE('',*,*,#22659,.F.); +#22661=ORIENTED_EDGE('',*,*,#22639,.T.); +#22662=ORIENTED_EDGE('',*,*,#12573,.T.); +#22664=ORIENTED_EDGE('',*,*,#22663,.F.); +#22665=EDGE_LOOP('',(#22653,#22655,#22656,#22658,#22660,#22661,#22662,#22664)); +#22666=FACE_OUTER_BOUND('',#22665,.F.); +#22668=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#22669=DIRECTION('',(0.E0,0.E0,1.E0)); +#22670=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22671=AXIS2_PLACEMENT_3D('',#22668,#22669,#22670); +#22672=PLANE('',#22671); +#22673=ORIENTED_EDGE('',*,*,#22652,.F.); +#22675=ORIENTED_EDGE('',*,*,#22674,.T.); +#22677=ORIENTED_EDGE('',*,*,#22676,.T.); +#22679=ORIENTED_EDGE('',*,*,#22678,.T.); +#22680=EDGE_LOOP('',(#22673,#22675,#22677,#22679)); +#22681=FACE_OUTER_BOUND('',#22680,.F.); +#22683=CARTESIAN_POINT('',(7.600619944340E0,2.0882407E1,0.E0)); +#22684=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22685=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22686=AXIS2_PLACEMENT_3D('',#22683,#22684,#22685); +#22687=PLANE('',#22686); +#22688=ORIENTED_EDGE('',*,*,#12571,.T.); +#22690=ORIENTED_EDGE('',*,*,#22689,.F.); +#22691=ORIENTED_EDGE('',*,*,#22674,.F.); +#22692=ORIENTED_EDGE('',*,*,#22663,.T.); +#22693=EDGE_LOOP('',(#22688,#22690,#22691,#22692)); +#22694=FACE_OUTER_BOUND('',#22693,.F.); +#22696=CARTESIAN_POINT('',(8.633825944340E0,2.0882407E1,0.E0)); +#22697=DIRECTION('',(0.E0,1.E0,0.E0)); +#22698=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22699=AXIS2_PLACEMENT_3D('',#22696,#22697,#22698); +#22700=PLANE('',#22699); +#22701=ORIENTED_EDGE('',*,*,#12569,.T.); +#22703=ORIENTED_EDGE('',*,*,#22702,.F.); +#22704=ORIENTED_EDGE('',*,*,#22676,.F.); +#22705=ORIENTED_EDGE('',*,*,#22689,.T.); +#22706=EDGE_LOOP('',(#22701,#22703,#22704,#22705)); +#22707=FACE_OUTER_BOUND('',#22706,.F.); +#22709=CARTESIAN_POINT('',(8.633825944340E0,1.7319209E1,0.E0)); +#22710=DIRECTION('',(1.E0,0.E0,0.E0)); +#22711=DIRECTION('',(0.E0,1.E0,0.E0)); +#22712=AXIS2_PLACEMENT_3D('',#22709,#22710,#22711); +#22713=PLANE('',#22712); +#22714=ORIENTED_EDGE('',*,*,#12567,.T.); +#22715=ORIENTED_EDGE('',*,*,#22654,.F.); +#22716=ORIENTED_EDGE('',*,*,#22678,.F.); +#22717=ORIENTED_EDGE('',*,*,#22702,.T.); +#22718=EDGE_LOOP('',(#22714,#22715,#22716,#22717)); +#22719=FACE_OUTER_BOUND('',#22718,.F.); +#22721=CARTESIAN_POINT('',(8.742219944340E0,1.8250807E1,0.E0)); +#22722=DIRECTION('',(1.E0,0.E0,0.E0)); +#22723=DIRECTION('',(0.E0,1.E0,0.E0)); +#22724=AXIS2_PLACEMENT_3D('',#22721,#22722,#22723); +#22725=PLANE('',#22724); +#22726=ORIENTED_EDGE('',*,*,#12563,.T.); +#22727=ORIENTED_EDGE('',*,*,#22614,.F.); +#22729=ORIENTED_EDGE('',*,*,#22728,.F.); +#22730=ORIENTED_EDGE('',*,*,#22657,.T.); +#22731=EDGE_LOOP('',(#22726,#22727,#22729,#22730)); +#22732=FACE_OUTER_BOUND('',#22731,.F.); +#22734=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#22735=DIRECTION('',(0.E0,0.E0,1.E0)); +#22736=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22737=AXIS2_PLACEMENT_3D('',#22734,#22735,#22736); +#22738=PLANE('',#22737); +#22739=ORIENTED_EDGE('',*,*,#22659,.T.); +#22740=ORIENTED_EDGE('',*,*,#22728,.T.); +#22741=ORIENTED_EDGE('',*,*,#22612,.T.); +#22742=ORIENTED_EDGE('',*,*,#22641,.T.); +#22743=EDGE_LOOP('',(#22739,#22740,#22741,#22742)); +#22744=FACE_OUTER_BOUND('',#22743,.F.); +#22746=CARTESIAN_POINT('',(4.630622944340E0,1.9390419E1,0.E0)); +#22747=DIRECTION('',(1.E0,0.E0,0.E0)); +#22748=DIRECTION('',(0.E0,1.E0,0.E0)); +#22749=AXIS2_PLACEMENT_3D('',#22746,#22747,#22748); +#22750=PLANE('',#22749); +#22751=ORIENTED_EDGE('',*,*,#12585,.T.); +#22753=ORIENTED_EDGE('',*,*,#22752,.F.); +#22755=ORIENTED_EDGE('',*,*,#22754,.F.); +#22757=ORIENTED_EDGE('',*,*,#22756,.T.); +#22758=EDGE_LOOP('',(#22751,#22753,#22755,#22757)); +#22759=FACE_OUTER_BOUND('',#22758,.F.); +#22761=CARTESIAN_POINT('',(3.780621944340E0,1.9390419E1,0.E0)); +#22762=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22763=DIRECTION('',(1.E0,0.E0,0.E0)); +#22764=AXIS2_PLACEMENT_3D('',#22761,#22762,#22763); +#22765=PLANE('',#22764); +#22766=ORIENTED_EDGE('',*,*,#12615,.T.); +#22768=ORIENTED_EDGE('',*,*,#22767,.F.); +#22770=ORIENTED_EDGE('',*,*,#22769,.F.); +#22771=ORIENTED_EDGE('',*,*,#22752,.T.); +#22772=EDGE_LOOP('',(#22766,#22768,#22770,#22771)); +#22773=FACE_OUTER_BOUND('',#22772,.F.); +#22775=CARTESIAN_POINT('',(3.780621944340E0,1.7420997E1,0.E0)); +#22776=DIRECTION('',(1.E0,0.E0,0.E0)); +#22777=DIRECTION('',(0.E0,1.E0,0.E0)); +#22778=AXIS2_PLACEMENT_3D('',#22775,#22776,#22777); +#22779=PLANE('',#22778); +#22781=ORIENTED_EDGE('',*,*,#22780,.T.); +#22783=ORIENTED_EDGE('',*,*,#22782,.T.); +#22784=ORIENTED_EDGE('',*,*,#12605,.T.); +#22786=ORIENTED_EDGE('',*,*,#22785,.F.); +#22788=ORIENTED_EDGE('',*,*,#22787,.F.); +#22790=ORIENTED_EDGE('',*,*,#22789,.T.); +#22791=ORIENTED_EDGE('',*,*,#12589,.T.); +#22793=ORIENTED_EDGE('',*,*,#22792,.F.); +#22795=ORIENTED_EDGE('',*,*,#22794,.T.); +#22796=ORIENTED_EDGE('',*,*,#22767,.T.); +#22797=ORIENTED_EDGE('',*,*,#12613,.T.); +#22799=ORIENTED_EDGE('',*,*,#22798,.F.); +#22800=EDGE_LOOP('',(#22781,#22783,#22784,#22786,#22788,#22790,#22791,#22793, +#22795,#22796,#22797,#22799)); +#22801=FACE_OUTER_BOUND('',#22800,.F.); +#22803=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#22804=DIRECTION('',(0.E0,0.E0,1.E0)); +#22805=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22806=AXIS2_PLACEMENT_3D('',#22803,#22804,#22805); +#22807=PLANE('',#22806); +#22808=ORIENTED_EDGE('',*,*,#22780,.F.); +#22810=ORIENTED_EDGE('',*,*,#22809,.T.); +#22812=ORIENTED_EDGE('',*,*,#22811,.T.); +#22814=ORIENTED_EDGE('',*,*,#22813,.T.); +#22815=EDGE_LOOP('',(#22808,#22810,#22812,#22814)); +#22816=FACE_OUTER_BOUND('',#22815,.F.); +#22818=CARTESIAN_POINT('',(4.630622944340E0,1.8372598E1,0.E0)); +#22819=DIRECTION('',(0.E0,1.E0,0.E0)); +#22820=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22821=AXIS2_PLACEMENT_3D('',#22818,#22819,#22820); +#22822=PLANE('',#22821); +#22823=ORIENTED_EDGE('',*,*,#12611,.T.); +#22825=ORIENTED_EDGE('',*,*,#22824,.F.); +#22826=ORIENTED_EDGE('',*,*,#22809,.F.); +#22827=ORIENTED_EDGE('',*,*,#22798,.T.); +#22828=EDGE_LOOP('',(#22823,#22825,#22826,#22827)); +#22829=FACE_OUTER_BOUND('',#22828,.F.); +#22831=CARTESIAN_POINT('',(4.630622944340E0,1.7522597E1,0.E0)); +#22832=DIRECTION('',(1.E0,0.E0,0.E0)); +#22833=DIRECTION('',(0.E0,1.E0,0.E0)); +#22834=AXIS2_PLACEMENT_3D('',#22831,#22832,#22833); +#22835=PLANE('',#22834); +#22836=ORIENTED_EDGE('',*,*,#12609,.T.); +#22838=ORIENTED_EDGE('',*,*,#22837,.F.); +#22839=ORIENTED_EDGE('',*,*,#22811,.F.); +#22840=ORIENTED_EDGE('',*,*,#22824,.T.); +#22841=EDGE_LOOP('',(#22836,#22838,#22839,#22840)); +#22842=FACE_OUTER_BOUND('',#22841,.F.); +#22844=CARTESIAN_POINT('',(3.780621944340E0,1.7522597E1,0.E0)); +#22845=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22846=DIRECTION('',(1.E0,0.E0,0.E0)); +#22847=AXIS2_PLACEMENT_3D('',#22844,#22845,#22846); +#22848=PLANE('',#22847); +#22849=ORIENTED_EDGE('',*,*,#12607,.T.); +#22850=ORIENTED_EDGE('',*,*,#22782,.F.); +#22851=ORIENTED_EDGE('',*,*,#22813,.F.); +#22852=ORIENTED_EDGE('',*,*,#22837,.T.); +#22853=EDGE_LOOP('',(#22849,#22850,#22851,#22852)); +#22854=FACE_OUTER_BOUND('',#22853,.F.); +#22856=CARTESIAN_POINT('',(2.580621944340E0,1.7420997E1,0.E0)); +#22857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22858=DIRECTION('',(1.E0,0.E0,0.E0)); +#22859=AXIS2_PLACEMENT_3D('',#22856,#22857,#22858); +#22860=PLANE('',#22859); +#22861=ORIENTED_EDGE('',*,*,#12603,.T.); +#22863=ORIENTED_EDGE('',*,*,#22862,.F.); +#22865=ORIENTED_EDGE('',*,*,#22864,.F.); +#22866=ORIENTED_EDGE('',*,*,#22785,.T.); +#22867=EDGE_LOOP('',(#22861,#22863,#22865,#22866)); +#22868=FACE_OUTER_BOUND('',#22867,.F.); +#22870=CARTESIAN_POINT('',(2.580621944340E0,2.0406419E1,0.E0)); +#22871=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22872=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22873=AXIS2_PLACEMENT_3D('',#22870,#22871,#22872); +#22874=PLANE('',#22873); +#22876=ORIENTED_EDGE('',*,*,#22875,.T.); +#22878=ORIENTED_EDGE('',*,*,#22877,.T.); +#22879=ORIENTED_EDGE('',*,*,#12593,.T.); +#22881=ORIENTED_EDGE('',*,*,#22880,.F.); +#22883=ORIENTED_EDGE('',*,*,#22882,.F.); +#22884=ORIENTED_EDGE('',*,*,#22862,.T.); +#22885=ORIENTED_EDGE('',*,*,#12601,.T.); +#22887=ORIENTED_EDGE('',*,*,#22886,.F.); +#22888=EDGE_LOOP('',(#22876,#22878,#22879,#22881,#22883,#22884,#22885,#22887)); +#22889=FACE_OUTER_BOUND('',#22888,.F.); +#22891=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#22892=DIRECTION('',(0.E0,0.E0,1.E0)); +#22893=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22894=AXIS2_PLACEMENT_3D('',#22891,#22892,#22893); +#22895=PLANE('',#22894); +#22896=ORIENTED_EDGE('',*,*,#22875,.F.); +#22898=ORIENTED_EDGE('',*,*,#22897,.T.); +#22900=ORIENTED_EDGE('',*,*,#22899,.T.); +#22902=ORIENTED_EDGE('',*,*,#22901,.T.); +#22903=EDGE_LOOP('',(#22896,#22898,#22900,#22902)); +#22904=FACE_OUTER_BOUND('',#22903,.F.); +#22906=CARTESIAN_POINT('',(1.673623944340E0,1.8472608E1,0.E0)); +#22907=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22908=DIRECTION('',(1.E0,0.E0,0.E0)); +#22909=AXIS2_PLACEMENT_3D('',#22906,#22907,#22908); +#22910=PLANE('',#22909); +#22911=ORIENTED_EDGE('',*,*,#12599,.T.); +#22913=ORIENTED_EDGE('',*,*,#22912,.F.); +#22914=ORIENTED_EDGE('',*,*,#22897,.F.); +#22915=ORIENTED_EDGE('',*,*,#22886,.T.); +#22916=EDGE_LOOP('',(#22911,#22913,#22914,#22915)); +#22917=FACE_OUTER_BOUND('',#22916,.F.); +#22919=CARTESIAN_POINT('',(1.673623944340E0,1.9322608E1,0.E0)); +#22920=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22921=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22922=AXIS2_PLACEMENT_3D('',#22919,#22920,#22921); +#22923=PLANE('',#22922); +#22924=ORIENTED_EDGE('',*,*,#12597,.T.); +#22926=ORIENTED_EDGE('',*,*,#22925,.F.); +#22927=ORIENTED_EDGE('',*,*,#22899,.F.); +#22928=ORIENTED_EDGE('',*,*,#22912,.T.); +#22929=EDGE_LOOP('',(#22924,#22926,#22927,#22928)); +#22930=FACE_OUTER_BOUND('',#22929,.F.); +#22932=CARTESIAN_POINT('',(2.580621944340E0,1.9322608E1,0.E0)); +#22933=DIRECTION('',(0.E0,1.E0,0.E0)); +#22934=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22935=AXIS2_PLACEMENT_3D('',#22932,#22933,#22934); +#22936=PLANE('',#22935); +#22937=ORIENTED_EDGE('',*,*,#12595,.T.); +#22938=ORIENTED_EDGE('',*,*,#22877,.F.); +#22939=ORIENTED_EDGE('',*,*,#22901,.F.); +#22940=ORIENTED_EDGE('',*,*,#22925,.T.); +#22941=EDGE_LOOP('',(#22937,#22938,#22939,#22940)); +#22942=FACE_OUTER_BOUND('',#22941,.F.); +#22944=CARTESIAN_POINT('',(3.780621944340E0,2.0406419E1,0.E0)); +#22945=DIRECTION('',(0.E0,1.E0,0.E0)); +#22946=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22947=AXIS2_PLACEMENT_3D('',#22944,#22945,#22946); +#22948=PLANE('',#22947); +#22949=ORIENTED_EDGE('',*,*,#12591,.T.); +#22950=ORIENTED_EDGE('',*,*,#22789,.F.); +#22952=ORIENTED_EDGE('',*,*,#22951,.F.); +#22953=ORIENTED_EDGE('',*,*,#22880,.T.); +#22954=EDGE_LOOP('',(#22949,#22950,#22952,#22953)); +#22955=FACE_OUTER_BOUND('',#22954,.F.); +#22957=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#22958=DIRECTION('',(0.E0,0.E0,1.E0)); +#22959=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22960=AXIS2_PLACEMENT_3D('',#22957,#22958,#22959); +#22961=PLANE('',#22960); +#22962=ORIENTED_EDGE('',*,*,#22951,.T.); +#22963=ORIENTED_EDGE('',*,*,#22787,.T.); +#22964=ORIENTED_EDGE('',*,*,#22864,.T.); +#22965=ORIENTED_EDGE('',*,*,#22882,.T.); +#22966=EDGE_LOOP('',(#22962,#22963,#22964,#22965)); +#22967=FACE_OUTER_BOUND('',#22966,.F.); +#22969=CARTESIAN_POINT('',(4.630622944340E0,2.0304819E1,0.E0)); +#22970=DIRECTION('',(0.E0,1.E0,0.E0)); +#22971=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22972=AXIS2_PLACEMENT_3D('',#22969,#22970,#22971); +#22973=PLANE('',#22972); +#22974=ORIENTED_EDGE('',*,*,#12587,.T.); +#22975=ORIENTED_EDGE('',*,*,#22756,.F.); +#22977=ORIENTED_EDGE('',*,*,#22976,.F.); +#22978=ORIENTED_EDGE('',*,*,#22792,.T.); +#22979=EDGE_LOOP('',(#22974,#22975,#22977,#22978)); +#22980=FACE_OUTER_BOUND('',#22979,.F.); +#22982=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#22983=DIRECTION('',(0.E0,0.E0,1.E0)); +#22984=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22985=AXIS2_PLACEMENT_3D('',#22982,#22983,#22984); +#22986=PLANE('',#22985); +#22987=ORIENTED_EDGE('',*,*,#22794,.F.); +#22988=ORIENTED_EDGE('',*,*,#22976,.T.); +#22989=ORIENTED_EDGE('',*,*,#22754,.T.); +#22990=ORIENTED_EDGE('',*,*,#22769,.T.); +#22991=EDGE_LOOP('',(#22987,#22988,#22989,#22990)); +#22992=FACE_OUTER_BOUND('',#22991,.F.); +#22994=CARTESIAN_POINT('',(-1.179177055660E0,2.2641619E1,0.E0)); +#22995=DIRECTION('',(0.E0,1.E0,0.E0)); +#22996=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22997=AXIS2_PLACEMENT_3D('',#22994,#22995,#22996); +#22998=PLANE('',#22997); +#22999=ORIENTED_EDGE('',*,*,#12619,.T.); +#23001=ORIENTED_EDGE('',*,*,#23000,.F.); +#23003=ORIENTED_EDGE('',*,*,#23002,.F.); +#23005=ORIENTED_EDGE('',*,*,#23004,.T.); +#23006=EDGE_LOOP('',(#22999,#23001,#23003,#23005)); +#23007=FACE_OUTER_BOUND('',#23006,.F.); +#23009=CARTESIAN_POINT('',(-1.179177055660E0,2.1727219E1,0.E0)); +#23010=DIRECTION('',(1.E0,0.E0,0.E0)); +#23011=DIRECTION('',(0.E0,1.E0,0.E0)); +#23012=AXIS2_PLACEMENT_3D('',#23009,#23010,#23011); +#23013=PLANE('',#23012); +#23014=ORIENTED_EDGE('',*,*,#12649,.T.); +#23016=ORIENTED_EDGE('',*,*,#23015,.F.); +#23018=ORIENTED_EDGE('',*,*,#23017,.F.); +#23019=ORIENTED_EDGE('',*,*,#23000,.T.); +#23020=EDGE_LOOP('',(#23014,#23016,#23018,#23019)); +#23021=FACE_OUTER_BOUND('',#23020,.F.); +#23023=CARTESIAN_POINT('',(-2.093577055660E0,2.1727219E1,0.E0)); +#23024=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23025=DIRECTION('',(1.E0,0.E0,0.E0)); +#23026=AXIS2_PLACEMENT_3D('',#23023,#23024,#23025); +#23027=PLANE('',#23026); +#23028=ORIENTED_EDGE('',*,*,#12647,.T.); +#23030=ORIENTED_EDGE('',*,*,#23029,.F.); +#23032=ORIENTED_EDGE('',*,*,#23031,.F.); +#23033=ORIENTED_EDGE('',*,*,#23015,.T.); +#23034=EDGE_LOOP('',(#23028,#23030,#23032,#23033)); +#23035=FACE_OUTER_BOUND('',#23034,.F.); +#23037=CARTESIAN_POINT('',(-2.093577055660E0,1.9725597E1,0.E0)); +#23038=DIRECTION('',(1.E0,0.E0,0.E0)); +#23039=DIRECTION('',(0.E0,1.E0,0.E0)); +#23040=AXIS2_PLACEMENT_3D('',#23037,#23038,#23039); +#23041=PLANE('',#23040); +#23043=ORIENTED_EDGE('',*,*,#23042,.T.); +#23045=ORIENTED_EDGE('',*,*,#23044,.T.); +#23046=ORIENTED_EDGE('',*,*,#12637,.T.); +#23048=ORIENTED_EDGE('',*,*,#23047,.F.); +#23050=ORIENTED_EDGE('',*,*,#23049,.F.); +#23052=ORIENTED_EDGE('',*,*,#23051,.T.); +#23053=ORIENTED_EDGE('',*,*,#12621,.T.); +#23054=ORIENTED_EDGE('',*,*,#23004,.F.); +#23056=ORIENTED_EDGE('',*,*,#23055,.T.); +#23057=ORIENTED_EDGE('',*,*,#23029,.T.); +#23058=ORIENTED_EDGE('',*,*,#12645,.T.); +#23060=ORIENTED_EDGE('',*,*,#23059,.F.); +#23061=EDGE_LOOP('',(#23043,#23045,#23046,#23048,#23050,#23052,#23053,#23054, +#23056,#23057,#23058,#23060)); +#23062=FACE_OUTER_BOUND('',#23061,.F.); +#23064=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23065=DIRECTION('',(0.E0,0.E0,1.E0)); +#23066=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23067=AXIS2_PLACEMENT_3D('',#23064,#23065,#23066); +#23068=PLANE('',#23067); +#23069=ORIENTED_EDGE('',*,*,#23042,.F.); +#23071=ORIENTED_EDGE('',*,*,#23070,.T.); +#23073=ORIENTED_EDGE('',*,*,#23072,.T.); +#23075=ORIENTED_EDGE('',*,*,#23074,.T.); +#23076=EDGE_LOOP('',(#23069,#23071,#23073,#23075)); +#23077=FACE_OUTER_BOUND('',#23076,.F.); +#23079=CARTESIAN_POINT('',(-1.179177055660E0,2.0741597E1,0.E0)); +#23080=DIRECTION('',(0.E0,1.E0,0.E0)); +#23081=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23082=AXIS2_PLACEMENT_3D('',#23079,#23080,#23081); +#23083=PLANE('',#23082); +#23084=ORIENTED_EDGE('',*,*,#12643,.T.); +#23086=ORIENTED_EDGE('',*,*,#23085,.F.); +#23087=ORIENTED_EDGE('',*,*,#23070,.F.); +#23088=ORIENTED_EDGE('',*,*,#23059,.T.); +#23089=EDGE_LOOP('',(#23084,#23086,#23087,#23088)); +#23090=FACE_OUTER_BOUND('',#23089,.F.); +#23092=CARTESIAN_POINT('',(-1.179177055660E0,1.9827197E1,0.E0)); +#23093=DIRECTION('',(1.E0,0.E0,0.E0)); +#23094=DIRECTION('',(0.E0,1.E0,0.E0)); +#23095=AXIS2_PLACEMENT_3D('',#23092,#23093,#23094); +#23096=PLANE('',#23095); +#23097=ORIENTED_EDGE('',*,*,#12641,.T.); +#23099=ORIENTED_EDGE('',*,*,#23098,.F.); +#23100=ORIENTED_EDGE('',*,*,#23072,.F.); +#23101=ORIENTED_EDGE('',*,*,#23085,.T.); +#23102=EDGE_LOOP('',(#23097,#23099,#23100,#23101)); +#23103=FACE_OUTER_BOUND('',#23102,.F.); +#23105=CARTESIAN_POINT('',(-2.093577055660E0,1.9827197E1,0.E0)); +#23106=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23107=DIRECTION('',(1.E0,0.E0,0.E0)); +#23108=AXIS2_PLACEMENT_3D('',#23105,#23106,#23107); +#23109=PLANE('',#23108); +#23110=ORIENTED_EDGE('',*,*,#12639,.T.); +#23111=ORIENTED_EDGE('',*,*,#23044,.F.); +#23112=ORIENTED_EDGE('',*,*,#23074,.F.); +#23113=ORIENTED_EDGE('',*,*,#23098,.T.); +#23114=EDGE_LOOP('',(#23110,#23111,#23112,#23113)); +#23115=FACE_OUTER_BOUND('',#23114,.F.); +#23117=CARTESIAN_POINT('',(-3.293577055660E0,1.9725597E1,0.E0)); +#23118=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23119=DIRECTION('',(1.E0,0.E0,0.E0)); +#23120=AXIS2_PLACEMENT_3D('',#23117,#23118,#23119); +#23121=PLANE('',#23120); +#23122=ORIENTED_EDGE('',*,*,#12635,.T.); +#23124=ORIENTED_EDGE('',*,*,#23123,.F.); +#23126=ORIENTED_EDGE('',*,*,#23125,.F.); +#23127=ORIENTED_EDGE('',*,*,#23047,.T.); +#23128=EDGE_LOOP('',(#23122,#23124,#23126,#23127)); +#23129=FACE_OUTER_BOUND('',#23128,.F.); +#23131=CARTESIAN_POINT('',(-3.293577055660E0,2.2743219E1,0.E0)); +#23132=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23133=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23134=AXIS2_PLACEMENT_3D('',#23131,#23132,#23133); +#23135=PLANE('',#23134); +#23137=ORIENTED_EDGE('',*,*,#23136,.F.); +#23139=ORIENTED_EDGE('',*,*,#23138,.T.); +#23140=ORIENTED_EDGE('',*,*,#12625,.T.); +#23142=ORIENTED_EDGE('',*,*,#23141,.F.); +#23144=ORIENTED_EDGE('',*,*,#23143,.F.); +#23145=ORIENTED_EDGE('',*,*,#23123,.T.); +#23146=ORIENTED_EDGE('',*,*,#12633,.T.); +#23148=ORIENTED_EDGE('',*,*,#23147,.F.); +#23149=EDGE_LOOP('',(#23137,#23139,#23140,#23142,#23144,#23145,#23146,#23148)); +#23150=FACE_OUTER_BOUND('',#23149,.F.); +#23152=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23153=DIRECTION('',(0.E0,0.E0,1.E0)); +#23154=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23155=AXIS2_PLACEMENT_3D('',#23152,#23153,#23154); +#23156=PLANE('',#23155); +#23157=ORIENTED_EDGE('',*,*,#23136,.T.); +#23159=ORIENTED_EDGE('',*,*,#23158,.T.); +#23161=ORIENTED_EDGE('',*,*,#23160,.T.); +#23163=ORIENTED_EDGE('',*,*,#23162,.T.); +#23164=EDGE_LOOP('',(#23157,#23159,#23161,#23163)); +#23165=FACE_OUTER_BOUND('',#23164,.F.); +#23167=CARTESIAN_POINT('',(-4.218376055660E0,2.0777208E1,0.E0)); +#23168=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23169=DIRECTION('',(1.E0,0.E0,0.E0)); +#23170=AXIS2_PLACEMENT_3D('',#23167,#23168,#23169); +#23171=PLANE('',#23170); +#23172=ORIENTED_EDGE('',*,*,#12631,.T.); +#23174=ORIENTED_EDGE('',*,*,#23173,.F.); +#23175=ORIENTED_EDGE('',*,*,#23158,.F.); +#23176=ORIENTED_EDGE('',*,*,#23147,.T.); +#23177=EDGE_LOOP('',(#23172,#23174,#23175,#23176)); +#23178=FACE_OUTER_BOUND('',#23177,.F.); +#23180=CARTESIAN_POINT('',(-4.218376055660E0,2.1691608E1,0.E0)); +#23181=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23182=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23183=AXIS2_PLACEMENT_3D('',#23180,#23181,#23182); +#23184=PLANE('',#23183); +#23185=ORIENTED_EDGE('',*,*,#12629,.T.); +#23187=ORIENTED_EDGE('',*,*,#23186,.F.); +#23188=ORIENTED_EDGE('',*,*,#23160,.F.); +#23189=ORIENTED_EDGE('',*,*,#23173,.T.); +#23190=EDGE_LOOP('',(#23185,#23187,#23188,#23189)); +#23191=FACE_OUTER_BOUND('',#23190,.F.); +#23193=CARTESIAN_POINT('',(-3.293577055660E0,2.1691608E1,0.E0)); +#23194=DIRECTION('',(0.E0,1.E0,0.E0)); +#23195=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23196=AXIS2_PLACEMENT_3D('',#23193,#23194,#23195); +#23197=PLANE('',#23196); +#23198=ORIENTED_EDGE('',*,*,#12627,.T.); +#23199=ORIENTED_EDGE('',*,*,#23138,.F.); +#23200=ORIENTED_EDGE('',*,*,#23162,.F.); +#23201=ORIENTED_EDGE('',*,*,#23186,.T.); +#23202=EDGE_LOOP('',(#23198,#23199,#23200,#23201)); +#23203=FACE_OUTER_BOUND('',#23202,.F.); +#23205=CARTESIAN_POINT('',(-2.093577055660E0,2.2743219E1,0.E0)); +#23206=DIRECTION('',(0.E0,1.E0,0.E0)); +#23207=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23208=AXIS2_PLACEMENT_3D('',#23205,#23206,#23207); +#23209=PLANE('',#23208); +#23210=ORIENTED_EDGE('',*,*,#12623,.T.); +#23211=ORIENTED_EDGE('',*,*,#23051,.F.); +#23213=ORIENTED_EDGE('',*,*,#23212,.F.); +#23214=ORIENTED_EDGE('',*,*,#23141,.T.); +#23215=EDGE_LOOP('',(#23210,#23211,#23213,#23214)); +#23216=FACE_OUTER_BOUND('',#23215,.F.); +#23218=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#23219=DIRECTION('',(0.E0,0.E0,1.E0)); +#23220=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23221=AXIS2_PLACEMENT_3D('',#23218,#23219,#23220); +#23222=PLANE('',#23221); +#23223=ORIENTED_EDGE('',*,*,#23212,.T.); +#23224=ORIENTED_EDGE('',*,*,#23049,.T.); +#23225=ORIENTED_EDGE('',*,*,#23125,.T.); +#23226=ORIENTED_EDGE('',*,*,#23143,.T.); +#23227=EDGE_LOOP('',(#23223,#23224,#23225,#23226)); +#23228=FACE_OUTER_BOUND('',#23227,.F.); +#23230=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23231=DIRECTION('',(0.E0,0.E0,1.E0)); +#23232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23233=AXIS2_PLACEMENT_3D('',#23230,#23231,#23232); +#23234=PLANE('',#23233); +#23235=ORIENTED_EDGE('',*,*,#23055,.F.); +#23236=ORIENTED_EDGE('',*,*,#23002,.T.); +#23237=ORIENTED_EDGE('',*,*,#23017,.T.); +#23238=ORIENTED_EDGE('',*,*,#23031,.T.); +#23239=EDGE_LOOP('',(#23235,#23236,#23237,#23238)); +#23240=FACE_OUTER_BOUND('',#23239,.F.); +#23242=CARTESIAN_POINT('',(-3.820777055660E0,1.9168207E1,0.E0)); +#23243=DIRECTION('',(0.E0,1.E0,0.E0)); +#23244=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23245=AXIS2_PLACEMENT_3D('',#23242,#23243,#23244); +#23246=PLANE('',#23245); +#23247=ORIENTED_EDGE('',*,*,#12653,.T.); +#23249=ORIENTED_EDGE('',*,*,#23248,.F.); +#23251=ORIENTED_EDGE('',*,*,#23250,.F.); +#23253=ORIENTED_EDGE('',*,*,#23252,.T.); +#23254=EDGE_LOOP('',(#23247,#23249,#23251,#23253)); +#23255=FACE_OUTER_BOUND('',#23254,.F.); +#23257=CARTESIAN_POINT('',(-3.820777055660E0,1.8243408E1,0.E0)); +#23258=DIRECTION('',(1.E0,0.E0,0.E0)); +#23259=DIRECTION('',(0.E0,1.E0,0.E0)); +#23260=AXIS2_PLACEMENT_3D('',#23257,#23258,#23259); +#23261=PLANE('',#23260); +#23262=ORIENTED_EDGE('',*,*,#12683,.T.); +#23264=ORIENTED_EDGE('',*,*,#23263,.F.); +#23266=ORIENTED_EDGE('',*,*,#23265,.F.); +#23267=ORIENTED_EDGE('',*,*,#23248,.T.); +#23268=EDGE_LOOP('',(#23262,#23264,#23266,#23267)); +#23269=FACE_OUTER_BOUND('',#23268,.F.); +#23271=CARTESIAN_POINT('',(-2.769167055660E0,1.8243408E1,0.E0)); +#23272=DIRECTION('',(0.E0,1.E0,0.E0)); +#23273=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23274=AXIS2_PLACEMENT_3D('',#23271,#23272,#23273); +#23275=PLANE('',#23274); +#23277=ORIENTED_EDGE('',*,*,#23276,.T.); +#23278=ORIENTED_EDGE('',*,*,#23263,.T.); +#23279=ORIENTED_EDGE('',*,*,#12681,.T.); +#23281=ORIENTED_EDGE('',*,*,#23280,.F.); +#23283=ORIENTED_EDGE('',*,*,#23282,.F.); +#23285=ORIENTED_EDGE('',*,*,#23284,.T.); +#23286=ORIENTED_EDGE('',*,*,#12657,.T.); +#23288=ORIENTED_EDGE('',*,*,#23287,.F.); +#23289=EDGE_LOOP('',(#23277,#23278,#23279,#23281,#23283,#23285,#23286,#23288)); +#23290=FACE_OUTER_BOUND('',#23289,.F.); +#23292=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23293=DIRECTION('',(0.E0,0.E0,1.E0)); +#23294=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23295=AXIS2_PLACEMENT_3D('',#23292,#23293,#23294); +#23296=PLANE('',#23295); +#23297=ORIENTED_EDGE('',*,*,#23276,.F.); +#23299=ORIENTED_EDGE('',*,*,#23298,.T.); +#23300=ORIENTED_EDGE('',*,*,#23250,.T.); +#23301=ORIENTED_EDGE('',*,*,#23265,.T.); +#23302=EDGE_LOOP('',(#23297,#23299,#23300,#23301)); +#23303=FACE_OUTER_BOUND('',#23302,.F.); +#23305=CARTESIAN_POINT('',(-4.735177055660E0,1.9168207E1,0.E0)); +#23306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23307=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23308=AXIS2_PLACEMENT_3D('',#23305,#23306,#23307); +#23309=PLANE('',#23308); +#23310=ORIENTED_EDGE('',*,*,#12655,.T.); +#23311=ORIENTED_EDGE('',*,*,#23252,.F.); +#23312=ORIENTED_EDGE('',*,*,#23298,.F.); +#23313=ORIENTED_EDGE('',*,*,#23287,.T.); +#23314=EDGE_LOOP('',(#23310,#23311,#23312,#23313)); +#23315=FACE_OUTER_BOUND('',#23314,.F.); +#23317=CARTESIAN_POINT('',(-2.769167055660E0,1.7043408E1,0.E0)); +#23318=DIRECTION('',(1.E0,0.E0,0.E0)); +#23319=DIRECTION('',(0.E0,1.E0,0.E0)); +#23320=AXIS2_PLACEMENT_3D('',#23317,#23318,#23319); +#23321=PLANE('',#23320); +#23322=ORIENTED_EDGE('',*,*,#12679,.T.); +#23324=ORIENTED_EDGE('',*,*,#23323,.F.); +#23326=ORIENTED_EDGE('',*,*,#23325,.F.); +#23327=ORIENTED_EDGE('',*,*,#23280,.T.); +#23328=EDGE_LOOP('',(#23322,#23324,#23326,#23327)); +#23329=FACE_OUTER_BOUND('',#23328,.F.); +#23331=CARTESIAN_POINT('',(-5.786788055660E0,1.7043408E1,0.E0)); +#23332=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23333=DIRECTION('',(1.E0,0.E0,0.E0)); +#23334=AXIS2_PLACEMENT_3D('',#23331,#23332,#23333); +#23335=PLANE('',#23334); +#23337=ORIENTED_EDGE('',*,*,#23336,.F.); +#23339=ORIENTED_EDGE('',*,*,#23338,.T.); +#23340=ORIENTED_EDGE('',*,*,#12669,.T.); +#23342=ORIENTED_EDGE('',*,*,#23341,.F.); +#23344=ORIENTED_EDGE('',*,*,#23343,.F.); +#23346=ORIENTED_EDGE('',*,*,#23345,.T.); +#23347=ORIENTED_EDGE('',*,*,#12661,.T.); +#23349=ORIENTED_EDGE('',*,*,#23348,.F.); +#23351=ORIENTED_EDGE('',*,*,#23350,.F.); +#23352=ORIENTED_EDGE('',*,*,#23323,.T.); +#23353=ORIENTED_EDGE('',*,*,#12677,.T.); +#23355=ORIENTED_EDGE('',*,*,#23354,.F.); +#23356=EDGE_LOOP('',(#23337,#23339,#23340,#23342,#23344,#23346,#23347,#23349, +#23351,#23352,#23353,#23355)); +#23357=FACE_OUTER_BOUND('',#23356,.F.); +#23359=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23360=DIRECTION('',(0.E0,0.E0,1.E0)); +#23361=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23362=AXIS2_PLACEMENT_3D('',#23359,#23360,#23361); +#23363=PLANE('',#23362); +#23364=ORIENTED_EDGE('',*,*,#23336,.T.); +#23366=ORIENTED_EDGE('',*,*,#23365,.T.); +#23368=ORIENTED_EDGE('',*,*,#23367,.T.); +#23370=ORIENTED_EDGE('',*,*,#23369,.T.); +#23371=EDGE_LOOP('',(#23364,#23366,#23368,#23370)); +#23372=FACE_OUTER_BOUND('',#23371,.F.); +#23374=CARTESIAN_POINT('',(-2.870767055660E0,1.6129008E1,0.E0)); +#23375=DIRECTION('',(1.E0,0.E0,0.E0)); +#23376=DIRECTION('',(0.E0,1.E0,0.E0)); +#23377=AXIS2_PLACEMENT_3D('',#23374,#23375,#23376); +#23378=PLANE('',#23377); +#23379=ORIENTED_EDGE('',*,*,#12675,.T.); +#23381=ORIENTED_EDGE('',*,*,#23380,.F.); +#23382=ORIENTED_EDGE('',*,*,#23365,.F.); +#23383=ORIENTED_EDGE('',*,*,#23354,.T.); +#23384=EDGE_LOOP('',(#23379,#23381,#23382,#23383)); +#23385=FACE_OUTER_BOUND('',#23384,.F.); +#23387=CARTESIAN_POINT('',(-3.785167055660E0,1.6129008E1,0.E0)); +#23388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23389=DIRECTION('',(1.E0,0.E0,0.E0)); +#23390=AXIS2_PLACEMENT_3D('',#23387,#23388,#23389); +#23391=PLANE('',#23390); +#23392=ORIENTED_EDGE('',*,*,#12673,.T.); +#23394=ORIENTED_EDGE('',*,*,#23393,.F.); +#23395=ORIENTED_EDGE('',*,*,#23367,.F.); +#23396=ORIENTED_EDGE('',*,*,#23380,.T.); +#23397=EDGE_LOOP('',(#23392,#23394,#23395,#23396)); +#23398=FACE_OUTER_BOUND('',#23397,.F.); +#23400=CARTESIAN_POINT('',(-3.785167055660E0,1.7043408E1,0.E0)); +#23401=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23402=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23403=AXIS2_PLACEMENT_3D('',#23400,#23401,#23402); +#23404=PLANE('',#23403); +#23405=ORIENTED_EDGE('',*,*,#12671,.T.); +#23406=ORIENTED_EDGE('',*,*,#23338,.F.); +#23407=ORIENTED_EDGE('',*,*,#23369,.F.); +#23408=ORIENTED_EDGE('',*,*,#23393,.T.); +#23409=EDGE_LOOP('',(#23405,#23406,#23407,#23408)); +#23410=FACE_OUTER_BOUND('',#23409,.F.); +#23412=CARTESIAN_POINT('',(-4.770788055660E0,1.6129008E1,0.E0)); +#23413=DIRECTION('',(1.E0,0.E0,0.E0)); +#23414=DIRECTION('',(0.E0,1.E0,0.E0)); +#23415=AXIS2_PLACEMENT_3D('',#23412,#23413,#23414); +#23416=PLANE('',#23415); +#23417=ORIENTED_EDGE('',*,*,#12667,.T.); +#23419=ORIENTED_EDGE('',*,*,#23418,.F.); +#23421=ORIENTED_EDGE('',*,*,#23420,.F.); +#23422=ORIENTED_EDGE('',*,*,#23341,.T.); +#23423=EDGE_LOOP('',(#23417,#23419,#23421,#23422)); +#23424=FACE_OUTER_BOUND('',#23423,.F.); +#23426=CARTESIAN_POINT('',(-5.685188055660E0,1.6129008E1,0.E0)); +#23427=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23428=DIRECTION('',(1.E0,0.E0,0.E0)); +#23429=AXIS2_PLACEMENT_3D('',#23426,#23427,#23428); +#23430=PLANE('',#23429); +#23431=ORIENTED_EDGE('',*,*,#12665,.T.); +#23433=ORIENTED_EDGE('',*,*,#23432,.F.); +#23435=ORIENTED_EDGE('',*,*,#23434,.F.); +#23436=ORIENTED_EDGE('',*,*,#23418,.T.); +#23437=EDGE_LOOP('',(#23431,#23433,#23435,#23436)); +#23438=FACE_OUTER_BOUND('',#23437,.F.); +#23440=CARTESIAN_POINT('',(-5.685188055660E0,1.7043408E1,0.E0)); +#23441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23443=AXIS2_PLACEMENT_3D('',#23440,#23441,#23442); +#23444=PLANE('',#23443); +#23445=ORIENTED_EDGE('',*,*,#12663,.T.); +#23446=ORIENTED_EDGE('',*,*,#23345,.F.); +#23448=ORIENTED_EDGE('',*,*,#23447,.F.); +#23449=ORIENTED_EDGE('',*,*,#23432,.T.); +#23450=EDGE_LOOP('',(#23445,#23446,#23448,#23449)); +#23451=FACE_OUTER_BOUND('',#23450,.F.); +#23453=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23454=DIRECTION('',(0.E0,0.E0,1.E0)); +#23455=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23456=AXIS2_PLACEMENT_3D('',#23453,#23454,#23455); +#23457=PLANE('',#23456); +#23458=ORIENTED_EDGE('',*,*,#23343,.T.); +#23459=ORIENTED_EDGE('',*,*,#23420,.T.); +#23460=ORIENTED_EDGE('',*,*,#23434,.T.); +#23461=ORIENTED_EDGE('',*,*,#23447,.T.); +#23462=EDGE_LOOP('',(#23458,#23459,#23460,#23461)); +#23463=FACE_OUTER_BOUND('',#23462,.F.); +#23465=CARTESIAN_POINT('',(-5.786788055660E0,1.8243408E1,0.E0)); +#23466=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23468=AXIS2_PLACEMENT_3D('',#23465,#23466,#23467); +#23469=PLANE('',#23468); +#23470=ORIENTED_EDGE('',*,*,#12659,.T.); +#23471=ORIENTED_EDGE('',*,*,#23284,.F.); +#23473=ORIENTED_EDGE('',*,*,#23472,.F.); +#23474=ORIENTED_EDGE('',*,*,#23348,.T.); +#23475=EDGE_LOOP('',(#23470,#23471,#23473,#23474)); +#23476=FACE_OUTER_BOUND('',#23475,.F.); +#23478=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#23479=DIRECTION('',(0.E0,0.E0,1.E0)); +#23480=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23481=AXIS2_PLACEMENT_3D('',#23478,#23479,#23480); +#23482=PLANE('',#23481); +#23483=ORIENTED_EDGE('',*,*,#23325,.T.); +#23484=ORIENTED_EDGE('',*,*,#23350,.T.); +#23485=ORIENTED_EDGE('',*,*,#23472,.T.); +#23486=ORIENTED_EDGE('',*,*,#23282,.T.); +#23487=EDGE_LOOP('',(#23483,#23484,#23485,#23486)); +#23488=FACE_OUTER_BOUND('',#23487,.F.); +#23490=CARTESIAN_POINT('',(-9.593780556596E-1,1.4300208E1,0.E0)); +#23491=DIRECTION('',(0.E0,1.E0,0.E0)); +#23492=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23493=AXIS2_PLACEMENT_3D('',#23490,#23491,#23492); +#23494=PLANE('',#23493); +#23495=ORIENTED_EDGE('',*,*,#12687,.T.); +#23497=ORIENTED_EDGE('',*,*,#23496,.F.); +#23499=ORIENTED_EDGE('',*,*,#23498,.F.); +#23501=ORIENTED_EDGE('',*,*,#23500,.T.); +#23502=EDGE_LOOP('',(#23495,#23497,#23499,#23501)); +#23503=FACE_OUTER_BOUND('',#23502,.F.); +#23505=CARTESIAN_POINT('',(-9.593780556596E-1,1.3385808E1,0.E0)); +#23506=DIRECTION('',(1.E0,0.E0,0.E0)); +#23507=DIRECTION('',(0.E0,1.E0,0.E0)); +#23508=AXIS2_PLACEMENT_3D('',#23505,#23506,#23507); +#23509=PLANE('',#23508); +#23510=ORIENTED_EDGE('',*,*,#12717,.T.); +#23512=ORIENTED_EDGE('',*,*,#23511,.F.); +#23514=ORIENTED_EDGE('',*,*,#23513,.F.); +#23515=ORIENTED_EDGE('',*,*,#23496,.T.); +#23516=EDGE_LOOP('',(#23510,#23512,#23514,#23515)); +#23517=FACE_OUTER_BOUND('',#23516,.F.); +#23519=CARTESIAN_POINT('',(-1.884177055660E0,1.3385808E1,0.E0)); +#23520=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23521=DIRECTION('',(1.E0,0.E0,0.E0)); +#23522=AXIS2_PLACEMENT_3D('',#23519,#23520,#23521); +#23523=PLANE('',#23522); +#23524=ORIENTED_EDGE('',*,*,#12715,.T.); +#23526=ORIENTED_EDGE('',*,*,#23525,.F.); +#23528=ORIENTED_EDGE('',*,*,#23527,.F.); +#23529=ORIENTED_EDGE('',*,*,#23511,.T.); +#23530=EDGE_LOOP('',(#23524,#23526,#23528,#23529)); +#23531=FACE_OUTER_BOUND('',#23530,.F.); +#23533=CARTESIAN_POINT('',(-1.884177055660E0,1.2334197E1,0.E0)); +#23534=DIRECTION('',(1.E0,0.E0,0.E0)); +#23535=DIRECTION('',(0.E0,1.E0,0.E0)); +#23536=AXIS2_PLACEMENT_3D('',#23533,#23534,#23535); +#23537=PLANE('',#23536); +#23539=ORIENTED_EDGE('',*,*,#23538,.F.); +#23540=ORIENTED_EDGE('',*,*,#23525,.T.); +#23541=ORIENTED_EDGE('',*,*,#12713,.T.); +#23543=ORIENTED_EDGE('',*,*,#23542,.F.); +#23545=ORIENTED_EDGE('',*,*,#23544,.F.); +#23547=ORIENTED_EDGE('',*,*,#23546,.T.); +#23548=ORIENTED_EDGE('',*,*,#12689,.T.); +#23549=ORIENTED_EDGE('',*,*,#23500,.F.); +#23550=EDGE_LOOP('',(#23539,#23540,#23541,#23543,#23545,#23547,#23548,#23549)); +#23551=FACE_OUTER_BOUND('',#23550,.F.); +#23553=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23554=DIRECTION('',(0.E0,0.E0,1.E0)); +#23555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23556=AXIS2_PLACEMENT_3D('',#23553,#23554,#23555); +#23557=PLANE('',#23556); +#23558=ORIENTED_EDGE('',*,*,#23538,.T.); +#23559=ORIENTED_EDGE('',*,*,#23498,.T.); +#23560=ORIENTED_EDGE('',*,*,#23513,.T.); +#23561=ORIENTED_EDGE('',*,*,#23527,.T.); +#23562=EDGE_LOOP('',(#23558,#23559,#23560,#23561)); +#23563=FACE_OUTER_BOUND('',#23562,.F.); +#23565=CARTESIAN_POINT('',(-3.084177055660E0,1.2334197E1,0.E0)); +#23566=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23567=DIRECTION('',(1.E0,0.E0,0.E0)); +#23568=AXIS2_PLACEMENT_3D('',#23565,#23566,#23567); +#23569=PLANE('',#23568); +#23570=ORIENTED_EDGE('',*,*,#12711,.T.); +#23572=ORIENTED_EDGE('',*,*,#23571,.F.); +#23574=ORIENTED_EDGE('',*,*,#23573,.F.); +#23575=ORIENTED_EDGE('',*,*,#23542,.T.); +#23576=EDGE_LOOP('',(#23570,#23572,#23574,#23575)); +#23577=FACE_OUTER_BOUND('',#23576,.F.); +#23579=CARTESIAN_POINT('',(-3.084177055660E0,1.5351819E1,0.E0)); +#23580=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23581=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23582=AXIS2_PLACEMENT_3D('',#23579,#23580,#23581); +#23583=PLANE('',#23582); +#23585=ORIENTED_EDGE('',*,*,#23584,.T.); +#23587=ORIENTED_EDGE('',*,*,#23586,.T.); +#23588=ORIENTED_EDGE('',*,*,#12693,.T.); +#23590=ORIENTED_EDGE('',*,*,#23589,.F.); +#23592=ORIENTED_EDGE('',*,*,#23591,.F.); +#23593=ORIENTED_EDGE('',*,*,#23571,.T.); +#23594=ORIENTED_EDGE('',*,*,#12709,.T.); +#23596=ORIENTED_EDGE('',*,*,#23595,.F.); +#23598=ORIENTED_EDGE('',*,*,#23597,.T.); +#23600=ORIENTED_EDGE('',*,*,#23599,.T.); +#23601=ORIENTED_EDGE('',*,*,#12701,.T.); +#23603=ORIENTED_EDGE('',*,*,#23602,.F.); +#23604=EDGE_LOOP('',(#23585,#23587,#23588,#23590,#23592,#23593,#23594,#23596, +#23598,#23600,#23601,#23603)); +#23605=FACE_OUTER_BOUND('',#23604,.F.); +#23607=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23608=DIRECTION('',(0.E0,0.E0,1.E0)); +#23609=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23610=AXIS2_PLACEMENT_3D('',#23607,#23608,#23609); +#23611=PLANE('',#23610); +#23612=ORIENTED_EDGE('',*,*,#23584,.F.); +#23614=ORIENTED_EDGE('',*,*,#23613,.T.); +#23616=ORIENTED_EDGE('',*,*,#23615,.T.); +#23618=ORIENTED_EDGE('',*,*,#23617,.T.); +#23619=EDGE_LOOP('',(#23612,#23614,#23616,#23618)); +#23620=FACE_OUTER_BOUND('',#23619,.F.); +#23622=CARTESIAN_POINT('',(-3.998577055660E0,1.4335819E1,0.E0)); +#23623=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23624=DIRECTION('',(1.E0,0.E0,0.E0)); +#23625=AXIS2_PLACEMENT_3D('',#23622,#23623,#23624); +#23626=PLANE('',#23625); +#23627=ORIENTED_EDGE('',*,*,#12699,.T.); +#23629=ORIENTED_EDGE('',*,*,#23628,.F.); +#23630=ORIENTED_EDGE('',*,*,#23613,.F.); +#23631=ORIENTED_EDGE('',*,*,#23602,.T.); +#23632=EDGE_LOOP('',(#23627,#23629,#23630,#23631)); +#23633=FACE_OUTER_BOUND('',#23632,.F.); +#23635=CARTESIAN_POINT('',(-3.998577055660E0,1.5250219E1,0.E0)); +#23636=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23637=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23638=AXIS2_PLACEMENT_3D('',#23635,#23636,#23637); +#23639=PLANE('',#23638); +#23640=ORIENTED_EDGE('',*,*,#12697,.T.); +#23642=ORIENTED_EDGE('',*,*,#23641,.F.); +#23643=ORIENTED_EDGE('',*,*,#23615,.F.); +#23644=ORIENTED_EDGE('',*,*,#23628,.T.); +#23645=EDGE_LOOP('',(#23640,#23642,#23643,#23644)); +#23646=FACE_OUTER_BOUND('',#23645,.F.); +#23648=CARTESIAN_POINT('',(-3.084177055660E0,1.5250219E1,0.E0)); +#23649=DIRECTION('',(0.E0,1.E0,0.E0)); +#23650=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23651=AXIS2_PLACEMENT_3D('',#23648,#23649,#23650); +#23652=PLANE('',#23651); +#23653=ORIENTED_EDGE('',*,*,#12695,.T.); +#23654=ORIENTED_EDGE('',*,*,#23586,.F.); +#23655=ORIENTED_EDGE('',*,*,#23617,.F.); +#23656=ORIENTED_EDGE('',*,*,#23641,.T.); +#23657=EDGE_LOOP('',(#23653,#23654,#23655,#23656)); +#23658=FACE_OUTER_BOUND('',#23657,.F.); +#23660=CARTESIAN_POINT('',(-1.884177055660E0,1.5351819E1,0.E0)); +#23661=DIRECTION('',(0.E0,1.E0,0.E0)); +#23662=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23663=AXIS2_PLACEMENT_3D('',#23660,#23661,#23662); +#23664=PLANE('',#23663); +#23665=ORIENTED_EDGE('',*,*,#12691,.T.); +#23666=ORIENTED_EDGE('',*,*,#23546,.F.); +#23668=ORIENTED_EDGE('',*,*,#23667,.F.); +#23669=ORIENTED_EDGE('',*,*,#23589,.T.); +#23670=EDGE_LOOP('',(#23665,#23666,#23668,#23669)); +#23671=FACE_OUTER_BOUND('',#23670,.F.); +#23673=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#23674=DIRECTION('',(0.E0,0.E0,1.E0)); +#23675=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23676=AXIS2_PLACEMENT_3D('',#23673,#23674,#23675); +#23677=PLANE('',#23676); +#23678=ORIENTED_EDGE('',*,*,#23591,.T.); +#23679=ORIENTED_EDGE('',*,*,#23667,.T.); +#23680=ORIENTED_EDGE('',*,*,#23544,.T.); +#23681=ORIENTED_EDGE('',*,*,#23573,.T.); +#23682=EDGE_LOOP('',(#23678,#23679,#23680,#23681)); +#23683=FACE_OUTER_BOUND('',#23682,.F.); +#23685=CARTESIAN_POINT('',(-3.998577055660E0,1.2435797E1,0.E0)); +#23686=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23687=DIRECTION('',(1.E0,0.E0,0.E0)); +#23688=AXIS2_PLACEMENT_3D('',#23685,#23686,#23687); +#23689=PLANE('',#23688); +#23690=ORIENTED_EDGE('',*,*,#12707,.T.); +#23692=ORIENTED_EDGE('',*,*,#23691,.F.); +#23694=ORIENTED_EDGE('',*,*,#23693,.F.); +#23695=ORIENTED_EDGE('',*,*,#23595,.T.); +#23696=EDGE_LOOP('',(#23690,#23692,#23694,#23695)); +#23697=FACE_OUTER_BOUND('',#23696,.F.); +#23699=CARTESIAN_POINT('',(-3.998577055660E0,1.3350197E1,0.E0)); +#23700=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23701=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23702=AXIS2_PLACEMENT_3D('',#23699,#23700,#23701); +#23703=PLANE('',#23702); +#23704=ORIENTED_EDGE('',*,*,#12705,.T.); +#23706=ORIENTED_EDGE('',*,*,#23705,.F.); +#23708=ORIENTED_EDGE('',*,*,#23707,.F.); +#23709=ORIENTED_EDGE('',*,*,#23691,.T.); +#23710=EDGE_LOOP('',(#23704,#23706,#23708,#23709)); +#23711=FACE_OUTER_BOUND('',#23710,.F.); +#23713=CARTESIAN_POINT('',(-3.084177055660E0,1.3350197E1,0.E0)); +#23714=DIRECTION('',(0.E0,1.E0,0.E0)); +#23715=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23716=AXIS2_PLACEMENT_3D('',#23713,#23714,#23715); +#23717=PLANE('',#23716); +#23718=ORIENTED_EDGE('',*,*,#12703,.T.); +#23719=ORIENTED_EDGE('',*,*,#23599,.F.); +#23721=ORIENTED_EDGE('',*,*,#23720,.F.); +#23722=ORIENTED_EDGE('',*,*,#23705,.T.); +#23723=EDGE_LOOP('',(#23718,#23719,#23721,#23722)); +#23724=FACE_OUTER_BOUND('',#23723,.F.); +#23726=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23727=DIRECTION('',(0.E0,0.E0,1.E0)); +#23728=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23729=AXIS2_PLACEMENT_3D('',#23726,#23727,#23728); +#23730=PLANE('',#23729); +#23731=ORIENTED_EDGE('',*,*,#23597,.F.); +#23732=ORIENTED_EDGE('',*,*,#23693,.T.); +#23733=ORIENTED_EDGE('',*,*,#23707,.T.); +#23734=ORIENTED_EDGE('',*,*,#23720,.T.); +#23735=EDGE_LOOP('',(#23731,#23732,#23733,#23734)); +#23736=FACE_OUTER_BOUND('',#23735,.F.); +#23738=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,0.E0)); +#23739=DIRECTION('',(0.E0,1.E0,0.E0)); +#23740=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23741=AXIS2_PLACEMENT_3D('',#23738,#23739,#23740); +#23742=PLANE('',#23741); +#23743=ORIENTED_EDGE('',*,*,#12721,.T.); +#23745=ORIENTED_EDGE('',*,*,#23744,.F.); +#23747=ORIENTED_EDGE('',*,*,#23746,.F.); +#23749=ORIENTED_EDGE('',*,*,#23748,.T.); +#23750=EDGE_LOOP('',(#23743,#23745,#23747,#23749)); +#23751=FACE_OUTER_BOUND('',#23750,.F.); +#23753=CARTESIAN_POINT('',(5.104199443404E-1,1.0298209E1,0.E0)); +#23754=DIRECTION('',(1.E0,0.E0,0.E0)); +#23755=DIRECTION('',(0.E0,1.E0,0.E0)); +#23756=AXIS2_PLACEMENT_3D('',#23753,#23754,#23755); +#23757=PLANE('',#23756); +#23758=ORIENTED_EDGE('',*,*,#12743,.T.); +#23760=ORIENTED_EDGE('',*,*,#23759,.F.); +#23762=ORIENTED_EDGE('',*,*,#23761,.F.); +#23763=ORIENTED_EDGE('',*,*,#23744,.T.); +#23764=EDGE_LOOP('',(#23758,#23760,#23762,#23763)); +#23765=FACE_OUTER_BOUND('',#23764,.F.); +#23767=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,0.E0)); +#23768=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23769=DIRECTION('',(1.E0,0.E0,0.E0)); +#23770=AXIS2_PLACEMENT_3D('',#23767,#23768,#23769); +#23771=PLANE('',#23770); +#23772=ORIENTED_EDGE('',*,*,#12741,.T.); +#23774=ORIENTED_EDGE('',*,*,#23773,.F.); +#23776=ORIENTED_EDGE('',*,*,#23775,.F.); +#23777=ORIENTED_EDGE('',*,*,#23759,.T.); +#23778=EDGE_LOOP('',(#23772,#23774,#23776,#23777)); +#23779=FACE_OUTER_BOUND('',#23778,.F.); +#23781=CARTESIAN_POINT('',(-4.395780556596E-1,1.0098207E1,0.E0)); +#23782=DIRECTION('',(1.E0,0.E0,0.E0)); +#23783=DIRECTION('',(0.E0,1.E0,0.E0)); +#23784=AXIS2_PLACEMENT_3D('',#23781,#23782,#23783); +#23785=PLANE('',#23784); +#23787=ORIENTED_EDGE('',*,*,#23786,.F.); +#23788=ORIENTED_EDGE('',*,*,#23773,.T.); +#23789=ORIENTED_EDGE('',*,*,#12739,.T.); +#23791=ORIENTED_EDGE('',*,*,#23790,.F.); +#23793=ORIENTED_EDGE('',*,*,#23792,.F.); +#23795=ORIENTED_EDGE('',*,*,#23794,.T.); +#23796=ORIENTED_EDGE('',*,*,#12723,.T.); +#23797=ORIENTED_EDGE('',*,*,#23748,.F.); +#23798=EDGE_LOOP('',(#23787,#23788,#23789,#23791,#23793,#23795,#23796,#23797)); +#23799=FACE_OUTER_BOUND('',#23798,.F.); +#23801=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23802=DIRECTION('',(0.E0,0.E0,1.E0)); +#23803=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23804=AXIS2_PLACEMENT_3D('',#23801,#23802,#23803); +#23805=PLANE('',#23804); +#23806=ORIENTED_EDGE('',*,*,#23786,.T.); +#23807=ORIENTED_EDGE('',*,*,#23746,.T.); +#23808=ORIENTED_EDGE('',*,*,#23761,.T.); +#23809=ORIENTED_EDGE('',*,*,#23775,.T.); +#23810=EDGE_LOOP('',(#23806,#23807,#23808,#23809)); +#23811=FACE_OUTER_BOUND('',#23810,.F.); +#23813=CARTESIAN_POINT('',(-3.239577055660E0,1.0098207E1,0.E0)); +#23814=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23815=DIRECTION('',(1.E0,0.E0,0.E0)); +#23816=AXIS2_PLACEMENT_3D('',#23813,#23814,#23815); +#23817=PLANE('',#23816); +#23818=ORIENTED_EDGE('',*,*,#12737,.T.); +#23820=ORIENTED_EDGE('',*,*,#23819,.F.); +#23822=ORIENTED_EDGE('',*,*,#23821,.F.); +#23823=ORIENTED_EDGE('',*,*,#23790,.T.); +#23824=EDGE_LOOP('',(#23818,#23820,#23822,#23823)); +#23825=FACE_OUTER_BOUND('',#23824,.F.); +#23827=CARTESIAN_POINT('',(-3.239577055660E0,1.1898209E1,0.E0)); +#23828=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23829=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23830=AXIS2_PLACEMENT_3D('',#23827,#23828,#23829); +#23831=PLANE('',#23830); +#23833=ORIENTED_EDGE('',*,*,#23832,.T.); +#23835=ORIENTED_EDGE('',*,*,#23834,.T.); +#23836=ORIENTED_EDGE('',*,*,#12727,.T.); +#23838=ORIENTED_EDGE('',*,*,#23837,.F.); +#23840=ORIENTED_EDGE('',*,*,#23839,.F.); +#23841=ORIENTED_EDGE('',*,*,#23819,.T.); +#23842=ORIENTED_EDGE('',*,*,#12735,.T.); +#23844=ORIENTED_EDGE('',*,*,#23843,.F.); +#23845=EDGE_LOOP('',(#23833,#23835,#23836,#23838,#23840,#23841,#23842,#23844)); +#23846=FACE_OUTER_BOUND('',#23845,.F.); +#23848=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23849=DIRECTION('',(0.E0,0.E0,1.E0)); +#23850=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23851=AXIS2_PLACEMENT_3D('',#23848,#23849,#23850); +#23852=PLANE('',#23851); +#23853=ORIENTED_EDGE('',*,*,#23832,.F.); +#23855=ORIENTED_EDGE('',*,*,#23854,.T.); +#23857=ORIENTED_EDGE('',*,*,#23856,.T.); +#23859=ORIENTED_EDGE('',*,*,#23858,.T.); +#23860=EDGE_LOOP('',(#23853,#23855,#23857,#23859)); +#23861=FACE_OUTER_BOUND('',#23860,.F.); +#23863=CARTESIAN_POINT('',(-4.189575055660E0,1.0298209E1,0.E0)); +#23864=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23865=DIRECTION('',(1.E0,0.E0,0.E0)); +#23866=AXIS2_PLACEMENT_3D('',#23863,#23864,#23865); +#23867=PLANE('',#23866); +#23868=ORIENTED_EDGE('',*,*,#12733,.T.); +#23870=ORIENTED_EDGE('',*,*,#23869,.F.); +#23871=ORIENTED_EDGE('',*,*,#23854,.F.); +#23872=ORIENTED_EDGE('',*,*,#23843,.T.); +#23873=EDGE_LOOP('',(#23868,#23870,#23871,#23872)); +#23874=FACE_OUTER_BOUND('',#23873,.F.); +#23876=CARTESIAN_POINT('',(-4.189575055660E0,1.1698207E1,0.E0)); +#23877=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23878=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23879=AXIS2_PLACEMENT_3D('',#23876,#23877,#23878); +#23880=PLANE('',#23879); +#23881=ORIENTED_EDGE('',*,*,#12731,.T.); +#23883=ORIENTED_EDGE('',*,*,#23882,.F.); +#23884=ORIENTED_EDGE('',*,*,#23856,.F.); +#23885=ORIENTED_EDGE('',*,*,#23869,.T.); +#23886=EDGE_LOOP('',(#23881,#23883,#23884,#23885)); +#23887=FACE_OUTER_BOUND('',#23886,.F.); +#23889=CARTESIAN_POINT('',(5.104199443404E-1,1.1698207E1,0.E0)); +#23890=DIRECTION('',(0.E0,1.E0,0.E0)); +#23891=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23892=AXIS2_PLACEMENT_3D('',#23889,#23890,#23891); +#23893=PLANE('',#23892); +#23894=ORIENTED_EDGE('',*,*,#12729,.T.); +#23895=ORIENTED_EDGE('',*,*,#23834,.F.); +#23896=ORIENTED_EDGE('',*,*,#23858,.F.); +#23897=ORIENTED_EDGE('',*,*,#23882,.T.); +#23898=EDGE_LOOP('',(#23894,#23895,#23896,#23897)); +#23899=FACE_OUTER_BOUND('',#23898,.F.); +#23901=CARTESIAN_POINT('',(-4.395780556596E-1,1.1898209E1,0.E0)); +#23902=DIRECTION('',(0.E0,1.E0,0.E0)); +#23903=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23904=AXIS2_PLACEMENT_3D('',#23901,#23902,#23903); +#23905=PLANE('',#23904); +#23906=ORIENTED_EDGE('',*,*,#12725,.T.); +#23907=ORIENTED_EDGE('',*,*,#23794,.F.); +#23909=ORIENTED_EDGE('',*,*,#23908,.F.); +#23910=ORIENTED_EDGE('',*,*,#23837,.T.); +#23911=EDGE_LOOP('',(#23906,#23907,#23909,#23910)); +#23912=FACE_OUTER_BOUND('',#23911,.F.); +#23914=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.2E0)); +#23915=DIRECTION('',(0.E0,0.E0,1.E0)); +#23916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23917=AXIS2_PLACEMENT_3D('',#23914,#23915,#23916); +#23918=PLANE('',#23917); +#23919=ORIENTED_EDGE('',*,*,#23908,.T.); +#23920=ORIENTED_EDGE('',*,*,#23792,.T.); +#23921=ORIENTED_EDGE('',*,*,#23821,.T.); +#23922=ORIENTED_EDGE('',*,*,#23839,.T.); +#23923=EDGE_LOOP('',(#23919,#23920,#23921,#23922)); +#23924=FACE_OUTER_BOUND('',#23923,.F.); +#23926=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,0.E0)); +#23927=DIRECTION('',(0.E0,1.E0,0.E0)); +#23928=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23929=AXIS2_PLACEMENT_3D('',#23926,#23927,#23928); +#23930=PLANE('',#23929); +#23931=ORIENTED_EDGE('',*,*,#12747,.T.); +#23933=ORIENTED_EDGE('',*,*,#23932,.F.); +#23935=ORIENTED_EDGE('',*,*,#23934,.F.); +#23937=ORIENTED_EDGE('',*,*,#23936,.T.); +#23938=EDGE_LOOP('',(#23931,#23933,#23935,#23937)); +#23939=FACE_OUTER_BOUND('',#23938,.F.); +#23941=CARTESIAN_POINT('',(6.714418944340E0,1.5255007E1,0.E0)); +#23942=DIRECTION('',(1.E0,0.E0,0.E0)); +#23943=DIRECTION('',(0.E0,1.E0,0.E0)); +#23944=AXIS2_PLACEMENT_3D('',#23941,#23942,#23943); +#23945=PLANE('',#23944); +#23946=ORIENTED_EDGE('',*,*,#12793,.T.); +#23948=ORIENTED_EDGE('',*,*,#23947,.F.); +#23950=ORIENTED_EDGE('',*,*,#23949,.F.); +#23951=ORIENTED_EDGE('',*,*,#23932,.T.); +#23952=EDGE_LOOP('',(#23946,#23948,#23950,#23951)); +#23953=FACE_OUTER_BOUND('',#23952,.F.); +#23955=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,0.E0)); +#23956=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23957=DIRECTION('',(1.E0,0.E0,0.E0)); +#23958=AXIS2_PLACEMENT_3D('',#23955,#23956,#23957); +#23959=PLANE('',#23958); +#23960=ORIENTED_EDGE('',*,*,#12791,.T.); +#23962=ORIENTED_EDGE('',*,*,#23961,.F.); +#23964=ORIENTED_EDGE('',*,*,#23963,.F.); +#23965=ORIENTED_EDGE('',*,*,#23947,.T.); +#23966=EDGE_LOOP('',(#23960,#23962,#23964,#23965)); +#23967=FACE_OUTER_BOUND('',#23966,.F.); +#23969=CARTESIAN_POINT('',(5.664420944340E0,1.3055006E1,0.E0)); +#23970=DIRECTION('',(1.E0,0.E0,0.E0)); +#23971=DIRECTION('',(0.E0,1.E0,0.E0)); +#23972=AXIS2_PLACEMENT_3D('',#23969,#23970,#23971); +#23973=PLANE('',#23972); +#23975=ORIENTED_EDGE('',*,*,#23974,.F.); +#23976=ORIENTED_EDGE('',*,*,#23961,.T.); +#23977=ORIENTED_EDGE('',*,*,#12789,.T.); +#23979=ORIENTED_EDGE('',*,*,#23978,.F.); +#23981=ORIENTED_EDGE('',*,*,#23980,.F.); +#23983=ORIENTED_EDGE('',*,*,#23982,.T.); +#23984=ORIENTED_EDGE('',*,*,#12781,.T.); +#23986=ORIENTED_EDGE('',*,*,#23985,.F.); +#23988=ORIENTED_EDGE('',*,*,#23987,.F.); +#23990=ORIENTED_EDGE('',*,*,#23989,.T.); +#23991=ORIENTED_EDGE('',*,*,#12749,.T.); +#23992=ORIENTED_EDGE('',*,*,#23936,.F.); +#23993=EDGE_LOOP('',(#23975,#23976,#23977,#23979,#23981,#23983,#23984,#23986, +#23988,#23990,#23991,#23992)); +#23994=FACE_OUTER_BOUND('',#23993,.F.); +#23996=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#23997=DIRECTION('',(0.E0,0.E0,1.E0)); +#23998=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23999=AXIS2_PLACEMENT_3D('',#23996,#23997,#23998); +#24000=PLANE('',#23999); +#24001=ORIENTED_EDGE('',*,*,#23974,.T.); +#24002=ORIENTED_EDGE('',*,*,#23934,.T.); +#24003=ORIENTED_EDGE('',*,*,#23949,.T.); +#24004=ORIENTED_EDGE('',*,*,#23963,.T.); +#24005=EDGE_LOOP('',(#24001,#24002,#24003,#24004)); +#24006=FACE_OUTER_BOUND('',#24005,.F.); +#24008=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,0.E0)); +#24009=DIRECTION('',(0.E0,1.E0,0.E0)); +#24010=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24011=AXIS2_PLACEMENT_3D('',#24008,#24009,#24010); +#24012=PLANE('',#24011); +#24013=ORIENTED_EDGE('',*,*,#12787,.T.); +#24015=ORIENTED_EDGE('',*,*,#24014,.F.); +#24017=ORIENTED_EDGE('',*,*,#24016,.F.); +#24018=ORIENTED_EDGE('',*,*,#23978,.T.); +#24019=EDGE_LOOP('',(#24013,#24015,#24017,#24018)); +#24020=FACE_OUTER_BOUND('',#24019,.F.); +#24022=CARTESIAN_POINT('',(6.714418944340E0,1.3355008E1,0.E0)); +#24023=DIRECTION('',(1.E0,0.E0,0.E0)); +#24024=DIRECTION('',(0.E0,1.E0,0.E0)); +#24025=AXIS2_PLACEMENT_3D('',#24022,#24023,#24024); +#24026=PLANE('',#24025); +#24027=ORIENTED_EDGE('',*,*,#12785,.T.); +#24029=ORIENTED_EDGE('',*,*,#24028,.F.); +#24031=ORIENTED_EDGE('',*,*,#24030,.F.); +#24032=ORIENTED_EDGE('',*,*,#24014,.T.); +#24033=EDGE_LOOP('',(#24027,#24029,#24031,#24032)); +#24034=FACE_OUTER_BOUND('',#24033,.F.); +#24036=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,0.E0)); +#24037=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24038=DIRECTION('',(1.E0,0.E0,0.E0)); +#24039=AXIS2_PLACEMENT_3D('',#24036,#24037,#24038); +#24040=PLANE('',#24039); +#24041=ORIENTED_EDGE('',*,*,#12783,.T.); +#24042=ORIENTED_EDGE('',*,*,#23982,.F.); +#24044=ORIENTED_EDGE('',*,*,#24043,.F.); +#24045=ORIENTED_EDGE('',*,*,#24028,.T.); +#24046=EDGE_LOOP('',(#24041,#24042,#24044,#24045)); +#24047=FACE_OUTER_BOUND('',#24046,.F.); +#24049=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#24050=DIRECTION('',(0.E0,0.E0,1.E0)); +#24051=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24052=AXIS2_PLACEMENT_3D('',#24049,#24050,#24051); +#24053=PLANE('',#24052); +#24054=ORIENTED_EDGE('',*,*,#23980,.T.); +#24055=ORIENTED_EDGE('',*,*,#24016,.T.); +#24056=ORIENTED_EDGE('',*,*,#24030,.T.); +#24057=ORIENTED_EDGE('',*,*,#24043,.T.); +#24058=EDGE_LOOP('',(#24054,#24055,#24056,#24057)); +#24059=FACE_OUTER_BOUND('',#24058,.F.); +#24061=CARTESIAN_POINT('',(3.864418944340E0,1.3055006E1,0.E0)); +#24062=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24063=DIRECTION('',(1.E0,0.E0,0.E0)); +#24064=AXIS2_PLACEMENT_3D('',#24061,#24062,#24063); +#24065=PLANE('',#24064); +#24066=ORIENTED_EDGE('',*,*,#12779,.T.); +#24068=ORIENTED_EDGE('',*,*,#24067,.F.); +#24070=ORIENTED_EDGE('',*,*,#24069,.F.); +#24071=ORIENTED_EDGE('',*,*,#23985,.T.); +#24072=EDGE_LOOP('',(#24066,#24068,#24070,#24071)); +#24073=FACE_OUTER_BOUND('',#24072,.F.); +#24075=CARTESIAN_POINT('',(3.864418944340E0,1.6155005E1,0.E0)); +#24076=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24077=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24078=AXIS2_PLACEMENT_3D('',#24075,#24076,#24077); +#24079=PLANE('',#24078); +#24081=ORIENTED_EDGE('',*,*,#24080,.T.); +#24083=ORIENTED_EDGE('',*,*,#24082,.T.); +#24084=ORIENTED_EDGE('',*,*,#12753,.T.); +#24086=ORIENTED_EDGE('',*,*,#24085,.F.); +#24088=ORIENTED_EDGE('',*,*,#24087,.F.); +#24089=ORIENTED_EDGE('',*,*,#24067,.T.); +#24090=ORIENTED_EDGE('',*,*,#12777,.T.); +#24092=ORIENTED_EDGE('',*,*,#24091,.F.); +#24094=ORIENTED_EDGE('',*,*,#24093,.T.); +#24096=ORIENTED_EDGE('',*,*,#24095,.T.); +#24097=ORIENTED_EDGE('',*,*,#12769,.T.); +#24099=ORIENTED_EDGE('',*,*,#24098,.F.); +#24101=ORIENTED_EDGE('',*,*,#24100,.T.); +#24103=ORIENTED_EDGE('',*,*,#24102,.T.); +#24104=ORIENTED_EDGE('',*,*,#12761,.T.); +#24106=ORIENTED_EDGE('',*,*,#24105,.F.); +#24107=EDGE_LOOP('',(#24081,#24083,#24084,#24086,#24088,#24089,#24090,#24092, +#24094,#24096,#24097,#24099,#24101,#24103,#24104,#24106)); +#24108=FACE_OUTER_BOUND('',#24107,.F.); +#24110=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#24111=DIRECTION('',(0.E0,0.E0,1.E0)); +#24112=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24113=AXIS2_PLACEMENT_3D('',#24110,#24111,#24112); +#24114=PLANE('',#24113); +#24115=ORIENTED_EDGE('',*,*,#24080,.F.); +#24117=ORIENTED_EDGE('',*,*,#24116,.T.); +#24119=ORIENTED_EDGE('',*,*,#24118,.T.); +#24121=ORIENTED_EDGE('',*,*,#24120,.T.); +#24122=EDGE_LOOP('',(#24115,#24117,#24119,#24121)); +#24123=FACE_OUTER_BOUND('',#24122,.F.); +#24125=CARTESIAN_POINT('',(2.814420944340E0,1.5255007E1,0.E0)); +#24126=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24127=DIRECTION('',(1.E0,0.E0,0.E0)); +#24128=AXIS2_PLACEMENT_3D('',#24125,#24126,#24127); +#24129=PLANE('',#24128); +#24130=ORIENTED_EDGE('',*,*,#12759,.T.); +#24132=ORIENTED_EDGE('',*,*,#24131,.F.); +#24133=ORIENTED_EDGE('',*,*,#24116,.F.); +#24134=ORIENTED_EDGE('',*,*,#24105,.T.); +#24135=EDGE_LOOP('',(#24130,#24132,#24133,#24134)); +#24136=FACE_OUTER_BOUND('',#24135,.F.); +#24138=CARTESIAN_POINT('',(2.814420944340E0,1.5855005E1,0.E0)); +#24139=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24140=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24141=AXIS2_PLACEMENT_3D('',#24138,#24139,#24140); +#24142=PLANE('',#24141); +#24143=ORIENTED_EDGE('',*,*,#12757,.T.); +#24145=ORIENTED_EDGE('',*,*,#24144,.F.); +#24146=ORIENTED_EDGE('',*,*,#24118,.F.); +#24147=ORIENTED_EDGE('',*,*,#24131,.T.); +#24148=EDGE_LOOP('',(#24143,#24145,#24146,#24147)); +#24149=FACE_OUTER_BOUND('',#24148,.F.); +#24151=CARTESIAN_POINT('',(6.714418944340E0,1.5855005E1,0.E0)); +#24152=DIRECTION('',(0.E0,1.E0,0.E0)); +#24153=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24154=AXIS2_PLACEMENT_3D('',#24151,#24152,#24153); +#24155=PLANE('',#24154); +#24156=ORIENTED_EDGE('',*,*,#12755,.T.); +#24157=ORIENTED_EDGE('',*,*,#24082,.F.); +#24158=ORIENTED_EDGE('',*,*,#24120,.F.); +#24159=ORIENTED_EDGE('',*,*,#24144,.T.); +#24160=EDGE_LOOP('',(#24156,#24157,#24158,#24159)); +#24161=FACE_OUTER_BOUND('',#24160,.F.); +#24163=CARTESIAN_POINT('',(5.664420944340E0,1.6155005E1,0.E0)); +#24164=DIRECTION('',(0.E0,1.E0,0.E0)); +#24165=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24166=AXIS2_PLACEMENT_3D('',#24163,#24164,#24165); +#24167=PLANE('',#24166); +#24168=ORIENTED_EDGE('',*,*,#12751,.T.); +#24169=ORIENTED_EDGE('',*,*,#23989,.F.); +#24171=ORIENTED_EDGE('',*,*,#24170,.F.); +#24172=ORIENTED_EDGE('',*,*,#24085,.T.); +#24173=EDGE_LOOP('',(#24168,#24169,#24171,#24172)); +#24174=FACE_OUTER_BOUND('',#24173,.F.); +#24176=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,1.5E0)); +#24177=DIRECTION('',(0.E0,0.E0,1.E0)); +#24178=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24179=AXIS2_PLACEMENT_3D('',#24176,#24177,#24178); +#24180=PLANE('',#24179); +#24181=ORIENTED_EDGE('',*,*,#24170,.T.); +#24182=ORIENTED_EDGE('',*,*,#23987,.T.); +#24183=ORIENTED_EDGE('',*,*,#24069,.T.); +#24184=ORIENTED_EDGE('',*,*,#24087,.T.); +#24185=EDGE_LOOP('',(#24181,#24182,#24183,#24184)); +#24186=FACE_OUTER_BOUND('',#24185,.F.); +#24188=CARTESIAN_POINT('',(2.814420944340E0,1.3355008E1,0.E0)); +#24189=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24190=DIRECTION('',(1.E0,0.E0,0.E0)); +#24191=AXIS2_PLACEMENT_3D('',#24188,#24189,#24190); +#24192=PLANE('',#24191); +#24193=ORIENTED_EDGE('',*,*,#12775,.T.); +#24195=ORIENTED_EDGE('',*,*,#24194,.F.); +#24197=ORIENTED_EDGE('',*,*,#24196,.F.); +#24198=ORIENTED_EDGE('',*,*,#24091,.T.); +#24199=EDGE_LOOP('',(#24193,#24195,#24197,#24198)); +#24200=FACE_OUTER_BOUND('',#24199,.F.); +#24202=CARTESIAN_POINT('',(2.814420944340E0,1.3955007E1,0.E0)); +#24203=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24204=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24205=AXIS2_PLACEMENT_3D('',#24202,#24203,#24204); +#24206=PLANE('',#24205); +#24207=ORIENTED_EDGE('',*,*,#12773,.T.); +#24209=ORIENTED_EDGE('',*,*,#24208,.F.); +#24211=ORIENTED_EDGE('',*,*,#24210,.F.); +#24212=ORIENTED_EDGE('',*,*,#24194,.T.); +#24213=EDGE_LOOP('',(#24207,#24209,#24211,#24212)); +#24214=FACE_OUTER_BOUND('',#24213,.F.); +#24216=CARTESIAN_POINT('',(6.714418944340E0,1.3955007E1,0.E0)); +#24217=DIRECTION('',(0.E0,1.E0,0.E0)); +#24218=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24219=AXIS2_PLACEMENT_3D('',#24216,#24217,#24218); +#24220=PLANE('',#24219); +#24221=ORIENTED_EDGE('',*,*,#12771,.T.); +#24222=ORIENTED_EDGE('',*,*,#24095,.F.); +#24224=ORIENTED_EDGE('',*,*,#24223,.F.); +#24225=ORIENTED_EDGE('',*,*,#24208,.T.); +#24226=EDGE_LOOP('',(#24221,#24222,#24224,#24225)); +#24227=FACE_OUTER_BOUND('',#24226,.F.); +#24229=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#24230=DIRECTION('',(0.E0,0.E0,1.E0)); +#24231=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24232=AXIS2_PLACEMENT_3D('',#24229,#24230,#24231); +#24233=PLANE('',#24232); +#24234=ORIENTED_EDGE('',*,*,#24093,.F.); +#24235=ORIENTED_EDGE('',*,*,#24196,.T.); +#24236=ORIENTED_EDGE('',*,*,#24210,.T.); +#24237=ORIENTED_EDGE('',*,*,#24223,.T.); +#24238=EDGE_LOOP('',(#24234,#24235,#24236,#24237)); +#24239=FACE_OUTER_BOUND('',#24238,.F.); +#24241=CARTESIAN_POINT('',(2.814420944340E0,1.4305006E1,0.E0)); +#24242=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24243=DIRECTION('',(1.E0,0.E0,0.E0)); +#24244=AXIS2_PLACEMENT_3D('',#24241,#24242,#24243); +#24245=PLANE('',#24244); +#24246=ORIENTED_EDGE('',*,*,#12767,.T.); +#24248=ORIENTED_EDGE('',*,*,#24247,.F.); +#24250=ORIENTED_EDGE('',*,*,#24249,.F.); +#24251=ORIENTED_EDGE('',*,*,#24098,.T.); +#24252=EDGE_LOOP('',(#24246,#24248,#24250,#24251)); +#24253=FACE_OUTER_BOUND('',#24252,.F.); +#24255=CARTESIAN_POINT('',(2.814420944340E0,1.4905005E1,0.E0)); +#24256=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24257=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24258=AXIS2_PLACEMENT_3D('',#24255,#24256,#24257); +#24259=PLANE('',#24258); +#24260=ORIENTED_EDGE('',*,*,#12765,.T.); +#24262=ORIENTED_EDGE('',*,*,#24261,.F.); +#24264=ORIENTED_EDGE('',*,*,#24263,.F.); +#24265=ORIENTED_EDGE('',*,*,#24247,.T.); +#24266=EDGE_LOOP('',(#24260,#24262,#24264,#24265)); +#24267=FACE_OUTER_BOUND('',#24266,.F.); +#24269=CARTESIAN_POINT('',(3.914418944340E0,1.4905005E1,0.E0)); +#24270=DIRECTION('',(0.E0,1.E0,0.E0)); +#24271=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24272=AXIS2_PLACEMENT_3D('',#24269,#24270,#24271); +#24273=PLANE('',#24272); +#24274=ORIENTED_EDGE('',*,*,#12763,.T.); +#24275=ORIENTED_EDGE('',*,*,#24102,.F.); +#24277=ORIENTED_EDGE('',*,*,#24276,.F.); +#24278=ORIENTED_EDGE('',*,*,#24261,.T.); +#24279=EDGE_LOOP('',(#24274,#24275,#24277,#24278)); +#24280=FACE_OUTER_BOUND('',#24279,.F.); +#24282=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#24283=DIRECTION('',(0.E0,0.E0,1.E0)); +#24284=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24285=AXIS2_PLACEMENT_3D('',#24282,#24283,#24284); +#24286=PLANE('',#24285); +#24287=ORIENTED_EDGE('',*,*,#24100,.F.); +#24288=ORIENTED_EDGE('',*,*,#24249,.T.); +#24289=ORIENTED_EDGE('',*,*,#24263,.T.); +#24290=ORIENTED_EDGE('',*,*,#24276,.T.); +#24291=EDGE_LOOP('',(#24287,#24288,#24289,#24290)); +#24292=FACE_OUTER_BOUND('',#24291,.F.); +#24294=CARTESIAN_POINT('',(4.778224944340E0,9.342009E0,0.E0)); +#24295=DIRECTION('',(0.E0,1.E0,0.E0)); +#24296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24297=AXIS2_PLACEMENT_3D('',#24294,#24295,#24296); +#24298=PLANE('',#24297); +#24299=ORIENTED_EDGE('',*,*,#12797,.T.); +#24301=ORIENTED_EDGE('',*,*,#24300,.F.); +#24303=ORIENTED_EDGE('',*,*,#24302,.F.); +#24305=ORIENTED_EDGE('',*,*,#24304,.T.); +#24306=EDGE_LOOP('',(#24299,#24301,#24303,#24305)); +#24307=FACE_OUTER_BOUND('',#24306,.F.); +#24309=CARTESIAN_POINT('',(4.778224944340E0,8.590407E0,0.E0)); +#24310=DIRECTION('',(1.E0,0.E0,0.E0)); +#24311=DIRECTION('',(0.E0,1.E0,0.E0)); +#24312=AXIS2_PLACEMENT_3D('',#24309,#24310,#24311); +#24313=PLANE('',#24312); +#24314=ORIENTED_EDGE('',*,*,#12803,.T.); +#24316=ORIENTED_EDGE('',*,*,#24315,.F.); +#24318=ORIENTED_EDGE('',*,*,#24317,.F.); +#24319=ORIENTED_EDGE('',*,*,#24300,.T.); +#24320=EDGE_LOOP('',(#24314,#24316,#24318,#24319)); +#24321=FACE_OUTER_BOUND('',#24320,.F.); +#24323=CARTESIAN_POINT('',(3.226624944340E0,8.590407E0,0.E0)); +#24324=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24325=DIRECTION('',(1.E0,0.E0,0.E0)); +#24326=AXIS2_PLACEMENT_3D('',#24323,#24324,#24325); +#24327=PLANE('',#24326); +#24328=ORIENTED_EDGE('',*,*,#12801,.T.); +#24330=ORIENTED_EDGE('',*,*,#24329,.F.); +#24332=ORIENTED_EDGE('',*,*,#24331,.F.); +#24333=ORIENTED_EDGE('',*,*,#24315,.T.); +#24334=EDGE_LOOP('',(#24328,#24330,#24332,#24333)); +#24335=FACE_OUTER_BOUND('',#24334,.F.); +#24337=CARTESIAN_POINT('',(3.226624944340E0,9.342009E0,0.E0)); +#24338=DIRECTION('',(-1.E0,1.890742003774E-14,0.E0)); +#24339=DIRECTION('',(-1.890742003774E-14,-1.E0,0.E0)); +#24340=AXIS2_PLACEMENT_3D('',#24337,#24338,#24339); +#24341=PLANE('',#24340); +#24342=ORIENTED_EDGE('',*,*,#12799,.T.); +#24343=ORIENTED_EDGE('',*,*,#24304,.F.); +#24345=ORIENTED_EDGE('',*,*,#24344,.F.); +#24346=ORIENTED_EDGE('',*,*,#24329,.T.); +#24347=EDGE_LOOP('',(#24342,#24343,#24345,#24346)); +#24348=FACE_OUTER_BOUND('',#24347,.F.); +#24350=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#24351=DIRECTION('',(0.E0,0.E0,1.E0)); +#24352=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24353=AXIS2_PLACEMENT_3D('',#24350,#24351,#24352); +#24354=PLANE('',#24353); +#24355=ORIENTED_EDGE('',*,*,#24302,.T.); +#24356=ORIENTED_EDGE('',*,*,#24317,.T.); +#24357=ORIENTED_EDGE('',*,*,#24331,.T.); +#24358=ORIENTED_EDGE('',*,*,#24344,.T.); +#24359=EDGE_LOOP('',(#24355,#24356,#24357,#24358)); +#24360=FACE_OUTER_BOUND('',#24359,.F.); +#24362=CARTESIAN_POINT('',(2.358818944340E0,1.2436409E1,0.E0)); +#24363=DIRECTION('',(0.E0,1.E0,0.E0)); +#24364=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24365=AXIS2_PLACEMENT_3D('',#24362,#24363,#24364); +#24366=PLANE('',#24365); +#24367=ORIENTED_EDGE('',*,*,#12807,.T.); +#24369=ORIENTED_EDGE('',*,*,#24368,.F.); +#24371=ORIENTED_EDGE('',*,*,#24370,.F.); +#24373=ORIENTED_EDGE('',*,*,#24372,.T.); +#24374=EDGE_LOOP('',(#24367,#24369,#24371,#24373)); +#24375=FACE_OUTER_BOUND('',#24374,.F.); +#24377=CARTESIAN_POINT('',(2.358818944340E0,9.763207E0,0.E0)); +#24378=DIRECTION('',(1.E0,0.E0,0.E0)); +#24379=DIRECTION('',(0.E0,1.E0,0.E0)); +#24380=AXIS2_PLACEMENT_3D('',#24377,#24378,#24379); +#24381=PLANE('',#24380); +#24382=ORIENTED_EDGE('',*,*,#12813,.T.); +#24384=ORIENTED_EDGE('',*,*,#24383,.F.); +#24386=ORIENTED_EDGE('',*,*,#24385,.F.); +#24387=ORIENTED_EDGE('',*,*,#24368,.T.); +#24388=EDGE_LOOP('',(#24382,#24384,#24386,#24387)); +#24389=FACE_OUTER_BOUND('',#24388,.F.); +#24391=CARTESIAN_POINT('',(1.175620944340E0,9.763207E0,0.E0)); +#24392=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24393=DIRECTION('',(1.E0,0.E0,0.E0)); +#24394=AXIS2_PLACEMENT_3D('',#24391,#24392,#24393); +#24395=PLANE('',#24394); +#24396=ORIENTED_EDGE('',*,*,#12811,.T.); +#24398=ORIENTED_EDGE('',*,*,#24397,.F.); +#24400=ORIENTED_EDGE('',*,*,#24399,.F.); +#24401=ORIENTED_EDGE('',*,*,#24383,.T.); +#24402=EDGE_LOOP('',(#24396,#24398,#24400,#24401)); +#24403=FACE_OUTER_BOUND('',#24402,.F.); +#24405=CARTESIAN_POINT('',(1.175620944340E0,1.2436409E1,0.E0)); +#24406=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24407=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24408=AXIS2_PLACEMENT_3D('',#24405,#24406,#24407); +#24409=PLANE('',#24408); +#24410=ORIENTED_EDGE('',*,*,#12809,.T.); +#24411=ORIENTED_EDGE('',*,*,#24372,.F.); +#24413=ORIENTED_EDGE('',*,*,#24412,.F.); +#24414=ORIENTED_EDGE('',*,*,#24397,.T.); +#24415=EDGE_LOOP('',(#24410,#24411,#24413,#24414)); +#24416=FACE_OUTER_BOUND('',#24415,.F.); +#24418=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#24419=DIRECTION('',(0.E0,0.E0,1.E0)); +#24420=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24421=AXIS2_PLACEMENT_3D('',#24418,#24419,#24420); +#24422=PLANE('',#24421); +#24423=ORIENTED_EDGE('',*,*,#24370,.T.); +#24424=ORIENTED_EDGE('',*,*,#24385,.T.); +#24425=ORIENTED_EDGE('',*,*,#24399,.T.); +#24426=ORIENTED_EDGE('',*,*,#24412,.T.); +#24427=EDGE_LOOP('',(#24423,#24424,#24425,#24426)); +#24428=FACE_OUTER_BOUND('',#24427,.F.); +#24430=CARTESIAN_POINT('',(-7.396777055660E0,1.5954205E1,0.E0)); +#24431=DIRECTION('',(0.E0,1.E0,0.E0)); +#24432=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24433=AXIS2_PLACEMENT_3D('',#24430,#24431,#24432); +#24434=PLANE('',#24433); +#24435=ORIENTED_EDGE('',*,*,#12817,.T.); +#24437=ORIENTED_EDGE('',*,*,#24436,.F.); +#24439=ORIENTED_EDGE('',*,*,#24438,.F.); +#24441=ORIENTED_EDGE('',*,*,#24440,.T.); +#24442=EDGE_LOOP('',(#24435,#24437,#24439,#24441)); +#24443=FACE_OUTER_BOUND('',#24442,.F.); +#24445=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,0.E0)); +#24446=DIRECTION('',(1.E0,0.E0,0.E0)); +#24447=DIRECTION('',(0.E0,1.E0,0.E0)); +#24448=AXIS2_PLACEMENT_3D('',#24445,#24446,#24447); +#24449=PLANE('',#24448); +#24450=ORIENTED_EDGE('',*,*,#12863,.T.); +#24452=ORIENTED_EDGE('',*,*,#24451,.F.); +#24454=ORIENTED_EDGE('',*,*,#24453,.F.); +#24455=ORIENTED_EDGE('',*,*,#24436,.T.); +#24456=EDGE_LOOP('',(#24450,#24452,#24454,#24455)); +#24457=FACE_OUTER_BOUND('',#24456,.F.); +#24459=CARTESIAN_POINT('',(-7.096775055660E0,1.5454206E1,0.E0)); +#24460=DIRECTION('',(0.E0,1.E0,0.E0)); +#24461=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24462=AXIS2_PLACEMENT_3D('',#24459,#24460,#24461); +#24463=PLANE('',#24462); +#24465=ORIENTED_EDGE('',*,*,#24464,.F.); +#24467=ORIENTED_EDGE('',*,*,#24466,.T.); +#24468=ORIENTED_EDGE('',*,*,#12821,.T.); +#24470=ORIENTED_EDGE('',*,*,#24469,.F.); +#24472=ORIENTED_EDGE('',*,*,#24471,.F.); +#24473=ORIENTED_EDGE('',*,*,#24451,.T.); +#24474=ORIENTED_EDGE('',*,*,#12861,.T.); +#24476=ORIENTED_EDGE('',*,*,#24475,.F.); +#24478=ORIENTED_EDGE('',*,*,#24477,.F.); +#24480=ORIENTED_EDGE('',*,*,#24479,.T.); +#24481=ORIENTED_EDGE('',*,*,#12829,.T.); +#24483=ORIENTED_EDGE('',*,*,#24482,.F.); +#24484=EDGE_LOOP('',(#24465,#24467,#24468,#24470,#24472,#24473,#24474,#24476, +#24478,#24480,#24481,#24483)); +#24485=FACE_OUTER_BOUND('',#24484,.F.); +#24487=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#24488=DIRECTION('',(0.E0,0.E0,1.E0)); +#24489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24490=AXIS2_PLACEMENT_3D('',#24487,#24488,#24489); +#24491=PLANE('',#24490); +#24492=ORIENTED_EDGE('',*,*,#24464,.T.); +#24494=ORIENTED_EDGE('',*,*,#24493,.T.); +#24496=ORIENTED_EDGE('',*,*,#24495,.T.); +#24498=ORIENTED_EDGE('',*,*,#24497,.T.); +#24499=EDGE_LOOP('',(#24492,#24494,#24496,#24498)); +#24500=FACE_OUTER_BOUND('',#24499,.F.); +#24502=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,0.E0)); +#24503=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24504=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24505=AXIS2_PLACEMENT_3D('',#24502,#24503,#24504); +#24506=PLANE('',#24505); +#24507=ORIENTED_EDGE('',*,*,#12827,.T.); +#24509=ORIENTED_EDGE('',*,*,#24508,.F.); +#24510=ORIENTED_EDGE('',*,*,#24493,.F.); +#24511=ORIENTED_EDGE('',*,*,#24482,.T.); +#24512=EDGE_LOOP('',(#24507,#24509,#24510,#24511)); +#24513=FACE_OUTER_BOUND('',#24512,.F.); +#24515=CARTESIAN_POINT('',(-9.296776055660E0,1.5954205E1,0.E0)); +#24516=DIRECTION('',(0.E0,1.E0,0.E0)); +#24517=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24518=AXIS2_PLACEMENT_3D('',#24515,#24516,#24517); +#24519=PLANE('',#24518); +#24520=ORIENTED_EDGE('',*,*,#12825,.T.); +#24522=ORIENTED_EDGE('',*,*,#24521,.F.); +#24523=ORIENTED_EDGE('',*,*,#24495,.F.); +#24524=ORIENTED_EDGE('',*,*,#24508,.T.); +#24525=EDGE_LOOP('',(#24520,#24522,#24523,#24524)); +#24526=FACE_OUTER_BOUND('',#24525,.F.); +#24528=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,0.E0)); +#24529=DIRECTION('',(1.E0,0.E0,0.E0)); +#24530=DIRECTION('',(0.E0,1.E0,0.E0)); +#24531=AXIS2_PLACEMENT_3D('',#24528,#24529,#24530); +#24532=PLANE('',#24531); +#24533=ORIENTED_EDGE('',*,*,#12823,.T.); +#24534=ORIENTED_EDGE('',*,*,#24466,.F.); +#24535=ORIENTED_EDGE('',*,*,#24497,.F.); +#24536=ORIENTED_EDGE('',*,*,#24521,.T.); +#24537=EDGE_LOOP('',(#24533,#24534,#24535,#24536)); +#24538=FACE_OUTER_BOUND('',#24537,.F.); +#24540=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,0.E0)); +#24541=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24542=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24543=AXIS2_PLACEMENT_3D('',#24540,#24541,#24542); +#24544=PLANE('',#24543); +#24545=ORIENTED_EDGE('',*,*,#12819,.T.); +#24546=ORIENTED_EDGE('',*,*,#24440,.F.); +#24548=ORIENTED_EDGE('',*,*,#24547,.F.); +#24549=ORIENTED_EDGE('',*,*,#24469,.T.); +#24550=EDGE_LOOP('',(#24545,#24546,#24548,#24549)); +#24551=FACE_OUTER_BOUND('',#24550,.F.); +#24553=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#24554=DIRECTION('',(0.E0,0.E0,1.E0)); +#24555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24556=AXIS2_PLACEMENT_3D('',#24553,#24554,#24555); +#24557=PLANE('',#24556); +#24558=ORIENTED_EDGE('',*,*,#24471,.T.); +#24559=ORIENTED_EDGE('',*,*,#24547,.T.); +#24560=ORIENTED_EDGE('',*,*,#24438,.T.); +#24561=ORIENTED_EDGE('',*,*,#24453,.T.); +#24562=EDGE_LOOP('',(#24558,#24559,#24560,#24561)); +#24563=FACE_OUTER_BOUND('',#24562,.F.); +#24565=CARTESIAN_POINT('',(-7.096775055660E0,1.3654205E1,0.E0)); +#24566=DIRECTION('',(1.E0,0.E0,0.E0)); +#24567=DIRECTION('',(0.E0,1.E0,0.E0)); +#24568=AXIS2_PLACEMENT_3D('',#24565,#24566,#24567); +#24569=PLANE('',#24568); +#24570=ORIENTED_EDGE('',*,*,#12859,.T.); +#24572=ORIENTED_EDGE('',*,*,#24571,.F.); +#24574=ORIENTED_EDGE('',*,*,#24573,.F.); +#24575=ORIENTED_EDGE('',*,*,#24475,.T.); +#24576=EDGE_LOOP('',(#24570,#24572,#24574,#24575)); +#24577=FACE_OUTER_BOUND('',#24576,.F.); +#24579=CARTESIAN_POINT('',(-1.019677405566E1,1.3654205E1,0.E0)); +#24580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24581=DIRECTION('',(1.E0,0.E0,0.E0)); +#24582=AXIS2_PLACEMENT_3D('',#24579,#24580,#24581); +#24583=PLANE('',#24582); +#24585=ORIENTED_EDGE('',*,*,#24584,.T.); +#24587=ORIENTED_EDGE('',*,*,#24586,.T.); +#24588=ORIENTED_EDGE('',*,*,#12833,.T.); +#24590=ORIENTED_EDGE('',*,*,#24589,.F.); +#24592=ORIENTED_EDGE('',*,*,#24591,.F.); +#24593=ORIENTED_EDGE('',*,*,#24571,.T.); +#24594=ORIENTED_EDGE('',*,*,#12857,.T.); +#24596=ORIENTED_EDGE('',*,*,#24595,.F.); +#24598=ORIENTED_EDGE('',*,*,#24597,.T.); +#24600=ORIENTED_EDGE('',*,*,#24599,.T.); +#24601=ORIENTED_EDGE('',*,*,#12849,.T.); +#24603=ORIENTED_EDGE('',*,*,#24602,.F.); +#24605=ORIENTED_EDGE('',*,*,#24604,.T.); +#24607=ORIENTED_EDGE('',*,*,#24606,.T.); +#24608=ORIENTED_EDGE('',*,*,#12841,.T.); +#24610=ORIENTED_EDGE('',*,*,#24609,.F.); +#24611=EDGE_LOOP('',(#24585,#24587,#24588,#24590,#24592,#24593,#24594,#24596, +#24598,#24600,#24601,#24603,#24605,#24607,#24608,#24610)); +#24612=FACE_OUTER_BOUND('',#24611,.F.); +#24614=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#24615=DIRECTION('',(0.E0,0.E0,1.E0)); +#24616=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24617=AXIS2_PLACEMENT_3D('',#24614,#24615,#24616); +#24618=PLANE('',#24617); +#24619=ORIENTED_EDGE('',*,*,#24584,.F.); +#24621=ORIENTED_EDGE('',*,*,#24620,.T.); +#24623=ORIENTED_EDGE('',*,*,#24622,.T.); +#24625=ORIENTED_EDGE('',*,*,#24624,.T.); +#24626=EDGE_LOOP('',(#24619,#24621,#24623,#24625)); +#24627=FACE_OUTER_BOUND('',#24626,.F.); +#24629=CARTESIAN_POINT('',(-9.296776055660E0,1.3154206E1,0.E0)); +#24630=DIRECTION('',(1.E0,0.E0,0.E0)); +#24631=DIRECTION('',(0.E0,1.E0,0.E0)); +#24632=AXIS2_PLACEMENT_3D('',#24629,#24630,#24631); +#24633=PLANE('',#24632); +#24634=ORIENTED_EDGE('',*,*,#12839,.T.); +#24636=ORIENTED_EDGE('',*,*,#24635,.F.); +#24637=ORIENTED_EDGE('',*,*,#24620,.F.); +#24638=ORIENTED_EDGE('',*,*,#24609,.T.); +#24639=EDGE_LOOP('',(#24634,#24636,#24637,#24638)); +#24640=FACE_OUTER_BOUND('',#24639,.F.); +#24642=CARTESIAN_POINT('',(-9.896775055660E0,1.3154206E1,0.E0)); +#24643=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24644=DIRECTION('',(1.E0,0.E0,0.E0)); +#24645=AXIS2_PLACEMENT_3D('',#24642,#24643,#24644); +#24646=PLANE('',#24645); +#24647=ORIENTED_EDGE('',*,*,#12837,.T.); +#24649=ORIENTED_EDGE('',*,*,#24648,.F.); +#24650=ORIENTED_EDGE('',*,*,#24622,.F.); +#24651=ORIENTED_EDGE('',*,*,#24635,.T.); +#24652=EDGE_LOOP('',(#24647,#24649,#24650,#24651)); +#24653=FACE_OUTER_BOUND('',#24652,.F.); +#24655=CARTESIAN_POINT('',(-9.896775055660E0,1.5954205E1,0.E0)); +#24656=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24657=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24658=AXIS2_PLACEMENT_3D('',#24655,#24656,#24657); +#24659=PLANE('',#24658); +#24660=ORIENTED_EDGE('',*,*,#12835,.T.); +#24661=ORIENTED_EDGE('',*,*,#24586,.F.); +#24662=ORIENTED_EDGE('',*,*,#24624,.F.); +#24663=ORIENTED_EDGE('',*,*,#24648,.T.); +#24664=EDGE_LOOP('',(#24660,#24661,#24662,#24663)); +#24665=FACE_OUTER_BOUND('',#24664,.F.); +#24667=CARTESIAN_POINT('',(-1.019677405566E1,1.5454206E1,0.E0)); +#24668=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24669=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24670=AXIS2_PLACEMENT_3D('',#24667,#24668,#24669); +#24671=PLANE('',#24670); +#24672=ORIENTED_EDGE('',*,*,#12831,.T.); +#24673=ORIENTED_EDGE('',*,*,#24479,.F.); +#24675=ORIENTED_EDGE('',*,*,#24674,.F.); +#24676=ORIENTED_EDGE('',*,*,#24589,.T.); +#24677=EDGE_LOOP('',(#24672,#24673,#24675,#24676)); +#24678=FACE_OUTER_BOUND('',#24677,.F.); +#24680=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,8.E-1)); +#24681=DIRECTION('',(0.E0,0.E0,1.E0)); +#24682=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24683=AXIS2_PLACEMENT_3D('',#24680,#24681,#24682); +#24684=PLANE('',#24683); +#24685=ORIENTED_EDGE('',*,*,#24477,.T.); +#24686=ORIENTED_EDGE('',*,*,#24573,.T.); +#24687=ORIENTED_EDGE('',*,*,#24591,.T.); +#24688=ORIENTED_EDGE('',*,*,#24674,.T.); +#24689=EDGE_LOOP('',(#24685,#24686,#24687,#24688)); +#24690=FACE_OUTER_BOUND('',#24689,.F.); +#24692=CARTESIAN_POINT('',(-7.396777055660E0,1.3154206E1,0.E0)); +#24693=DIRECTION('',(1.E0,0.E0,0.E0)); +#24694=DIRECTION('',(0.E0,1.E0,0.E0)); +#24695=AXIS2_PLACEMENT_3D('',#24692,#24693,#24694); +#24696=PLANE('',#24695); +#24697=ORIENTED_EDGE('',*,*,#12855,.T.); +#24699=ORIENTED_EDGE('',*,*,#24698,.F.); +#24701=ORIENTED_EDGE('',*,*,#24700,.F.); +#24702=ORIENTED_EDGE('',*,*,#24595,.T.); +#24703=EDGE_LOOP('',(#24697,#24699,#24701,#24702)); +#24704=FACE_OUTER_BOUND('',#24703,.F.); +#24706=CARTESIAN_POINT('',(-7.996776055660E0,1.3154206E1,0.E0)); +#24707=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24708=DIRECTION('',(1.E0,0.E0,0.E0)); +#24709=AXIS2_PLACEMENT_3D('',#24706,#24707,#24708); +#24710=PLANE('',#24709); +#24711=ORIENTED_EDGE('',*,*,#12853,.T.); +#24713=ORIENTED_EDGE('',*,*,#24712,.F.); +#24715=ORIENTED_EDGE('',*,*,#24714,.F.); +#24716=ORIENTED_EDGE('',*,*,#24698,.T.); +#24717=EDGE_LOOP('',(#24711,#24713,#24715,#24716)); +#24718=FACE_OUTER_BOUND('',#24717,.F.); +#24720=CARTESIAN_POINT('',(-7.996776055660E0,1.5954205E1,0.E0)); +#24721=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24722=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24723=AXIS2_PLACEMENT_3D('',#24720,#24721,#24722); +#24724=PLANE('',#24723); +#24725=ORIENTED_EDGE('',*,*,#12851,.T.); +#24726=ORIENTED_EDGE('',*,*,#24599,.F.); +#24728=ORIENTED_EDGE('',*,*,#24727,.F.); +#24729=ORIENTED_EDGE('',*,*,#24712,.T.); +#24730=EDGE_LOOP('',(#24725,#24726,#24728,#24729)); +#24731=FACE_OUTER_BOUND('',#24730,.F.); +#24733=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#24734=DIRECTION('',(0.E0,0.E0,1.E0)); +#24735=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24736=AXIS2_PLACEMENT_3D('',#24733,#24734,#24735); +#24737=PLANE('',#24736); +#24738=ORIENTED_EDGE('',*,*,#24597,.F.); +#24739=ORIENTED_EDGE('',*,*,#24700,.T.); +#24740=ORIENTED_EDGE('',*,*,#24714,.T.); +#24741=ORIENTED_EDGE('',*,*,#24727,.T.); +#24742=EDGE_LOOP('',(#24738,#24739,#24740,#24741)); +#24743=FACE_OUTER_BOUND('',#24742,.F.); +#24745=CARTESIAN_POINT('',(-8.346775055660E0,1.3154206E1,0.E0)); +#24746=DIRECTION('',(1.E0,0.E0,0.E0)); +#24747=DIRECTION('',(0.E0,1.E0,0.E0)); +#24748=AXIS2_PLACEMENT_3D('',#24745,#24746,#24747); +#24749=PLANE('',#24748); +#24750=ORIENTED_EDGE('',*,*,#12847,.T.); +#24752=ORIENTED_EDGE('',*,*,#24751,.F.); +#24754=ORIENTED_EDGE('',*,*,#24753,.F.); +#24755=ORIENTED_EDGE('',*,*,#24602,.T.); +#24756=EDGE_LOOP('',(#24750,#24752,#24754,#24755)); +#24757=FACE_OUTER_BOUND('',#24756,.F.); +#24759=CARTESIAN_POINT('',(-8.946774055660E0,1.3154206E1,0.E0)); +#24760=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24761=DIRECTION('',(1.E0,0.E0,0.E0)); +#24762=AXIS2_PLACEMENT_3D('',#24759,#24760,#24761); +#24763=PLANE('',#24762); +#24764=ORIENTED_EDGE('',*,*,#12845,.T.); +#24766=ORIENTED_EDGE('',*,*,#24765,.F.); +#24768=ORIENTED_EDGE('',*,*,#24767,.F.); +#24769=ORIENTED_EDGE('',*,*,#24751,.T.); +#24770=EDGE_LOOP('',(#24764,#24766,#24768,#24769)); +#24771=FACE_OUTER_BOUND('',#24770,.F.); +#24773=CARTESIAN_POINT('',(-8.946774055660E0,1.3654205E1,0.E0)); +#24774=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24775=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24776=AXIS2_PLACEMENT_3D('',#24773,#24774,#24775); +#24777=PLANE('',#24776); +#24778=ORIENTED_EDGE('',*,*,#12843,.T.); +#24779=ORIENTED_EDGE('',*,*,#24606,.F.); +#24781=ORIENTED_EDGE('',*,*,#24780,.F.); +#24782=ORIENTED_EDGE('',*,*,#24765,.T.); +#24783=EDGE_LOOP('',(#24778,#24779,#24781,#24782)); +#24784=FACE_OUTER_BOUND('',#24783,.F.); +#24786=CARTESIAN_POINT('',(-9.273516905566E1,-4.8767992E1,5.E-1)); +#24787=DIRECTION('',(0.E0,0.E0,1.E0)); +#24788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24789=AXIS2_PLACEMENT_3D('',#24786,#24787,#24788); +#24790=PLANE('',#24789); +#24791=ORIENTED_EDGE('',*,*,#24604,.F.); +#24792=ORIENTED_EDGE('',*,*,#24753,.T.); +#24793=ORIENTED_EDGE('',*,*,#24767,.T.); +#24794=ORIENTED_EDGE('',*,*,#24780,.T.); +#24795=EDGE_LOOP('',(#24791,#24792,#24793,#24794)); +#24796=FACE_OUTER_BOUND('',#24795,.F.); +#24798=CLOSED_SHELL('',(#13011,#13033,#13281,#13303,#13319,#13331,#13349,#13364, +#13380,#13400,#13419,#13439,#13459,#13479,#13498,#13523,#13535,#13550,#13563, +#13586,#13600,#13638,#13651,#13664,#13677,#13689,#13704,#13718,#13732,#13746, +#13760,#13774,#13788,#13801,#13817,#13831,#13843,#13857,#13869,#13883,#13895, +#13909,#13921,#13935,#13947,#13961,#13973,#13987,#13999,#14013,#14025,#14039, +#14051,#14065,#14077,#14091,#14103,#14117,#14129,#14143,#14155,#14169,#14181, +#14195,#14207,#14221,#14233,#14247,#14259,#14273,#14285,#14299,#14311,#14325, +#14337,#14351,#14363,#14377,#14389,#14403,#14415,#14429,#14441,#14455,#14467, +#14482,#14496,#14510,#14538,#14561,#14590,#14602,#14616,#14630,#14643,#14655, +#14668,#14681,#14694,#14715,#14728,#14741,#14754,#14767,#14779,#14791,#14805, +#14817,#14832,#14857,#14870,#14883,#14895,#14912,#14928,#14943,#14957,#14970, +#14986,#15000,#15013,#15025,#15040,#15065,#15078,#15091,#15103,#15120,#15136, +#15151,#15165,#15178,#15194,#15208,#15221,#15233,#15248,#15262,#15304,#15319, +#15332,#15345,#15357,#15371,#15385,#15398,#15410,#15424,#15438,#15451,#15463, +#15476,#15488,#15502,#15542,#15555,#15567,#15582,#15596,#15610,#15624,#15638, +#15652,#15666,#15680,#15694,#15708,#15722,#15735,#15755,#15770,#15784,#15798, +#15833,#15850,#15864,#15877,#15889,#15909,#15924,#15941,#15961,#15978,#15992, +#16012,#16026,#16041,#16054,#16066,#16079,#16094,#16107,#16120,#16132,#16144, +#16158,#16172,#16185,#16197,#16218,#16250,#16267,#16284,#16300,#16328,#16341, +#16355,#16371,#16384,#16396,#16412,#16423,#16438,#16452,#16466,#16479,#16491, +#16506,#16560,#16574,#16627,#16639,#16654,#16675,#16690,#16703,#16722,#16734, +#16747,#16759,#16774,#16795,#16810,#16823,#16842,#16854,#16867,#16879,#16894, +#16915,#16930,#16943,#16962,#16974,#16987,#16999,#17014,#17035,#17050,#17063, +#17082,#17094,#17107,#17119,#17134,#17155,#17168,#17188,#17202,#17214,#17227, +#17239,#17254,#17275,#17288,#17308,#17322,#17334,#17347,#17359,#17374,#17395, +#17408,#17428,#17442,#17454,#17467,#17479,#17494,#17515,#17528,#17548,#17562, +#17574,#17587,#17599,#17614,#17628,#17642,#17662,#17674,#17695,#17710,#17723, +#17736,#17748,#17769,#17784,#17797,#17810,#17822,#17842,#17857,#17870,#17883, +#17895,#17907,#17922,#17936,#17957,#17970,#17982,#17996,#18017,#18032,#18045, +#18058,#18070,#18083,#18095,#18110,#18124,#18138,#18151,#18163,#18178,#18199, +#18213,#18226,#18238,#18254,#18275,#18290,#18303,#18316,#18328,#18348,#18363, +#18376,#18389,#18401,#18420,#18436,#18449,#18465,#18480,#18493,#18509,#18524, +#18535,#18547,#18562,#18576,#18590,#18603,#18615,#18637,#18651,#18665,#18678, +#18690,#18712,#18726,#18740,#18753,#18765,#18780,#18794,#18808,#18821,#18833, +#18848,#18862,#18876,#18889,#18901,#18916,#18930,#18944,#18957,#18969,#18984, +#18998,#19012,#19025,#19037,#19052,#19066,#19080,#19093,#19105,#19120,#19134, +#19148,#19161,#19173,#19188,#19202,#19216,#19229,#19241,#19256,#19270,#19284, +#19297,#19309,#19324,#19338,#19352,#19365,#19377,#19392,#19406,#19420,#19433, +#19445,#19460,#19474,#19488,#19501,#19513,#19528,#19542,#19556,#19569,#19581, +#19596,#19610,#19624,#19637,#19649,#19664,#19678,#19692,#19705,#19717,#19732, +#19746,#19760,#19773,#19785,#19800,#19814,#19828,#19841,#19853,#19868,#19882, +#19896,#19909,#19921,#19936,#19950,#19964,#19977,#19989,#20004,#20018,#20032, +#20045,#20057,#20072,#20086,#20100,#20113,#20125,#20140,#20154,#20168,#20181, +#20193,#20208,#20222,#20236,#20249,#20261,#20276,#20290,#20304,#20317,#20329, +#20344,#20358,#20372,#20385,#20397,#20412,#20426,#20440,#20453,#20465,#20480, +#20494,#20508,#20521,#20533,#20548,#20562,#20576,#20589,#20601,#20616,#20630, +#20644,#20657,#20669,#20684,#20698,#20712,#20725,#20737,#20752,#20766,#20780, +#20793,#20805,#20820,#20834,#20848,#20861,#20873,#20888,#20902,#20916,#20929, +#20941,#20956,#20970,#20984,#20997,#21009,#21024,#21038,#21052,#21065,#21077, +#21092,#21106,#21120,#21133,#21145,#21160,#21174,#21188,#21201,#21213,#21228, +#21242,#21256,#21269,#21281,#21296,#21310,#21324,#21337,#21349,#21364,#21378, +#21392,#21405,#21417,#21432,#21446,#21460,#21473,#21485,#21500,#21514,#21528, +#21541,#21553,#21568,#21582,#21596,#21609,#21621,#21636,#21650,#21664,#21677, +#21689,#21704,#21718,#21732,#21745,#21757,#21772,#21786,#21800,#21813,#21825, +#21840,#21854,#21868,#21881,#21893,#21908,#21922,#21936,#21949,#21961,#21976, +#21990,#22004,#22017,#22029,#22044,#22058,#22072,#22085,#22097,#22112,#22126, +#22140,#22153,#22165,#22180,#22194,#22208,#22221,#22233,#22248,#22262,#22276, +#22289,#22301,#22316,#22330,#22344,#22357,#22369,#22384,#22398,#22419,#22432, +#22444,#22458,#22479,#22494,#22507,#22520,#22532,#22545,#22557,#22572,#22586, +#22600,#22620,#22632,#22646,#22667,#22682,#22695,#22708,#22720,#22733,#22745, +#22760,#22774,#22802,#22817,#22830,#22843,#22855,#22869,#22890,#22905,#22918, +#22931,#22943,#22956,#22968,#22981,#22993,#23008,#23022,#23036,#23063,#23078, +#23091,#23104,#23116,#23130,#23151,#23166,#23179,#23192,#23204,#23217,#23229, +#23241,#23256,#23270,#23291,#23304,#23316,#23330,#23358,#23373,#23386,#23399, +#23411,#23425,#23439,#23452,#23464,#23477,#23489,#23504,#23518,#23532,#23552, +#23564,#23578,#23606,#23621,#23634,#23647,#23659,#23672,#23684,#23698,#23712, +#23725,#23737,#23752,#23766,#23780,#23800,#23812,#23826,#23847,#23862,#23875, +#23888,#23900,#23913,#23925,#23940,#23954,#23968,#23995,#24007,#24021,#24035, +#24048,#24060,#24074,#24109,#24124,#24137,#24150,#24162,#24175,#24187,#24201, +#24215,#24228,#24240,#24254,#24268,#24281,#24293,#24308,#24322,#24336,#24349, +#24361,#24376,#24390,#24404,#24417,#24429,#24444,#24458,#24486,#24501,#24514, +#24527,#24539,#24552,#24564,#24578,#24613,#24628,#24641,#24654,#24666,#24679, +#24691,#24705,#24719,#24732,#24744,#24758,#24772,#24785,#24797)); +#24799=MANIFOLD_SOLID_BREP('',#24798); +#24802=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#24801); +#24803=(CONVERSION_BASED_UNIT('DEGREE',#24802)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#24805=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(7.022676094188E-3),#24800, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#24807=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#24808=DIRECTION('',(0.E0,0.E0,1.E0)); +#24809=DIRECTION('',(1.E0,0.E0,0.E0)); +#24812=APPLICATION_CONTEXT('automotive_design'); +#24813=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#24812); +#24814=PRODUCT_DEFINITION_CONTEXT('part definition',#24812,'design'); +#24815=PRODUCT_CONTEXT('',#24812,'mechanical'); +#24816=PRODUCT('T_DISPLAY_S3','T_DISPLAY_S3','NOT SPECIFIED',(#24815)); +#24817=PRODUCT_DEFINITION_FORMATION('7','LAST_VERSION',#24816); +#24825=DERIVED_UNIT_ELEMENT(#24824,2.E0); +#24826=DERIVED_UNIT((#24825)); +#24827=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +4.558880410804E3),#24826); +#24832=DERIVED_UNIT_ELEMENT(#24831,3.E0); +#24833=DERIVED_UNIT((#24832)); +#24834=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +2.537333682944E3),#24833); +#24838=CARTESIAN_POINT('centre point',(1.870309576073E-1,2.763245094463E1, +-5.897820472738E-1)); +#24843=DERIVED_UNIT_ELEMENT(#24842,2.E0); +#24844=DERIVED_UNIT((#24843)); +#24845=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +4.558880410804E3),#24844); +#24850=DERIVED_UNIT_ELEMENT(#24849,3.E0); +#24851=DERIVED_UNIT((#24850)); +#24852=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +2.537333682944E3),#24851); +#24856=CARTESIAN_POINT('centre point',(1.870309576073E-1,2.763245094463E1, +-5.897820472738E-1)); +#24866=CARTESIAN_POINT('centre point',(1.870309576073E-1,2.763245094463E1, +-5.897820472738E-1)); +#24870=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#24871=DIRECTION('',(0.E0,0.E0,1.E0)); +#24872=DIRECTION('',(1.E0,0.E0,0.E0)); +#24873=AXIS2_PLACEMENT_3D('',#24870,#24871,#24872); +#24874=ITEM_DEFINED_TRANSFORMATION('','',#24810,#24873); +#24875=(REPRESENTATION_RELATIONSHIP('','',#24811,#24863)REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#24874)SHAPE_REPRESENTATION_RELATIONSHIP()); +#24876=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#24875,#24865); +#24878=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24879=VECTOR('',#24878,4.987E1); +#24880=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,0.E0)); +#24881=LINE('',#24880,#24879); +#24882=DIRECTION('',(1.E0,0.E0,0.E0)); +#24883=VECTOR('',#24882,2.595E1); +#24884=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,0.E0)); +#24885=LINE('',#24884,#24883); +#24886=DIRECTION('',(0.E0,1.E0,0.E0)); +#24887=VECTOR('',#24886,4.987E1); +#24888=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,0.E0)); +#24889=LINE('',#24888,#24887); +#24890=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24891=VECTOR('',#24890,2.595E1); +#24892=CARTESIAN_POINT('',(1.2975E1,2.29875E1,0.E0)); +#24893=LINE('',#24892,#24891); +#24894=DIRECTION('',(0.E0,0.E0,1.E0)); +#24895=VECTOR('',#24894,1.53E0); +#24896=CARTESIAN_POINT('',(1.2975E1,2.29875E1,0.E0)); +#24897=LINE('',#24896,#24895); +#24898=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24899=VECTOR('',#24898,1.53E0); +#24900=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,1.53E0)); +#24901=LINE('',#24900,#24899); +#24902=DIRECTION('',(0.E0,0.E0,1.E0)); +#24903=VECTOR('',#24902,1.53E0); +#24904=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,0.E0)); +#24905=LINE('',#24904,#24903); +#24906=DIRECTION('',(0.E0,0.E0,1.E0)); +#24907=VECTOR('',#24906,1.53E0); +#24908=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,0.E0)); +#24909=LINE('',#24908,#24907); +#24910=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24911=VECTOR('',#24910,4.987E1); +#24912=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,1.53E0)); +#24913=LINE('',#24912,#24911); +#24914=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24915=VECTOR('',#24914,2.595E1); +#24916=CARTESIAN_POINT('',(1.2975E1,2.29875E1,1.53E0)); +#24917=LINE('',#24916,#24915); +#24918=DIRECTION('',(0.E0,1.E0,0.E0)); +#24919=VECTOR('',#24918,4.987E1); +#24920=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,1.53E0)); +#24921=LINE('',#24920,#24919); +#24922=DIRECTION('',(1.E0,0.E0,0.E0)); +#24923=VECTOR('',#24922,2.595E1); +#24924=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,1.53E0)); +#24925=LINE('',#24924,#24923); +#24926=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24927=VECTOR('',#24926,4.272E1); +#24928=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.53E0)); +#24929=LINE('',#24928,#24927); +#24930=DIRECTION('',(1.E0,0.E0,0.E0)); +#24931=VECTOR('',#24930,2.2695E1); +#24932=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.53E0)); +#24933=LINE('',#24932,#24931); +#24934=DIRECTION('',(0.E0,1.E0,0.E0)); +#24935=VECTOR('',#24934,4.272E1); +#24936=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.53E0)); +#24937=LINE('',#24936,#24935); +#24938=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24939=VECTOR('',#24938,2.2695E1); +#24940=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.53E0)); +#24941=LINE('',#24940,#24939); +#24942=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24943=VECTOR('',#24942,1.E-1); +#24944=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.53E0)); +#24945=LINE('',#24944,#24943); +#24946=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24947=VECTOR('',#24946,1.E-1); +#24948=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.53E0)); +#24949=LINE('',#24948,#24947); +#24950=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24951=VECTOR('',#24950,1.E-1); +#24952=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.53E0)); +#24953=LINE('',#24952,#24951); +#24954=DIRECTION('',(0.E0,0.E0,-1.E0)); +#24955=VECTOR('',#24954,1.E-1); +#24956=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.53E0)); +#24957=LINE('',#24956,#24955); +#24958=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24959=VECTOR('',#24958,4.272E1); +#24960=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.43E0)); +#24961=LINE('',#24960,#24959); +#24962=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24963=VECTOR('',#24962,2.2695E1); +#24964=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.43E0)); +#24965=LINE('',#24964,#24963); +#24966=DIRECTION('',(0.E0,1.E0,0.E0)); +#24967=VECTOR('',#24966,4.272E1); +#24968=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.43E0)); +#24969=LINE('',#24968,#24967); +#24970=DIRECTION('',(1.E0,0.E0,0.E0)); +#24971=VECTOR('',#24970,2.2695E1); +#24972=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.43E0)); +#24973=LINE('',#24972,#24971); +#24974=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.43E0)); +#24975=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.43E0)); +#24976=VERTEX_POINT('',#24974); +#24977=VERTEX_POINT('',#24975); +#24978=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.43E0)); +#24979=VERTEX_POINT('',#24978); +#24980=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.43E0)); +#24981=VERTEX_POINT('',#24980); +#24982=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.53E0)); +#24983=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.53E0)); +#24984=VERTEX_POINT('',#24982); +#24985=VERTEX_POINT('',#24983); +#24986=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.53E0)); +#24987=VERTEX_POINT('',#24986); +#24988=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.53E0)); +#24989=VERTEX_POINT('',#24988); +#24990=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,0.E0)); +#24991=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,0.E0)); +#24992=VERTEX_POINT('',#24990); +#24993=VERTEX_POINT('',#24991); +#24994=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,0.E0)); +#24995=VERTEX_POINT('',#24994); +#24996=CARTESIAN_POINT('',(1.2975E1,2.29875E1,0.E0)); +#24997=VERTEX_POINT('',#24996); +#24998=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,1.53E0)); +#24999=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,1.53E0)); +#25000=VERTEX_POINT('',#24998); +#25001=VERTEX_POINT('',#24999); +#25002=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,1.53E0)); +#25003=VERTEX_POINT('',#25002); +#25004=CARTESIAN_POINT('',(1.2975E1,2.29875E1,1.53E0)); +#25005=VERTEX_POINT('',#25004); +#25006=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#25007=DIRECTION('',(0.E0,0.E0,1.E0)); +#25008=DIRECTION('',(1.E0,0.E0,0.E0)); +#25009=AXIS2_PLACEMENT_3D('',#25006,#25007,#25008); +#25010=PLANE('',#25009); +#25012=ORIENTED_EDGE('',*,*,#25011,.T.); +#25014=ORIENTED_EDGE('',*,*,#25013,.T.); +#25016=ORIENTED_EDGE('',*,*,#25015,.T.); +#25018=ORIENTED_EDGE('',*,*,#25017,.T.); +#25019=EDGE_LOOP('',(#25012,#25014,#25016,#25018)); +#25020=FACE_OUTER_BOUND('',#25019,.F.); +#25022=CARTESIAN_POINT('',(-1.2975E1,2.29875E1,1.43E0)); +#25023=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25024=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25025=AXIS2_PLACEMENT_3D('',#25022,#25023,#25024); +#25026=PLANE('',#25025); +#25028=ORIENTED_EDGE('',*,*,#25027,.F.); +#25030=ORIENTED_EDGE('',*,*,#25029,.T.); +#25032=ORIENTED_EDGE('',*,*,#25031,.F.); +#25033=ORIENTED_EDGE('',*,*,#25011,.F.); +#25034=EDGE_LOOP('',(#25028,#25030,#25032,#25033)); +#25035=FACE_OUTER_BOUND('',#25034,.F.); +#25037=CARTESIAN_POINT('',(1.2975E1,2.29875E1,1.43E0)); +#25038=DIRECTION('',(0.E0,1.E0,0.E0)); +#25039=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25040=AXIS2_PLACEMENT_3D('',#25037,#25038,#25039); +#25041=PLANE('',#25040); +#25043=ORIENTED_EDGE('',*,*,#25042,.T.); +#25045=ORIENTED_EDGE('',*,*,#25044,.T.); +#25046=ORIENTED_EDGE('',*,*,#25027,.T.); +#25047=ORIENTED_EDGE('',*,*,#25017,.F.); +#25048=EDGE_LOOP('',(#25043,#25045,#25046,#25047)); +#25049=FACE_OUTER_BOUND('',#25048,.F.); +#25051=CARTESIAN_POINT('',(1.2975E1,-2.68825E1,1.43E0)); +#25052=DIRECTION('',(1.E0,0.E0,0.E0)); +#25053=DIRECTION('',(0.E0,1.E0,0.E0)); +#25054=AXIS2_PLACEMENT_3D('',#25051,#25052,#25053); +#25055=PLANE('',#25054); +#25057=ORIENTED_EDGE('',*,*,#25056,.T.); +#25059=ORIENTED_EDGE('',*,*,#25058,.T.); +#25060=ORIENTED_EDGE('',*,*,#25042,.F.); +#25061=ORIENTED_EDGE('',*,*,#25015,.F.); +#25062=EDGE_LOOP('',(#25057,#25059,#25060,#25061)); +#25063=FACE_OUTER_BOUND('',#25062,.F.); +#25065=CARTESIAN_POINT('',(-1.2975E1,-2.68825E1,1.43E0)); +#25066=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25067=DIRECTION('',(1.E0,0.E0,0.E0)); +#25068=AXIS2_PLACEMENT_3D('',#25065,#25066,#25067); +#25069=PLANE('',#25068); +#25070=ORIENTED_EDGE('',*,*,#25031,.T.); +#25072=ORIENTED_EDGE('',*,*,#25071,.T.); +#25073=ORIENTED_EDGE('',*,*,#25056,.F.); +#25074=ORIENTED_EDGE('',*,*,#25013,.F.); +#25075=EDGE_LOOP('',(#25070,#25072,#25073,#25074)); +#25076=FACE_OUTER_BOUND('',#25075,.F.); +#25078=CARTESIAN_POINT('',(0.E0,0.E0,1.53E0)); +#25079=DIRECTION('',(0.E0,0.E0,1.E0)); +#25080=DIRECTION('',(1.E0,0.E0,0.E0)); +#25081=AXIS2_PLACEMENT_3D('',#25078,#25079,#25080); +#25082=PLANE('',#25081); +#25083=ORIENTED_EDGE('',*,*,#25029,.F.); +#25084=ORIENTED_EDGE('',*,*,#25044,.F.); +#25085=ORIENTED_EDGE('',*,*,#25058,.F.); +#25086=ORIENTED_EDGE('',*,*,#25071,.F.); +#25087=EDGE_LOOP('',(#25083,#25084,#25085,#25086)); +#25088=FACE_OUTER_BOUND('',#25087,.F.); +#25090=ORIENTED_EDGE('',*,*,#25089,.T.); +#25092=ORIENTED_EDGE('',*,*,#25091,.T.); +#25094=ORIENTED_EDGE('',*,*,#25093,.T.); +#25096=ORIENTED_EDGE('',*,*,#25095,.T.); +#25097=EDGE_LOOP('',(#25090,#25092,#25094,#25096)); +#25098=FACE_BOUND('',#25097,.F.); +#25100=CARTESIAN_POINT('',(-1.13475E1,2.136E1,1.53E0)); +#25101=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25102=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25103=AXIS2_PLACEMENT_3D('',#25100,#25101,#25102); +#25104=PLANE('',#25103); +#25105=ORIENTED_EDGE('',*,*,#25089,.F.); +#25107=ORIENTED_EDGE('',*,*,#25106,.T.); +#25109=ORIENTED_EDGE('',*,*,#25108,.T.); +#25111=ORIENTED_EDGE('',*,*,#25110,.F.); +#25112=EDGE_LOOP('',(#25105,#25107,#25109,#25111)); +#25113=FACE_OUTER_BOUND('',#25112,.F.); +#25115=FILL_AREA_STYLE_COLOUR('',#16); +#25116=FILL_AREA_STYLE('',(#25115)); +#25117=SURFACE_STYLE_FILL_AREA(#25116); +#25118=SURFACE_SIDE_STYLE('',(#25117)); +#25119=SURFACE_STYLE_USAGE(.POSITIVE.,#25118); +#25120=PRESENTATION_STYLE_ASSIGNMENT((#25119)); +#25121=STYLED_ITEM('',(#25120),#25114); +#25122=CARTESIAN_POINT('',(1.13475E1,2.136E1,1.53E0)); +#25123=DIRECTION('',(0.E0,1.E0,0.E0)); +#25124=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25125=AXIS2_PLACEMENT_3D('',#25122,#25123,#25124); +#25126=PLANE('',#25125); +#25127=ORIENTED_EDGE('',*,*,#25095,.F.); +#25129=ORIENTED_EDGE('',*,*,#25128,.T.); +#25131=ORIENTED_EDGE('',*,*,#25130,.T.); +#25132=ORIENTED_EDGE('',*,*,#25106,.F.); +#25133=EDGE_LOOP('',(#25127,#25129,#25131,#25132)); +#25134=FACE_OUTER_BOUND('',#25133,.F.); +#25136=FILL_AREA_STYLE_COLOUR('',#16); +#25137=FILL_AREA_STYLE('',(#25136)); +#25138=SURFACE_STYLE_FILL_AREA(#25137); +#25139=SURFACE_SIDE_STYLE('',(#25138)); +#25140=SURFACE_STYLE_USAGE(.POSITIVE.,#25139); +#25141=PRESENTATION_STYLE_ASSIGNMENT((#25140)); +#25142=STYLED_ITEM('',(#25141),#25135); +#25143=CARTESIAN_POINT('',(1.13475E1,-2.136E1,1.53E0)); +#25144=DIRECTION('',(1.E0,0.E0,0.E0)); +#25145=DIRECTION('',(0.E0,1.E0,0.E0)); +#25146=AXIS2_PLACEMENT_3D('',#25143,#25144,#25145); +#25147=PLANE('',#25146); +#25148=ORIENTED_EDGE('',*,*,#25093,.F.); +#25150=ORIENTED_EDGE('',*,*,#25149,.T.); +#25152=ORIENTED_EDGE('',*,*,#25151,.T.); +#25153=ORIENTED_EDGE('',*,*,#25128,.F.); +#25154=EDGE_LOOP('',(#25148,#25150,#25152,#25153)); +#25155=FACE_OUTER_BOUND('',#25154,.F.); +#25157=FILL_AREA_STYLE_COLOUR('',#16); +#25158=FILL_AREA_STYLE('',(#25157)); +#25159=SURFACE_STYLE_FILL_AREA(#25158); +#25160=SURFACE_SIDE_STYLE('',(#25159)); +#25161=SURFACE_STYLE_USAGE(.POSITIVE.,#25160); +#25162=PRESENTATION_STYLE_ASSIGNMENT((#25161)); +#25163=STYLED_ITEM('',(#25162),#25156); +#25164=CARTESIAN_POINT('',(-1.13475E1,-2.136E1,1.53E0)); +#25165=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25166=DIRECTION('',(1.E0,0.E0,0.E0)); +#25167=AXIS2_PLACEMENT_3D('',#25164,#25165,#25166); +#25168=PLANE('',#25167); +#25169=ORIENTED_EDGE('',*,*,#25091,.F.); +#25170=ORIENTED_EDGE('',*,*,#25110,.T.); +#25172=ORIENTED_EDGE('',*,*,#25171,.T.); +#25173=ORIENTED_EDGE('',*,*,#25149,.F.); +#25174=EDGE_LOOP('',(#25169,#25170,#25172,#25173)); +#25175=FACE_OUTER_BOUND('',#25174,.F.); +#25177=FILL_AREA_STYLE_COLOUR('',#16); +#25178=FILL_AREA_STYLE('',(#25177)); +#25179=SURFACE_STYLE_FILL_AREA(#25178); +#25180=SURFACE_SIDE_STYLE('',(#25179)); +#25181=SURFACE_STYLE_USAGE(.POSITIVE.,#25180); +#25182=PRESENTATION_STYLE_ASSIGNMENT((#25181)); +#25183=STYLED_ITEM('',(#25182),#25176); +#25184=CARTESIAN_POINT('',(0.E0,0.E0,1.43E0)); +#25185=DIRECTION('',(0.E0,0.E0,1.E0)); +#25186=DIRECTION('',(1.E0,0.E0,0.E0)); +#25187=AXIS2_PLACEMENT_3D('',#25184,#25185,#25186); +#25188=PLANE('',#25187); +#25189=ORIENTED_EDGE('',*,*,#25108,.F.); +#25190=ORIENTED_EDGE('',*,*,#25130,.F.); +#25191=ORIENTED_EDGE('',*,*,#25151,.F.); +#25192=ORIENTED_EDGE('',*,*,#25171,.F.); +#25193=EDGE_LOOP('',(#25189,#25190,#25191,#25192)); +#25194=FACE_OUTER_BOUND('',#25193,.F.); +#25196=FILL_AREA_STYLE_COLOUR('',#16); +#25197=FILL_AREA_STYLE('',(#25196)); +#25198=SURFACE_STYLE_FILL_AREA(#25197); +#25199=SURFACE_SIDE_STYLE('',(#25198)); +#25200=SURFACE_STYLE_USAGE(.POSITIVE.,#25199); +#25201=PRESENTATION_STYLE_ASSIGNMENT((#25200)); +#25202=STYLED_ITEM('',(#25201),#25195); +#25203=CLOSED_SHELL('',(#25021,#25036,#25050,#25064,#25077,#25099,#25114,#25135, +#25156,#25176,#25195)); +#25204=MANIFOLD_SOLID_BREP('',#25203); +#25207=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#25206); +#25208=(CONVERSION_BASED_UNIT('DEGREE',#25207)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#25210=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.011955567581E-2),#25205, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#25212=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#25213=DIRECTION('',(0.E0,0.E0,1.E0)); +#25214=DIRECTION('',(1.E0,0.E0,0.E0)); +#25217=PRODUCT_CONTEXT('',#24812,'mechanical'); +#25218=PRODUCT('LCD-NFP190B','LCD-NFP190B','NOT SPECIFIED',(#25217)); +#25219=PRODUCT_DEFINITION_FORMATION('5','LAST_VERSION',#25218); +#25227=DERIVED_UNIT_ELEMENT(#25226,2.E0); +#25228=DERIVED_UNIT((#25227)); +#25229=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +2.8333452E3),#25228); +#25234=DERIVED_UNIT_ELEMENT(#25233,3.E0); +#25235=DERIVED_UNIT((#25234)); +#25236=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE +(1.883060505E3),#25235); +#25240=CARTESIAN_POINT('centre point',(0.E0,-2.047770832986E0, +7.281868315352E-1)); +#25245=DERIVED_UNIT_ELEMENT(#25244,2.E0); +#25246=DERIVED_UNIT((#25245)); +#25247=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +2.8333452E3),#25246); +#25252=DERIVED_UNIT_ELEMENT(#25251,3.E0); +#25253=DERIVED_UNIT((#25252)); +#25254=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE +(1.883060505E3),#25253); +#25258=CARTESIAN_POINT('centre point',(0.E0,-2.047770832986E0, +7.281868315352E-1)); +#25265=CARTESIAN_POINT('centre point',(0.E0,3.149693716701E1, +-5.578186831535E0)); +#25269=CARTESIAN_POINT('',(0.E0,3.3544708E1,-4.85E0)); +#25270=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25271=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25272=AXIS2_PLACEMENT_3D('',#25269,#25270,#25271); +#25273=ITEM_DEFINED_TRANSFORMATION('','',#25215,#25272); +#25274=(REPRESENTATION_RELATIONSHIP('','',#25216,#24863)REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#25273)SHAPE_REPRESENTATION_RELATIONSHIP()); +#25275=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#25274,#25264); +#25277=CARTESIAN_POINT('',(1.15E0,6.1E1,-1.2E0)); +#25278=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25279=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25280=AXIS2_PLACEMENT_3D('',#25277,#25278,#25279); +#25282=DRAUGHTING_PRE_DEFINED_CURVE_FONT('continuous'); +#25283=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25284=PRESENTATION_STYLE_ASSIGNMENT((#25283)); +#25285=STYLED_ITEM('',(#25284),#25281); +#25286=CARTESIAN_POINT('',(2.465E1,6.1E1,-1.2E0)); +#25287=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25288=DIRECTION('',(0.E0,1.E0,0.E0)); +#25289=AXIS2_PLACEMENT_3D('',#25286,#25287,#25288); +#25291=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25292=PRESENTATION_STYLE_ASSIGNMENT((#25291)); +#25293=STYLED_ITEM('',(#25292),#25290); +#25294=CARTESIAN_POINT('',(2.28E1,5.45E1,-1.2E0)); +#25295=DIRECTION('',(0.E0,0.E0,1.E0)); +#25296=DIRECTION('',(0.E0,1.E0,0.E0)); +#25297=AXIS2_PLACEMENT_3D('',#25294,#25295,#25296); +#25299=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25300=PRESENTATION_STYLE_ASSIGNMENT((#25299)); +#25301=STYLED_ITEM('',(#25300),#25298); +#25302=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25303=VECTOR('',#25302,1.18E1); +#25304=CARTESIAN_POINT('',(2.18E1,5.45E1,-1.2E0)); +#25305=LINE('',#25304,#25303); +#25306=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25307=PRESENTATION_STYLE_ASSIGNMENT((#25306)); +#25308=STYLED_ITEM('',(#25307),#25305); +#25309=CARTESIAN_POINT('',(2.03E1,4.27E1,-1.2E0)); +#25310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25311=DIRECTION('',(1.E0,0.E0,0.E0)); +#25312=AXIS2_PLACEMENT_3D('',#25309,#25310,#25311); +#25314=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25315=PRESENTATION_STYLE_ASSIGNMENT((#25314)); +#25316=STYLED_ITEM('',(#25315),#25313); +#25317=CARTESIAN_POINT('',(5.5E0,4.27E1,-1.2E0)); +#25318=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25319=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25320=AXIS2_PLACEMENT_3D('',#25317,#25318,#25319); +#25322=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25323=PRESENTATION_STYLE_ASSIGNMENT((#25322)); +#25324=STYLED_ITEM('',(#25323),#25321); +#25325=DIRECTION('',(0.E0,1.E0,0.E0)); +#25326=VECTOR('',#25325,1.18E1); +#25327=CARTESIAN_POINT('',(4.E0,4.27E1,-1.2E0)); +#25328=LINE('',#25327,#25326); +#25329=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25330=PRESENTATION_STYLE_ASSIGNMENT((#25329)); +#25331=STYLED_ITEM('',(#25330),#25328); +#25332=CARTESIAN_POINT('',(3.E0,5.45E1,-1.2E0)); +#25333=DIRECTION('',(0.E0,0.E0,1.E0)); +#25334=DIRECTION('',(1.E0,0.E0,0.E0)); +#25335=AXIS2_PLACEMENT_3D('',#25332,#25333,#25334); +#25337=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25338=PRESENTATION_STYLE_ASSIGNMENT((#25337)); +#25339=STYLED_ITEM('',(#25338),#25336); +#25340=CARTESIAN_POINT('',(2.2832E1,5.8858E1,-1.2E0)); +#25341=DIRECTION('',(0.E0,0.E0,1.E0)); +#25342=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25343=AXIS2_PLACEMENT_3D('',#25340,#25341,#25342); +#25345=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25346=PRESENTATION_STYLE_ASSIGNMENT((#25345)); +#25347=STYLED_ITEM('',(#25346),#25344); +#25348=CARTESIAN_POINT('',(2.2832E1,5.8858E1,-1.2E0)); +#25349=DIRECTION('',(0.E0,0.E0,1.E0)); +#25350=DIRECTION('',(0.E0,1.E0,0.E0)); +#25351=AXIS2_PLACEMENT_3D('',#25348,#25349,#25350); +#25353=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25354=PRESENTATION_STYLE_ASSIGNMENT((#25353)); +#25355=STYLED_ITEM('',(#25354),#25352); +#25356=CARTESIAN_POINT('',(2.821E0,5.8862E1,-1.2E0)); +#25357=DIRECTION('',(0.E0,0.E0,1.E0)); +#25358=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25359=AXIS2_PLACEMENT_3D('',#25356,#25357,#25358); +#25361=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25362=PRESENTATION_STYLE_ASSIGNMENT((#25361)); +#25363=STYLED_ITEM('',(#25362),#25360); +#25364=CARTESIAN_POINT('',(2.821E0,5.8862E1,-1.2E0)); +#25365=DIRECTION('',(0.E0,0.E0,1.E0)); +#25366=DIRECTION('',(0.E0,1.E0,0.E0)); +#25367=AXIS2_PLACEMENT_3D('',#25364,#25365,#25366); +#25369=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25370=PRESENTATION_STYLE_ASSIGNMENT((#25369)); +#25371=STYLED_ITEM('',(#25370),#25368); +#25372=DIRECTION('',(1.E0,0.E0,0.E0)); +#25373=VECTOR('',#25372,2.85E0); +#25374=CARTESIAN_POINT('',(1.5E-1,5.55E1,-1.2E0)); +#25375=LINE('',#25374,#25373); +#25376=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25377=PRESENTATION_STYLE_ASSIGNMENT((#25376)); +#25378=STYLED_ITEM('',(#25377),#25375); +#25379=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25380=VECTOR('',#25379,2.85E0); +#25381=CARTESIAN_POINT('',(3.E0,5.55E1,-3.3E0)); +#25382=LINE('',#25381,#25380); +#25383=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25384=PRESENTATION_STYLE_ASSIGNMENT((#25383)); +#25385=STYLED_ITEM('',(#25384),#25382); +#25386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25387=VECTOR('',#25386,1.6E0); +#25388=CARTESIAN_POINT('',(1.5E-1,5.78E1,-4.4E0)); +#25389=LINE('',#25388,#25387); +#25390=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25391=PRESENTATION_STYLE_ASSIGNMENT((#25390)); +#25392=STYLED_ITEM('',(#25391),#25389); +#25393=DIRECTION('',(0.E0,1.E0,0.E0)); +#25394=VECTOR('',#25393,3.2E0); +#25395=CARTESIAN_POINT('',(1.5E-1,5.78E1,-6.E0)); +#25396=LINE('',#25395,#25394); +#25397=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25398=PRESENTATION_STYLE_ASSIGNMENT((#25397)); +#25399=STYLED_ITEM('',(#25398),#25396); +#25400=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25401=VECTOR('',#25400,5.5E0); +#25402=CARTESIAN_POINT('',(1.5E-1,6.1E1,-1.2E0)); +#25403=LINE('',#25402,#25401); +#25404=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25405=PRESENTATION_STYLE_ASSIGNMENT((#25404)); +#25406=STYLED_ITEM('',(#25405),#25403); +#25407=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25408=VECTOR('',#25407,2.1E0); +#25409=CARTESIAN_POINT('',(1.5E-1,5.55E1,-1.2E0)); +#25410=LINE('',#25409,#25408); +#25411=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25412=PRESENTATION_STYLE_ASSIGNMENT((#25411)); +#25413=STYLED_ITEM('',(#25412),#25410); +#25414=DIRECTION('',(0.E0,0.E0,1.E0)); +#25415=VECTOR('',#25414,2.1E0); +#25416=CARTESIAN_POINT('',(1.5E-1,2.3E1,-3.3E0)); +#25417=LINE('',#25416,#25415); +#25418=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25419=PRESENTATION_STYLE_ASSIGNMENT((#25418)); +#25420=STYLED_ITEM('',(#25419),#25417); +#25421=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25422=VECTOR('',#25421,1.62E1); +#25423=CARTESIAN_POINT('',(1.5E-1,2.3E1,-1.2E0)); +#25424=LINE('',#25423,#25422); +#25425=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25426=PRESENTATION_STYLE_ASSIGNMENT((#25425)); +#25427=STYLED_ITEM('',(#25426),#25424); +#25428=DIRECTION('',(0.E0,1.E0,0.E0)); +#25429=VECTOR('',#25428,8.E-1); +#25430=CARTESIAN_POINT('',(1.5E-1,6.8E0,-6.E0)); +#25431=LINE('',#25430,#25429); +#25432=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25433=PRESENTATION_STYLE_ASSIGNMENT((#25432)); +#25434=STYLED_ITEM('',(#25433),#25431); +#25435=DIRECTION('',(0.E0,1.E0,0.E0)); +#25436=VECTOR('',#25435,5.02E1); +#25437=CARTESIAN_POINT('',(1.5E-1,7.6E0,-4.4E0)); +#25438=LINE('',#25437,#25436); +#25439=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25440=PRESENTATION_STYLE_ASSIGNMENT((#25439)); +#25441=STYLED_ITEM('',(#25440),#25438); +#25442=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25443=VECTOR('',#25442,2.55E1); +#25444=CARTESIAN_POINT('',(2.565E1,5.78E1,-6.E0)); +#25445=LINE('',#25444,#25443); +#25446=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25447=PRESENTATION_STYLE_ASSIGNMENT((#25446)); +#25448=STYLED_ITEM('',(#25447),#25445); +#25449=DIRECTION('',(1.E0,0.E0,0.E0)); +#25450=VECTOR('',#25449,2.55E1); +#25451=CARTESIAN_POINT('',(1.5E-1,5.78E1,-4.4E0)); +#25452=LINE('',#25451,#25450); +#25453=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25454=PRESENTATION_STYLE_ASSIGNMENT((#25453)); +#25455=STYLED_ITEM('',(#25454),#25452); +#25456=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25457=VECTOR('',#25456,1.6E0); +#25458=CARTESIAN_POINT('',(2.565E1,5.78E1,-4.4E0)); +#25459=LINE('',#25458,#25457); +#25460=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25461=PRESENTATION_STYLE_ASSIGNMENT((#25460)); +#25462=STYLED_ITEM('',(#25461),#25459); +#25463=CARTESIAN_POINT('',(2.465E1,6.1E1,-6.E0)); +#25464=DIRECTION('',(0.E0,0.E0,1.E0)); +#25465=DIRECTION('',(1.E0,0.E0,0.E0)); +#25466=AXIS2_PLACEMENT_3D('',#25463,#25464,#25465); +#25468=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25469=PRESENTATION_STYLE_ASSIGNMENT((#25468)); +#25470=STYLED_ITEM('',(#25469),#25467); +#25471=CARTESIAN_POINT('',(1.15E0,6.1E1,-6.E0)); +#25472=DIRECTION('',(0.E0,0.E0,1.E0)); +#25473=DIRECTION('',(0.E0,1.E0,0.E0)); +#25474=AXIS2_PLACEMENT_3D('',#25471,#25472,#25473); +#25476=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25477=PRESENTATION_STYLE_ASSIGNMENT((#25476)); +#25478=STYLED_ITEM('',(#25477),#25475); +#25479=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25480=VECTOR('',#25479,3.2E0); +#25481=CARTESIAN_POINT('',(2.565E1,6.1E1,-6.E0)); +#25482=LINE('',#25481,#25480); +#25483=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25484=PRESENTATION_STYLE_ASSIGNMENT((#25483)); +#25485=STYLED_ITEM('',(#25484),#25482); +#25486=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25487=VECTOR('',#25486,8.E-1); +#25488=CARTESIAN_POINT('',(2.565E1,7.6E0,-6.E0)); +#25489=LINE('',#25488,#25487); +#25490=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25491=PRESENTATION_STYLE_ASSIGNMENT((#25490)); +#25492=STYLED_ITEM('',(#25491),#25489); +#25493=DIRECTION('',(0.E0,1.E0,0.E0)); +#25494=VECTOR('',#25493,1.62E1); +#25495=CARTESIAN_POINT('',(2.565E1,6.8E0,-1.2E0)); +#25496=LINE('',#25495,#25494); +#25497=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25498=PRESENTATION_STYLE_ASSIGNMENT((#25497)); +#25499=STYLED_ITEM('',(#25498),#25496); +#25500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25501=VECTOR('',#25500,2.1E0); +#25502=CARTESIAN_POINT('',(2.565E1,2.3E1,-1.2E0)); +#25503=LINE('',#25502,#25501); +#25504=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25505=PRESENTATION_STYLE_ASSIGNMENT((#25504)); +#25506=STYLED_ITEM('',(#25505),#25503); +#25507=DIRECTION('',(0.E0,1.E0,0.E0)); +#25508=VECTOR('',#25507,3.25E1); +#25509=CARTESIAN_POINT('',(2.565E1,2.3E1,-3.3E0)); +#25510=LINE('',#25509,#25508); +#25511=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25512=PRESENTATION_STYLE_ASSIGNMENT((#25511)); +#25513=STYLED_ITEM('',(#25512),#25510); +#25514=DIRECTION('',(0.E0,1.E0,0.E0)); +#25515=VECTOR('',#25514,5.5E0); +#25516=CARTESIAN_POINT('',(2.565E1,5.55E1,-1.2E0)); +#25517=LINE('',#25516,#25515); +#25518=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25519=PRESENTATION_STYLE_ASSIGNMENT((#25518)); +#25520=STYLED_ITEM('',(#25519),#25517); +#25521=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25522=VECTOR('',#25521,4.8E0); +#25523=CARTESIAN_POINT('',(2.465E1,6.2E1,-1.2E0)); +#25524=LINE('',#25523,#25522); +#25525=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25526=PRESENTATION_STYLE_ASSIGNMENT((#25525)); +#25527=STYLED_ITEM('',(#25526),#25524); +#25528=DIRECTION('',(0.E0,0.E0,1.E0)); +#25529=VECTOR('',#25528,4.8E0); +#25530=CARTESIAN_POINT('',(2.565E1,6.1E1,-6.E0)); +#25531=LINE('',#25530,#25529); +#25532=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25533=PRESENTATION_STYLE_ASSIGNMENT((#25532)); +#25534=STYLED_ITEM('',(#25533),#25531); +#25535=DIRECTION('',(1.E0,0.E0,0.E0)); +#25536=VECTOR('',#25535,2.35E1); +#25537=CARTESIAN_POINT('',(1.15E0,6.2E1,-6.E0)); +#25538=LINE('',#25537,#25536); +#25539=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25540=PRESENTATION_STYLE_ASSIGNMENT((#25539)); +#25541=STYLED_ITEM('',(#25540),#25538); +#25542=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25543=VECTOR('',#25542,2.35E1); +#25544=CARTESIAN_POINT('',(2.465E1,6.2E1,-1.2E0)); +#25545=LINE('',#25544,#25543); +#25546=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25547=PRESENTATION_STYLE_ASSIGNMENT((#25546)); +#25548=STYLED_ITEM('',(#25547),#25545); +#25549=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25550=VECTOR('',#25549,4.8E0); +#25551=CARTESIAN_POINT('',(1.5E-1,6.1E1,-1.2E0)); +#25552=LINE('',#25551,#25550); +#25553=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25554=PRESENTATION_STYLE_ASSIGNMENT((#25553)); +#25555=STYLED_ITEM('',(#25554),#25552); +#25556=DIRECTION('',(0.E0,0.E0,1.E0)); +#25557=VECTOR('',#25556,4.8E0); +#25558=CARTESIAN_POINT('',(1.15E0,6.2E1,-6.E0)); +#25559=LINE('',#25558,#25557); +#25560=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25561=PRESENTATION_STYLE_ASSIGNMENT((#25560)); +#25562=STYLED_ITEM('',(#25561),#25559); +#25563=CARTESIAN_POINT('',(4.55E0,1.06E1,-4.4E0)); +#25564=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25565=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25566=AXIS2_PLACEMENT_3D('',#25563,#25564,#25565); +#25568=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25569=PRESENTATION_STYLE_ASSIGNMENT((#25568)); +#25570=STYLED_ITEM('',(#25569),#25567); +#25571=CARTESIAN_POINT('',(2.33E1,1.06E1,-4.4E0)); +#25572=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25573=DIRECTION('',(0.E0,1.E0,0.E0)); +#25574=AXIS2_PLACEMENT_3D('',#25571,#25572,#25573); +#25576=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25577=PRESENTATION_STYLE_ASSIGNMENT((#25576)); +#25578=STYLED_ITEM('',(#25577),#25575); +#25579=CARTESIAN_POINT('',(2.33E1,8.6E0,-4.4E0)); +#25580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25581=DIRECTION('',(1.E0,0.E0,0.E0)); +#25582=AXIS2_PLACEMENT_3D('',#25579,#25580,#25581); +#25584=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25585=PRESENTATION_STYLE_ASSIGNMENT((#25584)); +#25586=STYLED_ITEM('',(#25585),#25583); +#25587=DIRECTION('',(1.E0,0.E0,0.E0)); +#25588=VECTOR('',#25587,2.35E0); +#25589=CARTESIAN_POINT('',(2.33E1,7.6E0,-4.4E0)); +#25590=LINE('',#25589,#25588); +#25591=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25592=PRESENTATION_STYLE_ASSIGNMENT((#25591)); +#25593=STYLED_ITEM('',(#25592),#25590); +#25594=DIRECTION('',(0.E0,1.E0,0.E0)); +#25595=VECTOR('',#25594,5.02E1); +#25596=CARTESIAN_POINT('',(2.565E1,7.6E0,-4.4E0)); +#25597=LINE('',#25596,#25595); +#25598=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25599=PRESENTATION_STYLE_ASSIGNMENT((#25598)); +#25600=STYLED_ITEM('',(#25599),#25597); +#25601=DIRECTION('',(1.E0,0.E0,0.E0)); +#25602=VECTOR('',#25601,4.4E0); +#25603=CARTESIAN_POINT('',(1.5E-1,7.6E0,-4.4E0)); +#25604=LINE('',#25603,#25602); +#25605=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25606=PRESENTATION_STYLE_ASSIGNMENT((#25605)); +#25607=STYLED_ITEM('',(#25606),#25604); +#25608=CARTESIAN_POINT('',(4.55E0,8.6E0,-4.4E0)); +#25609=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25610=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25611=AXIS2_PLACEMENT_3D('',#25608,#25609,#25610); +#25613=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25614=PRESENTATION_STYLE_ASSIGNMENT((#25613)); +#25615=STYLED_ITEM('',(#25614),#25612); +#25616=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25617=VECTOR('',#25616,2.E0); +#25618=CARTESIAN_POINT('',(3.55E0,1.06E1,-4.4E0)); +#25619=LINE('',#25618,#25617); +#25620=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25621=PRESENTATION_STYLE_ASSIGNMENT((#25620)); +#25622=STYLED_ITEM('',(#25621),#25619); +#25623=DIRECTION('',(0.E0,1.E0,0.E0)); +#25624=VECTOR('',#25623,8.051282473010E0); +#25625=CARTESIAN_POINT('',(3.55E0,8.6E0,-1.2E0)); +#25626=LINE('',#25625,#25624); +#25627=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25628=PRESENTATION_STYLE_ASSIGNMENT((#25627)); +#25629=STYLED_ITEM('',(#25628),#25626); +#25630=DIRECTION('',(0.E0,0.E0,1.E0)); +#25631=VECTOR('',#25630,1.1E0); +#25632=CARTESIAN_POINT('',(3.55E0,1.06E1,-4.4E0)); +#25633=LINE('',#25632,#25631); +#25634=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25635=PRESENTATION_STYLE_ASSIGNMENT((#25634)); +#25636=STYLED_ITEM('',(#25635),#25633); +#25637=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25638=VECTOR('',#25637,1.1E0); +#25639=CARTESIAN_POINT('',(4.55E0,1.16E1,-3.3E0)); +#25640=LINE('',#25639,#25638); +#25641=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25642=PRESENTATION_STYLE_ASSIGNMENT((#25641)); +#25643=STYLED_ITEM('',(#25642),#25640); +#25644=CARTESIAN_POINT('',(4.55E0,1.06E1,-3.3E0)); +#25645=DIRECTION('',(0.E0,0.E0,1.E0)); +#25646=DIRECTION('',(0.E0,1.E0,0.E0)); +#25647=AXIS2_PLACEMENT_3D('',#25644,#25645,#25646); +#25649=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25650=PRESENTATION_STYLE_ASSIGNMENT((#25649)); +#25651=STYLED_ITEM('',(#25650),#25648); +#25652=DIRECTION('',(0.E0,1.E0,0.E0)); +#25653=VECTOR('',#25652,6.051282473010E0); +#25654=CARTESIAN_POINT('',(3.55E0,1.06E1,-3.3E0)); +#25655=LINE('',#25654,#25653); +#25656=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25657=PRESENTATION_STYLE_ASSIGNMENT((#25656)); +#25658=STYLED_ITEM('',(#25657),#25655); +#25659=CARTESIAN_POINT('',(4.55E0,1.665128247301E1,-3.3E0)); +#25660=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25661=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25662=AXIS2_PLACEMENT_3D('',#25659,#25660,#25661); +#25664=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25665=PRESENTATION_STYLE_ASSIGNMENT((#25664)); +#25666=STYLED_ITEM('',(#25665),#25663); +#25667=CARTESIAN_POINT('',(4.1E0,1.86E1,-3.3E0)); +#25668=DIRECTION('',(0.E0,0.E0,1.E0)); +#25669=DIRECTION('',(2.250000130785E-1,-9.743587604752E-1,0.E0)); +#25670=AXIS2_PLACEMENT_3D('',#25667,#25668,#25669); +#25672=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25673=PRESENTATION_STYLE_ASSIGNMENT((#25672)); +#25674=STYLED_ITEM('',(#25673),#25671); +#25675=CARTESIAN_POINT('',(4.1E0,2.2E1,-3.3E0)); +#25676=DIRECTION('',(0.E0,0.E0,1.E0)); +#25677=DIRECTION('',(1.E0,0.E0,0.E0)); +#25678=AXIS2_PLACEMENT_3D('',#25675,#25676,#25677); +#25680=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25681=PRESENTATION_STYLE_ASSIGNMENT((#25680)); +#25682=STYLED_ITEM('',(#25681),#25679); +#25683=DIRECTION('',(0.E0,1.E0,0.E0)); +#25684=VECTOR('',#25683,3.25E1); +#25685=CARTESIAN_POINT('',(1.5E-1,2.3E1,-3.3E0)); +#25686=LINE('',#25685,#25684); +#25687=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25688=PRESENTATION_STYLE_ASSIGNMENT((#25687)); +#25689=STYLED_ITEM('',(#25688),#25686); +#25690=CARTESIAN_POINT('',(3.E0,5.45E1,-3.3E0)); +#25691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25692=DIRECTION('',(0.E0,1.E0,0.E0)); +#25693=AXIS2_PLACEMENT_3D('',#25690,#25691,#25692); +#25695=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25696=PRESENTATION_STYLE_ASSIGNMENT((#25695)); +#25697=STYLED_ITEM('',(#25696),#25694); +#25698=CARTESIAN_POINT('',(5.5E0,4.27E1,-3.3E0)); +#25699=DIRECTION('',(0.E0,0.E0,1.E0)); +#25700=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25701=AXIS2_PLACEMENT_3D('',#25698,#25699,#25700); +#25703=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25704=PRESENTATION_STYLE_ASSIGNMENT((#25703)); +#25705=STYLED_ITEM('',(#25704),#25702); +#25706=DIRECTION('',(1.E0,0.E0,0.E0)); +#25707=VECTOR('',#25706,1.48E1); +#25708=CARTESIAN_POINT('',(5.5E0,4.12E1,-3.3E0)); +#25709=LINE('',#25708,#25707); +#25710=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25711=PRESENTATION_STYLE_ASSIGNMENT((#25710)); +#25712=STYLED_ITEM('',(#25711),#25709); +#25713=CARTESIAN_POINT('',(2.03E1,4.27E1,-3.3E0)); +#25714=DIRECTION('',(0.E0,0.E0,1.E0)); +#25715=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25716=AXIS2_PLACEMENT_3D('',#25713,#25714,#25715); +#25718=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25719=PRESENTATION_STYLE_ASSIGNMENT((#25718)); +#25720=STYLED_ITEM('',(#25719),#25717); +#25721=CARTESIAN_POINT('',(2.28E1,5.45E1,-3.3E0)); +#25722=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25724=AXIS2_PLACEMENT_3D('',#25721,#25722,#25723); +#25726=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25727=PRESENTATION_STYLE_ASSIGNMENT((#25726)); +#25728=STYLED_ITEM('',(#25727),#25725); +#25729=CARTESIAN_POINT('',(2.17E1,2.2E1,-3.3E0)); +#25730=DIRECTION('',(0.E0,0.E0,1.E0)); +#25731=DIRECTION('',(0.E0,1.E0,0.E0)); +#25732=AXIS2_PLACEMENT_3D('',#25729,#25730,#25731); +#25734=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25735=PRESENTATION_STYLE_ASSIGNMENT((#25734)); +#25736=STYLED_ITEM('',(#25735),#25733); +#25737=CARTESIAN_POINT('',(2.17E1,1.86E1,-3.3E0)); +#25738=DIRECTION('',(0.E0,0.E0,1.E0)); +#25739=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25740=AXIS2_PLACEMENT_3D('',#25737,#25738,#25739); +#25742=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25743=PRESENTATION_STYLE_ASSIGNMENT((#25742)); +#25744=STYLED_ITEM('',(#25743),#25741); +#25745=CARTESIAN_POINT('',(2.33E1,1.66E1,-3.3E0)); +#25746=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25747=DIRECTION('',(0.E0,1.E0,0.E0)); +#25748=AXIS2_PLACEMENT_3D('',#25745,#25746,#25747); +#25750=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25751=PRESENTATION_STYLE_ASSIGNMENT((#25750)); +#25752=STYLED_ITEM('',(#25751),#25749); +#25753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25754=VECTOR('',#25753,6.E0); +#25755=CARTESIAN_POINT('',(2.43E1,1.66E1,-3.3E0)); +#25756=LINE('',#25755,#25754); +#25757=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25758=PRESENTATION_STYLE_ASSIGNMENT((#25757)); +#25759=STYLED_ITEM('',(#25758),#25756); +#25760=CARTESIAN_POINT('',(2.33E1,1.06E1,-3.3E0)); +#25761=DIRECTION('',(0.E0,0.E0,1.E0)); +#25762=DIRECTION('',(1.E0,0.E0,0.E0)); +#25763=AXIS2_PLACEMENT_3D('',#25760,#25761,#25762); +#25765=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25766=PRESENTATION_STYLE_ASSIGNMENT((#25765)); +#25767=STYLED_ITEM('',(#25766),#25764); +#25768=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25769=VECTOR('',#25768,1.875E1); +#25770=CARTESIAN_POINT('',(2.33E1,1.16E1,-4.4E0)); +#25771=LINE('',#25770,#25769); +#25772=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25773=PRESENTATION_STYLE_ASSIGNMENT((#25772)); +#25774=STYLED_ITEM('',(#25773),#25771); +#25775=DIRECTION('',(1.E0,0.E0,0.E0)); +#25776=VECTOR('',#25775,1.875E1); +#25777=CARTESIAN_POINT('',(4.55E0,1.16E1,-3.3E0)); +#25778=LINE('',#25777,#25776); +#25779=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25780=PRESENTATION_STYLE_ASSIGNMENT((#25779)); +#25781=STYLED_ITEM('',(#25780),#25778); +#25782=DIRECTION('',(0.E0,0.E0,1.E0)); +#25783=VECTOR('',#25782,1.1E0); +#25784=CARTESIAN_POINT('',(2.33E1,1.16E1,-4.4E0)); +#25785=LINE('',#25784,#25783); +#25786=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25787=PRESENTATION_STYLE_ASSIGNMENT((#25786)); +#25788=STYLED_ITEM('',(#25787),#25785); +#25789=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25790=VECTOR('',#25789,1.1E0); +#25791=CARTESIAN_POINT('',(2.43E1,1.06E1,-3.3E0)); +#25792=LINE('',#25791,#25790); +#25793=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25794=PRESENTATION_STYLE_ASSIGNMENT((#25793)); +#25795=STYLED_ITEM('',(#25794),#25792); +#25796=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25797=VECTOR('',#25796,8.E0); +#25798=CARTESIAN_POINT('',(2.43E1,1.66E1,-1.2E0)); +#25799=LINE('',#25798,#25797); +#25800=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25801=PRESENTATION_STYLE_ASSIGNMENT((#25800)); +#25802=STYLED_ITEM('',(#25801),#25799); +#25803=DIRECTION('',(0.E0,1.E0,0.E0)); +#25804=VECTOR('',#25803,2.E0); +#25805=CARTESIAN_POINT('',(2.43E1,8.6E0,-4.4E0)); +#25806=LINE('',#25805,#25804); +#25807=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25808=PRESENTATION_STYLE_ASSIGNMENT((#25807)); +#25809=STYLED_ITEM('',(#25808),#25806); +#25810=DIRECTION('',(0.E0,0.E0,1.E0)); +#25811=VECTOR('',#25810,2.1E0); +#25812=CARTESIAN_POINT('',(2.33E1,1.76E1,-3.3E0)); +#25813=LINE('',#25812,#25811); +#25814=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25815=PRESENTATION_STYLE_ASSIGNMENT((#25814)); +#25816=STYLED_ITEM('',(#25815),#25813); +#25817=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25818=VECTOR('',#25817,2.1E0); +#25819=CARTESIAN_POINT('',(2.43E1,1.66E1,-1.2E0)); +#25820=LINE('',#25819,#25818); +#25821=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25822=PRESENTATION_STYLE_ASSIGNMENT((#25821)); +#25823=STYLED_ITEM('',(#25822),#25820); +#25824=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25825=VECTOR('',#25824,1.6E0); +#25826=CARTESIAN_POINT('',(2.33E1,1.76E1,-3.3E0)); +#25827=LINE('',#25826,#25825); +#25828=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25829=PRESENTATION_STYLE_ASSIGNMENT((#25828)); +#25830=STYLED_ITEM('',(#25829),#25827); +#25831=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25832=VECTOR('',#25831,2.1E0); +#25833=CARTESIAN_POINT('',(2.17E1,1.76E1,-1.2E0)); +#25834=LINE('',#25833,#25832); +#25835=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25836=PRESENTATION_STYLE_ASSIGNMENT((#25835)); +#25837=STYLED_ITEM('',(#25836),#25834); +#25838=DIRECTION('',(0.E0,0.E0,1.E0)); +#25839=VECTOR('',#25838,2.1E0); +#25840=CARTESIAN_POINT('',(2.07E1,1.86E1,-3.3E0)); +#25841=LINE('',#25840,#25839); +#25842=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25843=PRESENTATION_STYLE_ASSIGNMENT((#25842)); +#25844=STYLED_ITEM('',(#25843),#25841); +#25845=DIRECTION('',(1.E0,0.E0,0.E0)); +#25846=VECTOR('',#25845,3.95E0); +#25847=CARTESIAN_POINT('',(2.17E1,2.3E1,-1.2E0)); +#25848=LINE('',#25847,#25846); +#25849=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25850=PRESENTATION_STYLE_ASSIGNMENT((#25849)); +#25851=STYLED_ITEM('',(#25850),#25848); +#25852=CARTESIAN_POINT('',(2.465E1,6.8E0,-1.2E0)); +#25853=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25854=DIRECTION('',(1.E0,0.E0,0.E0)); +#25855=AXIS2_PLACEMENT_3D('',#25852,#25853,#25854); +#25857=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25858=PRESENTATION_STYLE_ASSIGNMENT((#25857)); +#25859=STYLED_ITEM('',(#25858),#25856); +#25860=DIRECTION('',(0.E0,1.E0,0.E0)); +#25861=VECTOR('',#25860,1.8E0); +#25862=CARTESIAN_POINT('',(1.75E1,5.8E0,-1.2E0)); +#25863=LINE('',#25862,#25861); +#25864=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25865=PRESENTATION_STYLE_ASSIGNMENT((#25864)); +#25866=STYLED_ITEM('',(#25865),#25863); +#25867=CARTESIAN_POINT('',(2.33E1,8.6E0,-1.2E0)); +#25868=DIRECTION('',(0.E0,0.E0,1.E0)); +#25869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25870=AXIS2_PLACEMENT_3D('',#25867,#25868,#25869); +#25872=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25873=PRESENTATION_STYLE_ASSIGNMENT((#25872)); +#25874=STYLED_ITEM('',(#25873),#25871); +#25875=CARTESIAN_POINT('',(2.33E1,1.66E1,-1.2E0)); +#25876=DIRECTION('',(0.E0,0.E0,1.E0)); +#25877=DIRECTION('',(1.E0,0.E0,0.E0)); +#25878=AXIS2_PLACEMENT_3D('',#25875,#25876,#25877); +#25880=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25881=PRESENTATION_STYLE_ASSIGNMENT((#25880)); +#25882=STYLED_ITEM('',(#25881),#25879); +#25883=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25884=VECTOR('',#25883,1.6E0); +#25885=CARTESIAN_POINT('',(2.33E1,1.76E1,-1.2E0)); +#25886=LINE('',#25885,#25884); +#25887=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25888=PRESENTATION_STYLE_ASSIGNMENT((#25887)); +#25889=STYLED_ITEM('',(#25888),#25886); +#25890=CARTESIAN_POINT('',(2.17E1,1.86E1,-1.2E0)); +#25891=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25893=AXIS2_PLACEMENT_3D('',#25890,#25891,#25892); +#25895=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25896=PRESENTATION_STYLE_ASSIGNMENT((#25895)); +#25897=STYLED_ITEM('',(#25896),#25894); +#25898=CARTESIAN_POINT('',(2.17E1,2.2E1,-1.2E0)); +#25899=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25900=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25901=AXIS2_PLACEMENT_3D('',#25898,#25899,#25900); +#25903=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25904=PRESENTATION_STYLE_ASSIGNMENT((#25903)); +#25905=STYLED_ITEM('',(#25904),#25902); +#25906=DIRECTION('',(1.E0,0.E0,0.E0)); +#25907=VECTOR('',#25906,3.95E0); +#25908=CARTESIAN_POINT('',(2.17E1,2.3E1,-3.3E0)); +#25909=LINE('',#25908,#25907); +#25910=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25911=PRESENTATION_STYLE_ASSIGNMENT((#25910)); +#25912=STYLED_ITEM('',(#25911),#25909); +#25913=DIRECTION('',(0.E0,0.E0,1.E0)); +#25914=VECTOR('',#25913,2.1E0); +#25915=CARTESIAN_POINT('',(2.17E1,2.3E1,-3.3E0)); +#25916=LINE('',#25915,#25914); +#25917=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25918=PRESENTATION_STYLE_ASSIGNMENT((#25917)); +#25919=STYLED_ITEM('',(#25918),#25916); +#25920=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25921=VECTOR('',#25920,2.1E0); +#25922=CARTESIAN_POINT('',(2.07E1,2.2E1,-1.2E0)); +#25923=LINE('',#25922,#25921); +#25924=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25925=PRESENTATION_STYLE_ASSIGNMENT((#25924)); +#25926=STYLED_ITEM('',(#25925),#25923); +#25927=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25928=VECTOR('',#25927,3.4E0); +#25929=CARTESIAN_POINT('',(2.07E1,2.2E1,-1.2E0)); +#25930=LINE('',#25929,#25928); +#25931=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25932=PRESENTATION_STYLE_ASSIGNMENT((#25931)); +#25933=STYLED_ITEM('',(#25932),#25930); +#25934=DIRECTION('',(0.E0,1.E0,0.E0)); +#25935=VECTOR('',#25934,3.4E0); +#25936=CARTESIAN_POINT('',(2.07E1,1.86E1,-3.3E0)); +#25937=LINE('',#25936,#25935); +#25938=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25939=PRESENTATION_STYLE_ASSIGNMENT((#25938)); +#25940=STYLED_ITEM('',(#25939),#25937); +#25941=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25942=VECTOR('',#25941,4.8E0); +#25943=CARTESIAN_POINT('',(2.565E1,6.8E0,-1.2E0)); +#25944=LINE('',#25943,#25942); +#25945=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25946=PRESENTATION_STYLE_ASSIGNMENT((#25945)); +#25947=STYLED_ITEM('',(#25946),#25944); +#25948=DIRECTION('',(0.E0,0.E0,1.E0)); +#25949=VECTOR('',#25948,4.8E0); +#25950=CARTESIAN_POINT('',(2.465E1,5.8E0,-6.E0)); +#25951=LINE('',#25950,#25949); +#25952=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25953=PRESENTATION_STYLE_ASSIGNMENT((#25952)); +#25954=STYLED_ITEM('',(#25953),#25951); +#25955=CARTESIAN_POINT('',(1.15E0,6.8E0,-6.E0)); +#25956=DIRECTION('',(0.E0,0.E0,1.E0)); +#25957=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25958=AXIS2_PLACEMENT_3D('',#25955,#25956,#25957); +#25960=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25961=PRESENTATION_STYLE_ASSIGNMENT((#25960)); +#25962=STYLED_ITEM('',(#25961),#25959); +#25963=CARTESIAN_POINT('',(2.465E1,6.8E0,-6.E0)); +#25964=DIRECTION('',(0.E0,0.E0,1.E0)); +#25965=DIRECTION('',(0.E0,-1.E0,0.E0)); +#25966=AXIS2_PLACEMENT_3D('',#25963,#25964,#25965); +#25968=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25969=PRESENTATION_STYLE_ASSIGNMENT((#25968)); +#25970=STYLED_ITEM('',(#25969),#25967); +#25971=DIRECTION('',(0.E0,0.E0,-1.E0)); +#25972=VECTOR('',#25971,1.6E0); +#25973=CARTESIAN_POINT('',(1.5E-1,7.6E0,-4.4E0)); +#25974=LINE('',#25973,#25972); +#25975=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25976=PRESENTATION_STYLE_ASSIGNMENT((#25975)); +#25977=STYLED_ITEM('',(#25976),#25974); +#25978=DIRECTION('',(1.E0,0.E0,0.E0)); +#25979=VECTOR('',#25978,2.55E1); +#25980=CARTESIAN_POINT('',(1.5E-1,7.6E0,-6.E0)); +#25981=LINE('',#25980,#25979); +#25982=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25983=PRESENTATION_STYLE_ASSIGNMENT((#25982)); +#25984=STYLED_ITEM('',(#25983),#25981); +#25985=DIRECTION('',(0.E0,0.E0,1.E0)); +#25986=VECTOR('',#25985,1.6E0); +#25987=CARTESIAN_POINT('',(2.565E1,7.6E0,-6.E0)); +#25988=LINE('',#25987,#25986); +#25989=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25990=PRESENTATION_STYLE_ASSIGNMENT((#25989)); +#25991=STYLED_ITEM('',(#25990),#25988); +#25992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#25993=VECTOR('',#25992,5.8E0); +#25994=CARTESIAN_POINT('',(2.33E1,7.6E0,-1.2E0)); +#25995=LINE('',#25994,#25993); +#25996=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#25997=PRESENTATION_STYLE_ASSIGNMENT((#25996)); +#25998=STYLED_ITEM('',(#25997),#25995); +#25999=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26000=VECTOR('',#25999,2.7E0); +#26001=CARTESIAN_POINT('',(1.75E1,7.6E0,-1.2E0)); +#26002=LINE('',#26001,#26000); +#26003=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26004=PRESENTATION_STYLE_ASSIGNMENT((#26003)); +#26005=STYLED_ITEM('',(#26004),#26002); +#26006=CARTESIAN_POINT('',(1.7E1,7.6E0,-3.9E0)); +#26007=DIRECTION('',(0.E0,1.E0,0.E0)); +#26008=DIRECTION('',(1.E0,0.E0,0.E0)); +#26009=AXIS2_PLACEMENT_3D('',#26006,#26007,#26008); +#26011=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26012=PRESENTATION_STYLE_ASSIGNMENT((#26011)); +#26013=STYLED_ITEM('',(#26012),#26010); +#26014=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26015=VECTOR('',#26014,8.5E0); +#26016=CARTESIAN_POINT('',(1.7E1,7.6E0,-4.4E0)); +#26017=LINE('',#26016,#26015); +#26018=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26019=PRESENTATION_STYLE_ASSIGNMENT((#26018)); +#26020=STYLED_ITEM('',(#26019),#26017); +#26021=CARTESIAN_POINT('',(8.5E0,7.6E0,-3.9E0)); +#26022=DIRECTION('',(0.E0,1.E0,0.E0)); +#26023=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26024=AXIS2_PLACEMENT_3D('',#26021,#26022,#26023); +#26026=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26027=PRESENTATION_STYLE_ASSIGNMENT((#26026)); +#26028=STYLED_ITEM('',(#26027),#26025); +#26029=DIRECTION('',(0.E0,0.E0,1.E0)); +#26030=VECTOR('',#26029,2.7E0); +#26031=CARTESIAN_POINT('',(8.E0,7.6E0,-3.9E0)); +#26032=LINE('',#26031,#26030); +#26033=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26034=PRESENTATION_STYLE_ASSIGNMENT((#26033)); +#26035=STYLED_ITEM('',(#26034),#26032); +#26036=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26037=VECTOR('',#26036,3.45E0); +#26038=CARTESIAN_POINT('',(8.E0,7.6E0,-1.2E0)); +#26039=LINE('',#26038,#26037); +#26040=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26041=PRESENTATION_STYLE_ASSIGNMENT((#26040)); +#26042=STYLED_ITEM('',(#26041),#26039); +#26043=DIRECTION('',(0.E0,0.E0,1.E0)); +#26044=VECTOR('',#26043,3.2E0); +#26045=CARTESIAN_POINT('',(2.43E1,8.6E0,-4.4E0)); +#26046=LINE('',#26045,#26044); +#26047=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26048=PRESENTATION_STYLE_ASSIGNMENT((#26047)); +#26049=STYLED_ITEM('',(#26048),#26046); +#26050=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26051=VECTOR('',#26050,3.2E0); +#26052=CARTESIAN_POINT('',(2.33E1,7.6E0,-1.2E0)); +#26053=LINE('',#26052,#26051); +#26054=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26055=PRESENTATION_STYLE_ASSIGNMENT((#26054)); +#26056=STYLED_ITEM('',(#26055),#26053); +#26057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26058=VECTOR('',#26057,2.35E1); +#26059=CARTESIAN_POINT('',(2.465E1,5.8E0,-6.E0)); +#26060=LINE('',#26059,#26058); +#26061=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26062=PRESENTATION_STYLE_ASSIGNMENT((#26061)); +#26063=STYLED_ITEM('',(#26062),#26060); +#26064=DIRECTION('',(1.E0,0.E0,0.E0)); +#26065=VECTOR('',#26064,6.85E0); +#26066=CARTESIAN_POINT('',(1.15E0,5.8E0,-1.2E0)); +#26067=LINE('',#26066,#26065); +#26068=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26069=PRESENTATION_STYLE_ASSIGNMENT((#26068)); +#26070=STYLED_ITEM('',(#26069),#26067); +#26071=CARTESIAN_POINT('',(8.5E0,5.8E0,-3.9E0)); +#26072=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26073=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26074=AXIS2_PLACEMENT_3D('',#26071,#26072,#26073); +#26076=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26077=PRESENTATION_STYLE_ASSIGNMENT((#26076)); +#26078=STYLED_ITEM('',(#26077),#26075); +#26079=DIRECTION('',(1.E0,0.E0,0.E0)); +#26080=VECTOR('',#26079,8.5E0); +#26081=CARTESIAN_POINT('',(8.5E0,5.8E0,-4.4E0)); +#26082=LINE('',#26081,#26080); +#26083=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26084=PRESENTATION_STYLE_ASSIGNMENT((#26083)); +#26085=STYLED_ITEM('',(#26084),#26082); +#26086=CARTESIAN_POINT('',(1.7E1,5.8E0,-3.9E0)); +#26087=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26088=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26089=AXIS2_PLACEMENT_3D('',#26086,#26087,#26088); +#26091=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26092=PRESENTATION_STYLE_ASSIGNMENT((#26091)); +#26093=STYLED_ITEM('',(#26092),#26090); +#26094=DIRECTION('',(0.E0,0.E0,1.E0)); +#26095=VECTOR('',#26094,2.7E0); +#26096=CARTESIAN_POINT('',(1.75E1,5.8E0,-3.9E0)); +#26097=LINE('',#26096,#26095); +#26098=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26099=PRESENTATION_STYLE_ASSIGNMENT((#26098)); +#26100=STYLED_ITEM('',(#26099),#26097); +#26101=DIRECTION('',(1.E0,0.E0,0.E0)); +#26102=VECTOR('',#26101,7.15E0); +#26103=CARTESIAN_POINT('',(1.75E1,5.8E0,-1.2E0)); +#26104=LINE('',#26103,#26102); +#26105=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26106=PRESENTATION_STYLE_ASSIGNMENT((#26105)); +#26107=STYLED_ITEM('',(#26106),#26104); +#26108=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26109=VECTOR('',#26108,4.8E0); +#26110=CARTESIAN_POINT('',(1.15E0,5.8E0,-1.2E0)); +#26111=LINE('',#26110,#26109); +#26112=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26113=PRESENTATION_STYLE_ASSIGNMENT((#26112)); +#26114=STYLED_ITEM('',(#26113),#26111); +#26115=DIRECTION('',(0.E0,0.E0,1.E0)); +#26116=VECTOR('',#26115,4.8E0); +#26117=CARTESIAN_POINT('',(1.5E-1,6.8E0,-6.E0)); +#26118=LINE('',#26117,#26116); +#26119=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26120=PRESENTATION_STYLE_ASSIGNMENT((#26119)); +#26121=STYLED_ITEM('',(#26120),#26118); +#26122=CARTESIAN_POINT('',(1.15E0,6.8E0,-1.2E0)); +#26123=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26125=AXIS2_PLACEMENT_3D('',#26122,#26123,#26124); +#26127=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26128=PRESENTATION_STYLE_ASSIGNMENT((#26127)); +#26129=STYLED_ITEM('',(#26128),#26126); +#26130=DIRECTION('',(1.E0,0.E0,0.E0)); +#26131=VECTOR('',#26130,3.95E0); +#26132=CARTESIAN_POINT('',(1.5E-1,2.3E1,-1.2E0)); +#26133=LINE('',#26132,#26131); +#26134=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26135=PRESENTATION_STYLE_ASSIGNMENT((#26134)); +#26136=STYLED_ITEM('',(#26135),#26133); +#26137=CARTESIAN_POINT('',(4.1E0,2.2E1,-1.2E0)); +#26138=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26139=DIRECTION('',(0.E0,1.E0,0.E0)); +#26140=AXIS2_PLACEMENT_3D('',#26137,#26138,#26139); +#26142=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26143=PRESENTATION_STYLE_ASSIGNMENT((#26142)); +#26144=STYLED_ITEM('',(#26143),#26141); +#26145=CARTESIAN_POINT('',(4.1E0,1.86E1,-1.2E0)); +#26146=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26147=DIRECTION('',(1.E0,0.E0,0.E0)); +#26148=AXIS2_PLACEMENT_3D('',#26145,#26146,#26147); +#26150=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26151=PRESENTATION_STYLE_ASSIGNMENT((#26150)); +#26152=STYLED_ITEM('',(#26151),#26149); +#26153=CARTESIAN_POINT('',(4.55E0,1.665128247301E1,-1.2E0)); +#26154=DIRECTION('',(0.E0,0.E0,1.E0)); +#26155=DIRECTION('',(-2.250000887777E-1,9.743587429946E-1,0.E0)); +#26156=AXIS2_PLACEMENT_3D('',#26153,#26154,#26155); +#26158=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26159=PRESENTATION_STYLE_ASSIGNMENT((#26158)); +#26160=STYLED_ITEM('',(#26159),#26157); +#26161=CARTESIAN_POINT('',(4.55E0,8.6E0,-1.2E0)); +#26162=DIRECTION('',(0.E0,0.E0,1.E0)); +#26163=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26164=AXIS2_PLACEMENT_3D('',#26161,#26162,#26163); +#26166=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26167=PRESENTATION_STYLE_ASSIGNMENT((#26166)); +#26168=STYLED_ITEM('',(#26167),#26165); +#26169=DIRECTION('',(0.E0,0.E0,1.E0)); +#26170=VECTOR('',#26169,2.7E0); +#26171=CARTESIAN_POINT('',(8.E0,5.8E0,-3.9E0)); +#26172=LINE('',#26171,#26170); +#26173=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26174=PRESENTATION_STYLE_ASSIGNMENT((#26173)); +#26175=STYLED_ITEM('',(#26174),#26172); +#26176=DIRECTION('',(0.E0,1.E0,0.E0)); +#26177=VECTOR('',#26176,1.8E0); +#26178=CARTESIAN_POINT('',(8.E0,5.8E0,-1.2E0)); +#26179=LINE('',#26178,#26177); +#26180=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26181=PRESENTATION_STYLE_ASSIGNMENT((#26180)); +#26182=STYLED_ITEM('',(#26181),#26179); +#26183=DIRECTION('',(0.E0,1.E0,0.E0)); +#26184=VECTOR('',#26183,1.8E0); +#26185=CARTESIAN_POINT('',(8.E0,5.8E0,-3.9E0)); +#26186=LINE('',#26185,#26184); +#26187=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26188=PRESENTATION_STYLE_ASSIGNMENT((#26187)); +#26189=STYLED_ITEM('',(#26188),#26186); +#26190=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26191=VECTOR('',#26190,1.8E0); +#26192=CARTESIAN_POINT('',(8.5E0,7.6E0,-4.4E0)); +#26193=LINE('',#26192,#26191); +#26194=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26195=PRESENTATION_STYLE_ASSIGNMENT((#26194)); +#26196=STYLED_ITEM('',(#26195),#26193); +#26197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26198=VECTOR('',#26197,1.8E0); +#26199=CARTESIAN_POINT('',(1.75E1,7.6E0,-3.9E0)); +#26200=LINE('',#26199,#26198); +#26201=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26202=PRESENTATION_STYLE_ASSIGNMENT((#26201)); +#26203=STYLED_ITEM('',(#26202),#26200); +#26204=DIRECTION('',(0.E0,1.E0,0.E0)); +#26205=VECTOR('',#26204,1.8E0); +#26206=CARTESIAN_POINT('',(1.7E1,5.8E0,-4.4E0)); +#26207=LINE('',#26206,#26205); +#26208=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26209=PRESENTATION_STYLE_ASSIGNMENT((#26208)); +#26210=STYLED_ITEM('',(#26209),#26207); +#26211=DIRECTION('',(1.E0,0.E0,0.E0)); +#26212=VECTOR('',#26211,3.95E0); +#26213=CARTESIAN_POINT('',(1.5E-1,2.3E1,-3.3E0)); +#26214=LINE('',#26213,#26212); +#26215=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26216=PRESENTATION_STYLE_ASSIGNMENT((#26215)); +#26217=STYLED_ITEM('',(#26216),#26214); +#26218=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26219=VECTOR('',#26218,2.1E0); +#26220=CARTESIAN_POINT('',(4.1E0,2.3E1,-1.2E0)); +#26221=LINE('',#26220,#26219); +#26222=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26223=PRESENTATION_STYLE_ASSIGNMENT((#26222)); +#26224=STYLED_ITEM('',(#26223),#26221); +#26225=DIRECTION('',(0.E0,0.E0,1.E0)); +#26226=VECTOR('',#26225,2.1E0); +#26227=CARTESIAN_POINT('',(5.1E0,2.2E1,-3.3E0)); +#26228=LINE('',#26227,#26226); +#26229=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26230=PRESENTATION_STYLE_ASSIGNMENT((#26229)); +#26231=STYLED_ITEM('',(#26230),#26228); +#26232=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26233=VECTOR('',#26232,3.4E0); +#26234=CARTESIAN_POINT('',(5.1E0,2.2E1,-3.3E0)); +#26235=LINE('',#26234,#26233); +#26236=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26237=PRESENTATION_STYLE_ASSIGNMENT((#26236)); +#26238=STYLED_ITEM('',(#26237),#26235); +#26239=DIRECTION('',(0.E0,1.E0,0.E0)); +#26240=VECTOR('',#26239,3.4E0); +#26241=CARTESIAN_POINT('',(5.1E0,1.86E1,-1.2E0)); +#26242=LINE('',#26241,#26240); +#26243=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26244=PRESENTATION_STYLE_ASSIGNMENT((#26243)); +#26245=STYLED_ITEM('',(#26244),#26242); +#26246=DIRECTION('',(-1.597494750239E-8,-4.567876235991E-9,1.E0)); +#26247=VECTOR('',#26246,2.1E0); +#26248=CARTESIAN_POINT('',(4.325000003035E0,1.762564123721E1,-3.3E0)); +#26249=LINE('',#26248,#26247); +#26250=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26251=PRESENTATION_STYLE_ASSIGNMENT((#26250)); +#26252=STYLED_ITEM('',(#26251),#26249); +#26253=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26254=VECTOR('',#26253,2.1E0); +#26255=CARTESIAN_POINT('',(5.1E0,1.86E1,-1.2E0)); +#26256=LINE('',#26255,#26254); +#26257=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26258=PRESENTATION_STYLE_ASSIGNMENT((#26257)); +#26259=STYLED_ITEM('',(#26258),#26256); +#26260=DIRECTION('',(0.E0,0.E0,1.E0)); +#26261=VECTOR('',#26260,2.1E0); +#26262=CARTESIAN_POINT('',(3.55E0,1.665128247301E1,-3.3E0)); +#26263=LINE('',#26262,#26261); +#26264=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26265=PRESENTATION_STYLE_ASSIGNMENT((#26264)); +#26266=STYLED_ITEM('',(#26265),#26263); +#26267=DIRECTION('',(0.E0,0.E0,1.E0)); +#26268=VECTOR('',#26267,3.2E0); +#26269=CARTESIAN_POINT('',(4.55E0,7.6E0,-4.4E0)); +#26270=LINE('',#26269,#26268); +#26271=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26272=PRESENTATION_STYLE_ASSIGNMENT((#26271)); +#26273=STYLED_ITEM('',(#26272),#26270); +#26274=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26275=VECTOR('',#26274,3.2E0); +#26276=CARTESIAN_POINT('',(3.55E0,8.6E0,-1.2E0)); +#26277=LINE('',#26276,#26275); +#26278=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26279=PRESENTATION_STYLE_ASSIGNMENT((#26278)); +#26280=STYLED_ITEM('',(#26279),#26277); +#26281=DIRECTION('',(0.E0,0.E0,1.E0)); +#26282=VECTOR('',#26281,2.1E0); +#26283=CARTESIAN_POINT('',(3.E0,5.55E1,-3.3E0)); +#26284=LINE('',#26283,#26282); +#26285=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26286=PRESENTATION_STYLE_ASSIGNMENT((#26285)); +#26287=STYLED_ITEM('',(#26286),#26284); +#26288=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26289=VECTOR('',#26288,2.1E0); +#26290=CARTESIAN_POINT('',(4.E0,5.45E1,-1.2E0)); +#26291=LINE('',#26290,#26289); +#26292=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26293=PRESENTATION_STYLE_ASSIGNMENT((#26292)); +#26294=STYLED_ITEM('',(#26293),#26291); +#26295=DIRECTION('',(0.E0,1.E0,0.E0)); +#26296=VECTOR('',#26295,1.18E1); +#26297=CARTESIAN_POINT('',(4.E0,4.27E1,-3.3E0)); +#26298=LINE('',#26297,#26296); +#26299=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26300=PRESENTATION_STYLE_ASSIGNMENT((#26299)); +#26301=STYLED_ITEM('',(#26300),#26298); +#26302=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26303=VECTOR('',#26302,2.1E0); +#26304=CARTESIAN_POINT('',(5.5E0,4.12E1,-1.2E0)); +#26305=LINE('',#26304,#26303); +#26306=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26307=PRESENTATION_STYLE_ASSIGNMENT((#26306)); +#26308=STYLED_ITEM('',(#26307),#26305); +#26309=DIRECTION('',(0.E0,0.E0,1.E0)); +#26310=VECTOR('',#26309,2.1E0); +#26311=CARTESIAN_POINT('',(4.E0,4.27E1,-3.3E0)); +#26312=LINE('',#26311,#26310); +#26313=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26314=PRESENTATION_STYLE_ASSIGNMENT((#26313)); +#26315=STYLED_ITEM('',(#26314),#26312); +#26316=DIRECTION('',(1.E0,0.E0,0.E0)); +#26317=VECTOR('',#26316,1.48E1); +#26318=CARTESIAN_POINT('',(5.5E0,4.12E1,-1.2E0)); +#26319=LINE('',#26318,#26317); +#26320=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26321=PRESENTATION_STYLE_ASSIGNMENT((#26320)); +#26322=STYLED_ITEM('',(#26321),#26319); +#26323=DIRECTION('',(0.E0,0.E0,1.E0)); +#26324=VECTOR('',#26323,2.1E0); +#26325=CARTESIAN_POINT('',(2.03E1,4.12E1,-3.3E0)); +#26326=LINE('',#26325,#26324); +#26327=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26328=PRESENTATION_STYLE_ASSIGNMENT((#26327)); +#26329=STYLED_ITEM('',(#26328),#26326); +#26330=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26331=VECTOR('',#26330,2.1E0); +#26332=CARTESIAN_POINT('',(2.18E1,4.27E1,-1.2E0)); +#26333=LINE('',#26332,#26331); +#26334=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26335=PRESENTATION_STYLE_ASSIGNMENT((#26334)); +#26336=STYLED_ITEM('',(#26335),#26333); +#26337=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26338=VECTOR('',#26337,1.18E1); +#26339=CARTESIAN_POINT('',(2.18E1,5.45E1,-3.3E0)); +#26340=LINE('',#26339,#26338); +#26341=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26342=PRESENTATION_STYLE_ASSIGNMENT((#26341)); +#26343=STYLED_ITEM('',(#26342),#26340); +#26344=DIRECTION('',(0.E0,0.E0,1.E0)); +#26345=VECTOR('',#26344,2.1E0); +#26346=CARTESIAN_POINT('',(2.18E1,5.45E1,-3.3E0)); +#26347=LINE('',#26346,#26345); +#26348=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26349=PRESENTATION_STYLE_ASSIGNMENT((#26348)); +#26350=STYLED_ITEM('',(#26349),#26347); +#26351=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26352=VECTOR('',#26351,2.1E0); +#26353=CARTESIAN_POINT('',(2.28E1,5.55E1,-1.2E0)); +#26354=LINE('',#26353,#26352); +#26355=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26356=PRESENTATION_STYLE_ASSIGNMENT((#26355)); +#26357=STYLED_ITEM('',(#26356),#26354); +#26358=DIRECTION('',(1.E0,0.E0,0.E0)); +#26359=VECTOR('',#26358,2.85E0); +#26360=CARTESIAN_POINT('',(2.28E1,5.55E1,-1.2E0)); +#26361=LINE('',#26360,#26359); +#26362=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26363=PRESENTATION_STYLE_ASSIGNMENT((#26362)); +#26364=STYLED_ITEM('',(#26363),#26361); +#26365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26366=VECTOR('',#26365,2.1E0); +#26367=CARTESIAN_POINT('',(2.565E1,5.55E1,-1.2E0)); +#26368=LINE('',#26367,#26366); +#26369=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26370=PRESENTATION_STYLE_ASSIGNMENT((#26369)); +#26371=STYLED_ITEM('',(#26370),#26368); +#26372=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26373=VECTOR('',#26372,2.85E0); +#26374=CARTESIAN_POINT('',(2.565E1,5.55E1,-3.3E0)); +#26375=LINE('',#26374,#26373); +#26376=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26377=PRESENTATION_STYLE_ASSIGNMENT((#26376)); +#26378=STYLED_ITEM('',(#26377),#26375); +#26379=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26380=VECTOR('',#26379,3.E0); +#26381=CARTESIAN_POINT('',(2.2832E1,5.7808E1,-1.2E0)); +#26382=LINE('',#26381,#26380); +#26383=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26384=PRESENTATION_STYLE_ASSIGNMENT((#26383)); +#26385=STYLED_ITEM('',(#26384),#26382); +#26386=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26387=VECTOR('',#26386,3.E0); +#26388=CARTESIAN_POINT('',(2.2832E1,5.9908E1,-1.2E0)); +#26389=LINE('',#26388,#26387); +#26390=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26391=PRESENTATION_STYLE_ASSIGNMENT((#26390)); +#26392=STYLED_ITEM('',(#26391),#26389); +#26393=CARTESIAN_POINT('',(2.2832E1,5.8858E1,-4.2E0)); +#26394=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26395=DIRECTION('',(0.E0,1.E0,0.E0)); +#26396=AXIS2_PLACEMENT_3D('',#26393,#26394,#26395); +#26398=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26399=PRESENTATION_STYLE_ASSIGNMENT((#26398)); +#26400=STYLED_ITEM('',(#26399),#26397); +#26401=CARTESIAN_POINT('',(2.2832E1,5.8858E1,-4.2E0)); +#26402=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26403=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26404=AXIS2_PLACEMENT_3D('',#26401,#26402,#26403); +#26406=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26407=PRESENTATION_STYLE_ASSIGNMENT((#26406)); +#26408=STYLED_ITEM('',(#26407),#26405); +#26409=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26410=VECTOR('',#26409,3.E0); +#26411=CARTESIAN_POINT('',(2.821E0,5.7812E1,-1.2E0)); +#26412=LINE('',#26411,#26410); +#26413=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26414=PRESENTATION_STYLE_ASSIGNMENT((#26413)); +#26415=STYLED_ITEM('',(#26414),#26412); +#26416=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26417=VECTOR('',#26416,3.E0); +#26418=CARTESIAN_POINT('',(2.821E0,5.9912E1,-1.2E0)); +#26419=LINE('',#26418,#26417); +#26420=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26421=PRESENTATION_STYLE_ASSIGNMENT((#26420)); +#26422=STYLED_ITEM('',(#26421),#26419); +#26423=CARTESIAN_POINT('',(2.821E0,5.8862E1,-4.2E0)); +#26424=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26425=DIRECTION('',(0.E0,1.E0,0.E0)); +#26426=AXIS2_PLACEMENT_3D('',#26423,#26424,#26425); +#26428=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26429=PRESENTATION_STYLE_ASSIGNMENT((#26428)); +#26430=STYLED_ITEM('',(#26429),#26427); +#26431=CARTESIAN_POINT('',(2.821E0,5.8862E1,-4.2E0)); +#26432=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26433=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26434=AXIS2_PLACEMENT_3D('',#26431,#26432,#26433); +#26436=CURVE_STYLE('',#25282,POSITIVE_LENGTH_MEASURE(2.E-2),#31); +#26437=PRESENTATION_STYLE_ASSIGNMENT((#26436)); +#26438=STYLED_ITEM('',(#26437),#26435); +#26439=CARTESIAN_POINT('',(1.5E-1,5.55E1,-1.2E0)); +#26440=CARTESIAN_POINT('',(3.E0,5.55E1,-1.2E0)); +#26441=VERTEX_POINT('',#26439); +#26442=VERTEX_POINT('',#26440); +#26443=CARTESIAN_POINT('',(1.5E-1,6.1E1,-1.2E0)); +#26444=VERTEX_POINT('',#26443); +#26445=CARTESIAN_POINT('',(1.15E0,6.2E1,-1.2E0)); +#26446=VERTEX_POINT('',#26445); +#26447=CARTESIAN_POINT('',(2.465E1,6.2E1,-1.2E0)); +#26448=VERTEX_POINT('',#26447); +#26449=CARTESIAN_POINT('',(2.565E1,6.1E1,-1.2E0)); +#26450=VERTEX_POINT('',#26449); +#26451=CARTESIAN_POINT('',(2.565E1,5.55E1,-1.2E0)); +#26452=VERTEX_POINT('',#26451); +#26453=CARTESIAN_POINT('',(2.28E1,5.55E1,-1.2E0)); +#26454=VERTEX_POINT('',#26453); +#26455=CARTESIAN_POINT('',(2.18E1,5.45E1,-1.2E0)); +#26456=VERTEX_POINT('',#26455); +#26457=CARTESIAN_POINT('',(2.18E1,4.27E1,-1.2E0)); +#26458=VERTEX_POINT('',#26457); +#26459=CARTESIAN_POINT('',(2.03E1,4.12E1,-1.2E0)); +#26460=VERTEX_POINT('',#26459); +#26461=CARTESIAN_POINT('',(5.5E0,4.12E1,-1.2E0)); +#26462=VERTEX_POINT('',#26461); +#26463=CARTESIAN_POINT('',(4.E0,4.27E1,-1.2E0)); +#26464=VERTEX_POINT('',#26463); +#26465=CARTESIAN_POINT('',(4.E0,5.45E1,-1.2E0)); +#26466=VERTEX_POINT('',#26465); +#26467=CARTESIAN_POINT('',(2.2832E1,5.7808E1,-1.2E0)); +#26468=CARTESIAN_POINT('',(2.2832E1,5.9908E1,-1.2E0)); +#26469=VERTEX_POINT('',#26467); +#26470=VERTEX_POINT('',#26468); +#26471=CARTESIAN_POINT('',(2.821E0,5.7812E1,-1.2E0)); +#26472=CARTESIAN_POINT('',(2.821E0,5.9912E1,-1.2E0)); +#26473=VERTEX_POINT('',#26471); +#26474=VERTEX_POINT('',#26472); +#26475=CARTESIAN_POINT('',(1.5E-1,5.55E1,-3.3E0)); +#26476=VERTEX_POINT('',#26475); +#26477=CARTESIAN_POINT('',(3.E0,5.55E1,-3.3E0)); +#26478=VERTEX_POINT('',#26477); +#26479=CARTESIAN_POINT('',(1.5E-1,5.78E1,-4.4E0)); +#26480=CARTESIAN_POINT('',(1.5E-1,5.78E1,-6.E0)); +#26481=VERTEX_POINT('',#26479); +#26482=VERTEX_POINT('',#26480); +#26483=CARTESIAN_POINT('',(1.5E-1,6.1E1,-6.E0)); +#26484=VERTEX_POINT('',#26483); +#26485=CARTESIAN_POINT('',(1.5E-1,2.3E1,-3.3E0)); +#26486=VERTEX_POINT('',#26485); +#26487=CARTESIAN_POINT('',(1.5E-1,2.3E1,-1.2E0)); +#26488=VERTEX_POINT('',#26487); +#26489=CARTESIAN_POINT('',(1.5E-1,6.8E0,-1.2E0)); +#26490=VERTEX_POINT('',#26489); +#26491=CARTESIAN_POINT('',(1.5E-1,6.8E0,-6.E0)); +#26492=VERTEX_POINT('',#26491); +#26493=CARTESIAN_POINT('',(1.5E-1,7.6E0,-6.E0)); +#26494=VERTEX_POINT('',#26493); +#26495=CARTESIAN_POINT('',(1.5E-1,7.6E0,-4.4E0)); +#26496=VERTEX_POINT('',#26495); +#26497=CARTESIAN_POINT('',(2.565E1,5.78E1,-6.E0)); +#26498=VERTEX_POINT('',#26497); +#26499=CARTESIAN_POINT('',(2.565E1,5.78E1,-4.4E0)); +#26500=VERTEX_POINT('',#26499); +#26501=CARTESIAN_POINT('',(2.565E1,6.1E1,-6.E0)); +#26502=VERTEX_POINT('',#26501); +#26503=CARTESIAN_POINT('',(2.465E1,6.2E1,-6.E0)); +#26504=VERTEX_POINT('',#26503); +#26505=CARTESIAN_POINT('',(1.15E0,6.2E1,-6.E0)); +#26506=VERTEX_POINT('',#26505); +#26507=CARTESIAN_POINT('',(2.565E1,7.6E0,-4.4E0)); +#26508=VERTEX_POINT('',#26507); +#26509=CARTESIAN_POINT('',(2.565E1,7.6E0,-6.E0)); +#26510=VERTEX_POINT('',#26509); +#26511=CARTESIAN_POINT('',(2.565E1,6.8E0,-6.E0)); +#26512=VERTEX_POINT('',#26511); +#26513=CARTESIAN_POINT('',(2.565E1,6.8E0,-1.2E0)); +#26514=VERTEX_POINT('',#26513); +#26515=CARTESIAN_POINT('',(2.565E1,2.3E1,-1.2E0)); +#26516=VERTEX_POINT('',#26515); +#26517=CARTESIAN_POINT('',(2.565E1,2.3E1,-3.3E0)); +#26518=VERTEX_POINT('',#26517); +#26519=CARTESIAN_POINT('',(2.565E1,5.55E1,-3.3E0)); +#26520=VERTEX_POINT('',#26519); +#26521=CARTESIAN_POINT('',(3.55E0,1.06E1,-4.4E0)); +#26522=CARTESIAN_POINT('',(3.55E0,8.6E0,-4.4E0)); +#26523=VERTEX_POINT('',#26521); +#26524=VERTEX_POINT('',#26522); +#26525=CARTESIAN_POINT('',(4.55E0,1.16E1,-4.4E0)); +#26526=VERTEX_POINT('',#26525); +#26527=CARTESIAN_POINT('',(2.33E1,1.16E1,-4.4E0)); +#26528=VERTEX_POINT('',#26527); +#26529=CARTESIAN_POINT('',(2.43E1,1.06E1,-4.4E0)); +#26530=VERTEX_POINT('',#26529); +#26531=CARTESIAN_POINT('',(2.43E1,8.6E0,-4.4E0)); +#26532=VERTEX_POINT('',#26531); +#26533=CARTESIAN_POINT('',(2.33E1,7.6E0,-4.4E0)); +#26534=VERTEX_POINT('',#26533); +#26535=CARTESIAN_POINT('',(4.55E0,7.6E0,-4.4E0)); +#26536=VERTEX_POINT('',#26535); +#26537=CARTESIAN_POINT('',(3.55E0,1.06E1,-3.3E0)); +#26538=VERTEX_POINT('',#26537); +#26539=CARTESIAN_POINT('',(3.55E0,8.6E0,-1.2E0)); +#26540=VERTEX_POINT('',#26539); +#26541=CARTESIAN_POINT('',(3.55E0,1.665128247301E1,-1.2E0)); +#26542=VERTEX_POINT('',#26541); +#26543=CARTESIAN_POINT('',(3.55E0,1.665128247301E1,-3.3E0)); +#26544=VERTEX_POINT('',#26543); +#26545=CARTESIAN_POINT('',(4.55E0,1.16E1,-3.3E0)); +#26546=VERTEX_POINT('',#26545); +#26547=CARTESIAN_POINT('',(2.33E1,1.16E1,-3.3E0)); +#26548=VERTEX_POINT('',#26547); +#26549=CARTESIAN_POINT('',(4.325000013078E0,1.762564123953E1,-3.3E0)); +#26550=VERTEX_POINT('',#26549); +#26551=CARTESIAN_POINT('',(5.1E0,1.86E1,-3.3E0)); +#26552=VERTEX_POINT('',#26551); +#26553=CARTESIAN_POINT('',(5.1E0,2.2E1,-3.3E0)); +#26554=VERTEX_POINT('',#26553); +#26555=CARTESIAN_POINT('',(4.1E0,2.3E1,-3.3E0)); +#26556=VERTEX_POINT('',#26555); +#26557=CARTESIAN_POINT('',(4.E0,5.45E1,-3.3E0)); +#26558=VERTEX_POINT('',#26557); +#26559=CARTESIAN_POINT('',(4.E0,4.27E1,-3.3E0)); +#26560=VERTEX_POINT('',#26559); +#26561=CARTESIAN_POINT('',(5.5E0,4.12E1,-3.3E0)); +#26562=VERTEX_POINT('',#26561); +#26563=CARTESIAN_POINT('',(2.03E1,4.12E1,-3.3E0)); +#26564=VERTEX_POINT('',#26563); +#26565=CARTESIAN_POINT('',(2.18E1,4.27E1,-3.3E0)); +#26566=VERTEX_POINT('',#26565); +#26567=CARTESIAN_POINT('',(2.18E1,5.45E1,-3.3E0)); +#26568=VERTEX_POINT('',#26567); +#26569=CARTESIAN_POINT('',(2.28E1,5.55E1,-3.3E0)); +#26570=VERTEX_POINT('',#26569); +#26571=CARTESIAN_POINT('',(2.17E1,2.3E1,-3.3E0)); +#26572=VERTEX_POINT('',#26571); +#26573=CARTESIAN_POINT('',(2.07E1,2.2E1,-3.3E0)); +#26574=VERTEX_POINT('',#26573); +#26575=CARTESIAN_POINT('',(2.07E1,1.86E1,-3.3E0)); +#26576=VERTEX_POINT('',#26575); +#26577=CARTESIAN_POINT('',(2.17E1,1.76E1,-3.3E0)); +#26578=VERTEX_POINT('',#26577); +#26579=CARTESIAN_POINT('',(2.33E1,1.76E1,-3.3E0)); +#26580=VERTEX_POINT('',#26579); +#26581=CARTESIAN_POINT('',(2.43E1,1.66E1,-3.3E0)); +#26582=VERTEX_POINT('',#26581); +#26583=CARTESIAN_POINT('',(2.43E1,1.06E1,-3.3E0)); +#26584=VERTEX_POINT('',#26583); +#26585=CARTESIAN_POINT('',(2.43E1,1.66E1,-1.2E0)); +#26586=VERTEX_POINT('',#26585); +#26587=CARTESIAN_POINT('',(2.43E1,8.6E0,-1.2E0)); +#26588=VERTEX_POINT('',#26587); +#26589=CARTESIAN_POINT('',(2.33E1,1.76E1,-1.2E0)); +#26590=VERTEX_POINT('',#26589); +#26591=CARTESIAN_POINT('',(2.17E1,1.76E1,-1.2E0)); +#26592=VERTEX_POINT('',#26591); +#26593=CARTESIAN_POINT('',(2.07E1,1.86E1,-1.2E0)); +#26594=VERTEX_POINT('',#26593); +#26595=CARTESIAN_POINT('',(2.17E1,2.3E1,-1.2E0)); +#26596=VERTEX_POINT('',#26595); +#26597=CARTESIAN_POINT('',(2.465E1,5.8E0,-1.2E0)); +#26598=VERTEX_POINT('',#26597); +#26599=CARTESIAN_POINT('',(1.75E1,5.8E0,-1.2E0)); +#26600=VERTEX_POINT('',#26599); +#26601=CARTESIAN_POINT('',(1.75E1,7.6E0,-1.2E0)); +#26602=VERTEX_POINT('',#26601); +#26603=CARTESIAN_POINT('',(2.33E1,7.6E0,-1.2E0)); +#26604=VERTEX_POINT('',#26603); +#26605=CARTESIAN_POINT('',(2.07E1,2.2E1,-1.2E0)); +#26606=VERTEX_POINT('',#26605); +#26607=CARTESIAN_POINT('',(2.465E1,5.8E0,-6.E0)); +#26608=VERTEX_POINT('',#26607); +#26609=CARTESIAN_POINT('',(1.15E0,5.8E0,-6.E0)); +#26610=VERTEX_POINT('',#26609); +#26611=CARTESIAN_POINT('',(1.75E1,7.6E0,-3.9E0)); +#26612=VERTEX_POINT('',#26611); +#26613=CARTESIAN_POINT('',(1.7E1,7.6E0,-4.4E0)); +#26614=VERTEX_POINT('',#26613); +#26615=CARTESIAN_POINT('',(8.5E0,7.6E0,-4.4E0)); +#26616=VERTEX_POINT('',#26615); +#26617=CARTESIAN_POINT('',(8.E0,7.6E0,-3.9E0)); +#26618=VERTEX_POINT('',#26617); +#26619=CARTESIAN_POINT('',(8.E0,7.6E0,-1.2E0)); +#26620=VERTEX_POINT('',#26619); +#26621=CARTESIAN_POINT('',(4.55E0,7.6E0,-1.2E0)); +#26622=VERTEX_POINT('',#26621); +#26623=CARTESIAN_POINT('',(1.75E1,5.8E0,-3.9E0)); +#26624=VERTEX_POINT('',#26623); +#26625=CARTESIAN_POINT('',(1.15E0,5.8E0,-1.2E0)); +#26626=VERTEX_POINT('',#26625); +#26627=CARTESIAN_POINT('',(8.E0,5.8E0,-1.2E0)); +#26628=VERTEX_POINT('',#26627); +#26629=CARTESIAN_POINT('',(8.E0,5.8E0,-3.9E0)); +#26630=VERTEX_POINT('',#26629); +#26631=CARTESIAN_POINT('',(8.5E0,5.8E0,-4.4E0)); +#26632=VERTEX_POINT('',#26631); +#26633=CARTESIAN_POINT('',(1.7E1,5.8E0,-4.4E0)); +#26634=VERTEX_POINT('',#26633); +#26635=CARTESIAN_POINT('',(4.1E0,2.3E1,-1.2E0)); +#26636=VERTEX_POINT('',#26635); +#26637=CARTESIAN_POINT('',(5.1E0,2.2E1,-1.2E0)); +#26638=VERTEX_POINT('',#26637); +#26639=CARTESIAN_POINT('',(5.1E0,1.86E1,-1.2E0)); +#26640=VERTEX_POINT('',#26639); +#26641=CARTESIAN_POINT('',(4.324999911222E0,1.762564121600E1,-1.2E0)); +#26642=VERTEX_POINT('',#26641); +#26643=CARTESIAN_POINT('',(2.2832E1,5.7808E1,-4.2E0)); +#26644=VERTEX_POINT('',#26643); +#26645=CARTESIAN_POINT('',(2.2832E1,5.9908E1,-4.2E0)); +#26646=VERTEX_POINT('',#26645); +#26647=CARTESIAN_POINT('',(2.821E0,5.7812E1,-4.2E0)); +#26648=VERTEX_POINT('',#26647); +#26649=CARTESIAN_POINT('',(2.821E0,5.9912E1,-4.2E0)); +#26650=VERTEX_POINT('',#26649); +#26651=CARTESIAN_POINT('',(0.E0,6.2E1,-1.2E0)); +#26652=DIRECTION('',(0.E0,0.E0,1.E0)); +#26653=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26654=AXIS2_PLACEMENT_3D('',#26651,#26652,#26653); +#26655=PLANE('',#26654); +#26657=ORIENTED_EDGE('',*,*,#26656,.F.); +#26659=ORIENTED_EDGE('',*,*,#26658,.F.); +#26661=ORIENTED_EDGE('',*,*,#26660,.T.); +#26663=ORIENTED_EDGE('',*,*,#26662,.F.); +#26665=ORIENTED_EDGE('',*,*,#26664,.T.); +#26667=ORIENTED_EDGE('',*,*,#26666,.F.); +#26669=ORIENTED_EDGE('',*,*,#26668,.F.); +#26671=ORIENTED_EDGE('',*,*,#26670,.T.); +#26673=ORIENTED_EDGE('',*,*,#26672,.T.); +#26675=ORIENTED_EDGE('',*,*,#26674,.T.); +#26677=ORIENTED_EDGE('',*,*,#26676,.F.); +#26679=ORIENTED_EDGE('',*,*,#26678,.T.); +#26681=ORIENTED_EDGE('',*,*,#26680,.T.); +#26683=ORIENTED_EDGE('',*,*,#26682,.T.); +#26684=EDGE_LOOP('',(#26657,#26659,#26661,#26663,#26665,#26667,#26669,#26671, +#26673,#26675,#26677,#26679,#26681,#26683)); +#26685=FACE_OUTER_BOUND('',#26684,.F.); +#26687=ORIENTED_EDGE('',*,*,#26686,.T.); +#26689=ORIENTED_EDGE('',*,*,#26688,.T.); +#26690=EDGE_LOOP('',(#26687,#26689)); +#26691=FACE_BOUND('',#26690,.F.); +#26693=ORIENTED_EDGE('',*,*,#26692,.T.); +#26695=ORIENTED_EDGE('',*,*,#26694,.T.); +#26696=EDGE_LOOP('',(#26693,#26695)); +#26697=FACE_BOUND('',#26696,.F.); +#26699=CARTESIAN_POINT('',(4.E0,5.55E1,-1.2E0)); +#26700=DIRECTION('',(0.E0,1.E0,0.E0)); +#26701=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26702=AXIS2_PLACEMENT_3D('',#26699,#26700,#26701); +#26703=PLANE('',#26702); +#26705=ORIENTED_EDGE('',*,*,#26704,.F.); +#26706=ORIENTED_EDGE('',*,*,#26656,.T.); +#26708=ORIENTED_EDGE('',*,*,#26707,.F.); +#26710=ORIENTED_EDGE('',*,*,#26709,.T.); +#26711=EDGE_LOOP('',(#26705,#26706,#26708,#26710)); +#26712=FACE_OUTER_BOUND('',#26711,.F.); +#26714=CARTESIAN_POINT('',(1.5E-1,0.E0,0.E0)); +#26715=DIRECTION('',(1.E0,0.E0,0.E0)); +#26716=DIRECTION('',(0.E0,1.E0,0.E0)); +#26717=AXIS2_PLACEMENT_3D('',#26714,#26715,#26716); +#26718=PLANE('',#26717); +#26720=ORIENTED_EDGE('',*,*,#26719,.T.); +#26722=ORIENTED_EDGE('',*,*,#26721,.T.); +#26724=ORIENTED_EDGE('',*,*,#26723,.F.); +#26725=ORIENTED_EDGE('',*,*,#26658,.T.); +#26726=ORIENTED_EDGE('',*,*,#26704,.T.); +#26728=ORIENTED_EDGE('',*,*,#26727,.F.); +#26730=ORIENTED_EDGE('',*,*,#26729,.T.); +#26732=ORIENTED_EDGE('',*,*,#26731,.T.); +#26734=ORIENTED_EDGE('',*,*,#26733,.F.); +#26736=ORIENTED_EDGE('',*,*,#26735,.T.); +#26738=ORIENTED_EDGE('',*,*,#26737,.F.); +#26740=ORIENTED_EDGE('',*,*,#26739,.T.); +#26741=EDGE_LOOP('',(#26720,#26722,#26724,#26725,#26726,#26728,#26730,#26732, +#26734,#26736,#26738,#26740)); +#26742=FACE_OUTER_BOUND('',#26741,.F.); +#26744=CARTESIAN_POINT('',(0.E0,5.78E1,-5.5E0)); +#26745=DIRECTION('',(0.E0,1.E0,0.E0)); +#26746=DIRECTION('',(1.E0,0.E0,0.E0)); +#26747=AXIS2_PLACEMENT_3D('',#26744,#26745,#26746); +#26748=PLANE('',#26747); +#26750=ORIENTED_EDGE('',*,*,#26749,.T.); +#26751=ORIENTED_EDGE('',*,*,#26719,.F.); +#26753=ORIENTED_EDGE('',*,*,#26752,.T.); +#26755=ORIENTED_EDGE('',*,*,#26754,.T.); +#26756=EDGE_LOOP('',(#26750,#26751,#26753,#26755)); +#26757=FACE_OUTER_BOUND('',#26756,.F.); +#26759=CARTESIAN_POINT('',(0.E0,6.3E0,-6.E0)); +#26760=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26761=DIRECTION('',(0.E0,1.E0,0.E0)); +#26762=AXIS2_PLACEMENT_3D('',#26759,#26760,#26761); +#26763=PLANE('',#26762); +#26764=ORIENTED_EDGE('',*,*,#26749,.F.); +#26766=ORIENTED_EDGE('',*,*,#26765,.F.); +#26768=ORIENTED_EDGE('',*,*,#26767,.T.); +#26770=ORIENTED_EDGE('',*,*,#26769,.F.); +#26772=ORIENTED_EDGE('',*,*,#26771,.T.); +#26773=ORIENTED_EDGE('',*,*,#26721,.F.); +#26774=EDGE_LOOP('',(#26764,#26766,#26768,#26770,#26772,#26773)); +#26775=FACE_OUTER_BOUND('',#26774,.F.); +#26777=CARTESIAN_POINT('',(2.565E1,0.E0,0.E0)); +#26778=DIRECTION('',(1.E0,0.E0,0.E0)); +#26779=DIRECTION('',(0.E0,1.E0,0.E0)); +#26780=AXIS2_PLACEMENT_3D('',#26777,#26778,#26779); +#26781=PLANE('',#26780); +#26783=ORIENTED_EDGE('',*,*,#26782,.F.); +#26784=ORIENTED_EDGE('',*,*,#26765,.T.); +#26785=ORIENTED_EDGE('',*,*,#26754,.F.); +#26787=ORIENTED_EDGE('',*,*,#26786,.F.); +#26789=ORIENTED_EDGE('',*,*,#26788,.F.); +#26791=ORIENTED_EDGE('',*,*,#26790,.T.); +#26793=ORIENTED_EDGE('',*,*,#26792,.F.); +#26795=ORIENTED_EDGE('',*,*,#26794,.T.); +#26797=ORIENTED_EDGE('',*,*,#26796,.T.); +#26799=ORIENTED_EDGE('',*,*,#26798,.T.); +#26801=ORIENTED_EDGE('',*,*,#26800,.F.); +#26802=ORIENTED_EDGE('',*,*,#26666,.T.); +#26803=EDGE_LOOP('',(#26783,#26784,#26785,#26787,#26789,#26791,#26793,#26795, +#26797,#26799,#26801,#26802)); +#26804=FACE_OUTER_BOUND('',#26803,.F.); +#26806=CARTESIAN_POINT('',(2.465E1,6.1E1,-1.15662E1)); +#26807=DIRECTION('',(0.E0,0.E0,1.E0)); +#26808=DIRECTION('',(1.E0,0.E0,0.E0)); +#26809=AXIS2_PLACEMENT_3D('',#26806,#26807,#26808); +#26810=CYLINDRICAL_SURFACE('',#26809,1.E0); +#26812=ORIENTED_EDGE('',*,*,#26811,.T.); +#26813=ORIENTED_EDGE('',*,*,#26767,.F.); +#26814=ORIENTED_EDGE('',*,*,#26782,.T.); +#26815=ORIENTED_EDGE('',*,*,#26664,.F.); +#26816=EDGE_LOOP('',(#26812,#26813,#26814,#26815)); +#26817=FACE_OUTER_BOUND('',#26816,.F.); +#26819=CARTESIAN_POINT('',(0.E0,6.2E1,-5.5E0)); +#26820=DIRECTION('',(0.E0,1.E0,0.E0)); +#26821=DIRECTION('',(0.E0,0.E0,1.E0)); +#26822=AXIS2_PLACEMENT_3D('',#26819,#26820,#26821); +#26823=PLANE('',#26822); +#26825=ORIENTED_EDGE('',*,*,#26824,.F.); +#26826=ORIENTED_EDGE('',*,*,#26769,.T.); +#26827=ORIENTED_EDGE('',*,*,#26811,.F.); +#26828=ORIENTED_EDGE('',*,*,#26662,.T.); +#26829=EDGE_LOOP('',(#26825,#26826,#26827,#26828)); +#26830=FACE_OUTER_BOUND('',#26829,.F.); +#26832=CARTESIAN_POINT('',(1.15E0,6.1E1,4.6662E0)); +#26833=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26834=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26835=AXIS2_PLACEMENT_3D('',#26832,#26833,#26834); +#26836=CYLINDRICAL_SURFACE('',#26835,1.E0); +#26837=ORIENTED_EDGE('',*,*,#26723,.T.); +#26838=ORIENTED_EDGE('',*,*,#26771,.F.); +#26839=ORIENTED_EDGE('',*,*,#26824,.T.); +#26840=ORIENTED_EDGE('',*,*,#26660,.F.); +#26841=EDGE_LOOP('',(#26837,#26838,#26839,#26840)); +#26842=FACE_OUTER_BOUND('',#26841,.F.); +#26844=CARTESIAN_POINT('',(0.E0,0.E0,-4.4E0)); +#26845=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26846=DIRECTION('',(0.E0,1.E0,0.E0)); +#26847=AXIS2_PLACEMENT_3D('',#26844,#26845,#26846); +#26848=PLANE('',#26847); +#26850=ORIENTED_EDGE('',*,*,#26849,.F.); +#26852=ORIENTED_EDGE('',*,*,#26851,.T.); +#26854=ORIENTED_EDGE('',*,*,#26853,.F.); +#26856=ORIENTED_EDGE('',*,*,#26855,.T.); +#26858=ORIENTED_EDGE('',*,*,#26857,.F.); +#26860=ORIENTED_EDGE('',*,*,#26859,.T.); +#26862=ORIENTED_EDGE('',*,*,#26861,.T.); +#26863=ORIENTED_EDGE('',*,*,#26786,.T.); +#26864=ORIENTED_EDGE('',*,*,#26752,.F.); +#26865=ORIENTED_EDGE('',*,*,#26739,.F.); +#26867=ORIENTED_EDGE('',*,*,#26866,.T.); +#26869=ORIENTED_EDGE('',*,*,#26868,.T.); +#26870=EDGE_LOOP('',(#26850,#26852,#26854,#26856,#26858,#26860,#26862,#26863, +#26864,#26865,#26867,#26869)); +#26871=FACE_OUTER_BOUND('',#26870,.F.); +#26873=CARTESIAN_POINT('',(3.55E0,7.7E0,-4.E0)); +#26874=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26875=DIRECTION('',(0.E0,1.E0,0.E0)); +#26876=AXIS2_PLACEMENT_3D('',#26873,#26874,#26875); +#26877=PLANE('',#26876); +#26879=ORIENTED_EDGE('',*,*,#26878,.F.); +#26880=ORIENTED_EDGE('',*,*,#26849,.T.); +#26882=ORIENTED_EDGE('',*,*,#26881,.F.); +#26884=ORIENTED_EDGE('',*,*,#26883,.T.); +#26886=ORIENTED_EDGE('',*,*,#26885,.F.); +#26888=ORIENTED_EDGE('',*,*,#26887,.F.); +#26889=EDGE_LOOP('',(#26879,#26880,#26882,#26884,#26886,#26888)); +#26890=FACE_OUTER_BOUND('',#26889,.F.); +#26892=CARTESIAN_POINT('',(4.55E0,1.06E1,5.057280026938E0)); +#26893=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26894=DIRECTION('',(-1.E0,0.E0,0.E0)); +#26895=AXIS2_PLACEMENT_3D('',#26892,#26893,#26894); +#26896=CYLINDRICAL_SURFACE('',#26895,1.E0); +#26897=ORIENTED_EDGE('',*,*,#26878,.T.); +#26899=ORIENTED_EDGE('',*,*,#26898,.F.); +#26901=ORIENTED_EDGE('',*,*,#26900,.T.); +#26902=ORIENTED_EDGE('',*,*,#26851,.F.); +#26903=EDGE_LOOP('',(#26897,#26899,#26901,#26902)); +#26904=FACE_OUTER_BOUND('',#26903,.F.); +#26906=CARTESIAN_POINT('',(0.E0,0.E0,-3.3E0)); +#26907=DIRECTION('',(0.E0,0.E0,1.E0)); +#26908=DIRECTION('',(0.E0,1.E0,0.E0)); +#26909=AXIS2_PLACEMENT_3D('',#26906,#26907,#26908); +#26910=PLANE('',#26909); +#26912=ORIENTED_EDGE('',*,*,#26911,.F.); +#26913=ORIENTED_EDGE('',*,*,#26898,.T.); +#26914=ORIENTED_EDGE('',*,*,#26887,.T.); +#26916=ORIENTED_EDGE('',*,*,#26915,.T.); +#26918=ORIENTED_EDGE('',*,*,#26917,.T.); +#26920=ORIENTED_EDGE('',*,*,#26919,.F.); +#26922=ORIENTED_EDGE('',*,*,#26921,.T.); +#26924=ORIENTED_EDGE('',*,*,#26923,.F.); +#26925=ORIENTED_EDGE('',*,*,#26727,.T.); +#26926=ORIENTED_EDGE('',*,*,#26709,.F.); +#26928=ORIENTED_EDGE('',*,*,#26927,.T.); +#26930=ORIENTED_EDGE('',*,*,#26929,.F.); +#26932=ORIENTED_EDGE('',*,*,#26931,.T.); +#26934=ORIENTED_EDGE('',*,*,#26933,.T.); +#26936=ORIENTED_EDGE('',*,*,#26935,.T.); +#26938=ORIENTED_EDGE('',*,*,#26937,.F.); +#26940=ORIENTED_EDGE('',*,*,#26939,.T.); +#26942=ORIENTED_EDGE('',*,*,#26941,.F.); +#26943=ORIENTED_EDGE('',*,*,#26798,.F.); +#26945=ORIENTED_EDGE('',*,*,#26944,.F.); +#26947=ORIENTED_EDGE('',*,*,#26946,.T.); +#26949=ORIENTED_EDGE('',*,*,#26948,.F.); +#26951=ORIENTED_EDGE('',*,*,#26950,.T.); +#26953=ORIENTED_EDGE('',*,*,#26952,.F.); +#26955=ORIENTED_EDGE('',*,*,#26954,.T.); +#26957=ORIENTED_EDGE('',*,*,#26956,.T.); +#26959=ORIENTED_EDGE('',*,*,#26958,.T.); +#26960=EDGE_LOOP('',(#26912,#26913,#26914,#26916,#26918,#26920,#26922,#26924, +#26925,#26926,#26928,#26930,#26932,#26934,#26936,#26938,#26940,#26942,#26943, +#26945,#26947,#26949,#26951,#26953,#26955,#26957,#26959)); +#26961=FACE_OUTER_BOUND('',#26960,.F.); +#26963=CARTESIAN_POINT('',(1.5E0,1.16E1,-3.8E0)); +#26964=DIRECTION('',(0.E0,1.E0,0.E0)); +#26965=DIRECTION('',(1.E0,0.E0,0.E0)); +#26966=AXIS2_PLACEMENT_3D('',#26963,#26964,#26965); +#26967=PLANE('',#26966); +#26969=ORIENTED_EDGE('',*,*,#26968,.F.); +#26970=ORIENTED_EDGE('',*,*,#26853,.T.); +#26971=ORIENTED_EDGE('',*,*,#26900,.F.); +#26972=ORIENTED_EDGE('',*,*,#26911,.T.); +#26973=EDGE_LOOP('',(#26969,#26970,#26971,#26972)); +#26974=FACE_OUTER_BOUND('',#26973,.F.); +#26976=CARTESIAN_POINT('',(2.33E1,1.06E1,5.057280026938E0)); +#26977=DIRECTION('',(0.E0,0.E0,-1.E0)); +#26978=DIRECTION('',(0.E0,1.E0,0.E0)); +#26979=AXIS2_PLACEMENT_3D('',#26976,#26977,#26978); +#26980=CYLINDRICAL_SURFACE('',#26979,1.E0); +#26981=ORIENTED_EDGE('',*,*,#26968,.T.); +#26982=ORIENTED_EDGE('',*,*,#26958,.F.); +#26984=ORIENTED_EDGE('',*,*,#26983,.T.); +#26985=ORIENTED_EDGE('',*,*,#26855,.F.); +#26986=EDGE_LOOP('',(#26981,#26982,#26984,#26985)); +#26987=FACE_OUTER_BOUND('',#26986,.F.); +#26989=CARTESIAN_POINT('',(2.43E1,1.77E1,-4.E0)); +#26990=DIRECTION('',(1.E0,0.E0,0.E0)); +#26991=DIRECTION('',(0.E0,-1.E0,0.E0)); +#26992=AXIS2_PLACEMENT_3D('',#26989,#26990,#26991); +#26993=PLANE('',#26992); +#26994=ORIENTED_EDGE('',*,*,#26983,.F.); +#26995=ORIENTED_EDGE('',*,*,#26956,.F.); +#26997=ORIENTED_EDGE('',*,*,#26996,.F.); +#26999=ORIENTED_EDGE('',*,*,#26998,.T.); +#27001=ORIENTED_EDGE('',*,*,#27000,.F.); +#27002=ORIENTED_EDGE('',*,*,#26857,.T.); +#27003=EDGE_LOOP('',(#26994,#26995,#26997,#26999,#27001,#27002)); +#27004=FACE_OUTER_BOUND('',#27003,.F.); +#27006=CARTESIAN_POINT('',(2.33E1,1.66E1,5.057280026938E0)); +#27007=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27008=DIRECTION('',(0.E0,1.E0,0.E0)); +#27009=AXIS2_PLACEMENT_3D('',#27006,#27007,#27008); +#27010=CYLINDRICAL_SURFACE('',#27009,1.E0); +#27011=ORIENTED_EDGE('',*,*,#26954,.F.); +#27013=ORIENTED_EDGE('',*,*,#27012,.T.); +#27015=ORIENTED_EDGE('',*,*,#27014,.F.); +#27016=ORIENTED_EDGE('',*,*,#26996,.T.); +#27017=EDGE_LOOP('',(#27011,#27013,#27015,#27016)); +#27018=FACE_OUTER_BOUND('',#27017,.F.); +#27020=CARTESIAN_POINT('',(2.43E1,1.76E1,-3.3E0)); +#27021=DIRECTION('',(0.E0,1.E0,0.E0)); +#27022=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27023=AXIS2_PLACEMENT_3D('',#27020,#27021,#27022); +#27024=PLANE('',#27023); +#27026=ORIENTED_EDGE('',*,*,#27025,.F.); +#27028=ORIENTED_EDGE('',*,*,#27027,.F.); +#27029=ORIENTED_EDGE('',*,*,#27012,.F.); +#27030=ORIENTED_EDGE('',*,*,#26952,.T.); +#27031=EDGE_LOOP('',(#27026,#27028,#27029,#27030)); +#27032=FACE_OUTER_BOUND('',#27031,.F.); +#27034=CARTESIAN_POINT('',(2.17E1,1.86E1,-1.225728002694E1)); +#27035=DIRECTION('',(0.E0,0.E0,1.E0)); +#27036=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27037=AXIS2_PLACEMENT_3D('',#27034,#27035,#27036); +#27038=CYLINDRICAL_SURFACE('',#27037,1.E0); +#27040=ORIENTED_EDGE('',*,*,#27039,.F.); +#27041=ORIENTED_EDGE('',*,*,#27025,.T.); +#27042=ORIENTED_EDGE('',*,*,#26950,.F.); +#27044=ORIENTED_EDGE('',*,*,#27043,.T.); +#27045=EDGE_LOOP('',(#27040,#27041,#27042,#27044)); +#27046=FACE_OUTER_BOUND('',#27045,.F.); +#27048=CARTESIAN_POINT('',(0.E0,6.2E1,-1.2E0)); +#27049=DIRECTION('',(0.E0,0.E0,1.E0)); +#27050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27051=AXIS2_PLACEMENT_3D('',#27048,#27049,#27050); +#27052=PLANE('',#27051); +#27054=ORIENTED_EDGE('',*,*,#27053,.T.); +#27055=ORIENTED_EDGE('',*,*,#26794,.F.); +#27057=ORIENTED_EDGE('',*,*,#27056,.T.); +#27059=ORIENTED_EDGE('',*,*,#27058,.F.); +#27061=ORIENTED_EDGE('',*,*,#27060,.T.); +#27063=ORIENTED_EDGE('',*,*,#27062,.F.); +#27065=ORIENTED_EDGE('',*,*,#27064,.T.); +#27066=ORIENTED_EDGE('',*,*,#26998,.F.); +#27067=ORIENTED_EDGE('',*,*,#27014,.T.); +#27068=ORIENTED_EDGE('',*,*,#27027,.T.); +#27069=ORIENTED_EDGE('',*,*,#27039,.T.); +#27071=ORIENTED_EDGE('',*,*,#27070,.F.); +#27073=ORIENTED_EDGE('',*,*,#27072,.T.); +#27074=EDGE_LOOP('',(#27054,#27055,#27057,#27059,#27061,#27063,#27065,#27066, +#27067,#27068,#27069,#27071,#27073)); +#27075=FACE_OUTER_BOUND('',#27074,.F.); +#27077=CARTESIAN_POINT('',(0.E0,2.3E1,-1.2E0)); +#27078=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27079=DIRECTION('',(1.E0,0.E0,0.E0)); +#27080=AXIS2_PLACEMENT_3D('',#27077,#27078,#27079); +#27081=PLANE('',#27080); +#27082=ORIENTED_EDGE('',*,*,#26796,.F.); +#27083=ORIENTED_EDGE('',*,*,#27053,.F.); +#27085=ORIENTED_EDGE('',*,*,#27084,.F.); +#27086=ORIENTED_EDGE('',*,*,#26944,.T.); +#27087=EDGE_LOOP('',(#27082,#27083,#27085,#27086)); +#27088=FACE_OUTER_BOUND('',#27087,.F.); +#27090=CARTESIAN_POINT('',(2.17E1,2.2E1,5.057280026938E0)); +#27091=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27092=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27093=AXIS2_PLACEMENT_3D('',#27090,#27091,#27092); +#27094=CYLINDRICAL_SURFACE('',#27093,1.E0); +#27095=ORIENTED_EDGE('',*,*,#27084,.T.); +#27096=ORIENTED_EDGE('',*,*,#27072,.F.); +#27098=ORIENTED_EDGE('',*,*,#27097,.T.); +#27099=ORIENTED_EDGE('',*,*,#26946,.F.); +#27100=EDGE_LOOP('',(#27095,#27096,#27098,#27099)); +#27101=FACE_OUTER_BOUND('',#27100,.F.); +#27103=CARTESIAN_POINT('',(2.07E1,2.37E1,-1.2E0)); +#27104=DIRECTION('',(1.E0,0.E0,0.E0)); +#27105=DIRECTION('',(0.E0,1.E0,0.E0)); +#27106=AXIS2_PLACEMENT_3D('',#27103,#27104,#27105); +#27107=PLANE('',#27106); +#27108=ORIENTED_EDGE('',*,*,#27097,.F.); +#27109=ORIENTED_EDGE('',*,*,#27070,.T.); +#27110=ORIENTED_EDGE('',*,*,#27043,.F.); +#27111=ORIENTED_EDGE('',*,*,#26948,.T.); +#27112=EDGE_LOOP('',(#27108,#27109,#27110,#27111)); +#27113=FACE_OUTER_BOUND('',#27112,.F.); +#27115=CARTESIAN_POINT('',(2.465E1,6.8E0,4.6662E0)); +#27116=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27117=DIRECTION('',(1.E0,0.E0,0.E0)); +#27118=AXIS2_PLACEMENT_3D('',#27115,#27116,#27117); +#27119=CYLINDRICAL_SURFACE('',#27118,1.E0); +#27120=ORIENTED_EDGE('',*,*,#26792,.T.); +#27122=ORIENTED_EDGE('',*,*,#27121,.F.); +#27124=ORIENTED_EDGE('',*,*,#27123,.T.); +#27125=ORIENTED_EDGE('',*,*,#27056,.F.); +#27126=EDGE_LOOP('',(#27120,#27122,#27124,#27125)); +#27127=FACE_OUTER_BOUND('',#27126,.F.); +#27129=CARTESIAN_POINT('',(0.E0,6.3E0,-6.E0)); +#27130=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27131=DIRECTION('',(0.E0,1.E0,0.E0)); +#27132=AXIS2_PLACEMENT_3D('',#27129,#27130,#27131); +#27133=PLANE('',#27132); +#27135=ORIENTED_EDGE('',*,*,#27134,.F.); +#27136=ORIENTED_EDGE('',*,*,#26735,.F.); +#27138=ORIENTED_EDGE('',*,*,#27137,.T.); +#27140=ORIENTED_EDGE('',*,*,#27139,.F.); +#27141=ORIENTED_EDGE('',*,*,#27121,.T.); +#27142=ORIENTED_EDGE('',*,*,#26790,.F.); +#27143=EDGE_LOOP('',(#27135,#27136,#27138,#27140,#27141,#27142)); +#27144=FACE_OUTER_BOUND('',#27143,.F.); +#27146=CARTESIAN_POINT('',(2.58E1,7.6E0,-5.5E0)); +#27147=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27148=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27149=AXIS2_PLACEMENT_3D('',#27146,#27147,#27148); +#27150=PLANE('',#27149); +#27151=ORIENTED_EDGE('',*,*,#26737,.T.); +#27152=ORIENTED_EDGE('',*,*,#27134,.T.); +#27153=ORIENTED_EDGE('',*,*,#26788,.T.); +#27154=ORIENTED_EDGE('',*,*,#26861,.F.); +#27156=ORIENTED_EDGE('',*,*,#27155,.F.); +#27157=ORIENTED_EDGE('',*,*,#27062,.T.); +#27159=ORIENTED_EDGE('',*,*,#27158,.T.); +#27161=ORIENTED_EDGE('',*,*,#27160,.T.); +#27163=ORIENTED_EDGE('',*,*,#27162,.T.); +#27165=ORIENTED_EDGE('',*,*,#27164,.T.); +#27167=ORIENTED_EDGE('',*,*,#27166,.T.); +#27169=ORIENTED_EDGE('',*,*,#27168,.T.); +#27171=ORIENTED_EDGE('',*,*,#27170,.F.); +#27172=ORIENTED_EDGE('',*,*,#26866,.F.); +#27173=EDGE_LOOP('',(#27151,#27152,#27153,#27154,#27156,#27157,#27159,#27161, +#27163,#27165,#27167,#27169,#27171,#27172)); +#27174=FACE_OUTER_BOUND('',#27173,.F.); +#27176=CARTESIAN_POINT('',(2.33E1,8.6E0,-1.15662E1)); +#27177=DIRECTION('',(0.E0,0.E0,1.E0)); +#27178=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27179=AXIS2_PLACEMENT_3D('',#27176,#27177,#27178); +#27180=CYLINDRICAL_SURFACE('',#27179,1.E0); +#27181=ORIENTED_EDGE('',*,*,#27000,.T.); +#27182=ORIENTED_EDGE('',*,*,#27064,.F.); +#27183=ORIENTED_EDGE('',*,*,#27155,.T.); +#27184=ORIENTED_EDGE('',*,*,#26859,.F.); +#27185=EDGE_LOOP('',(#27181,#27182,#27183,#27184)); +#27186=FACE_OUTER_BOUND('',#27185,.F.); +#27188=CARTESIAN_POINT('',(1.75E1,6.3E0,-4.5E0)); +#27189=DIRECTION('',(1.E0,0.E0,0.E0)); +#27190=DIRECTION('',(0.E0,0.E0,1.E0)); +#27191=AXIS2_PLACEMENT_3D('',#27188,#27189,#27190); +#27192=PLANE('',#27191); +#27193=ORIENTED_EDGE('',*,*,#27060,.F.); +#27195=ORIENTED_EDGE('',*,*,#27194,.F.); +#27197=ORIENTED_EDGE('',*,*,#27196,.F.); +#27198=ORIENTED_EDGE('',*,*,#27158,.F.); +#27199=EDGE_LOOP('',(#27193,#27195,#27197,#27198)); +#27200=FACE_OUTER_BOUND('',#27199,.F.); +#27202=CARTESIAN_POINT('',(0.E0,5.8E0,-1.2E0)); +#27203=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27204=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27205=AXIS2_PLACEMENT_3D('',#27202,#27203,#27204); +#27206=PLANE('',#27205); +#27207=ORIENTED_EDGE('',*,*,#27123,.F.); +#27208=ORIENTED_EDGE('',*,*,#27139,.T.); +#27210=ORIENTED_EDGE('',*,*,#27209,.F.); +#27212=ORIENTED_EDGE('',*,*,#27211,.T.); +#27214=ORIENTED_EDGE('',*,*,#27213,.F.); +#27216=ORIENTED_EDGE('',*,*,#27215,.T.); +#27218=ORIENTED_EDGE('',*,*,#27217,.T.); +#27220=ORIENTED_EDGE('',*,*,#27219,.T.); +#27221=ORIENTED_EDGE('',*,*,#27194,.T.); +#27222=ORIENTED_EDGE('',*,*,#27058,.T.); +#27223=EDGE_LOOP('',(#27207,#27208,#27210,#27212,#27214,#27216,#27218,#27220, +#27221,#27222)); +#27224=FACE_OUTER_BOUND('',#27223,.F.); +#27226=CARTESIAN_POINT('',(1.15E0,6.8E0,-1.15662E1)); +#27227=DIRECTION('',(0.E0,0.E0,1.E0)); +#27228=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27229=AXIS2_PLACEMENT_3D('',#27226,#27227,#27228); +#27230=CYLINDRICAL_SURFACE('',#27229,1.E0); +#27231=ORIENTED_EDGE('',*,*,#27209,.T.); +#27232=ORIENTED_EDGE('',*,*,#27137,.F.); +#27233=ORIENTED_EDGE('',*,*,#26733,.T.); +#27235=ORIENTED_EDGE('',*,*,#27234,.F.); +#27236=EDGE_LOOP('',(#27231,#27232,#27233,#27235)); +#27237=FACE_OUTER_BOUND('',#27236,.F.); +#27239=CARTESIAN_POINT('',(0.E0,6.2E1,-1.2E0)); +#27240=DIRECTION('',(0.E0,0.E0,1.E0)); +#27241=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27242=AXIS2_PLACEMENT_3D('',#27239,#27240,#27241); +#27243=PLANE('',#27242); +#27245=ORIENTED_EDGE('',*,*,#27244,.F.); +#27246=ORIENTED_EDGE('',*,*,#27211,.F.); +#27247=ORIENTED_EDGE('',*,*,#27234,.T.); +#27248=ORIENTED_EDGE('',*,*,#26731,.F.); +#27250=ORIENTED_EDGE('',*,*,#27249,.T.); +#27252=ORIENTED_EDGE('',*,*,#27251,.T.); +#27254=ORIENTED_EDGE('',*,*,#27253,.F.); +#27256=ORIENTED_EDGE('',*,*,#27255,.T.); +#27258=ORIENTED_EDGE('',*,*,#27257,.T.); +#27259=ORIENTED_EDGE('',*,*,#26883,.F.); +#27261=ORIENTED_EDGE('',*,*,#27260,.T.); +#27262=ORIENTED_EDGE('',*,*,#27168,.F.); +#27263=EDGE_LOOP('',(#27245,#27246,#27247,#27248,#27250,#27252,#27254,#27256, +#27258,#27259,#27261,#27262)); +#27264=FACE_OUTER_BOUND('',#27263,.F.); +#27266=CARTESIAN_POINT('',(8.E0,6.3E0,-1.2E0)); +#27267=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27268=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27269=AXIS2_PLACEMENT_3D('',#27266,#27267,#27268); +#27270=PLANE('',#27269); +#27271=ORIENTED_EDGE('',*,*,#27213,.T.); +#27272=ORIENTED_EDGE('',*,*,#27244,.T.); +#27273=ORIENTED_EDGE('',*,*,#27166,.F.); +#27275=ORIENTED_EDGE('',*,*,#27274,.F.); +#27276=EDGE_LOOP('',(#27271,#27272,#27273,#27275)); +#27277=FACE_OUTER_BOUND('',#27276,.F.); +#27279=CARTESIAN_POINT('',(8.5E0,1.259423010557E2,-3.9E0)); +#27280=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27281=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27282=AXIS2_PLACEMENT_3D('',#27279,#27280,#27281); +#27283=CYLINDRICAL_SURFACE('',#27282,5.E-1); +#27284=ORIENTED_EDGE('',*,*,#27274,.T.); +#27285=ORIENTED_EDGE('',*,*,#27164,.F.); +#27287=ORIENTED_EDGE('',*,*,#27286,.T.); +#27288=ORIENTED_EDGE('',*,*,#27215,.F.); +#27289=EDGE_LOOP('',(#27284,#27285,#27287,#27288)); +#27290=FACE_OUTER_BOUND('',#27289,.F.); +#27292=CARTESIAN_POINT('',(8.E0,6.3E0,-4.4E0)); +#27293=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27294=DIRECTION('',(1.E0,0.E0,0.E0)); +#27295=AXIS2_PLACEMENT_3D('',#27292,#27293,#27294); +#27296=PLANE('',#27295); +#27297=ORIENTED_EDGE('',*,*,#27286,.F.); +#27298=ORIENTED_EDGE('',*,*,#27162,.F.); +#27300=ORIENTED_EDGE('',*,*,#27299,.F.); +#27301=ORIENTED_EDGE('',*,*,#27217,.F.); +#27302=EDGE_LOOP('',(#27297,#27298,#27300,#27301)); +#27303=FACE_OUTER_BOUND('',#27302,.F.); +#27305=CARTESIAN_POINT('',(1.7E1,-6.746232E1,-3.9E0)); +#27306=DIRECTION('',(0.E0,1.E0,0.E0)); +#27307=DIRECTION('',(1.E0,0.E0,0.E0)); +#27308=AXIS2_PLACEMENT_3D('',#27305,#27306,#27307); +#27309=CYLINDRICAL_SURFACE('',#27308,5.E-1); +#27310=ORIENTED_EDGE('',*,*,#27196,.T.); +#27311=ORIENTED_EDGE('',*,*,#27219,.F.); +#27312=ORIENTED_EDGE('',*,*,#27299,.T.); +#27313=ORIENTED_EDGE('',*,*,#27160,.F.); +#27314=EDGE_LOOP('',(#27310,#27311,#27312,#27313)); +#27315=FACE_OUTER_BOUND('',#27314,.F.); +#27317=CARTESIAN_POINT('',(0.E0,2.3E1,-1.2E0)); +#27318=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27319=DIRECTION('',(1.E0,0.E0,0.E0)); +#27320=AXIS2_PLACEMENT_3D('',#27317,#27318,#27319); +#27321=PLANE('',#27320); +#27322=ORIENTED_EDGE('',*,*,#27249,.F.); +#27323=ORIENTED_EDGE('',*,*,#26729,.F.); +#27324=ORIENTED_EDGE('',*,*,#26923,.T.); +#27326=ORIENTED_EDGE('',*,*,#27325,.F.); +#27327=EDGE_LOOP('',(#27322,#27323,#27324,#27326)); +#27328=FACE_OUTER_BOUND('',#27327,.F.); +#27330=CARTESIAN_POINT('',(4.1E0,2.2E1,-1.225728002694E1)); +#27331=DIRECTION('',(0.E0,0.E0,1.E0)); +#27332=DIRECTION('',(1.E0,0.E0,0.E0)); +#27333=AXIS2_PLACEMENT_3D('',#27330,#27331,#27332); +#27334=CYLINDRICAL_SURFACE('',#27333,1.E0); +#27335=ORIENTED_EDGE('',*,*,#27251,.F.); +#27336=ORIENTED_EDGE('',*,*,#27325,.T.); +#27337=ORIENTED_EDGE('',*,*,#26921,.F.); +#27339=ORIENTED_EDGE('',*,*,#27338,.T.); +#27340=EDGE_LOOP('',(#27335,#27336,#27337,#27339)); +#27341=FACE_OUTER_BOUND('',#27340,.F.); +#27343=CARTESIAN_POINT('',(5.1E0,3.37E1,-1.2E0)); +#27344=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27345=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27346=AXIS2_PLACEMENT_3D('',#27343,#27344,#27345); +#27347=PLANE('',#27346); +#27348=ORIENTED_EDGE('',*,*,#27338,.F.); +#27349=ORIENTED_EDGE('',*,*,#26919,.T.); +#27351=ORIENTED_EDGE('',*,*,#27350,.F.); +#27352=ORIENTED_EDGE('',*,*,#27253,.T.); +#27353=EDGE_LOOP('',(#27348,#27349,#27351,#27352)); +#27354=FACE_OUTER_BOUND('',#27353,.F.); +#27356=CARTESIAN_POINT('',(4.1E0,1.86E1,5.057280026938E0)); +#27357=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27358=DIRECTION('',(1.E0,0.E0,0.E0)); +#27359=AXIS2_PLACEMENT_3D('',#27356,#27357,#27358); +#27360=CYLINDRICAL_SURFACE('',#27359,1.E0); +#27362=ORIENTED_EDGE('',*,*,#27361,.T.); +#27363=ORIENTED_EDGE('',*,*,#27255,.F.); +#27364=ORIENTED_EDGE('',*,*,#27350,.T.); +#27365=ORIENTED_EDGE('',*,*,#26917,.F.); +#27366=EDGE_LOOP('',(#27362,#27363,#27364,#27365)); +#27367=FACE_OUTER_BOUND('',#27366,.F.); +#27369=CARTESIAN_POINT('',(4.55E0,1.665128247301E1,-1.225728002694E1)); +#27370=DIRECTION('',(0.E0,0.E0,1.E0)); +#27371=DIRECTION('',(-2.25E-1,9.743587634953E-1,0.E0)); +#27372=AXIS2_PLACEMENT_3D('',#27369,#27370,#27371); +#27373=CYLINDRICAL_SURFACE('',#27372,1.E0); +#27374=ORIENTED_EDGE('',*,*,#27361,.F.); +#27375=ORIENTED_EDGE('',*,*,#26915,.F.); +#27376=ORIENTED_EDGE('',*,*,#26885,.T.); +#27377=ORIENTED_EDGE('',*,*,#27257,.F.); +#27378=EDGE_LOOP('',(#27374,#27375,#27376,#27377)); +#27379=FACE_OUTER_BOUND('',#27378,.F.); +#27381=CARTESIAN_POINT('',(4.55E0,8.6E0,5.057280026938E0)); +#27382=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27383=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27384=AXIS2_PLACEMENT_3D('',#27381,#27382,#27383); +#27385=CYLINDRICAL_SURFACE('',#27384,1.E0); +#27386=ORIENTED_EDGE('',*,*,#27170,.T.); +#27387=ORIENTED_EDGE('',*,*,#27260,.F.); +#27388=ORIENTED_EDGE('',*,*,#26881,.T.); +#27389=ORIENTED_EDGE('',*,*,#26868,.F.); +#27390=EDGE_LOOP('',(#27386,#27387,#27388,#27389)); +#27391=FACE_OUTER_BOUND('',#27390,.F.); +#27393=CARTESIAN_POINT('',(3.E0,5.45E1,6.709080009368E0)); +#27394=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27395=DIRECTION('',(0.E0,1.E0,0.E0)); +#27396=AXIS2_PLACEMENT_3D('',#27393,#27394,#27395); +#27397=CYLINDRICAL_SURFACE('',#27396,1.E0); +#27398=ORIENTED_EDGE('',*,*,#26927,.F.); +#27399=ORIENTED_EDGE('',*,*,#26707,.T.); +#27400=ORIENTED_EDGE('',*,*,#26682,.F.); +#27402=ORIENTED_EDGE('',*,*,#27401,.T.); +#27403=EDGE_LOOP('',(#27398,#27399,#27400,#27402)); +#27404=FACE_OUTER_BOUND('',#27403,.F.); +#27406=CARTESIAN_POINT('',(4.E0,2.3E1,-1.2E0)); +#27407=DIRECTION('',(1.E0,0.E0,0.E0)); +#27408=DIRECTION('',(0.E0,1.E0,0.E0)); +#27409=AXIS2_PLACEMENT_3D('',#27406,#27407,#27408); +#27410=PLANE('',#27409); +#27412=ORIENTED_EDGE('',*,*,#27411,.F.); +#27413=ORIENTED_EDGE('',*,*,#26929,.T.); +#27414=ORIENTED_EDGE('',*,*,#27401,.F.); +#27415=ORIENTED_EDGE('',*,*,#26680,.F.); +#27416=EDGE_LOOP('',(#27412,#27413,#27414,#27415)); +#27417=FACE_OUTER_BOUND('',#27416,.F.); +#27419=CARTESIAN_POINT('',(5.5E0,4.27E1,-1.240908000530E1)); +#27420=DIRECTION('',(0.E0,0.E0,1.E0)); +#27421=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27422=AXIS2_PLACEMENT_3D('',#27419,#27420,#27421); +#27423=CYLINDRICAL_SURFACE('',#27422,1.5E0); +#27424=ORIENTED_EDGE('',*,*,#26678,.F.); +#27426=ORIENTED_EDGE('',*,*,#27425,.T.); +#27427=ORIENTED_EDGE('',*,*,#26931,.F.); +#27428=ORIENTED_EDGE('',*,*,#27411,.T.); +#27429=EDGE_LOOP('',(#27424,#27426,#27427,#27428)); +#27430=FACE_OUTER_BOUND('',#27429,.F.); +#27432=CARTESIAN_POINT('',(0.E0,4.12E1,-3.3E0)); +#27433=DIRECTION('',(0.E0,1.E0,0.E0)); +#27434=DIRECTION('',(0.E0,0.E0,1.E0)); +#27435=AXIS2_PLACEMENT_3D('',#27432,#27433,#27434); +#27436=PLANE('',#27435); +#27437=ORIENTED_EDGE('',*,*,#27425,.F.); +#27438=ORIENTED_EDGE('',*,*,#26676,.T.); +#27440=ORIENTED_EDGE('',*,*,#27439,.F.); +#27441=ORIENTED_EDGE('',*,*,#26933,.F.); +#27442=EDGE_LOOP('',(#27437,#27438,#27440,#27441)); +#27443=FACE_OUTER_BOUND('',#27442,.F.); +#27445=CARTESIAN_POINT('',(2.03E1,4.27E1,6.709080009368E0)); +#27446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27447=DIRECTION('',(1.E0,0.E0,0.E0)); +#27448=AXIS2_PLACEMENT_3D('',#27445,#27446,#27447); +#27449=CYLINDRICAL_SURFACE('',#27448,1.5E0); +#27450=ORIENTED_EDGE('',*,*,#27439,.T.); +#27451=ORIENTED_EDGE('',*,*,#26674,.F.); +#27453=ORIENTED_EDGE('',*,*,#27452,.T.); +#27454=ORIENTED_EDGE('',*,*,#26935,.F.); +#27455=EDGE_LOOP('',(#27450,#27451,#27453,#27454)); +#27456=FACE_OUTER_BOUND('',#27455,.F.); +#27458=CARTESIAN_POINT('',(2.18E1,5.55E1,-1.2E0)); +#27459=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27460=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27461=AXIS2_PLACEMENT_3D('',#27458,#27459,#27460); +#27462=PLANE('',#27461); +#27463=ORIENTED_EDGE('',*,*,#27452,.F.); +#27464=ORIENTED_EDGE('',*,*,#26672,.F.); +#27466=ORIENTED_EDGE('',*,*,#27465,.F.); +#27467=ORIENTED_EDGE('',*,*,#26937,.T.); +#27468=EDGE_LOOP('',(#27463,#27464,#27466,#27467)); +#27469=FACE_OUTER_BOUND('',#27468,.F.); +#27471=CARTESIAN_POINT('',(2.28E1,5.45E1,6.709080009368E0)); +#27472=DIRECTION('',(0.E0,0.E0,-1.E0)); +#27473=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27474=AXIS2_PLACEMENT_3D('',#27471,#27472,#27473); +#27475=CYLINDRICAL_SURFACE('',#27474,1.E0); +#27476=ORIENTED_EDGE('',*,*,#26939,.F.); +#27477=ORIENTED_EDGE('',*,*,#27465,.T.); +#27478=ORIENTED_EDGE('',*,*,#26670,.F.); +#27480=ORIENTED_EDGE('',*,*,#27479,.T.); +#27481=EDGE_LOOP('',(#27476,#27477,#27478,#27480)); +#27482=FACE_OUTER_BOUND('',#27481,.F.); +#27484=CARTESIAN_POINT('',(2.58E1,5.55E1,-1.2E0)); +#27485=DIRECTION('',(0.E0,1.E0,0.E0)); +#27486=DIRECTION('',(-1.E0,0.E0,0.E0)); +#27487=AXIS2_PLACEMENT_3D('',#27484,#27485,#27486); +#27488=PLANE('',#27487); +#27489=ORIENTED_EDGE('',*,*,#26668,.T.); +#27490=ORIENTED_EDGE('',*,*,#26800,.T.); +#27491=ORIENTED_EDGE('',*,*,#26941,.T.); +#27492=ORIENTED_EDGE('',*,*,#27479,.F.); +#27493=EDGE_LOOP('',(#27489,#27490,#27491,#27492)); +#27494=FACE_OUTER_BOUND('',#27493,.F.); +#27496=CARTESIAN_POINT('',(2.2832E1,5.8858E1,1.0808E1)); +#27497=DIRECTION('',(0.E0,0.E0,1.E0)); +#27498=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27499=AXIS2_PLACEMENT_3D('',#27496,#27497,#27498); +#27500=CYLINDRICAL_SURFACE('',#27499,1.05E0); +#27501=ORIENTED_EDGE('',*,*,#26686,.F.); +#27503=ORIENTED_EDGE('',*,*,#27502,.T.); +#27505=ORIENTED_EDGE('',*,*,#27504,.F.); +#27507=ORIENTED_EDGE('',*,*,#27506,.F.); +#27508=EDGE_LOOP('',(#27501,#27503,#27505,#27507)); +#27509=FACE_OUTER_BOUND('',#27508,.F.); +#27511=CARTESIAN_POINT('',(2.2832E1,5.8858E1,1.0808E1)); +#27512=DIRECTION('',(0.E0,0.E0,1.E0)); +#27513=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27514=AXIS2_PLACEMENT_3D('',#27511,#27512,#27513); +#27515=CYLINDRICAL_SURFACE('',#27514,1.05E0); +#27516=ORIENTED_EDGE('',*,*,#26688,.F.); +#27517=ORIENTED_EDGE('',*,*,#27506,.T.); +#27519=ORIENTED_EDGE('',*,*,#27518,.F.); +#27520=ORIENTED_EDGE('',*,*,#27502,.F.); +#27521=EDGE_LOOP('',(#27516,#27517,#27519,#27520)); +#27522=FACE_OUTER_BOUND('',#27521,.F.); +#27524=CARTESIAN_POINT('',(0.E0,0.E0,-4.2E0)); +#27525=DIRECTION('',(0.E0,0.E0,1.E0)); +#27526=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27527=AXIS2_PLACEMENT_3D('',#27524,#27525,#27526); +#27528=PLANE('',#27527); +#27529=ORIENTED_EDGE('',*,*,#27504,.T.); +#27530=ORIENTED_EDGE('',*,*,#27518,.T.); +#27531=EDGE_LOOP('',(#27529,#27530)); +#27532=FACE_OUTER_BOUND('',#27531,.F.); +#27534=CARTESIAN_POINT('',(2.821E0,5.8862E1,1.0808E1)); +#27535=DIRECTION('',(0.E0,0.E0,1.E0)); +#27536=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27537=AXIS2_PLACEMENT_3D('',#27534,#27535,#27536); +#27538=CYLINDRICAL_SURFACE('',#27537,1.05E0); +#27539=ORIENTED_EDGE('',*,*,#26692,.F.); +#27541=ORIENTED_EDGE('',*,*,#27540,.T.); +#27543=ORIENTED_EDGE('',*,*,#27542,.F.); +#27545=ORIENTED_EDGE('',*,*,#27544,.F.); +#27546=EDGE_LOOP('',(#27539,#27541,#27543,#27545)); +#27547=FACE_OUTER_BOUND('',#27546,.F.); +#27549=CARTESIAN_POINT('',(2.821E0,5.8862E1,1.0808E1)); +#27550=DIRECTION('',(0.E0,0.E0,1.E0)); +#27551=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27552=AXIS2_PLACEMENT_3D('',#27549,#27550,#27551); +#27553=CYLINDRICAL_SURFACE('',#27552,1.05E0); +#27554=ORIENTED_EDGE('',*,*,#26694,.F.); +#27555=ORIENTED_EDGE('',*,*,#27544,.T.); +#27557=ORIENTED_EDGE('',*,*,#27556,.F.); +#27558=ORIENTED_EDGE('',*,*,#27540,.F.); +#27559=EDGE_LOOP('',(#27554,#27555,#27557,#27558)); +#27560=FACE_OUTER_BOUND('',#27559,.F.); +#27562=CARTESIAN_POINT('',(0.E0,0.E0,-4.2E0)); +#27563=DIRECTION('',(0.E0,0.E0,1.E0)); +#27564=DIRECTION('',(0.E0,-1.E0,0.E0)); +#27565=AXIS2_PLACEMENT_3D('',#27562,#27563,#27564); +#27566=PLANE('',#27565); +#27567=ORIENTED_EDGE('',*,*,#27542,.T.); +#27568=ORIENTED_EDGE('',*,*,#27556,.T.); +#27569=EDGE_LOOP('',(#27567,#27568)); +#27570=FACE_OUTER_BOUND('',#27569,.F.); +#27572=CLOSED_SHELL('',(#26698,#26713,#26743,#26758,#26776,#26805,#26818,#26831, +#26843,#26872,#26891,#26905,#26962,#26975,#26988,#27005,#27019,#27033,#27047, +#27076,#27089,#27102,#27114,#27128,#27145,#27175,#27187,#27201,#27225,#27238, +#27265,#27278,#27291,#27304,#27316,#27329,#27342,#27355,#27368,#27380,#27392, +#27405,#27418,#27431,#27444,#27457,#27470,#27483,#27495,#27510,#27523,#27533, +#27548,#27561,#27571)); +#27573=MANIFOLD_SOLID_BREP('',#27572); +#27574=FILL_AREA_STYLE_COLOUR('',#31); +#27575=FILL_AREA_STYLE('',(#27574)); +#27576=SURFACE_STYLE_FILL_AREA(#27575); +#27577=SURFACE_SIDE_STYLE('',(#27576)); +#27578=SURFACE_STYLE_USAGE(.BOTH.,#27577); +#27579=PRESENTATION_STYLE_ASSIGNMENT((#27578)); +#25276=STYLED_ITEM('',(#27579),#27573); +#27582=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#27581); +#27583=(CONVERSION_BASED_UNIT('DEGREE',#27582)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#27585=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(6.189849325897E-3),#27580, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#27587=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#27588=DIRECTION('',(0.E0,0.E0,1.E0)); +#27589=DIRECTION('',(1.E0,0.E0,0.E0)); +#27592=PRODUCT_DEFINITION_CONTEXT('part definition',#24812,'design'); +#27593=PRODUCT_CONTEXT('',#24812,'mechanical'); +#27594=PRODUCT('K20430001','K20430001','NOT SPECIFIED',(#27593)); +#27595=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#27594); +#27603=DERIVED_UNIT_ELEMENT(#27602,2.E0); +#27604=DERIVED_UNIT((#27603)); +#27605=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +3.544899168049E3),#27604); +#27610=DERIVED_UNIT_ELEMENT(#27609,3.E0); +#27611=DERIVED_UNIT((#27610)); +#27612=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +2.841230278204E3),#27611); +#27616=CARTESIAN_POINT('centre point',(1.271453240454E1,3.942912658827E1, +-3.325319480950E0)); +#27621=DERIVED_UNIT_ELEMENT(#27620,2.E0); +#27622=DERIVED_UNIT((#27621)); +#27623=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +3.544899168049E3),#27622); +#27628=DERIVED_UNIT_ELEMENT(#27627,3.E0); +#27629=DERIVED_UNIT((#27628)); +#27630=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +2.841230278204E3),#27629); +#27634=CARTESIAN_POINT('centre point',(1.271453240454E1,3.942912658827E1, +-3.325319480950E0)); +#27641=CARTESIAN_POINT('centre point',(-1.854675954621E-1,3.821133458827E1, +-3.675319480950E0)); +#27645=CARTESIAN_POINT('',(-1.29E1,-1.217792E0,-3.5E-1)); +#27646=DIRECTION('',(0.E0,0.E0,1.E0)); +#27647=DIRECTION('',(1.E0,0.E0,0.E0)); +#27648=AXIS2_PLACEMENT_3D('',#27645,#27646,#27647); +#27649=ITEM_DEFINED_TRANSFORMATION('','',#27590,#27648); +#27650=(REPRESENTATION_RELATIONSHIP('','',#27591,#24863)REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#27649)SHAPE_REPRESENTATION_RELATIONSHIP()); +#27651=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#27650,#27640); +#27654=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#27653); +#27655=(CONVERSION_BASED_UNIT('DEGREE',#27654)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#27657=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(1.190639195330E-2),#27652, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#27659=CARTESIAN_POINT('',(0.E0,0.E0,0.E0)); +#27660=DIRECTION('',(0.E0,0.E0,1.E0)); +#27661=DIRECTION('',(1.E0,0.E0,0.E0)); +#27663=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#13018, +#13040,#13288,#13387,#13407,#13426,#13446,#13466,#13486,#13505,#18622,#18697, +#25121,#25142,#25163,#25183,#25202,#25285,#25293,#25301,#25308,#25316,#25324, +#25331,#25339,#25347,#25355,#25363,#25371,#25378,#25385,#25392,#25399,#25406, +#25413,#25420,#25427,#25434,#25441,#25448,#25455,#25462,#25470,#25478,#25485, +#25492,#25499,#25506,#25513,#25520,#25527,#25534,#25541,#25548,#25555,#25562, +#25570,#25578,#25586,#25593,#25600,#25607,#25615,#25622,#25629,#25636,#25643, +#25651,#25658,#25666,#25674,#25682,#25689,#25697,#25705,#25712,#25720,#25728, +#25736,#25744,#25752,#25759,#25767,#25774,#25781,#25788,#25795,#25802,#25809, +#25816,#25823,#25830,#25837,#25844,#25851,#25859,#25866,#25874,#25882,#25889, +#25897,#25905,#25912,#25919,#25926,#25933,#25940,#25947,#25954,#25962,#25970, +#25977,#25984,#25991,#25998,#26005,#26013,#26020,#26028,#26035,#26042,#26049, +#26056,#26063,#26070,#26078,#26085,#26093,#26100,#26107,#26114,#26121,#26129, +#26136,#26144,#26152,#26160,#26168,#26175,#26182,#26189,#26196,#26203,#26210, +#26217,#26224,#26231,#26238,#26245,#26252,#26259,#26266,#26273,#26280,#26287, +#26294,#26301,#26308,#26315,#26322,#26329,#26336,#26343,#26350,#26357,#26364, +#26371,#26378,#26385,#26392,#26400,#26408,#26415,#26422,#26430,#26438,#25276), +#27658); +#27664=PRODUCT_CONTEXT('',#24812,'mechanical'); +#27665=PRODUCT('S3-SHELL-0926_ASM','S3-SHELL-0926_ASM','NOT SPECIFIED', +(#27664)); +#27666=PRODUCT_DEFINITION_FORMATION('162','LAST_VERSION',#27665); +#27669=DERIVED_UNIT_ELEMENT(#27668,2.E0); +#27670=DERIVED_UNIT((#27669)); +#27671=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +1.093712477885E4),#27670); +#27676=DERIVED_UNIT_ELEMENT(#27675,3.E0); +#27677=DERIVED_UNIT((#27676)); +#27678=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +7.261624466148E3),#27677); +#27682=CARTESIAN_POINT('centre point',(-7.215492842756E-3,3.277373938077E1, +-3.090626107435E0)); +#27686=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#27665,#24816,#25218, +#27594)); +#1=COLOUR_RGB('',0.E0,3.137254901961E-2,3.411764705882E-1); +#2=COLOUR_RGB('',0.E0,2.509803921569E-1,5.019607843137E-1); +#3=COLOUR_RGB('',0.E0,8.078431372549E-1,8.196078431373E-1); +#4=DRAUGHTING_PRE_DEFINED_COLOUR('green'); +#5=COLOUR_RGB('',0.E0,1.E0,4.980392156863E-1); +#6=DRAUGHTING_PRE_DEFINED_COLOUR('cyan'); +#7=COLOUR_RGB('',1.1E-2,1.2E-2,1.E0); +#8=COLOUR_RGB('',1.960784313725E-2,6.352941176471E-1,6.352941176471E-1); +#9=COLOUR_RGB('',7.843137254902E-2,7.843137254902E-2,7.843137254902E-2); +#10=COLOUR_RGB('',9.803921568627E-2,9.803921568627E-2,4.392156862745E-1); +#11=COLOUR_RGB('',1.686274509804E-1,1.686274509804E-1,1.803921568627E-1); +#12=COLOUR_RGB('',1.843137254902E-1,3.098039215686E-1,3.098039215686E-1); +#13=COLOUR_RGB('',2.117647058824E-1,5.137254901961E-1,4.156862745098E-1); +#14=COLOUR_RGB('',2.45E-1,5.18E-1,9.48E-1); +#15=COLOUR_RGB('',2.45E-1,5.18E-1,9.48E-1); +#16=COLOUR_RGB('',2.509803921569E-1,2.509803921569E-1,2.509803921569E-1); +#17=COLOUR_RGB('',2.745098039216E-1,5.098039215686E-1,7.058823529412E-1); +#18=COLOUR_RGB('',3.372549019608E-1,2.549019607843E-1,1.960784313725E-2); +#19=COLOUR_RGB('',3.450980392157E-1,3.647058823529E-1,3.725490196078E-1); +#20=COLOUR_RGB('',3.921568627451E-1,1.176470588235E-2,1.176470588235E-2); +#21=COLOUR_RGB('',3.921568627451E-1,5.843137254902E-1,9.294117647059E-1); +#22=COLOUR_RGB('',4.E-1,1.294117647059E-1,0.E0); +#23=COLOUR_RGB('',4.117647058824E-1,0.E0,2.196078431373E-1); +#24=COLOUR_RGB('',4.1E-1,2.61589E-1,1.12848E-1); +#25=COLOUR_RGB('',4.156862745098E-1,3.529411764706E-1,8.039215686275E-1); +#26=COLOUR_RGB('',4.196078431373E-1,4.196078431373E-1,4.196078431373E-1); +#27=COLOUR_RGB('',4.980392156863E-1,4.941176470588E-1,5.529411764706E-1); +#28=COLOUR_RGB('',5.04854E-1,1.5455E-2,1.5455E-2); +#29=COLOUR_RGB('',5.294117647059E-1,8.078431372549E-1,9.215686274510E-1); +#30=COLOUR_RGB('',5.803921568627E-1,0.E0,8.274509803922E-1); +#31=COLOUR_RGB('',6.E-1,6.E-1,1.E0); +#32=COLOUR_RGB('',6.117647058824E-1,0.E0,5.882352941176E-2); +#33=COLOUR_RGB('',6.35294E-1,6.86275E-1,7.4902E-1); +#34=COLOUR_RGB('',6.40777E-1,6.40777E-1,6.40777E-1); +#35=COLOUR_RGB('',6.69062E-1,6.69903E-1,6.55217E-1); +#36=COLOUR_RGB('',7.490196078431E-1,7.215686274510E-1,0.E0); +#37=COLOUR_RGB('',8.235294117647E-1,4.117647058824E-1,1.176470588235E-1); +#38=COLOUR_RGB('',8.392156862745E-1,8.392156862745E-1,8.509803921569E-1); +#39=COLOUR_RGB('',8.549019607843E-1,4.392156862745E-1,8.392156862745E-1); +#40=COLOUR_RGB('',8.784313725490E-1,9.490196078431E-1,1.E0); +#41=COLOUR_RGB('',9.21569E-1,7.05882E-1,4.70588E-1); +#42=COLOUR_RGB('',9.803921568627E-1,6.274509803922E-1,0.E0); +#43=DRAUGHTING_PRE_DEFINED_COLOUR('red'); +#44=DRAUGHTING_PRE_DEFINED_COLOUR('magenta'); +#45=COLOUR_RGB('',1.E0,7.843137254902E-2,5.764705882353E-1); +#46=COLOUR_RGB('',1.E0,7.529411764706E-1,7.960784313725E-1); +#47=COLOUR_RGB('',1.E0,7.686274509804E-1,5.882352941176E-2); +#48=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); +#49=COLOUR_RGB('',1.E0,1.E0,5.3E-1); +#50=COLOUR_RGB('',1.E0,1.E0,9.49E-1); +#51=DRAUGHTING_PRE_DEFINED_COLOUR('white'); +#56=CIRCLE('',#55,1.E0); +#65=CIRCLE('',#64,1.E0); +#74=CIRCLE('',#73,1.E0); +#83=CIRCLE('',#82,1.E0); +#92=CIRCLE('',#91,9.748495E-1); +#97=CIRCLE('',#96,9.748495E-1); +#102=CIRCLE('',#101,9.41174E-1); +#107=CIRCLE('',#106,9.41174E-1); +#128=CIRCLE('',#127,6.01599E-1); +#133=CIRCLE('',#132,6.01599E-1); +#138=CIRCLE('',#137,6.01599E-1); +#143=CIRCLE('',#142,5.516E-1); +#148=CIRCLE('',#147,5.516E-1); +#153=CIRCLE('',#152,5.516005E-1); +#158=CIRCLE('',#157,5.516005E-1); +#163=CIRCLE('',#162,6.01599E-1); +#168=CIRCLE('',#167,6.01599E-1); +#173=CIRCLE('',#172,6.01599E-1); +#242=CIRCLE('',#241,7.01599E-1); +#247=CIRCLE('',#246,7.01599E-1); +#252=CIRCLE('',#251,7.01599E-1); +#257=CIRCLE('',#256,7.01599E-1); +#262=CIRCLE('',#261,7.01599E-1); +#267=CIRCLE('',#266,7.01599E-1); +#272=CIRCLE('',#271,7.01599E-1); +#277=CIRCLE('',#276,7.01599E-1); +#282=CIRCLE('',#281,7.01599E-1); +#287=CIRCLE('',#286,7.01599E-1); +#292=CIRCLE('',#291,7.01599E-1); +#297=CIRCLE('',#296,7.01599E-1); +#302=CIRCLE('',#301,8.01599E-1); +#307=CIRCLE('',#306,8.01599E-1); +#312=CIRCLE('',#311,8.01599E-1); +#317=CIRCLE('',#316,8.01599E-1); +#322=CIRCLE('',#321,8.01599E-1); +#327=CIRCLE('',#326,8.01599E-1); +#332=CIRCLE('',#331,8.01599E-1); +#337=CIRCLE('',#336,8.01599E-1); +#342=CIRCLE('',#341,8.01599E-1); +#347=CIRCLE('',#346,8.01599E-1); +#352=CIRCLE('',#351,8.01599E-1); +#357=CIRCLE('',#356,8.01599E-1); +#362=CIRCLE('',#361,8.01599E-1); +#367=CIRCLE('',#366,8.01599E-1); +#372=CIRCLE('',#371,7.01599E-1); +#377=CIRCLE('',#376,7.01599E-1); +#382=CIRCLE('',#381,7.01599E-1); +#387=CIRCLE('',#386,7.01599E-1); +#392=CIRCLE('',#391,7.01599E-1); +#397=CIRCLE('',#396,7.01599E-1); +#402=CIRCLE('',#401,7.01599E-1); +#407=CIRCLE('',#406,7.01599E-1); +#412=CIRCLE('',#411,7.01599E-1); +#417=CIRCLE('',#416,7.01599E-1); +#422=CIRCLE('',#421,7.01599E-1); +#427=CIRCLE('',#426,7.01599E-1); +#432=CIRCLE('',#431,7.01599E-1); +#437=CIRCLE('',#436,7.01599E-1); +#442=CIRCLE('',#441,7.01599E-1); +#447=CIRCLE('',#446,7.01599E-1); +#452=CIRCLE('',#451,7.01599E-1); +#457=CIRCLE('',#456,7.01599E-1); +#462=CIRCLE('',#461,7.01599E-1); +#467=CIRCLE('',#466,7.01599E-1); +#472=CIRCLE('',#471,7.01599E-1); +#477=CIRCLE('',#476,7.01599E-1); +#490=CIRCLE('',#489,1.E0); +#495=CIRCLE('',#494,1.E0); +#500=CIRCLE('',#499,1.E0); +#509=CIRCLE('',#508,1.E0); +#514=CIRCLE('',#513,9.41174E-1); +#519=CIRCLE('',#518,9.41174E-1); +#524=CIRCLE('',#523,7.01599E-1); +#529=CIRCLE('',#528,7.01599E-1); +#534=CIRCLE('',#533,7.01599E-1); +#539=CIRCLE('',#538,7.01599E-1); +#544=CIRCLE('',#543,7.01599E-1); +#549=CIRCLE('',#548,7.01599E-1); +#554=CIRCLE('',#553,7.01599E-1); +#559=CIRCLE('',#558,7.01599E-1); +#564=CIRCLE('',#563,7.01599E-1); +#569=CIRCLE('',#568,7.01599E-1); +#574=CIRCLE('',#573,7.01599E-1); +#579=CIRCLE('',#578,7.01599E-1); +#584=CIRCLE('',#583,8.01599E-1); +#589=CIRCLE('',#588,8.01599E-1); +#594=CIRCLE('',#593,8.01599E-1); +#599=CIRCLE('',#598,8.01599E-1); +#604=CIRCLE('',#603,8.01599E-1); +#609=CIRCLE('',#608,8.01599E-1); +#614=CIRCLE('',#613,8.01599E-1); +#619=CIRCLE('',#618,8.01599E-1); +#624=CIRCLE('',#623,8.01599E-1); +#629=CIRCLE('',#628,8.01599E-1); +#634=CIRCLE('',#633,8.01599E-1); +#639=CIRCLE('',#638,8.01599E-1); +#644=CIRCLE('',#643,8.01599E-1); +#649=CIRCLE('',#648,8.01599E-1); +#654=CIRCLE('',#653,7.01599E-1); +#659=CIRCLE('',#658,7.01599E-1); +#664=CIRCLE('',#663,7.01599E-1); +#669=CIRCLE('',#668,7.01599E-1); +#674=CIRCLE('',#673,7.01599E-1); +#679=CIRCLE('',#678,7.01599E-1); +#684=CIRCLE('',#683,7.01599E-1); +#689=CIRCLE('',#688,7.01599E-1); +#694=CIRCLE('',#693,7.01599E-1); +#699=CIRCLE('',#698,7.01599E-1); +#704=CIRCLE('',#703,7.01599E-1); +#709=CIRCLE('',#708,7.01599E-1); +#714=CIRCLE('',#713,7.01599E-1); +#719=CIRCLE('',#718,7.01599E-1); +#724=CIRCLE('',#723,7.01599E-1); +#729=CIRCLE('',#728,7.01599E-1); +#734=CIRCLE('',#733,7.01599E-1); +#739=CIRCLE('',#738,7.01599E-1); +#744=CIRCLE('',#743,7.01599E-1); +#749=CIRCLE('',#748,7.01599E-1); +#754=CIRCLE('',#753,7.01599E-1); +#759=CIRCLE('',#758,7.01599E-1); +#764=CIRCLE('',#763,9.748495E-1); +#769=CIRCLE('',#768,9.748495E-1); +#794=CIRCLE('',#793,1.3E0); +#879=CIRCLE('',#878,1.3E0); +#916=CIRCLE('',#915,1.3E0); +#921=CIRCLE('',#920,1.3E0); +#926=CIRCLE('',#925,1.3E0); +#935=CIRCLE('',#934,1.3E0); +#948=CIRCLE('',#947,1.3E0); +#953=CIRCLE('',#952,1.3E0); +#958=CIRCLE('',#957,1.3E0); +#963=CIRCLE('',#962,1.3E0); +#968=CIRCLE('',#967,1.E0); +#977=CIRCLE('',#976,1.E0); +#986=CIRCLE('',#985,1.E0); +#995=CIRCLE('',#994,1.E0); +#1072=CIRCLE('',#1071,1.E0); +#1081=CIRCLE('',#1080,1.E0); +#1090=CIRCLE('',#1089,1.E0); +#1095=CIRCLE('',#1094,1.E0); +#1572=CIRCLE('',#1571,1.05E0); +#1581=CIRCLE('',#1580,1.05E0); +#1622=CIRCLE('',#1621,3.E-1); +#1627=CIRCLE('',#1626,1.05E0); +#1632=CIRCLE('',#1631,1.05E0); +#1637=CIRCLE('',#1636,3.E-1); +#1642=CIRCLE('',#1641,7.5E-1); +#1647=CIRCLE('',#1646,7.5E-1); +#1668=CIRCLE('',#1667,3.E-1); +#1673=CIRCLE('',#1672,1.05E0); +#1678=CIRCLE('',#1677,1.05E0); +#1683=CIRCLE('',#1682,3.E-1); +#1720=CIRCLE('',#1719,1.05E0); +#1729=CIRCLE('',#1728,1.05E0); +#1770=CIRCLE('',#1769,3.E-1); +#1775=CIRCLE('',#1774,1.05E0); +#1780=CIRCLE('',#1779,1.05E0); +#1785=CIRCLE('',#1784,3.E-1); +#1790=CIRCLE('',#1789,7.5E-1); +#1795=CIRCLE('',#1794,7.5E-1); +#1816=CIRCLE('',#1815,3.E-1); +#1821=CIRCLE('',#1820,1.05E0); +#1826=CIRCLE('',#1825,1.05E0); +#1831=CIRCLE('',#1830,3.E-1); +#2564=CIRCLE('',#2563,6.01599E-1); +#2569=CIRCLE('',#2568,6.01599E-1); +#2574=CIRCLE('',#2573,6.01599E-1); +#2623=CIRCLE('',#2622,5.516E-1); +#2628=CIRCLE('',#2627,5.516E-1); +#2653=CIRCLE('',#2652,6.01599E-1); +#2658=CIRCLE('',#2657,6.01599E-1); +#2663=CIRCLE('',#2662,6.01599E-1); +#2692=CIRCLE('',#2691,5.516005E-1); +#2697=CIRCLE('',#2696,5.516005E-1); +#4110=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4106,#4107,#4108,#4109),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#4127=B_SPLINE_CURVE_WITH_KNOTS('',3,(#4123,#4124,#4125,#4126),.UNSPECIFIED., +.F.,.F.,(4,4),(0.E0,1.E0),.UNSPECIFIED.); +#4132=CIRCLE('',#4131,1.E0); +#4137=CIRCLE('',#4136,1.E0); +#4142=CIRCLE('',#4141,1.E0); +#4155=CIRCLE('',#4154,1.E0); +#4160=CIRCLE('',#4159,1.E0); +#4165=CIRCLE('',#4164,8.E-1); +#4170=CIRCLE('',#4169,8.E-1); +#4183=CIRCLE('',#4182,8.E-1); +#4188=CIRCLE('',#4187,8.E-1); +#4193=CIRCLE('',#4192,2.5E-1); +#4198=CIRCLE('',#4197,2.5E-1); +#4207=CIRCLE('',#4206,2.5E-1); +#4212=CIRCLE('',#4211,2.5E-1); +#11627=EDGE_CURVE('',#8779,#8780,#56,.T.); +#11629=EDGE_CURVE('',#8780,#8782,#60,.T.); +#11631=EDGE_CURVE('',#8782,#8784,#65,.T.); +#11633=EDGE_CURVE('',#8784,#8786,#69,.T.); +#11635=EDGE_CURVE('',#8786,#8788,#74,.T.); +#11637=EDGE_CURVE('',#8788,#8790,#78,.T.); +#11639=EDGE_CURVE('',#8790,#8792,#83,.T.); +#11641=EDGE_CURVE('',#8792,#8779,#87,.T.); +#11645=EDGE_CURVE('',#8795,#8796,#92,.T.); +#11647=EDGE_CURVE('',#8796,#8795,#97,.T.); +#11651=EDGE_CURVE('',#8799,#8800,#102,.T.); +#11653=EDGE_CURVE('',#8800,#8799,#107,.T.); +#11657=EDGE_CURVE('',#8803,#8804,#1843,.T.); +#11659=EDGE_CURVE('',#8806,#8803,#2019,.T.); +#11661=EDGE_CURVE('',#8808,#8806,#1879,.T.); +#11663=EDGE_CURVE('',#8810,#8808,#1995,.T.); +#11665=EDGE_CURVE('',#8812,#8810,#1987,.T.); +#11667=EDGE_CURVE('',#8814,#8812,#1979,.T.); +#11669=EDGE_CURVE('',#8816,#8814,#1871,.T.); +#11671=EDGE_CURVE('',#8818,#8816,#1955,.T.); +#11673=EDGE_CURVE('',#8820,#8818,#1947,.T.); +#11675=EDGE_CURVE('',#8822,#8820,#1939,.T.); +#11677=EDGE_CURVE('',#8824,#8822,#1863,.T.); +#11679=EDGE_CURVE('',#8826,#8824,#1931,.T.); +#11681=EDGE_CURVE('',#8828,#8826,#1923,.T.); +#11683=EDGE_CURVE('',#8830,#8828,#1915,.T.); +#11685=EDGE_CURVE('',#8832,#8830,#1895,.T.); +#11687=EDGE_CURVE('',#8834,#8832,#2059,.T.); +#11689=EDGE_CURVE('',#8836,#8834,#2051,.T.); +#11691=EDGE_CURVE('',#8838,#8836,#2043,.T.); +#11693=EDGE_CURVE('',#8840,#8838,#1887,.T.); +#11695=EDGE_CURVE('',#8804,#8840,#1851,.T.); +#11699=EDGE_CURVE('',#8843,#8844,#2227,.T.); +#11701=EDGE_CURVE('',#8843,#8846,#111,.T.); +#11703=EDGE_CURVE('',#8846,#8848,#115,.T.); +#11705=EDGE_CURVE('',#8850,#8848,#2431,.T.); +#11707=EDGE_CURVE('',#8852,#8850,#2403,.T.); +#11709=EDGE_CURVE('',#8854,#8852,#2391,.T.); +#11711=EDGE_CURVE('',#8856,#8854,#2359,.T.); +#11713=EDGE_CURVE('',#8858,#8856,#2327,.T.); +#11715=EDGE_CURVE('',#8860,#8858,#2303,.T.); +#11717=EDGE_CURVE('',#8862,#8860,#2311,.T.); +#11719=EDGE_CURVE('',#8862,#8864,#119,.T.); +#11721=EDGE_CURVE('',#8864,#8866,#123,.T.); +#11723=EDGE_CURVE('',#8868,#8866,#2519,.T.); +#11725=EDGE_CURVE('',#8870,#8868,#2511,.T.); +#11727=EDGE_CURVE('',#8872,#8870,#2503,.T.); +#11729=EDGE_CURVE('',#8874,#8872,#2267,.T.); +#11731=EDGE_CURVE('',#8876,#8874,#2243,.T.); +#11733=EDGE_CURVE('',#8844,#8876,#2235,.T.); +#11737=EDGE_CURVE('',#8879,#8880,#128,.T.); +#11739=EDGE_CURVE('',#8880,#8882,#133,.T.); +#11741=EDGE_CURVE('',#8882,#8884,#138,.T.); +#11743=EDGE_CURVE('',#8886,#8884,#2648,.T.); +#11745=EDGE_CURVE('',#8888,#8886,#2602,.T.); +#11747=EDGE_CURVE('',#8888,#8890,#143,.T.); +#11749=EDGE_CURVE('',#8890,#8892,#148,.T.); +#11751=EDGE_CURVE('',#8894,#8892,#2618,.T.); +#11753=EDGE_CURVE('',#8894,#8896,#153,.T.); +#11755=EDGE_CURVE('',#8896,#8898,#158,.T.); +#11757=EDGE_CURVE('',#8900,#8898,#2610,.T.); +#11759=EDGE_CURVE('',#8902,#8900,#2582,.T.); +#11761=EDGE_CURVE('',#8902,#8904,#163,.T.); +#11763=EDGE_CURVE('',#8904,#8906,#168,.T.); +#11765=EDGE_CURVE('',#8906,#8908,#173,.T.); +#11767=EDGE_CURVE('',#8879,#8908,#2559,.T.); +#11771=EDGE_CURVE('',#8911,#8912,#2749,.T.); +#11773=EDGE_CURVE('',#8914,#8911,#2805,.T.); +#11775=EDGE_CURVE('',#8916,#8914,#2797,.T.); +#11777=EDGE_CURVE('',#8912,#8916,#2757,.T.); +#11781=EDGE_CURVE('',#8919,#8920,#177,.T.); +#11783=EDGE_CURVE('',#8922,#8920,#2917,.T.); +#11785=EDGE_CURVE('',#8922,#8924,#181,.T.); +#11787=EDGE_CURVE('',#8919,#8924,#2921,.T.); +#11791=EDGE_CURVE('',#8927,#8928,#185,.T.); +#11793=EDGE_CURVE('',#8930,#8928,#2997,.T.); +#11795=EDGE_CURVE('',#8930,#8932,#189,.T.); +#11797=EDGE_CURVE('',#8927,#8932,#3001,.T.); +#11801=EDGE_CURVE('',#8935,#8936,#193,.T.); +#11803=EDGE_CURVE('',#8938,#8936,#3077,.T.); +#11805=EDGE_CURVE('',#8938,#8940,#197,.T.); +#11807=EDGE_CURVE('',#8935,#8940,#3081,.T.); +#11811=EDGE_CURVE('',#8943,#8944,#201,.T.); +#11813=EDGE_CURVE('',#8946,#8944,#3153,.T.); +#11815=EDGE_CURVE('',#8946,#8948,#205,.T.); +#11817=EDGE_CURVE('',#8943,#8948,#3157,.T.); +#11821=EDGE_CURVE('',#8951,#8952,#209,.T.); +#11823=EDGE_CURVE('',#8954,#8952,#3201,.T.); +#11825=EDGE_CURVE('',#8954,#8956,#213,.T.); +#11827=EDGE_CURVE('',#8951,#8956,#3253,.T.); +#11831=EDGE_CURVE('',#8959,#8960,#217,.T.); +#11833=EDGE_CURVE('',#8962,#8960,#3277,.T.); +#11835=EDGE_CURVE('',#8962,#8964,#221,.T.); +#11837=EDGE_CURVE('',#8959,#8964,#3333,.T.); +#11841=EDGE_CURVE('',#8967,#8968,#225,.T.); +#11843=EDGE_CURVE('',#8970,#8968,#3361,.T.); +#11845=EDGE_CURVE('',#8970,#8972,#229,.T.); +#11847=EDGE_CURVE('',#8967,#8972,#3413,.T.); +#11851=EDGE_CURVE('',#8975,#8976,#233,.T.); +#11853=EDGE_CURVE('',#8978,#8976,#3437,.T.); +#11855=EDGE_CURVE('',#8978,#8980,#237,.T.); +#11857=EDGE_CURVE('',#8975,#8980,#3493,.T.); +#11861=EDGE_CURVE('',#8983,#8984,#3501,.T.); +#11863=EDGE_CURVE('',#8986,#8983,#3541,.T.); +#11865=EDGE_CURVE('',#8988,#8986,#3677,.T.); +#11867=EDGE_CURVE('',#8990,#8988,#3717,.T.); +#11869=EDGE_CURVE('',#8992,#8990,#3709,.T.); +#11871=EDGE_CURVE('',#8994,#8992,#3701,.T.); +#11873=EDGE_CURVE('',#8996,#8994,#3685,.T.); +#11875=EDGE_CURVE('',#8998,#8996,#3621,.T.); +#11877=EDGE_CURVE('',#9000,#8998,#3661,.T.); +#11879=EDGE_CURVE('',#9002,#9000,#3653,.T.); +#11881=EDGE_CURVE('',#9004,#9002,#3645,.T.); +#11883=EDGE_CURVE('',#9006,#9004,#3629,.T.); +#11885=EDGE_CURVE('',#9008,#9006,#3565,.T.); +#11887=EDGE_CURVE('',#9010,#9008,#3605,.T.); +#11889=EDGE_CURVE('',#9012,#9010,#3597,.T.); +#11891=EDGE_CURVE('',#9014,#9012,#3589,.T.); +#11893=EDGE_CURVE('',#9016,#9014,#3573,.T.); +#11895=EDGE_CURVE('',#9018,#9016,#3533,.T.); +#11897=EDGE_CURVE('',#9020,#9018,#3517,.T.); +#11899=EDGE_CURVE('',#8984,#9020,#3509,.T.); +#11903=EDGE_CURVE('',#9023,#9024,#3741,.T.); +#11905=EDGE_CURVE('',#9026,#9023,#3789,.T.); +#11907=EDGE_CURVE('',#9028,#9026,#3769,.T.); +#11909=EDGE_CURVE('',#9030,#9028,#3861,.T.); +#11911=EDGE_CURVE('',#9032,#9030,#3813,.T.); +#11913=EDGE_CURVE('',#9034,#9032,#3853,.T.); +#11915=EDGE_CURVE('',#9036,#9034,#3845,.T.); +#11917=EDGE_CURVE('',#9038,#9036,#3837,.T.); +#11919=EDGE_CURVE('',#9040,#9038,#3821,.T.); +#11921=EDGE_CURVE('',#9042,#9040,#3797,.T.); +#11923=EDGE_CURVE('',#9044,#9042,#3761,.T.); +#11925=EDGE_CURVE('',#9024,#9044,#3749,.T.); +#11929=EDGE_CURVE('',#9047,#9048,#3885,.T.); +#11931=EDGE_CURVE('',#9050,#9047,#3909,.T.); +#11933=EDGE_CURVE('',#9052,#9050,#3901,.T.); +#11935=EDGE_CURVE('',#9048,#9052,#3893,.T.); +#11939=EDGE_CURVE('',#9055,#9056,#3933,.T.); +#11941=EDGE_CURVE('',#9058,#9055,#3981,.T.); +#11943=EDGE_CURVE('',#9060,#9058,#3973,.T.); +#11945=EDGE_CURVE('',#9062,#9060,#3953,.T.); +#11947=EDGE_CURVE('',#9064,#9062,#4061,.T.); +#11949=EDGE_CURVE('',#9066,#9064,#4101,.T.); +#11951=EDGE_CURVE('',#9068,#9066,#4093,.T.); +#11953=EDGE_CURVE('',#9070,#9068,#4085,.T.); +#11955=EDGE_CURVE('',#9072,#9070,#4069,.T.); +#11957=EDGE_CURVE('',#9074,#9072,#4005,.T.); +#11959=EDGE_CURVE('',#9076,#9074,#4045,.T.); +#11961=EDGE_CURVE('',#9078,#9076,#4037,.T.); +#11963=EDGE_CURVE('',#9080,#9078,#4029,.T.); +#11965=EDGE_CURVE('',#9082,#9080,#4013,.T.); +#11967=EDGE_CURVE('',#9084,#9082,#3989,.T.); +#11969=EDGE_CURVE('',#9056,#9084,#3945,.T.); +#11973=EDGE_CURVE('',#9087,#9088,#4220,.T.); +#11975=EDGE_CURVE('',#9090,#9087,#4244,.T.); +#11977=EDGE_CURVE('',#9092,#9090,#4236,.T.); +#11979=EDGE_CURVE('',#9088,#9092,#4228,.T.); +#11983=EDGE_CURVE('',#9095,#9096,#4268,.T.); +#11985=EDGE_CURVE('',#9098,#9095,#4292,.T.); +#11987=EDGE_CURVE('',#9100,#9098,#4284,.T.); +#11989=EDGE_CURVE('',#9096,#9100,#4276,.T.); +#11993=EDGE_CURVE('',#9103,#9104,#4316,.T.); +#11995=EDGE_CURVE('',#9106,#9103,#4340,.T.); +#11997=EDGE_CURVE('',#9108,#9106,#4332,.T.); +#11999=EDGE_CURVE('',#9104,#9108,#4324,.T.); +#12003=EDGE_CURVE('',#9111,#9112,#4364,.T.); +#12005=EDGE_CURVE('',#9114,#9111,#4388,.T.); +#12007=EDGE_CURVE('',#9116,#9114,#4380,.T.); +#12009=EDGE_CURVE('',#9112,#9116,#4372,.T.); +#12013=EDGE_CURVE('',#9119,#9120,#4412,.T.); +#12015=EDGE_CURVE('',#9122,#9119,#4436,.T.); +#12017=EDGE_CURVE('',#9124,#9122,#4428,.T.); +#12019=EDGE_CURVE('',#9120,#9124,#4420,.T.); +#12023=EDGE_CURVE('',#9127,#9128,#4460,.T.); +#12025=EDGE_CURVE('',#9130,#9127,#4484,.T.); +#12027=EDGE_CURVE('',#9132,#9130,#4476,.T.); +#12029=EDGE_CURVE('',#9128,#9132,#4468,.T.); +#12033=EDGE_CURVE('',#9135,#9136,#4508,.T.); +#12035=EDGE_CURVE('',#9138,#9135,#4532,.T.); +#12037=EDGE_CURVE('',#9140,#9138,#4524,.T.); +#12039=EDGE_CURVE('',#9136,#9140,#4516,.T.); +#12043=EDGE_CURVE('',#9143,#9144,#4556,.T.); +#12045=EDGE_CURVE('',#9146,#9143,#4580,.T.); +#12047=EDGE_CURVE('',#9148,#9146,#4572,.T.); +#12049=EDGE_CURVE('',#9144,#9148,#4564,.T.); +#12053=EDGE_CURVE('',#9151,#9152,#4604,.T.); +#12055=EDGE_CURVE('',#9154,#9151,#4628,.T.); +#12057=EDGE_CURVE('',#9156,#9154,#4620,.T.); +#12059=EDGE_CURVE('',#9152,#9156,#4612,.T.); +#12063=EDGE_CURVE('',#9159,#9160,#4652,.T.); +#12065=EDGE_CURVE('',#9162,#9159,#4676,.T.); +#12067=EDGE_CURVE('',#9164,#9162,#4668,.T.); +#12069=EDGE_CURVE('',#9160,#9164,#4660,.T.); +#12073=EDGE_CURVE('',#9167,#9168,#4700,.T.); +#12075=EDGE_CURVE('',#9170,#9167,#4724,.T.); +#12077=EDGE_CURVE('',#9172,#9170,#4716,.T.); +#12079=EDGE_CURVE('',#9168,#9172,#4708,.T.); +#12083=EDGE_CURVE('',#9175,#9176,#4748,.T.); +#12085=EDGE_CURVE('',#9178,#9175,#4772,.T.); +#12087=EDGE_CURVE('',#9180,#9178,#4764,.T.); +#12089=EDGE_CURVE('',#9176,#9180,#4756,.T.); +#12093=EDGE_CURVE('',#9183,#9184,#4796,.T.); +#12095=EDGE_CURVE('',#9186,#9183,#4820,.T.); +#12097=EDGE_CURVE('',#9188,#9186,#4812,.T.); +#12099=EDGE_CURVE('',#9184,#9188,#4804,.T.); +#12103=EDGE_CURVE('',#9191,#9192,#4844,.T.); +#12105=EDGE_CURVE('',#9194,#9191,#4868,.T.); +#12107=EDGE_CURVE('',#9196,#9194,#4860,.T.); +#12109=EDGE_CURVE('',#9192,#9196,#4852,.T.); +#12113=EDGE_CURVE('',#9199,#9200,#4892,.T.); +#12115=EDGE_CURVE('',#9202,#9199,#4916,.T.); +#12117=EDGE_CURVE('',#9204,#9202,#4908,.T.); +#12119=EDGE_CURVE('',#9200,#9204,#4900,.T.); +#12123=EDGE_CURVE('',#9207,#9208,#4940,.T.); +#12125=EDGE_CURVE('',#9210,#9207,#4964,.T.); +#12127=EDGE_CURVE('',#9212,#9210,#4956,.T.); +#12129=EDGE_CURVE('',#9208,#9212,#4948,.T.); +#12133=EDGE_CURVE('',#9215,#9216,#4988,.T.); +#12135=EDGE_CURVE('',#9218,#9215,#5012,.T.); +#12137=EDGE_CURVE('',#9220,#9218,#5004,.T.); +#12139=EDGE_CURVE('',#9216,#9220,#4996,.T.); +#12143=EDGE_CURVE('',#9223,#9224,#5036,.T.); +#12145=EDGE_CURVE('',#9226,#9223,#5060,.T.); +#12147=EDGE_CURVE('',#9228,#9226,#5052,.T.); +#12149=EDGE_CURVE('',#9224,#9228,#5044,.T.); +#12153=EDGE_CURVE('',#9231,#9232,#5084,.T.); +#12155=EDGE_CURVE('',#9234,#9231,#5108,.T.); +#12157=EDGE_CURVE('',#9236,#9234,#5100,.T.); +#12159=EDGE_CURVE('',#9232,#9236,#5092,.T.); +#12163=EDGE_CURVE('',#9239,#9240,#5132,.T.); +#12165=EDGE_CURVE('',#9242,#9239,#5156,.T.); +#12167=EDGE_CURVE('',#9244,#9242,#5148,.T.); +#12169=EDGE_CURVE('',#9240,#9244,#5140,.T.); +#12173=EDGE_CURVE('',#9247,#9248,#5180,.T.); +#12175=EDGE_CURVE('',#9250,#9247,#5204,.T.); +#12177=EDGE_CURVE('',#9252,#9250,#5196,.T.); +#12179=EDGE_CURVE('',#9248,#9252,#5188,.T.); +#12183=EDGE_CURVE('',#9255,#9256,#5228,.T.); +#12185=EDGE_CURVE('',#9258,#9255,#5252,.T.); +#12187=EDGE_CURVE('',#9260,#9258,#5244,.T.); +#12189=EDGE_CURVE('',#9256,#9260,#5236,.T.); +#12193=EDGE_CURVE('',#9263,#9264,#5276,.T.); +#12195=EDGE_CURVE('',#9266,#9263,#5300,.T.); +#12197=EDGE_CURVE('',#9268,#9266,#5292,.T.); +#12199=EDGE_CURVE('',#9264,#9268,#5284,.T.); +#12203=EDGE_CURVE('',#9271,#9272,#5324,.T.); +#12205=EDGE_CURVE('',#9274,#9271,#5348,.T.); +#12207=EDGE_CURVE('',#9276,#9274,#5340,.T.); +#12209=EDGE_CURVE('',#9272,#9276,#5332,.T.); +#12213=EDGE_CURVE('',#9279,#9280,#5372,.T.); +#12215=EDGE_CURVE('',#9282,#9279,#5396,.T.); +#12217=EDGE_CURVE('',#9284,#9282,#5388,.T.); +#12219=EDGE_CURVE('',#9280,#9284,#5380,.T.); +#12223=EDGE_CURVE('',#9287,#9288,#5420,.T.); +#12225=EDGE_CURVE('',#9290,#9287,#5444,.T.); +#12227=EDGE_CURVE('',#9292,#9290,#5436,.T.); +#12229=EDGE_CURVE('',#9288,#9292,#5428,.T.); +#12233=EDGE_CURVE('',#9295,#9296,#5468,.T.); +#12235=EDGE_CURVE('',#9298,#9295,#5492,.T.); +#12237=EDGE_CURVE('',#9300,#9298,#5484,.T.); +#12239=EDGE_CURVE('',#9296,#9300,#5476,.T.); +#12243=EDGE_CURVE('',#9303,#9304,#5516,.T.); +#12245=EDGE_CURVE('',#9306,#9303,#5540,.T.); +#12247=EDGE_CURVE('',#9308,#9306,#5532,.T.); +#12249=EDGE_CURVE('',#9304,#9308,#5524,.T.); +#12253=EDGE_CURVE('',#9311,#9312,#5564,.T.); +#12255=EDGE_CURVE('',#9314,#9311,#5588,.T.); +#12257=EDGE_CURVE('',#9316,#9314,#5580,.T.); +#12259=EDGE_CURVE('',#9312,#9316,#5572,.T.); +#12263=EDGE_CURVE('',#9319,#9320,#5612,.T.); +#12265=EDGE_CURVE('',#9322,#9319,#5636,.T.); +#12267=EDGE_CURVE('',#9324,#9322,#5628,.T.); +#12269=EDGE_CURVE('',#9320,#9324,#5620,.T.); +#12273=EDGE_CURVE('',#9327,#9328,#5660,.T.); +#12275=EDGE_CURVE('',#9330,#9327,#5684,.T.); +#12277=EDGE_CURVE('',#9332,#9330,#5676,.T.); +#12279=EDGE_CURVE('',#9328,#9332,#5668,.T.); +#12283=EDGE_CURVE('',#9335,#9336,#5708,.T.); +#12285=EDGE_CURVE('',#9338,#9335,#5732,.T.); +#12287=EDGE_CURVE('',#9340,#9338,#5724,.T.); +#12289=EDGE_CURVE('',#9336,#9340,#5716,.T.); +#12293=EDGE_CURVE('',#9343,#9344,#5756,.T.); +#12295=EDGE_CURVE('',#9346,#9343,#5780,.T.); +#12297=EDGE_CURVE('',#9348,#9346,#5772,.T.); +#12299=EDGE_CURVE('',#9344,#9348,#5764,.T.); +#12303=EDGE_CURVE('',#9351,#9352,#5804,.T.); +#12305=EDGE_CURVE('',#9354,#9351,#5828,.T.); +#12307=EDGE_CURVE('',#9356,#9354,#5820,.T.); +#12309=EDGE_CURVE('',#9352,#9356,#5812,.T.); +#12313=EDGE_CURVE('',#9359,#9360,#5852,.T.); +#12315=EDGE_CURVE('',#9362,#9359,#5876,.T.); +#12317=EDGE_CURVE('',#9364,#9362,#5868,.T.); +#12319=EDGE_CURVE('',#9360,#9364,#5860,.T.); +#12323=EDGE_CURVE('',#9367,#9368,#5900,.T.); +#12325=EDGE_CURVE('',#9370,#9367,#5924,.T.); +#12327=EDGE_CURVE('',#9372,#9370,#5916,.T.); +#12329=EDGE_CURVE('',#9368,#9372,#5908,.T.); +#12333=EDGE_CURVE('',#9375,#9376,#5948,.T.); +#12335=EDGE_CURVE('',#9378,#9375,#5972,.T.); +#12337=EDGE_CURVE('',#9380,#9378,#5964,.T.); +#12339=EDGE_CURVE('',#9376,#9380,#5956,.T.); +#12343=EDGE_CURVE('',#9383,#9384,#5996,.T.); +#12345=EDGE_CURVE('',#9386,#9383,#6020,.T.); +#12347=EDGE_CURVE('',#9388,#9386,#6012,.T.); +#12349=EDGE_CURVE('',#9384,#9388,#6004,.T.); +#12353=EDGE_CURVE('',#9391,#9392,#6044,.T.); +#12355=EDGE_CURVE('',#9394,#9391,#6068,.T.); +#12357=EDGE_CURVE('',#9396,#9394,#6060,.T.); +#12359=EDGE_CURVE('',#9392,#9396,#6052,.T.); +#12363=EDGE_CURVE('',#9399,#9400,#6092,.T.); +#12365=EDGE_CURVE('',#9402,#9399,#6116,.T.); +#12367=EDGE_CURVE('',#9404,#9402,#6108,.T.); +#12369=EDGE_CURVE('',#9400,#9404,#6100,.T.); +#12373=EDGE_CURVE('',#9407,#9408,#6140,.T.); +#12375=EDGE_CURVE('',#9410,#9407,#6164,.T.); +#12377=EDGE_CURVE('',#9412,#9410,#6156,.T.); +#12379=EDGE_CURVE('',#9408,#9412,#6148,.T.); +#12383=EDGE_CURVE('',#9415,#9416,#6188,.T.); +#12385=EDGE_CURVE('',#9418,#9415,#6212,.T.); +#12387=EDGE_CURVE('',#9420,#9418,#6204,.T.); +#12389=EDGE_CURVE('',#9416,#9420,#6196,.T.); +#12393=EDGE_CURVE('',#9423,#9424,#6236,.T.); +#12395=EDGE_CURVE('',#9426,#9423,#6260,.T.); +#12397=EDGE_CURVE('',#9428,#9426,#6252,.T.); +#12399=EDGE_CURVE('',#9424,#9428,#6244,.T.); +#12403=EDGE_CURVE('',#9431,#9432,#6284,.T.); +#12405=EDGE_CURVE('',#9434,#9431,#6308,.T.); +#12407=EDGE_CURVE('',#9436,#9434,#6300,.T.); +#12409=EDGE_CURVE('',#9432,#9436,#6292,.T.); +#12413=EDGE_CURVE('',#9439,#9440,#6332,.T.); +#12415=EDGE_CURVE('',#9442,#9439,#6356,.T.); +#12417=EDGE_CURVE('',#9444,#9442,#6348,.T.); +#12419=EDGE_CURVE('',#9440,#9444,#6340,.T.); +#12423=EDGE_CURVE('',#9447,#9448,#6380,.T.); +#12425=EDGE_CURVE('',#9450,#9447,#6404,.T.); +#12427=EDGE_CURVE('',#9452,#9450,#6396,.T.); +#12429=EDGE_CURVE('',#9448,#9452,#6388,.T.); +#12433=EDGE_CURVE('',#9455,#9456,#6428,.T.); +#12435=EDGE_CURVE('',#9458,#9455,#6452,.T.); +#12437=EDGE_CURVE('',#9460,#9458,#6444,.T.); +#12439=EDGE_CURVE('',#9456,#9460,#6436,.T.); +#12443=EDGE_CURVE('',#9463,#9464,#6476,.T.); +#12445=EDGE_CURVE('',#9466,#9463,#6500,.T.); +#12447=EDGE_CURVE('',#9468,#9466,#6492,.T.); +#12449=EDGE_CURVE('',#9464,#9468,#6484,.T.); +#12453=EDGE_CURVE('',#9471,#9472,#6524,.T.); +#12455=EDGE_CURVE('',#9474,#9471,#6548,.T.); +#12457=EDGE_CURVE('',#9476,#9474,#6540,.T.); +#12459=EDGE_CURVE('',#9472,#9476,#6532,.T.); +#12463=EDGE_CURVE('',#9479,#9480,#6572,.T.); +#12465=EDGE_CURVE('',#9482,#9479,#6596,.T.); +#12467=EDGE_CURVE('',#9484,#9482,#6588,.T.); +#12469=EDGE_CURVE('',#9480,#9484,#6580,.T.); +#12473=EDGE_CURVE('',#9487,#9488,#6620,.T.); +#12475=EDGE_CURVE('',#9490,#9487,#6644,.T.); +#12477=EDGE_CURVE('',#9492,#9490,#6636,.T.); +#12479=EDGE_CURVE('',#9488,#9492,#6628,.T.); +#12483=EDGE_CURVE('',#9495,#9496,#6668,.T.); +#12485=EDGE_CURVE('',#9498,#9495,#6692,.T.); +#12487=EDGE_CURVE('',#9500,#9498,#6684,.T.); +#12489=EDGE_CURVE('',#9496,#9500,#6676,.T.); +#12493=EDGE_CURVE('',#9503,#9504,#6716,.T.); +#12495=EDGE_CURVE('',#9506,#9503,#6740,.T.); +#12497=EDGE_CURVE('',#9508,#9506,#6732,.T.); +#12499=EDGE_CURVE('',#9504,#9508,#6724,.T.); +#12503=EDGE_CURVE('',#9511,#9512,#6764,.T.); +#12505=EDGE_CURVE('',#9514,#9511,#6788,.T.); +#12507=EDGE_CURVE('',#9516,#9514,#6780,.T.); +#12509=EDGE_CURVE('',#9512,#9516,#6772,.T.); +#12513=EDGE_CURVE('',#9519,#9520,#6812,.T.); +#12515=EDGE_CURVE('',#9522,#9519,#6836,.T.); +#12517=EDGE_CURVE('',#9524,#9522,#6828,.T.); +#12519=EDGE_CURVE('',#9520,#9524,#6820,.T.); +#12523=EDGE_CURVE('',#9527,#9528,#6860,.T.); +#12525=EDGE_CURVE('',#9530,#9527,#6884,.T.); +#12527=EDGE_CURVE('',#9532,#9530,#6876,.T.); +#12529=EDGE_CURVE('',#9528,#9532,#6868,.T.); +#12533=EDGE_CURVE('',#9535,#9536,#6908,.T.); +#12535=EDGE_CURVE('',#9538,#9535,#6956,.T.); +#12537=EDGE_CURVE('',#9540,#9538,#6936,.T.); +#12539=EDGE_CURVE('',#9542,#9540,#7028,.T.); +#12541=EDGE_CURVE('',#9544,#9542,#6980,.T.); +#12543=EDGE_CURVE('',#9546,#9544,#7020,.T.); +#12545=EDGE_CURVE('',#9548,#9546,#7012,.T.); +#12547=EDGE_CURVE('',#9550,#9548,#7004,.T.); +#12549=EDGE_CURVE('',#9552,#9550,#6988,.T.); +#12551=EDGE_CURVE('',#9554,#9552,#6964,.T.); +#12553=EDGE_CURVE('',#9556,#9554,#6928,.T.); +#12555=EDGE_CURVE('',#9536,#9556,#6916,.T.); +#12559=EDGE_CURVE('',#9559,#9560,#7052,.T.); +#12561=EDGE_CURVE('',#9562,#9559,#7088,.T.); +#12563=EDGE_CURVE('',#9564,#9562,#7172,.T.); +#12565=EDGE_CURVE('',#9566,#9564,#7124,.T.); +#12567=EDGE_CURVE('',#9568,#9566,#7164,.T.); +#12569=EDGE_CURVE('',#9570,#9568,#7156,.T.); +#12571=EDGE_CURVE('',#9572,#9570,#7148,.T.); +#12573=EDGE_CURVE('',#9574,#9572,#7132,.T.); +#12575=EDGE_CURVE('',#9576,#9574,#7108,.T.); +#12577=EDGE_CURVE('',#9578,#9576,#7080,.T.); +#12579=EDGE_CURVE('',#9580,#9578,#7068,.T.); +#12581=EDGE_CURVE('',#9560,#9580,#7060,.T.); +#12585=EDGE_CURVE('',#9583,#9584,#7196,.T.); +#12587=EDGE_CURVE('',#9586,#9583,#7368,.T.); +#12589=EDGE_CURVE('',#9588,#9586,#7228,.T.); +#12591=EDGE_CURVE('',#9590,#9588,#7344,.T.); +#12593=EDGE_CURVE('',#9592,#9590,#7296,.T.); +#12595=EDGE_CURVE('',#9594,#9592,#7336,.T.); +#12597=EDGE_CURVE('',#9596,#9594,#7328,.T.); +#12599=EDGE_CURVE('',#9598,#9596,#7320,.T.); +#12601=EDGE_CURVE('',#9600,#9598,#7304,.T.); +#12603=EDGE_CURVE('',#9602,#9600,#7280,.T.); +#12605=EDGE_CURVE('',#9604,#9602,#7220,.T.); +#12607=EDGE_CURVE('',#9606,#9604,#7272,.T.); +#12609=EDGE_CURVE('',#9608,#9606,#7264,.T.); +#12611=EDGE_CURVE('',#9610,#9608,#7256,.T.); +#12613=EDGE_CURVE('',#9612,#9610,#7240,.T.); +#12615=EDGE_CURVE('',#9584,#9612,#7204,.T.); +#12619=EDGE_CURVE('',#9615,#9616,#7388,.T.); +#12621=EDGE_CURVE('',#9618,#9615,#7428,.T.); +#12623=EDGE_CURVE('',#9620,#9618,#7544,.T.); +#12625=EDGE_CURVE('',#9622,#9620,#7492,.T.); +#12627=EDGE_CURVE('',#9624,#9622,#7536,.T.); +#12629=EDGE_CURVE('',#9626,#9624,#7528,.T.); +#12631=EDGE_CURVE('',#9628,#9626,#7520,.T.); +#12633=EDGE_CURVE('',#9630,#9628,#7500,.T.); +#12635=EDGE_CURVE('',#9632,#9630,#7480,.T.); +#12637=EDGE_CURVE('',#9634,#9632,#7420,.T.); +#12639=EDGE_CURVE('',#9636,#9634,#7472,.T.); +#12641=EDGE_CURVE('',#9638,#9636,#7464,.T.); +#12643=EDGE_CURVE('',#9640,#9638,#7456,.T.); +#12645=EDGE_CURVE('',#9642,#9640,#7440,.T.); +#12647=EDGE_CURVE('',#9644,#9642,#7404,.T.); +#12649=EDGE_CURVE('',#9616,#9644,#7396,.T.); +#12653=EDGE_CURVE('',#9647,#9648,#7580,.T.); +#12655=EDGE_CURVE('',#9650,#9647,#7628,.T.); +#12657=EDGE_CURVE('',#9652,#9650,#7612,.T.); +#12659=EDGE_CURVE('',#9654,#9652,#7748,.T.); +#12661=EDGE_CURVE('',#9656,#9654,#7656,.T.); +#12663=EDGE_CURVE('',#9658,#9656,#7724,.T.); +#12665=EDGE_CURVE('',#9660,#9658,#7716,.T.); +#12667=EDGE_CURVE('',#9662,#9660,#7708,.T.); +#12669=EDGE_CURVE('',#9664,#9662,#7648,.T.); +#12671=EDGE_CURVE('',#9666,#9664,#7700,.T.); +#12673=EDGE_CURVE('',#9668,#9666,#7692,.T.); +#12675=EDGE_CURVE('',#9670,#9668,#7684,.T.); +#12677=EDGE_CURVE('',#9672,#9670,#7664,.T.); +#12679=EDGE_CURVE('',#9674,#9672,#7636,.T.); +#12681=EDGE_CURVE('',#9676,#9674,#7604,.T.); +#12683=EDGE_CURVE('',#9648,#9676,#7588,.T.); +#12687=EDGE_CURVE('',#9679,#9680,#7772,.T.); +#12689=EDGE_CURVE('',#9682,#9679,#7808,.T.); +#12691=EDGE_CURVE('',#9684,#9682,#7904,.T.); +#12693=EDGE_CURVE('',#9686,#9684,#7844,.T.); +#12695=EDGE_CURVE('',#9688,#9686,#7896,.T.); +#12697=EDGE_CURVE('',#9690,#9688,#7888,.T.); +#12699=EDGE_CURVE('',#9692,#9690,#7880,.T.); +#12701=EDGE_CURVE('',#9694,#9692,#7864,.T.); +#12703=EDGE_CURVE('',#9696,#9694,#7944,.T.); +#12705=EDGE_CURVE('',#9698,#9696,#7936,.T.); +#12707=EDGE_CURVE('',#9700,#9698,#7928,.T.); +#12709=EDGE_CURVE('',#9702,#9700,#7852,.T.); +#12711=EDGE_CURVE('',#9704,#9702,#7828,.T.); +#12713=EDGE_CURVE('',#9706,#9704,#7800,.T.); +#12715=EDGE_CURVE('',#9708,#9706,#7788,.T.); +#12717=EDGE_CURVE('',#9680,#9708,#7780,.T.); +#12721=EDGE_CURVE('',#9711,#9712,#7964,.T.); +#12723=EDGE_CURVE('',#9714,#9711,#8000,.T.); +#12725=EDGE_CURVE('',#9716,#9714,#8084,.T.); +#12727=EDGE_CURVE('',#9718,#9716,#8036,.T.); +#12729=EDGE_CURVE('',#9720,#9718,#8076,.T.); +#12731=EDGE_CURVE('',#9722,#9720,#8068,.T.); +#12733=EDGE_CURVE('',#9724,#9722,#8060,.T.); +#12735=EDGE_CURVE('',#9726,#9724,#8044,.T.); +#12737=EDGE_CURVE('',#9728,#9726,#8020,.T.); +#12739=EDGE_CURVE('',#9730,#9728,#7992,.T.); +#12741=EDGE_CURVE('',#9732,#9730,#7980,.T.); +#12743=EDGE_CURVE('',#9712,#9732,#7972,.T.); +#12747=EDGE_CURVE('',#9735,#9736,#8108,.T.); +#12749=EDGE_CURVE('',#9738,#9735,#8152,.T.); +#12751=EDGE_CURVE('',#9740,#9738,#8300,.T.); +#12753=EDGE_CURVE('',#9742,#9740,#8228,.T.); +#12755=EDGE_CURVE('',#9744,#9742,#8292,.T.); +#12757=EDGE_CURVE('',#9746,#9744,#8284,.T.); +#12759=EDGE_CURVE('',#9748,#9746,#8276,.T.); +#12761=EDGE_CURVE('',#9750,#9748,#8260,.T.); +#12763=EDGE_CURVE('',#9752,#9750,#8376,.T.); +#12765=EDGE_CURVE('',#9754,#9752,#8368,.T.); +#12767=EDGE_CURVE('',#9756,#9754,#8360,.T.); +#12769=EDGE_CURVE('',#9758,#9756,#8248,.T.); +#12771=EDGE_CURVE('',#9760,#9758,#8340,.T.); +#12773=EDGE_CURVE('',#9762,#9760,#8332,.T.); +#12775=EDGE_CURVE('',#9764,#9762,#8324,.T.); +#12777=EDGE_CURVE('',#9766,#9764,#8236,.T.); +#12779=EDGE_CURVE('',#9768,#9766,#8212,.T.); +#12781=EDGE_CURVE('',#9770,#9768,#8144,.T.); +#12783=EDGE_CURVE('',#9772,#9770,#8188,.T.); +#12785=EDGE_CURVE('',#9774,#9772,#8180,.T.); +#12787=EDGE_CURVE('',#9776,#9774,#8172,.T.); +#12789=EDGE_CURVE('',#9778,#9776,#8136,.T.); +#12791=EDGE_CURVE('',#9780,#9778,#8124,.T.); +#12793=EDGE_CURVE('',#9736,#9780,#8116,.T.); +#12797=EDGE_CURVE('',#9783,#9784,#8396,.T.); +#12799=EDGE_CURVE('',#9786,#9783,#8420,.T.); +#12801=EDGE_CURVE('',#9788,#9786,#8412,.T.); +#12803=EDGE_CURVE('',#9784,#9788,#8404,.T.); +#12807=EDGE_CURVE('',#9791,#9792,#8444,.T.); +#12809=EDGE_CURVE('',#9794,#9791,#8468,.T.); +#12811=EDGE_CURVE('',#9796,#9794,#8460,.T.); +#12813=EDGE_CURVE('',#9792,#9796,#8452,.T.); +#12817=EDGE_CURVE('',#9799,#9800,#8492,.T.); +#12819=EDGE_CURVE('',#9802,#9799,#8572,.T.); +#12821=EDGE_CURVE('',#9804,#9802,#8512,.T.); +#12823=EDGE_CURVE('',#9806,#9804,#8564,.T.); +#12825=EDGE_CURVE('',#9808,#9806,#8556,.T.); +#12827=EDGE_CURVE('',#9810,#9808,#8548,.T.); +#12829=EDGE_CURVE('',#9812,#9810,#8528,.T.); +#12831=EDGE_CURVE('',#9814,#9812,#8684,.T.); +#12833=EDGE_CURVE('',#9816,#9814,#8612,.T.); +#12835=EDGE_CURVE('',#9818,#9816,#8676,.T.); +#12837=EDGE_CURVE('',#9820,#9818,#8668,.T.); +#12839=EDGE_CURVE('',#9822,#9820,#8660,.T.); +#12841=EDGE_CURVE('',#9824,#9822,#8644,.T.); +#12843=EDGE_CURVE('',#9826,#9824,#8760,.T.); +#12845=EDGE_CURVE('',#9828,#9826,#8752,.T.); +#12847=EDGE_CURVE('',#9830,#9828,#8744,.T.); +#12849=EDGE_CURVE('',#9832,#9830,#8632,.T.); +#12851=EDGE_CURVE('',#9834,#9832,#8724,.T.); +#12853=EDGE_CURVE('',#9836,#9834,#8716,.T.); +#12855=EDGE_CURVE('',#9838,#9836,#8708,.T.); +#12857=EDGE_CURVE('',#9840,#9838,#8620,.T.); +#12859=EDGE_CURVE('',#9842,#9840,#8596,.T.); +#12861=EDGE_CURVE('',#9844,#9842,#8520,.T.); +#12863=EDGE_CURVE('',#9800,#9844,#8500,.T.); +#12867=EDGE_CURVE('',#9847,#9848,#242,.T.); +#12869=EDGE_CURVE('',#9848,#9847,#247,.T.); +#12873=EDGE_CURVE('',#9851,#9852,#252,.T.); +#12875=EDGE_CURVE('',#9852,#9851,#257,.T.); +#12879=EDGE_CURVE('',#9855,#9856,#262,.T.); +#12881=EDGE_CURVE('',#9856,#9855,#267,.T.); +#12885=EDGE_CURVE('',#9859,#9860,#272,.T.); +#12887=EDGE_CURVE('',#9860,#9859,#277,.T.); +#12891=EDGE_CURVE('',#9863,#9864,#282,.T.); +#12893=EDGE_CURVE('',#9864,#9863,#287,.T.); +#12897=EDGE_CURVE('',#9867,#9868,#292,.T.); +#12899=EDGE_CURVE('',#9868,#9867,#297,.T.); +#12903=EDGE_CURVE('',#9871,#9872,#302,.T.); +#12905=EDGE_CURVE('',#9872,#9871,#307,.T.); +#12909=EDGE_CURVE('',#9875,#9876,#312,.T.); +#12911=EDGE_CURVE('',#9876,#9875,#317,.T.); +#12915=EDGE_CURVE('',#9879,#9880,#322,.T.); +#12917=EDGE_CURVE('',#9880,#9879,#327,.T.); +#12921=EDGE_CURVE('',#9883,#9884,#332,.T.); +#12923=EDGE_CURVE('',#9884,#9883,#337,.T.); +#12927=EDGE_CURVE('',#9887,#9888,#342,.T.); +#12929=EDGE_CURVE('',#9888,#9887,#347,.T.); +#12933=EDGE_CURVE('',#9891,#9892,#352,.T.); +#12935=EDGE_CURVE('',#9892,#9891,#357,.T.); +#12939=EDGE_CURVE('',#9895,#9896,#362,.T.); +#12941=EDGE_CURVE('',#9896,#9895,#367,.T.); +#12945=EDGE_CURVE('',#9899,#9900,#372,.T.); +#12947=EDGE_CURVE('',#9900,#9899,#377,.T.); +#12951=EDGE_CURVE('',#9903,#9904,#382,.T.); +#12953=EDGE_CURVE('',#9904,#9903,#387,.T.); +#12957=EDGE_CURVE('',#9907,#9908,#392,.T.); +#12959=EDGE_CURVE('',#9908,#9907,#397,.T.); +#12963=EDGE_CURVE('',#9911,#9912,#402,.T.); +#12965=EDGE_CURVE('',#9912,#9911,#407,.T.); +#12969=EDGE_CURVE('',#9915,#9916,#412,.T.); +#12971=EDGE_CURVE('',#9916,#9915,#417,.T.); +#12975=EDGE_CURVE('',#9919,#9920,#422,.T.); +#12977=EDGE_CURVE('',#9920,#9919,#427,.T.); +#12981=EDGE_CURVE('',#9923,#9924,#432,.T.); +#12983=EDGE_CURVE('',#9924,#9923,#437,.T.); +#12987=EDGE_CURVE('',#9927,#9928,#442,.T.); +#12989=EDGE_CURVE('',#9928,#9927,#447,.T.); +#12993=EDGE_CURVE('',#9931,#9932,#452,.T.); +#12995=EDGE_CURVE('',#9932,#9931,#457,.T.); +#12999=EDGE_CURVE('',#9935,#9936,#462,.T.); +#13001=EDGE_CURVE('',#9936,#9935,#467,.T.); +#13005=EDGE_CURVE('',#9939,#9940,#472,.T.); +#13007=EDGE_CURVE('',#9940,#9939,#477,.T.); +#13011=ADVANCED_FACE('',(#11644,#11650,#11656,#11698,#11736,#11770,#11780, +#11790,#11800,#11810,#11820,#11830,#11840,#11850,#11860,#11902,#11928,#11938, +#11972,#11982,#11992,#12002,#12012,#12022,#12032,#12042,#12052,#12062,#12072, +#12082,#12092,#12102,#12112,#12122,#12132,#12142,#12152,#12162,#12172,#12182, +#12192,#12202,#12212,#12222,#12232,#12242,#12252,#12262,#12272,#12282,#12292, +#12302,#12312,#12322,#12332,#12342,#12352,#12362,#12372,#12382,#12392,#12402, +#12412,#12422,#12432,#12442,#12452,#12462,#12472,#12482,#12492,#12502,#12512, +#12522,#12532,#12558,#12584,#12618,#12652,#12686,#12720,#12746,#12796,#12806, +#12816,#12866,#12872,#12878,#12884,#12890,#12896,#12902,#12908,#12914,#12920, +#12926,#12932,#12938,#12944,#12950,#12956,#12962,#12968,#12974,#12980,#12986, +#12992,#12998,#13004,#13010),#11626,.T.); +#13024=EDGE_CURVE('',#9943,#9944,#500,.T.); +#13026=EDGE_CURVE('',#9943,#8780,#481,.T.); +#13029=EDGE_CURVE('',#8779,#9944,#485,.T.); +#13033=ADVANCED_FACE('',(#13032),#13023,.T.); +#13046=EDGE_CURVE('',#9947,#9948,#773,.T.); +#13048=EDGE_CURVE('',#9950,#9947,#883,.T.); +#13050=EDGE_CURVE('',#9952,#9950,#874,.T.); +#13052=EDGE_CURVE('',#9954,#9952,#870,.T.); +#13054=EDGE_CURVE('',#9956,#9954,#826,.T.); +#13056=EDGE_CURVE('',#9956,#9958,#490,.T.); +#13058=EDGE_CURVE('',#9960,#9958,#846,.T.); +#13060=EDGE_CURVE('',#9960,#9962,#495,.T.); +#13062=EDGE_CURVE('',#9943,#9962,#858,.T.); +#13065=EDGE_CURVE('',#9944,#9964,#504,.T.); +#13067=EDGE_CURVE('',#9964,#9966,#509,.T.); +#13069=EDGE_CURVE('',#9968,#9966,#822,.T.); +#13071=EDGE_CURVE('',#9970,#9968,#802,.T.); +#13073=EDGE_CURVE('',#9972,#9970,#789,.T.); +#13075=EDGE_CURVE('',#9948,#9972,#781,.T.); +#13079=EDGE_CURVE('',#9975,#9976,#514,.T.); +#13081=EDGE_CURVE('',#9976,#9975,#519,.T.); +#13085=EDGE_CURVE('',#9979,#9980,#524,.T.); +#13087=EDGE_CURVE('',#9980,#9979,#529,.T.); +#13091=EDGE_CURVE('',#9983,#9984,#534,.T.); +#13093=EDGE_CURVE('',#9984,#9983,#539,.T.); +#13097=EDGE_CURVE('',#9987,#9988,#544,.T.); +#13099=EDGE_CURVE('',#9988,#9987,#549,.T.); +#13103=EDGE_CURVE('',#9991,#9992,#554,.T.); +#13105=EDGE_CURVE('',#9992,#9991,#559,.T.); +#13109=EDGE_CURVE('',#9995,#9996,#564,.T.); +#13111=EDGE_CURVE('',#9996,#9995,#569,.T.); +#13115=EDGE_CURVE('',#9999,#10000,#574,.T.); +#13117=EDGE_CURVE('',#10000,#9999,#579,.T.); +#13121=EDGE_CURVE('',#10003,#10004,#584,.T.); +#13123=EDGE_CURVE('',#10004,#10003,#589,.T.); +#13127=EDGE_CURVE('',#10007,#10008,#594,.T.); +#13129=EDGE_CURVE('',#10008,#10007,#599,.T.); +#13133=EDGE_CURVE('',#10011,#10012,#604,.T.); +#13135=EDGE_CURVE('',#10012,#10011,#609,.T.); +#13139=EDGE_CURVE('',#10015,#10016,#614,.T.); +#13141=EDGE_CURVE('',#10016,#10015,#619,.T.); +#13145=EDGE_CURVE('',#10019,#10020,#624,.T.); +#13147=EDGE_CURVE('',#10020,#10019,#629,.T.); +#13151=EDGE_CURVE('',#10023,#10024,#634,.T.); +#13153=EDGE_CURVE('',#10024,#10023,#639,.T.); +#13157=EDGE_CURVE('',#10027,#10028,#644,.T.); +#13159=EDGE_CURVE('',#10028,#10027,#649,.T.); +#13163=EDGE_CURVE('',#10031,#10032,#654,.T.); +#13165=EDGE_CURVE('',#10032,#10031,#659,.T.); +#13169=EDGE_CURVE('',#10035,#10036,#664,.T.); +#13171=EDGE_CURVE('',#10036,#10035,#669,.T.); +#13175=EDGE_CURVE('',#10039,#10040,#674,.T.); +#13177=EDGE_CURVE('',#10040,#10039,#679,.T.); +#13181=EDGE_CURVE('',#10043,#10044,#684,.T.); +#13183=EDGE_CURVE('',#10044,#10043,#689,.T.); +#13187=EDGE_CURVE('',#10047,#10048,#694,.T.); +#13189=EDGE_CURVE('',#10048,#10047,#699,.T.); +#13193=EDGE_CURVE('',#10051,#10052,#704,.T.); +#13195=EDGE_CURVE('',#10052,#10051,#709,.T.); +#13199=EDGE_CURVE('',#10055,#10056,#714,.T.); +#13201=EDGE_CURVE('',#10056,#10055,#719,.T.); +#13205=EDGE_CURVE('',#10059,#10060,#724,.T.); +#13207=EDGE_CURVE('',#10060,#10059,#729,.T.); +#13211=EDGE_CURVE('',#10063,#10064,#734,.T.); +#13213=EDGE_CURVE('',#10064,#10063,#739,.T.); +#13217=EDGE_CURVE('',#10067,#10068,#744,.T.); +#13219=EDGE_CURVE('',#10068,#10067,#749,.T.); +#13223=EDGE_CURVE('',#10071,#10072,#754,.T.); +#13225=EDGE_CURVE('',#10072,#10071,#759,.T.); +#13229=EDGE_CURVE('',#10075,#10076,#1299,.T.); +#13231=EDGE_CURVE('',#10078,#10075,#1331,.T.); +#13233=EDGE_CURVE('',#10080,#10078,#1431,.T.); +#13235=EDGE_CURVE('',#10082,#10080,#1371,.T.); +#13237=EDGE_CURVE('',#10084,#10082,#1407,.T.); +#13239=EDGE_CURVE('',#10086,#10084,#1399,.T.); +#13241=EDGE_CURVE('',#10088,#10086,#1391,.T.); +#13243=EDGE_CURVE('',#10090,#10088,#1363,.T.); +#13245=EDGE_CURVE('',#10092,#10090,#1387,.T.); +#13247=EDGE_CURVE('',#10094,#10092,#1343,.T.); +#13249=EDGE_CURVE('',#10096,#10094,#1315,.T.); +#13251=EDGE_CURVE('',#10076,#10096,#1307,.T.); +#13255=EDGE_CURVE('',#10099,#10100,#764,.T.); +#13257=EDGE_CURVE('',#10100,#10099,#769,.T.); +#13261=EDGE_CURVE('',#10103,#10104,#1551,.T.); +#13263=EDGE_CURVE('',#10106,#10103,#1609,.T.); +#13265=EDGE_CURVE('',#10108,#10106,#1601,.T.); +#13267=EDGE_CURVE('',#10104,#10108,#1593,.T.); +#13271=EDGE_CURVE('',#10111,#10112,#1699,.T.); +#13273=EDGE_CURVE('',#10114,#10111,#1757,.T.); +#13275=EDGE_CURVE('',#10116,#10114,#1749,.T.); +#13277=EDGE_CURVE('',#10112,#10116,#1741,.T.); +#13281=ADVANCED_FACE('',(#13078,#13084,#13090,#13096,#13102,#13108,#13114, +#13120,#13126,#13132,#13138,#13144,#13150,#13156,#13162,#13168,#13174,#13180, +#13186,#13192,#13198,#13204,#13210,#13216,#13222,#13228,#13254,#13260,#13270, +#13280),#13045,.F.); +#13295=EDGE_CURVE('',#10118,#9948,#785,.T.); +#13297=EDGE_CURVE('',#10120,#10118,#903,.T.); +#13299=EDGE_CURVE('',#10120,#9947,#777,.T.); +#13303=ADVANCED_FACE('',(#13302),#13293,.T.); +#13310=EDGE_CURVE('',#10122,#9972,#798,.T.); +#13312=EDGE_CURVE('',#10124,#10122,#911,.T.); +#13314=EDGE_CURVE('',#10118,#10124,#907,.T.); +#13319=ADVANCED_FACE('',(#13318),#13308,.T.); +#13326=EDGE_CURVE('',#9970,#10122,#794,.T.); +#13331=ADVANCED_FACE('',(#13330),#13324,.T.); +#13339=EDGE_CURVE('',#9968,#10126,#806,.T.); +#13341=EDGE_CURVE('',#10128,#10126,#953,.T.); +#13343=EDGE_CURVE('',#10128,#10130,#810,.T.); +#13345=EDGE_CURVE('',#10122,#10130,#916,.T.); +#13349=ADVANCED_FACE('',(#13348),#13336,.T.); +#13355=EDGE_CURVE('',#9954,#9968,#818,.T.); +#13357=EDGE_CURVE('',#10132,#9954,#866,.T.); +#13359=EDGE_CURVE('',#10132,#10126,#814,.T.); +#13364=ADVANCED_FACE('',(#13363),#13354,.F.); +#13372=EDGE_CURVE('',#8790,#9966,#834,.T.); +#13375=EDGE_CURVE('',#9956,#8788,#838,.T.); +#13380=ADVANCED_FACE('',(#13379),#13369,.T.); +#13394=EDGE_CURVE('',#9964,#8792,#830,.T.); +#13400=ADVANCED_FACE('',(#13399),#13392,.T.); +#13419=ADVANCED_FACE('',(#13418),#13412,.T.); +#13435=EDGE_CURVE('',#8786,#9958,#842,.T.); +#13439=ADVANCED_FACE('',(#13438),#13431,.T.); +#13452=EDGE_CURVE('',#9960,#8784,#850,.T.); +#13459=ADVANCED_FACE('',(#13458),#13451,.T.); +#13475=EDGE_CURVE('',#8782,#9962,#854,.T.); +#13479=ADVANCED_FACE('',(#13478),#13471,.T.); +#13498=ADVANCED_FACE('',(#13497),#13491,.T.); +#13511=EDGE_CURVE('',#10134,#9952,#879,.T.); +#13513=EDGE_CURVE('',#10136,#10134,#935,.T.); +#13515=EDGE_CURVE('',#10136,#10138,#862,.T.); +#13517=EDGE_CURVE('',#10132,#10138,#958,.T.); +#13523=ADVANCED_FACE('',(#13522),#13510,.T.); +#13530=EDGE_CURVE('',#10134,#9950,#891,.T.); +#13535=ADVANCED_FACE('',(#13534),#13528,.T.); +#13543=EDGE_CURVE('',#10140,#10120,#899,.T.); +#13545=EDGE_CURVE('',#10140,#10134,#887,.T.); +#13550=ADVANCED_FACE('',(#13549),#13540,.T.); +#13556=EDGE_CURVE('',#10124,#10140,#895,.T.); +#13563=ADVANCED_FACE('',(#13562),#13555,.T.); +#13572=EDGE_CURVE('',#10142,#10130,#943,.T.); +#13574=EDGE_CURVE('',#10142,#10144,#921,.T.); +#13576=EDGE_CURVE('',#10146,#10144,#1015,.T.); +#13578=EDGE_CURVE('',#10146,#10148,#926,.T.); +#13580=EDGE_CURVE('',#10148,#10136,#930,.T.); +#13586=ADVANCED_FACE('',(#13585),#13568,.T.); +#13592=EDGE_CURVE('',#10128,#10150,#939,.T.); +#13594=EDGE_CURVE('',#10142,#10150,#1007,.T.); +#13600=ADVANCED_FACE('',(#13599),#13591,.T.); +#13606=EDGE_CURVE('',#10152,#10150,#948,.T.); +#13612=EDGE_CURVE('',#10154,#10138,#1027,.T.); +#13614=EDGE_CURVE('',#10154,#10156,#963,.T.); +#13616=EDGE_CURVE('',#10152,#10156,#1011,.T.); +#13620=EDGE_CURVE('',#10159,#10160,#968,.T.); +#13622=EDGE_CURVE('',#10160,#10162,#972,.T.); +#13624=EDGE_CURVE('',#10162,#10164,#977,.T.); +#13626=EDGE_CURVE('',#10164,#10166,#981,.T.); +#13628=EDGE_CURVE('',#10166,#10168,#986,.T.); +#13630=EDGE_CURVE('',#10168,#10170,#990,.T.); +#13632=EDGE_CURVE('',#10170,#10172,#995,.T.); +#13634=EDGE_CURVE('',#10172,#10159,#999,.T.); +#13638=ADVANCED_FACE('',(#13619,#13637),#13605,.T.); +#13645=EDGE_CURVE('',#10152,#10144,#1003,.T.); +#13651=ADVANCED_FACE('',(#13650),#13643,.T.); +#13658=EDGE_CURVE('',#10146,#10156,#1023,.T.); +#13664=ADVANCED_FACE('',(#13663),#13656,.T.); +#13671=EDGE_CURVE('',#10154,#10148,#1019,.T.); +#13677=ADVANCED_FACE('',(#13676),#13669,.T.); +#13689=ADVANCED_FACE('',(#13688),#13682,.T.); +#13696=EDGE_CURVE('',#10159,#10174,#1031,.T.); +#13698=EDGE_CURVE('',#10176,#10174,#1090,.T.); +#13700=EDGE_CURVE('',#10176,#10160,#1035,.T.); +#13704=ADVANCED_FACE('',(#13703),#13694,.F.); +#13711=EDGE_CURVE('',#10178,#10172,#1047,.T.); +#13713=EDGE_CURVE('',#10178,#10174,#1039,.T.); +#13718=ADVANCED_FACE('',(#13717),#13709,.T.); +#13725=EDGE_CURVE('',#10170,#10180,#1043,.T.); +#13727=EDGE_CURVE('',#10178,#10180,#1095,.T.); +#13732=ADVANCED_FACE('',(#13731),#13723,.F.); +#13739=EDGE_CURVE('',#10182,#10168,#1059,.T.); +#13741=EDGE_CURVE('',#10182,#10180,#1051,.T.); +#13746=ADVANCED_FACE('',(#13745),#13737,.F.); +#13753=EDGE_CURVE('',#10166,#10184,#1055,.T.); +#13755=EDGE_CURVE('',#10182,#10184,#1072,.T.); +#13760=ADVANCED_FACE('',(#13759),#13751,.F.); +#13767=EDGE_CURVE('',#10186,#10164,#1067,.T.); +#13769=EDGE_CURVE('',#10184,#10186,#1076,.T.); +#13774=ADVANCED_FACE('',(#13773),#13765,.F.); +#13781=EDGE_CURVE('',#10162,#10188,#1063,.T.); +#13783=EDGE_CURVE('',#10186,#10188,#1081,.T.); +#13788=ADVANCED_FACE('',(#13787),#13779,.F.); +#13796=EDGE_CURVE('',#10188,#10176,#1085,.T.); +#13801=ADVANCED_FACE('',(#13800),#13793,.F.); +#13817=ADVANCED_FACE('',(#13816),#13806,.F.); +#13824=EDGE_CURVE('',#9976,#8799,#1103,.T.); +#13827=EDGE_CURVE('',#9975,#8800,#1099,.T.); +#13831=ADVANCED_FACE('',(#13830),#13822,.F.); +#13843=ADVANCED_FACE('',(#13842),#13836,.F.); +#13850=EDGE_CURVE('',#9847,#9980,#1107,.T.); +#13853=EDGE_CURVE('',#9848,#9979,#1111,.T.); +#13857=ADVANCED_FACE('',(#13856),#13848,.F.); +#13869=ADVANCED_FACE('',(#13868),#13862,.F.); +#13876=EDGE_CURVE('',#9851,#9984,#1115,.T.); +#13879=EDGE_CURVE('',#9852,#9983,#1119,.T.); +#13883=ADVANCED_FACE('',(#13882),#13874,.F.); +#13895=ADVANCED_FACE('',(#13894),#13888,.F.); +#13902=EDGE_CURVE('',#9855,#9988,#1123,.T.); +#13905=EDGE_CURVE('',#9856,#9987,#1127,.T.); +#13909=ADVANCED_FACE('',(#13908),#13900,.F.); +#13921=ADVANCED_FACE('',(#13920),#13914,.F.); +#13928=EDGE_CURVE('',#9859,#9992,#1131,.T.); +#13931=EDGE_CURVE('',#9860,#9991,#1135,.T.); +#13935=ADVANCED_FACE('',(#13934),#13926,.F.); +#13947=ADVANCED_FACE('',(#13946),#13940,.F.); +#13954=EDGE_CURVE('',#9863,#9996,#1139,.T.); +#13957=EDGE_CURVE('',#9864,#9995,#1143,.T.); +#13961=ADVANCED_FACE('',(#13960),#13952,.F.); +#13973=ADVANCED_FACE('',(#13972),#13966,.F.); +#13980=EDGE_CURVE('',#9867,#10000,#1147,.T.); +#13983=EDGE_CURVE('',#9868,#9999,#1151,.T.); +#13987=ADVANCED_FACE('',(#13986),#13978,.F.); +#13999=ADVANCED_FACE('',(#13998),#13992,.F.); +#14006=EDGE_CURVE('',#9871,#10004,#1155,.T.); +#14009=EDGE_CURVE('',#9872,#10003,#1159,.T.); +#14013=ADVANCED_FACE('',(#14012),#14004,.F.); +#14025=ADVANCED_FACE('',(#14024),#14018,.F.); +#14032=EDGE_CURVE('',#9875,#10008,#1163,.T.); +#14035=EDGE_CURVE('',#9876,#10007,#1167,.T.); +#14039=ADVANCED_FACE('',(#14038),#14030,.F.); +#14051=ADVANCED_FACE('',(#14050),#14044,.F.); +#14058=EDGE_CURVE('',#9879,#10012,#1171,.T.); +#14061=EDGE_CURVE('',#9880,#10011,#1175,.T.); +#14065=ADVANCED_FACE('',(#14064),#14056,.F.); +#14077=ADVANCED_FACE('',(#14076),#14070,.F.); +#14084=EDGE_CURVE('',#9883,#10016,#1179,.T.); +#14087=EDGE_CURVE('',#9884,#10015,#1183,.T.); +#14091=ADVANCED_FACE('',(#14090),#14082,.F.); +#14103=ADVANCED_FACE('',(#14102),#14096,.F.); +#14110=EDGE_CURVE('',#9887,#10020,#1187,.T.); +#14113=EDGE_CURVE('',#9888,#10019,#1191,.T.); +#14117=ADVANCED_FACE('',(#14116),#14108,.F.); +#14129=ADVANCED_FACE('',(#14128),#14122,.F.); +#14136=EDGE_CURVE('',#9891,#10024,#1195,.T.); +#14139=EDGE_CURVE('',#9892,#10023,#1199,.T.); +#14143=ADVANCED_FACE('',(#14142),#14134,.F.); +#14155=ADVANCED_FACE('',(#14154),#14148,.F.); +#14162=EDGE_CURVE('',#9895,#10028,#1203,.T.); +#14165=EDGE_CURVE('',#9896,#10027,#1207,.T.); +#14169=ADVANCED_FACE('',(#14168),#14160,.F.); +#14181=ADVANCED_FACE('',(#14180),#14174,.F.); +#14188=EDGE_CURVE('',#9899,#10032,#1211,.T.); +#14191=EDGE_CURVE('',#9900,#10031,#1215,.T.); +#14195=ADVANCED_FACE('',(#14194),#14186,.F.); +#14207=ADVANCED_FACE('',(#14206),#14200,.F.); +#14214=EDGE_CURVE('',#9903,#10036,#1219,.T.); +#14217=EDGE_CURVE('',#9904,#10035,#1223,.T.); +#14221=ADVANCED_FACE('',(#14220),#14212,.F.); +#14233=ADVANCED_FACE('',(#14232),#14226,.F.); +#14240=EDGE_CURVE('',#9907,#10040,#1227,.T.); +#14243=EDGE_CURVE('',#9908,#10039,#1231,.T.); +#14247=ADVANCED_FACE('',(#14246),#14238,.F.); +#14259=ADVANCED_FACE('',(#14258),#14252,.F.); +#14266=EDGE_CURVE('',#9911,#10044,#1235,.T.); +#14269=EDGE_CURVE('',#9912,#10043,#1239,.T.); +#14273=ADVANCED_FACE('',(#14272),#14264,.F.); +#14285=ADVANCED_FACE('',(#14284),#14278,.F.); +#14292=EDGE_CURVE('',#9915,#10048,#1243,.T.); +#14295=EDGE_CURVE('',#9916,#10047,#1247,.T.); +#14299=ADVANCED_FACE('',(#14298),#14290,.F.); +#14311=ADVANCED_FACE('',(#14310),#14304,.F.); +#14318=EDGE_CURVE('',#9919,#10052,#1251,.T.); +#14321=EDGE_CURVE('',#9920,#10051,#1255,.T.); +#14325=ADVANCED_FACE('',(#14324),#14316,.F.); +#14337=ADVANCED_FACE('',(#14336),#14330,.F.); +#14344=EDGE_CURVE('',#9923,#10056,#1259,.T.); +#14347=EDGE_CURVE('',#9924,#10055,#1263,.T.); +#14351=ADVANCED_FACE('',(#14350),#14342,.F.); +#14363=ADVANCED_FACE('',(#14362),#14356,.F.); +#14370=EDGE_CURVE('',#9927,#10060,#1267,.T.); +#14373=EDGE_CURVE('',#9928,#10059,#1271,.T.); +#14377=ADVANCED_FACE('',(#14376),#14368,.F.); +#14389=ADVANCED_FACE('',(#14388),#14382,.F.); +#14396=EDGE_CURVE('',#9931,#10064,#1275,.T.); +#14399=EDGE_CURVE('',#9932,#10063,#1279,.T.); +#14403=ADVANCED_FACE('',(#14402),#14394,.F.); +#14415=ADVANCED_FACE('',(#14414),#14408,.F.); +#14422=EDGE_CURVE('',#9935,#10068,#1283,.T.); +#14425=EDGE_CURVE('',#9936,#10067,#1287,.T.); +#14429=ADVANCED_FACE('',(#14428),#14420,.F.); +#14441=ADVANCED_FACE('',(#14440),#14434,.F.); +#14448=EDGE_CURVE('',#9939,#10072,#1291,.T.); +#14451=EDGE_CURVE('',#9940,#10071,#1295,.T.); +#14455=ADVANCED_FACE('',(#14454),#14446,.F.); +#14467=ADVANCED_FACE('',(#14466),#14460,.F.); +#14474=EDGE_CURVE('',#10190,#10076,#1311,.T.); +#14476=EDGE_CURVE('',#10192,#10190,#1527,.T.); +#14478=EDGE_CURVE('',#10192,#10075,#1303,.T.); +#14482=ADVANCED_FACE('',(#14481),#14472,.T.); +#14489=EDGE_CURVE('',#10194,#10096,#1319,.T.); +#14491=EDGE_CURVE('',#10190,#10194,#1531,.T.); +#14496=ADVANCED_FACE('',(#14495),#14487,.T.); +#14503=EDGE_CURVE('',#10196,#10094,#1339,.T.); +#14505=EDGE_CURVE('',#10194,#10196,#1535,.T.); +#14510=ADVANCED_FACE('',(#14509),#14501,.T.); +#14516=EDGE_CURVE('',#10199,#10200,#1347,.T.); +#14518=EDGE_CURVE('',#10202,#10199,#1487,.T.); +#14520=EDGE_CURVE('',#10202,#10204,#1323,.T.); +#14522=EDGE_CURVE('',#10206,#10204,#1459,.T.); +#14524=EDGE_CURVE('',#10208,#10206,#1447,.T.); +#14526=EDGE_CURVE('',#10208,#10078,#1327,.T.); +#14530=EDGE_CURVE('',#10192,#10196,#1335,.T.); +#14534=EDGE_CURVE('',#10200,#10092,#1383,.T.); +#14538=ADVANCED_FACE('',(#14537),#14515,.T.); +#14544=EDGE_CURVE('',#10211,#10212,#1355,.T.); +#14546=EDGE_CURVE('',#10214,#10211,#1523,.T.); +#14548=EDGE_CURVE('',#10216,#10214,#1511,.T.); +#14550=EDGE_CURVE('',#10218,#10216,#1503,.T.); +#14552=EDGE_CURVE('',#10220,#10218,#1495,.T.); +#14554=EDGE_CURVE('',#10199,#10220,#1491,.T.); +#14557=EDGE_CURVE('',#10200,#10212,#1351,.T.); +#14561=ADVANCED_FACE('',(#14560),#14543,.F.); +#14568=EDGE_CURVE('',#10212,#10090,#1359,.T.); +#14571=EDGE_CURVE('',#10222,#10088,#1395,.T.); +#14573=EDGE_CURVE('',#10224,#10222,#1415,.T.); +#14575=EDGE_CURVE('',#10224,#10082,#1367,.T.); +#14578=EDGE_CURVE('',#10226,#10080,#1435,.T.); +#14580=EDGE_CURVE('',#10228,#10226,#1439,.T.); +#14582=EDGE_CURVE('',#10228,#10230,#1375,.T.); +#14584=EDGE_CURVE('',#10232,#10230,#1463,.T.); +#14586=EDGE_CURVE('',#10232,#10211,#1379,.T.); +#14590=ADVANCED_FACE('',(#14589),#14566,.T.); +#14602=ADVANCED_FACE('',(#14601),#14595,.T.); +#14609=EDGE_CURVE('',#10234,#10086,#1403,.T.); +#14611=EDGE_CURVE('',#10222,#10234,#1419,.T.); +#14616=ADVANCED_FACE('',(#14615),#14607,.T.); +#14623=EDGE_CURVE('',#10236,#10084,#1411,.T.); +#14625=EDGE_CURVE('',#10234,#10236,#1423,.T.); +#14630=ADVANCED_FACE('',(#14629),#14621,.T.); +#14638=EDGE_CURVE('',#10236,#10224,#1427,.T.); +#14643=ADVANCED_FACE('',(#14642),#14635,.T.); +#14655=ADVANCED_FACE('',(#14654),#14648,.T.); +#14663=EDGE_CURVE('',#10226,#10208,#1443,.T.); +#14668=ADVANCED_FACE('',(#14667),#14660,.T.); +#14677=EDGE_CURVE('',#10206,#10228,#1451,.T.); +#14681=ADVANCED_FACE('',(#14680),#14673,.T.); +#14687=EDGE_CURVE('',#10204,#10230,#1455,.T.); +#14694=ADVANCED_FACE('',(#14693),#14686,.T.); +#14703=EDGE_CURVE('',#10202,#10238,#1467,.T.); +#14705=EDGE_CURVE('',#10238,#10240,#1471,.T.); +#14707=EDGE_CURVE('',#10240,#10242,#1475,.T.); +#14709=EDGE_CURVE('',#10242,#10244,#1479,.T.); +#14711=EDGE_CURVE('',#10244,#10232,#1483,.T.); +#14715=ADVANCED_FACE('',(#14714),#14699,.T.); +#14723=EDGE_CURVE('',#10238,#10220,#1499,.T.); +#14728=ADVANCED_FACE('',(#14727),#14720,.T.); +#14735=EDGE_CURVE('',#10240,#10218,#1507,.T.); +#14741=ADVANCED_FACE('',(#14740),#14733,.T.); +#14748=EDGE_CURVE('',#10242,#10216,#1515,.T.); +#14754=ADVANCED_FACE('',(#14753),#14746,.T.); +#14761=EDGE_CURVE('',#10244,#10214,#1519,.T.); +#14767=ADVANCED_FACE('',(#14766),#14759,.T.); +#14779=ADVANCED_FACE('',(#14778),#14772,.T.); +#14791=ADVANCED_FACE('',(#14790),#14784,.T.); +#14798=EDGE_CURVE('',#10100,#8795,#1543,.T.); +#14801=EDGE_CURVE('',#10099,#8796,#1539,.T.); +#14805=ADVANCED_FACE('',(#14804),#14796,.F.); +#14817=ADVANCED_FACE('',(#14816),#14810,.F.); +#14823=EDGE_CURVE('',#10247,#10248,#1555,.T.); +#14825=EDGE_CURVE('',#10247,#10103,#1547,.T.); +#14828=EDGE_CURVE('',#10248,#10104,#1589,.T.); +#14832=ADVANCED_FACE('',(#14831),#14822,.T.); +#14839=EDGE_CURVE('',#10248,#10250,#1559,.T.); +#14841=EDGE_CURVE('',#10250,#10252,#1563,.T.); +#14843=EDGE_CURVE('',#10252,#10247,#1567,.T.); +#14847=EDGE_CURVE('',#10255,#10256,#1572,.T.); +#14849=EDGE_CURVE('',#10256,#10258,#1576,.T.); +#14851=EDGE_CURVE('',#10258,#10260,#1581,.T.); +#14853=EDGE_CURVE('',#10260,#10255,#1585,.T.); +#14857=ADVANCED_FACE('',(#14846,#14856),#14837,.T.); +#14866=EDGE_CURVE('',#10250,#10108,#1597,.T.); +#14870=ADVANCED_FACE('',(#14869),#14862,.T.); +#14879=EDGE_CURVE('',#10252,#10106,#1605,.T.); +#14883=ADVANCED_FACE('',(#14882),#14875,.T.); +#14895=ADVANCED_FACE('',(#14894),#14888,.T.); +#14901=EDGE_CURVE('',#10263,#10264,#1632,.T.); +#14903=EDGE_CURVE('',#10266,#10263,#1627,.T.); +#14905=EDGE_CURVE('',#10266,#10256,#1613,.T.); +#14908=EDGE_CURVE('',#10255,#10264,#1617,.T.); +#14912=ADVANCED_FACE('',(#14911),#14900,.T.); +#14918=EDGE_CURVE('',#10269,#10270,#1647,.T.); +#14920=EDGE_CURVE('',#10269,#10266,#1622,.T.); +#14924=EDGE_CURVE('',#10264,#10270,#1637,.T.); +#14928=ADVANCED_FACE('',(#14927),#14917,.T.); +#14934=EDGE_CURVE('',#10272,#10270,#1651,.T.); +#14936=EDGE_CURVE('',#10272,#10274,#1642,.T.); +#14938=EDGE_CURVE('',#10269,#10274,#1687,.T.); +#14943=ADVANCED_FACE('',(#14942),#14933,.T.); +#14951=EDGE_CURVE('',#10264,#10276,#1655,.T.); +#14953=EDGE_CURVE('',#10272,#10276,#1668,.T.); +#14957=ADVANCED_FACE('',(#14956),#14948,.T.); +#14966=EDGE_CURVE('',#10276,#10260,#1659,.T.); +#14970=ADVANCED_FACE('',(#14969),#14962,.F.); +#14976=EDGE_CURVE('',#10279,#10280,#1678,.T.); +#14978=EDGE_CURVE('',#10276,#10279,#1673,.T.); +#14982=EDGE_CURVE('',#10258,#10280,#1663,.T.); +#14986=ADVANCED_FACE('',(#14985),#14975,.T.); +#14996=EDGE_CURVE('',#10280,#10274,#1683,.T.); +#15000=ADVANCED_FACE('',(#14999),#14991,.T.); +#15008=EDGE_CURVE('',#10280,#10266,#1691,.T.); +#15013=ADVANCED_FACE('',(#15012),#15005,.T.); +#15025=ADVANCED_FACE('',(#15024),#15018,.F.); +#15031=EDGE_CURVE('',#10283,#10284,#1703,.T.); +#15033=EDGE_CURVE('',#10283,#10111,#1695,.T.); +#15036=EDGE_CURVE('',#10284,#10112,#1737,.T.); +#15040=ADVANCED_FACE('',(#15039),#15030,.T.); +#15047=EDGE_CURVE('',#10284,#10286,#1707,.T.); +#15049=EDGE_CURVE('',#10286,#10288,#1711,.T.); +#15051=EDGE_CURVE('',#10288,#10283,#1715,.T.); +#15055=EDGE_CURVE('',#10291,#10292,#1720,.T.); +#15057=EDGE_CURVE('',#10292,#10294,#1724,.T.); +#15059=EDGE_CURVE('',#10294,#10296,#1729,.T.); +#15061=EDGE_CURVE('',#10296,#10291,#1733,.T.); +#15065=ADVANCED_FACE('',(#15054,#15064),#15045,.T.); +#15074=EDGE_CURVE('',#10286,#10116,#1745,.T.); +#15078=ADVANCED_FACE('',(#15077),#15070,.T.); +#15087=EDGE_CURVE('',#10288,#10114,#1753,.T.); +#15091=ADVANCED_FACE('',(#15090),#15083,.T.); +#15103=ADVANCED_FACE('',(#15102),#15096,.T.); +#15109=EDGE_CURVE('',#10299,#10300,#1780,.T.); +#15111=EDGE_CURVE('',#10302,#10299,#1775,.T.); +#15113=EDGE_CURVE('',#10302,#10292,#1761,.T.); +#15116=EDGE_CURVE('',#10291,#10300,#1765,.T.); +#15120=ADVANCED_FACE('',(#15119),#15108,.T.); +#15126=EDGE_CURVE('',#10305,#10306,#1795,.T.); +#15128=EDGE_CURVE('',#10305,#10302,#1770,.T.); +#15132=EDGE_CURVE('',#10300,#10306,#1785,.T.); +#15136=ADVANCED_FACE('',(#15135),#15125,.T.); +#15142=EDGE_CURVE('',#10308,#10306,#1799,.T.); +#15144=EDGE_CURVE('',#10308,#10310,#1790,.T.); +#15146=EDGE_CURVE('',#10305,#10310,#1835,.T.); +#15151=ADVANCED_FACE('',(#15150),#15141,.T.); +#15159=EDGE_CURVE('',#10300,#10312,#1803,.T.); +#15161=EDGE_CURVE('',#10308,#10312,#1816,.T.); +#15165=ADVANCED_FACE('',(#15164),#15156,.T.); +#15174=EDGE_CURVE('',#10312,#10296,#1807,.T.); +#15178=ADVANCED_FACE('',(#15177),#15170,.F.); +#15184=EDGE_CURVE('',#10315,#10316,#1826,.T.); +#15186=EDGE_CURVE('',#10312,#10315,#1821,.T.); +#15190=EDGE_CURVE('',#10294,#10316,#1811,.T.); +#15194=ADVANCED_FACE('',(#15193),#15183,.T.); +#15204=EDGE_CURVE('',#10316,#10310,#1831,.T.); +#15208=ADVANCED_FACE('',(#15207),#15199,.T.); +#15216=EDGE_CURVE('',#10316,#10302,#1839,.T.); +#15221=ADVANCED_FACE('',(#15220),#15213,.T.); +#15233=ADVANCED_FACE('',(#15232),#15226,.F.); +#15240=EDGE_CURVE('',#10318,#8804,#1855,.T.); +#15242=EDGE_CURVE('',#10320,#10318,#2035,.T.); +#15244=EDGE_CURVE('',#10320,#8803,#1847,.T.); +#15248=ADVANCED_FACE('',(#15247),#15238,.T.); +#15255=EDGE_CURVE('',#10322,#8840,#1883,.T.); +#15257=EDGE_CURVE('',#10318,#10322,#2039,.T.); +#15262=ADVANCED_FACE('',(#15261),#15253,.T.); +#15268=EDGE_CURVE('',#10325,#10326,#1899,.T.); +#15270=EDGE_CURVE('',#10325,#8824,#1859,.T.); +#15273=EDGE_CURVE('',#10328,#8822,#1943,.T.); +#15275=EDGE_CURVE('',#10330,#10328,#1963,.T.); +#15277=EDGE_CURVE('',#10330,#8816,#1867,.T.); +#15280=EDGE_CURVE('',#10332,#8814,#1983,.T.); +#15282=EDGE_CURVE('',#10334,#10332,#2003,.T.); +#15284=EDGE_CURVE('',#10334,#8808,#1875,.T.); +#15287=EDGE_CURVE('',#10336,#8806,#2023,.T.); +#15289=EDGE_CURVE('',#10322,#10336,#2027,.T.); +#15293=EDGE_CURVE('',#10338,#8838,#2047,.T.); +#15295=EDGE_CURVE('',#10340,#10338,#2067,.T.); +#15297=EDGE_CURVE('',#10340,#8832,#1891,.T.); +#15300=EDGE_CURVE('',#10326,#8830,#1919,.T.); +#15304=ADVANCED_FACE('',(#15303),#15267,.T.); +#15311=EDGE_CURVE('',#10326,#10342,#1903,.T.); +#15313=EDGE_CURVE('',#10342,#10344,#1907,.T.); +#15315=EDGE_CURVE('',#10344,#10325,#1911,.T.); +#15319=ADVANCED_FACE('',(#15318),#15309,.T.); +#15326=EDGE_CURVE('',#10342,#8828,#1927,.T.); +#15332=ADVANCED_FACE('',(#15331),#15324,.T.); +#15339=EDGE_CURVE('',#10344,#8826,#1935,.T.); +#15345=ADVANCED_FACE('',(#15344),#15337,.T.); +#15357=ADVANCED_FACE('',(#15356),#15350,.T.); +#15364=EDGE_CURVE('',#10346,#8820,#1951,.T.); +#15366=EDGE_CURVE('',#10328,#10346,#1967,.T.); +#15371=ADVANCED_FACE('',(#15370),#15362,.T.); +#15378=EDGE_CURVE('',#10348,#8818,#1959,.T.); +#15380=EDGE_CURVE('',#10346,#10348,#1971,.T.); +#15385=ADVANCED_FACE('',(#15384),#15376,.T.); +#15393=EDGE_CURVE('',#10348,#10330,#1975,.T.); +#15398=ADVANCED_FACE('',(#15397),#15390,.T.); +#15410=ADVANCED_FACE('',(#15409),#15403,.T.); +#15417=EDGE_CURVE('',#10350,#8812,#1991,.T.); +#15419=EDGE_CURVE('',#10332,#10350,#2007,.T.); +#15424=ADVANCED_FACE('',(#15423),#15415,.T.); +#15431=EDGE_CURVE('',#10352,#8810,#1999,.T.); +#15433=EDGE_CURVE('',#10350,#10352,#2011,.T.); +#15438=ADVANCED_FACE('',(#15437),#15429,.T.); +#15446=EDGE_CURVE('',#10352,#10334,#2015,.T.); +#15451=ADVANCED_FACE('',(#15450),#15443,.T.); +#15463=ADVANCED_FACE('',(#15462),#15456,.T.); +#15471=EDGE_CURVE('',#10336,#10320,#2031,.T.); +#15476=ADVANCED_FACE('',(#15475),#15468,.T.); +#15488=ADVANCED_FACE('',(#15487),#15481,.T.); +#15495=EDGE_CURVE('',#10354,#8836,#2055,.T.); +#15497=EDGE_CURVE('',#10338,#10354,#2071,.T.); +#15502=ADVANCED_FACE('',(#15501),#15493,.T.); +#15509=EDGE_CURVE('',#10356,#8834,#2063,.T.); +#15511=EDGE_CURVE('',#10354,#10356,#2075,.T.); +#15516=EDGE_CURVE('',#10359,#10360,#2083,.T.); +#15518=EDGE_CURVE('',#10362,#10359,#2171,.T.); +#15520=EDGE_CURVE('',#10364,#10362,#2167,.T.); +#15522=EDGE_CURVE('',#10366,#10364,#2151,.T.); +#15524=EDGE_CURVE('',#10368,#10366,#2147,.T.); +#15526=EDGE_CURVE('',#10370,#10368,#2139,.T.); +#15528=EDGE_CURVE('',#10372,#10370,#2131,.T.); +#15530=EDGE_CURVE('',#10374,#10372,#2123,.T.); +#15532=EDGE_CURVE('',#10376,#10374,#2119,.T.); +#15534=EDGE_CURVE('',#10378,#10376,#2103,.T.); +#15536=EDGE_CURVE('',#10380,#10378,#2099,.T.); +#15538=EDGE_CURVE('',#10360,#10380,#2091,.T.); +#15542=ADVANCED_FACE('',(#15515,#15541),#15507,.T.); +#15550=EDGE_CURVE('',#10356,#10340,#2079,.T.); +#15555=ADVANCED_FACE('',(#15554),#15547,.T.); +#15567=ADVANCED_FACE('',(#15566),#15560,.T.); +#15574=EDGE_CURVE('',#10360,#10382,#2087,.T.); +#15576=EDGE_CURVE('',#10384,#10382,#2211,.T.); +#15578=EDGE_CURVE('',#10359,#10384,#2175,.T.); +#15582=ADVANCED_FACE('',(#15581),#15572,.F.); +#15589=EDGE_CURVE('',#10380,#10386,#2095,.T.); +#15591=EDGE_CURVE('',#10382,#10386,#2215,.T.); +#15596=ADVANCED_FACE('',(#15595),#15587,.F.); +#15604=EDGE_CURVE('',#10388,#10378,#2107,.T.); +#15606=EDGE_CURVE('',#10386,#10388,#2219,.T.); +#15610=ADVANCED_FACE('',(#15609),#15601,.F.); +#15617=EDGE_CURVE('',#10390,#10376,#2115,.T.); +#15619=EDGE_CURVE('',#10388,#10390,#2223,.T.); +#15624=ADVANCED_FACE('',(#15623),#15615,.F.); +#15630=EDGE_CURVE('',#10374,#10392,#2111,.T.); +#15632=EDGE_CURVE('',#10390,#10392,#2179,.T.); +#15638=ADVANCED_FACE('',(#15637),#15629,.F.); +#15645=EDGE_CURVE('',#10372,#10394,#2127,.T.); +#15647=EDGE_CURVE('',#10392,#10394,#2183,.T.); +#15652=ADVANCED_FACE('',(#15651),#15643,.F.); +#15659=EDGE_CURVE('',#10370,#10396,#2135,.T.); +#15661=EDGE_CURVE('',#10394,#10396,#2187,.T.); +#15666=ADVANCED_FACE('',(#15665),#15657,.F.); +#15673=EDGE_CURVE('',#10368,#10398,#2143,.T.); +#15675=EDGE_CURVE('',#10396,#10398,#2191,.T.); +#15680=ADVANCED_FACE('',(#15679),#15671,.F.); +#15688=EDGE_CURVE('',#10400,#10366,#2155,.T.); +#15690=EDGE_CURVE('',#10398,#10400,#2195,.T.); +#15694=ADVANCED_FACE('',(#15693),#15685,.F.); +#15701=EDGE_CURVE('',#10402,#10364,#2163,.T.); +#15703=EDGE_CURVE('',#10400,#10402,#2199,.T.); +#15708=ADVANCED_FACE('',(#15707),#15699,.F.); +#15714=EDGE_CURVE('',#10362,#10404,#2159,.T.); +#15716=EDGE_CURVE('',#10402,#10404,#2203,.T.); +#15722=ADVANCED_FACE('',(#15721),#15713,.F.); +#15730=EDGE_CURVE('',#10404,#10384,#2207,.T.); +#15735=ADVANCED_FACE('',(#15734),#15727,.F.); +#15755=ADVANCED_FACE('',(#15754),#15740,.T.); +#15762=EDGE_CURVE('',#10406,#8844,#2239,.T.); +#15764=EDGE_CURVE('',#10408,#10406,#2491,.T.); +#15766=EDGE_CURVE('',#10408,#8843,#2231,.T.); +#15770=ADVANCED_FACE('',(#15769),#15760,.T.); +#15777=EDGE_CURVE('',#10410,#8876,#2247,.T.); +#15779=EDGE_CURVE('',#10406,#10410,#2495,.T.); +#15784=ADVANCED_FACE('',(#15783),#15775,.T.); +#15791=EDGE_CURVE('',#10412,#8874,#2263,.T.); +#15793=EDGE_CURVE('',#10410,#10412,#2499,.T.); +#15798=ADVANCED_FACE('',(#15797),#15789,.T.); +#15804=EDGE_CURVE('',#10415,#10416,#2287,.T.); +#15806=EDGE_CURVE('',#10415,#10418,#2251,.T.); +#15808=EDGE_CURVE('',#10420,#10418,#2443,.T.); +#15810=EDGE_CURVE('',#10422,#10420,#2395,.T.); +#15812=EDGE_CURVE('',#10424,#10422,#2407,.T.); +#15814=EDGE_CURVE('',#10424,#8846,#2255,.T.); +#15818=EDGE_CURVE('',#10408,#10412,#2259,.T.); +#15822=EDGE_CURVE('',#10426,#8872,#2507,.T.); +#15824=EDGE_CURVE('',#10426,#10428,#2271,.T.); +#15826=EDGE_CURVE('',#10428,#8866,#2275,.T.); +#15829=EDGE_CURVE('',#10416,#8864,#2315,.T.); +#15833=ADVANCED_FACE('',(#15832),#15803,.T.); +#15839=EDGE_CURVE('',#10431,#10432,#2279,.T.); +#15841=EDGE_CURVE('',#10432,#10415,#2283,.T.); +#15844=EDGE_CURVE('',#10416,#10434,#2291,.T.); +#15846=EDGE_CURVE('',#10434,#10431,#2295,.T.); +#15850=ADVANCED_FACE('',(#15849),#15838,.T.); +#15857=EDGE_CURVE('',#10431,#8860,#2299,.T.); +#15860=EDGE_CURVE('',#10432,#8858,#2323,.T.); +#15864=ADVANCED_FACE('',(#15863),#15855,.T.); +#15871=EDGE_CURVE('',#10434,#8862,#2307,.T.); +#15877=ADVANCED_FACE('',(#15876),#15869,.T.); +#15889=ADVANCED_FACE('',(#15888),#15882,.T.); +#15895=EDGE_CURVE('',#10437,#10438,#2335,.T.); +#15897=EDGE_CURVE('',#10437,#10440,#2319,.T.); +#15899=EDGE_CURVE('',#10418,#10440,#2447,.T.); +#15905=EDGE_CURVE('',#10438,#8856,#2355,.T.); +#15909=ADVANCED_FACE('',(#15908),#15894,.T.); +#15915=EDGE_CURVE('',#10443,#10444,#2347,.T.); +#15917=EDGE_CURVE('',#10443,#10437,#2331,.T.); +#15920=EDGE_CURVE('',#10438,#10444,#2339,.T.); +#15924=ADVANCED_FACE('',(#15923),#15914,.T.); +#15930=EDGE_CURVE('',#10446,#10444,#2351,.T.); +#15932=EDGE_CURVE('',#10448,#10446,#2487,.T.); +#15934=EDGE_CURVE('',#10450,#10448,#2475,.T.); +#15936=EDGE_CURVE('',#10450,#10443,#2343,.T.); +#15941=ADVANCED_FACE('',(#15940),#15929,.F.); +#15947=EDGE_CURVE('',#10453,#10454,#2363,.T.); +#15949=EDGE_CURVE('',#10446,#10453,#2483,.T.); +#15955=EDGE_CURVE('',#10456,#8854,#2387,.T.); +#15957=EDGE_CURVE('',#10454,#10456,#2375,.T.); +#15961=ADVANCED_FACE('',(#15960),#15946,.T.); +#15968=EDGE_CURVE('',#10458,#10454,#2371,.T.); +#15970=EDGE_CURVE('',#10460,#10458,#2463,.T.); +#15972=EDGE_CURVE('',#10462,#10460,#2467,.T.); +#15974=EDGE_CURVE('',#10462,#10453,#2367,.T.); +#15978=ADVANCED_FACE('',(#15977),#15966,.F.); +#15986=EDGE_CURVE('',#10464,#10456,#2383,.T.); +#15988=EDGE_CURVE('',#10464,#10458,#2379,.T.); +#15992=ADVANCED_FACE('',(#15991),#15983,.T.); +#16001=EDGE_CURVE('',#10466,#8852,#2399,.T.); +#16003=EDGE_CURVE('',#10422,#10466,#2411,.T.); +#16006=EDGE_CURVE('',#10468,#10420,#2439,.T.); +#16008=EDGE_CURVE('',#10464,#10468,#2451,.T.); +#16012=ADVANCED_FACE('',(#16011),#15997,.T.); +#16018=EDGE_CURVE('',#10466,#10470,#2415,.T.); +#16022=EDGE_CURVE('',#10470,#8850,#2427,.T.); +#16026=ADVANCED_FACE('',(#16025),#16017,.T.); +#16035=EDGE_CURVE('',#10470,#10472,#2419,.T.); +#16037=EDGE_CURVE('',#10472,#10424,#2423,.T.); +#16041=ADVANCED_FACE('',(#16040),#16031,.T.); +#16050=EDGE_CURVE('',#10472,#8848,#2435,.T.); +#16054=ADVANCED_FACE('',(#16053),#16046,.T.); +#16066=ADVANCED_FACE('',(#16065),#16059,.T.); +#16072=EDGE_CURVE('',#10468,#10440,#2455,.T.); +#16079=ADVANCED_FACE('',(#16078),#16071,.T.); +#16085=EDGE_CURVE('',#10458,#10443,#2459,.T.); +#16094=ADVANCED_FACE('',(#16093),#16084,.F.); +#16102=EDGE_CURVE('',#10460,#10450,#2471,.T.); +#16107=ADVANCED_FACE('',(#16106),#16099,.F.); +#16116=EDGE_CURVE('',#10448,#10462,#2479,.T.); +#16120=ADVANCED_FACE('',(#16119),#16112,.T.); +#16132=ADVANCED_FACE('',(#16131),#16125,.F.); +#16144=ADVANCED_FACE('',(#16143),#16137,.T.); +#16151=EDGE_CURVE('',#10474,#8870,#2515,.T.); +#16153=EDGE_CURVE('',#10426,#10474,#2527,.T.); +#16158=ADVANCED_FACE('',(#16157),#16149,.T.); +#16165=EDGE_CURVE('',#10476,#8868,#2523,.T.); +#16167=EDGE_CURVE('',#10474,#10476,#2531,.T.); +#16172=ADVANCED_FACE('',(#16171),#16163,.T.); +#16180=EDGE_CURVE('',#10476,#10428,#2535,.T.); +#16185=ADVANCED_FACE('',(#16184),#16177,.T.); +#16197=ADVANCED_FACE('',(#16196),#16190,.T.); +#16206=EDGE_CURVE('',#8879,#10478,#2539,.T.); +#16208=EDGE_CURVE('',#10480,#10478,#2663,.T.); +#16210=EDGE_CURVE('',#10482,#10480,#2658,.T.); +#16212=EDGE_CURVE('',#10484,#10482,#2653,.T.); +#16214=EDGE_CURVE('',#10484,#8884,#2543,.T.); +#16218=ADVANCED_FACE('',(#16217),#16202,.T.); +#16224=EDGE_CURVE('',#10487,#10488,#2547,.T.); +#16226=EDGE_CURVE('',#10490,#10488,#2586,.T.); +#16228=EDGE_CURVE('',#10492,#10490,#2679,.T.); +#16230=EDGE_CURVE('',#10492,#10494,#2551,.T.); +#16232=EDGE_CURVE('',#10494,#10478,#2555,.T.); +#16236=EDGE_CURVE('',#10487,#8908,#2594,.T.); +#16240=EDGE_CURVE('',#10497,#10498,#2701,.T.); +#16242=EDGE_CURVE('',#10500,#10497,#2725,.T.); +#16244=EDGE_CURVE('',#10502,#10500,#2717,.T.); +#16246=EDGE_CURVE('',#10498,#10502,#2709,.T.); +#16250=ADVANCED_FACE('',(#16239,#16249),#16223,.T.); +#16256=EDGE_CURVE('',#10488,#10504,#2578,.T.); +#16259=EDGE_CURVE('',#10487,#10506,#2564,.T.); +#16261=EDGE_CURVE('',#10506,#10508,#2569,.T.); +#16263=EDGE_CURVE('',#10508,#10504,#2574,.T.); +#16267=ADVANCED_FACE('',(#16266),#16255,.T.); +#16274=EDGE_CURVE('',#8902,#10504,#2590,.T.); +#16277=EDGE_CURVE('',#10510,#8900,#2606,.T.); +#16279=EDGE_CURVE('',#10490,#10510,#2667,.T.); +#16284=ADVANCED_FACE('',(#16283),#16272,.T.); +#16300=ADVANCED_FACE('',(#16299),#16289,.T.); +#16306=EDGE_CURVE('',#10513,#10514,#2598,.T.); +#16308=EDGE_CURVE('',#8888,#10514,#2636,.T.); +#16311=EDGE_CURVE('',#10516,#8886,#2644,.T.); +#16313=EDGE_CURVE('',#10510,#10516,#2671,.T.); +#16317=EDGE_CURVE('',#10518,#8898,#2683,.T.); +#16319=EDGE_CURVE('',#10518,#10520,#2614,.T.); +#16321=EDGE_CURVE('',#8894,#10520,#2687,.T.); +#16324=EDGE_CURVE('',#10513,#8892,#2632,.T.); +#16328=ADVANCED_FACE('',(#16327),#16305,.T.); +#16335=EDGE_CURVE('',#10513,#10522,#2623,.T.); +#16337=EDGE_CURVE('',#10522,#10514,#2628,.T.); +#16341=ADVANCED_FACE('',(#16340),#16333,.T.); +#16355=ADVANCED_FACE('',(#16354),#16346,.T.); +#16361=EDGE_CURVE('',#10484,#10494,#2640,.T.); +#16364=EDGE_CURVE('',#10516,#10492,#2675,.T.); +#16371=ADVANCED_FACE('',(#16370),#16360,.T.); +#16384=ADVANCED_FACE('',(#16383),#16376,.T.); +#16396=ADVANCED_FACE('',(#16395),#16389,.T.); +#16402=EDGE_CURVE('',#10524,#10520,#2697,.T.); +#16404=EDGE_CURVE('',#10518,#10524,#2692,.T.); +#16412=ADVANCED_FACE('',(#16411),#16401,.T.); +#16423=ADVANCED_FACE('',(#16422),#16417,.T.); +#16430=EDGE_CURVE('',#10526,#10498,#2713,.T.); +#16432=EDGE_CURVE('',#10528,#10526,#2733,.T.); +#16434=EDGE_CURVE('',#10528,#10497,#2705,.T.); +#16438=ADVANCED_FACE('',(#16437),#16428,.T.); +#16445=EDGE_CURVE('',#10530,#10502,#2721,.T.); +#16447=EDGE_CURVE('',#10526,#10530,#2737,.T.); +#16452=ADVANCED_FACE('',(#16451),#16443,.T.); +#16459=EDGE_CURVE('',#10532,#10500,#2729,.T.); +#16461=EDGE_CURVE('',#10530,#10532,#2741,.T.); +#16466=ADVANCED_FACE('',(#16465),#16457,.T.); +#16474=EDGE_CURVE('',#10532,#10528,#2745,.T.); +#16479=ADVANCED_FACE('',(#16478),#16471,.T.); +#16491=ADVANCED_FACE('',(#16490),#16484,.T.); +#16498=EDGE_CURVE('',#10534,#8912,#2761,.T.); +#16500=EDGE_CURVE('',#10536,#10534,#2845,.T.); +#16502=EDGE_CURVE('',#10536,#8911,#2753,.T.); +#16506=ADVANCED_FACE('',(#16505),#16496,.T.); +#16513=EDGE_CURVE('',#10538,#8916,#2801,.T.); +#16515=EDGE_CURVE('',#10534,#10538,#2849,.T.); +#16520=EDGE_CURVE('',#10541,#10542,#2765,.T.); +#16522=EDGE_CURVE('',#10544,#10542,#3205,.T.); +#16524=EDGE_CURVE('',#10546,#10544,#3221,.T.); +#16526=EDGE_CURVE('',#10546,#10541,#2769,.T.); +#16530=EDGE_CURVE('',#10549,#10550,#2773,.T.); +#16532=EDGE_CURVE('',#10552,#10550,#3281,.T.); +#16534=EDGE_CURVE('',#10554,#10552,#3305,.T.); +#16536=EDGE_CURVE('',#10554,#10549,#2777,.T.); +#16540=EDGE_CURVE('',#10557,#10558,#2781,.T.); +#16542=EDGE_CURVE('',#10560,#10558,#3365,.T.); +#16544=EDGE_CURVE('',#10562,#10560,#3385,.T.); +#16546=EDGE_CURVE('',#10562,#10557,#2785,.T.); +#16550=EDGE_CURVE('',#10565,#10566,#2789,.T.); +#16552=EDGE_CURVE('',#10568,#10566,#3441,.T.); +#16554=EDGE_CURVE('',#10570,#10568,#3465,.T.); +#16556=EDGE_CURVE('',#10570,#10565,#2793,.T.); +#16560=ADVANCED_FACE('',(#16519,#16529,#16539,#16549,#16559),#16511,.T.); +#16567=EDGE_CURVE('',#10572,#8914,#2809,.T.); +#16569=EDGE_CURVE('',#10538,#10572,#2853,.T.); +#16574=ADVANCED_FACE('',(#16573),#16565,.T.); +#16582=EDGE_CURVE('',#10572,#10536,#2857,.T.); +#16587=EDGE_CURVE('',#10575,#10576,#2861,.T.); +#16589=EDGE_CURVE('',#10578,#10575,#2909,.T.); +#16591=EDGE_CURVE('',#10578,#10580,#2813,.T.); +#16593=EDGE_CURVE('',#10580,#10576,#2817,.T.); +#16597=EDGE_CURVE('',#10583,#10584,#2941,.T.); +#16599=EDGE_CURVE('',#10586,#10583,#2989,.T.); +#16601=EDGE_CURVE('',#10586,#10588,#2821,.T.); +#16603=EDGE_CURVE('',#10588,#10584,#2825,.T.); +#16607=EDGE_CURVE('',#10591,#10592,#3021,.T.); +#16609=EDGE_CURVE('',#10594,#10591,#3069,.T.); +#16611=EDGE_CURVE('',#10594,#10596,#2829,.T.); +#16613=EDGE_CURVE('',#10596,#10592,#2833,.T.); +#16617=EDGE_CURVE('',#10599,#10600,#3101,.T.); +#16619=EDGE_CURVE('',#10602,#10599,#3145,.T.); +#16621=EDGE_CURVE('',#10602,#10604,#2837,.T.); +#16623=EDGE_CURVE('',#10604,#10600,#2841,.T.); +#16627=ADVANCED_FACE('',(#16586,#16596,#16606,#16616,#16626),#16579,.T.); +#16639=ADVANCED_FACE('',(#16638),#16632,.T.); +#16646=EDGE_CURVE('',#10606,#10576,#2877,.T.); +#16648=EDGE_CURVE('',#10606,#10608,#2865,.T.); +#16650=EDGE_CURVE('',#10575,#10608,#2897,.T.); +#16654=ADVANCED_FACE('',(#16653),#16644,.F.); +#16660=EDGE_CURVE('',#10611,#10612,#2869,.T.); +#16662=EDGE_CURVE('',#10614,#10612,#2937,.T.); +#16664=EDGE_CURVE('',#10614,#8924,#2873,.T.); +#16667=EDGE_CURVE('',#10606,#8922,#2913,.T.); +#16671=EDGE_CURVE('',#10611,#10580,#2893,.T.); +#16675=ADVANCED_FACE('',(#16674),#16659,.T.); +#16682=EDGE_CURVE('',#10616,#10611,#2889,.T.); +#16684=EDGE_CURVE('',#10618,#10616,#2905,.T.); +#16686=EDGE_CURVE('',#10618,#10612,#2881,.T.); +#16690=ADVANCED_FACE('',(#16689),#16680,.T.); +#16697=EDGE_CURVE('',#10578,#10616,#2885,.T.); +#16703=ADVANCED_FACE('',(#16702),#16695,.T.); +#16710=EDGE_CURVE('',#10608,#8920,#2901,.T.); +#16713=EDGE_CURVE('',#10620,#8919,#2925,.T.); +#16715=EDGE_CURVE('',#10618,#10620,#2929,.T.); +#16722=ADVANCED_FACE('',(#16721),#16708,.T.); +#16734=ADVANCED_FACE('',(#16733),#16727,.T.); +#16742=EDGE_CURVE('',#10620,#10614,#2933,.T.); +#16747=ADVANCED_FACE('',(#16746),#16739,.T.); +#16759=ADVANCED_FACE('',(#16758),#16752,.T.); +#16766=EDGE_CURVE('',#10622,#10584,#2957,.T.); +#16768=EDGE_CURVE('',#10622,#10624,#2945,.T.); +#16770=EDGE_CURVE('',#10583,#10624,#2981,.T.); +#16774=ADVANCED_FACE('',(#16773),#16764,.F.); +#16780=EDGE_CURVE('',#10627,#10628,#2949,.T.); +#16782=EDGE_CURVE('',#10630,#10628,#3017,.T.); +#16784=EDGE_CURVE('',#10630,#8932,#2953,.T.); +#16787=EDGE_CURVE('',#10622,#8930,#2993,.T.); +#16791=EDGE_CURVE('',#10627,#10588,#2977,.T.); +#16795=ADVANCED_FACE('',(#16794),#16779,.T.); +#16802=EDGE_CURVE('',#10632,#10627,#2973,.T.); +#16804=EDGE_CURVE('',#10632,#10634,#2961,.T.); +#16806=EDGE_CURVE('',#10634,#10628,#2965,.T.); +#16810=ADVANCED_FACE('',(#16809),#16800,.T.); +#16817=EDGE_CURVE('',#10586,#10632,#2969,.T.); +#16823=ADVANCED_FACE('',(#16822),#16815,.T.); +#16830=EDGE_CURVE('',#10624,#8928,#2985,.T.); +#16833=EDGE_CURVE('',#10636,#8927,#3005,.T.); +#16835=EDGE_CURVE('',#10634,#10636,#3009,.T.); +#16842=ADVANCED_FACE('',(#16841),#16828,.T.); +#16854=ADVANCED_FACE('',(#16853),#16847,.T.); +#16862=EDGE_CURVE('',#10636,#10630,#3013,.T.); +#16867=ADVANCED_FACE('',(#16866),#16859,.T.); +#16879=ADVANCED_FACE('',(#16878),#16872,.T.); +#16886=EDGE_CURVE('',#10638,#10592,#3037,.T.); +#16888=EDGE_CURVE('',#10638,#10640,#3025,.T.); +#16890=EDGE_CURVE('',#10591,#10640,#3057,.T.); +#16894=ADVANCED_FACE('',(#16893),#16884,.F.); +#16900=EDGE_CURVE('',#10643,#10644,#3029,.T.); +#16902=EDGE_CURVE('',#10646,#10644,#3097,.T.); +#16904=EDGE_CURVE('',#10646,#8940,#3033,.T.); +#16907=EDGE_CURVE('',#10638,#8938,#3073,.T.); +#16911=EDGE_CURVE('',#10643,#10596,#3053,.T.); +#16915=ADVANCED_FACE('',(#16914),#16899,.T.); +#16922=EDGE_CURVE('',#10648,#10643,#3049,.T.); +#16924=EDGE_CURVE('',#10650,#10648,#3065,.T.); +#16926=EDGE_CURVE('',#10650,#10644,#3041,.T.); +#16930=ADVANCED_FACE('',(#16929),#16920,.T.); +#16937=EDGE_CURVE('',#10594,#10648,#3045,.T.); +#16943=ADVANCED_FACE('',(#16942),#16935,.T.); +#16950=EDGE_CURVE('',#10640,#8936,#3061,.T.); +#16953=EDGE_CURVE('',#10652,#8935,#3085,.T.); +#16955=EDGE_CURVE('',#10650,#10652,#3089,.T.); +#16962=ADVANCED_FACE('',(#16961),#16948,.T.); +#16974=ADVANCED_FACE('',(#16973),#16967,.T.); +#16982=EDGE_CURVE('',#10652,#10646,#3093,.T.); +#16987=ADVANCED_FACE('',(#16986),#16979,.T.); +#16999=ADVANCED_FACE('',(#16998),#16992,.T.); +#17006=EDGE_CURVE('',#10654,#10600,#3117,.T.); +#17008=EDGE_CURVE('',#10654,#10656,#3105,.T.); +#17010=EDGE_CURVE('',#10599,#10656,#3137,.T.); +#17014=ADVANCED_FACE('',(#17013),#17004,.F.); +#17020=EDGE_CURVE('',#10659,#10660,#3109,.T.); +#17022=EDGE_CURVE('',#10662,#10660,#3177,.T.); +#17024=EDGE_CURVE('',#10662,#8948,#3113,.T.); +#17027=EDGE_CURVE('',#10654,#8946,#3149,.T.); +#17031=EDGE_CURVE('',#10659,#10604,#3133,.T.); +#17035=ADVANCED_FACE('',(#17034),#17019,.T.); +#17042=EDGE_CURVE('',#10664,#10659,#3129,.T.); +#17044=EDGE_CURVE('',#10664,#10666,#3121,.T.); +#17046=EDGE_CURVE('',#10660,#10666,#3165,.T.); +#17050=ADVANCED_FACE('',(#17049),#17040,.T.); +#17057=EDGE_CURVE('',#10602,#10664,#3125,.T.); +#17063=ADVANCED_FACE('',(#17062),#17055,.T.); +#17070=EDGE_CURVE('',#10656,#8944,#3141,.T.); +#17073=EDGE_CURVE('',#10668,#8943,#3161,.T.); +#17075=EDGE_CURVE('',#10666,#10668,#3169,.T.); +#17082=ADVANCED_FACE('',(#17081),#17068,.T.); +#17094=ADVANCED_FACE('',(#17093),#17087,.T.); +#17102=EDGE_CURVE('',#10668,#10662,#3173,.T.); +#17107=ADVANCED_FACE('',(#17106),#17099,.T.); +#17119=ADVANCED_FACE('',(#17118),#17112,.T.); +#17126=EDGE_CURVE('',#10670,#10541,#3185,.T.); +#17128=EDGE_CURVE('',#10670,#10672,#3181,.T.); +#17130=EDGE_CURVE('',#10542,#10672,#3209,.T.); +#17134=ADVANCED_FACE('',(#17133),#17124,.F.); +#17140=EDGE_CURVE('',#10670,#8954,#3197,.T.); +#17144=EDGE_CURVE('',#10674,#10546,#3233,.T.); +#17146=EDGE_CURVE('',#10674,#10676,#3189,.T.); +#17148=EDGE_CURVE('',#10678,#10676,#3249,.T.); +#17150=EDGE_CURVE('',#10678,#8956,#3193,.T.); +#17155=ADVANCED_FACE('',(#17154),#17139,.T.); +#17164=EDGE_CURVE('',#10672,#8952,#3213,.T.); +#17168=ADVANCED_FACE('',(#17167),#17160,.T.); +#17174=EDGE_CURVE('',#10681,#10682,#3217,.T.); +#17176=EDGE_CURVE('',#10544,#10681,#3225,.T.); +#17182=EDGE_CURVE('',#10684,#8951,#3257,.T.); +#17184=EDGE_CURVE('',#10682,#10684,#3241,.T.); +#17188=ADVANCED_FACE('',(#17187),#17173,.T.); +#17195=EDGE_CURVE('',#10681,#10674,#3229,.T.); +#17198=EDGE_CURVE('',#10676,#10682,#3237,.T.); +#17202=ADVANCED_FACE('',(#17201),#17193,.T.); +#17214=ADVANCED_FACE('',(#17213),#17207,.T.); +#17222=EDGE_CURVE('',#10684,#10678,#3245,.T.); +#17227=ADVANCED_FACE('',(#17226),#17219,.T.); +#17239=ADVANCED_FACE('',(#17238),#17232,.T.); +#17246=EDGE_CURVE('',#10686,#10549,#3265,.T.); +#17248=EDGE_CURVE('',#10686,#10688,#3261,.T.); +#17250=EDGE_CURVE('',#10550,#10688,#3285,.T.); +#17254=ADVANCED_FACE('',(#17253),#17244,.F.); +#17260=EDGE_CURVE('',#10686,#8962,#3273,.T.); +#17264=EDGE_CURVE('',#10690,#10554,#3317,.T.); +#17266=EDGE_CURVE('',#10692,#10690,#3293,.T.); +#17268=EDGE_CURVE('',#10694,#10692,#3329,.T.); +#17270=EDGE_CURVE('',#10694,#8964,#3269,.T.); +#17275=ADVANCED_FACE('',(#17274),#17259,.T.); +#17284=EDGE_CURVE('',#10688,#8960,#3289,.T.); +#17288=ADVANCED_FACE('',(#17287),#17280,.T.); +#17294=EDGE_CURVE('',#10697,#10698,#3297,.T.); +#17296=EDGE_CURVE('',#10552,#10697,#3309,.T.); +#17302=EDGE_CURVE('',#10700,#8959,#3337,.T.); +#17304=EDGE_CURVE('',#10698,#10700,#3321,.T.); +#17308=ADVANCED_FACE('',(#17307),#17293,.T.); +#17315=EDGE_CURVE('',#10697,#10690,#3313,.T.); +#17318=EDGE_CURVE('',#10698,#10692,#3301,.T.); +#17322=ADVANCED_FACE('',(#17321),#17313,.T.); +#17334=ADVANCED_FACE('',(#17333),#17327,.T.); +#17342=EDGE_CURVE('',#10700,#10694,#3325,.T.); +#17347=ADVANCED_FACE('',(#17346),#17339,.T.); +#17359=ADVANCED_FACE('',(#17358),#17352,.T.); +#17366=EDGE_CURVE('',#10702,#10557,#3345,.T.); +#17368=EDGE_CURVE('',#10702,#10704,#3341,.T.); +#17370=EDGE_CURVE('',#10558,#10704,#3369,.T.); +#17374=ADVANCED_FACE('',(#17373),#17364,.F.); +#17380=EDGE_CURVE('',#10702,#8970,#3357,.T.); +#17384=EDGE_CURVE('',#10706,#10562,#3397,.T.); +#17386=EDGE_CURVE('',#10706,#10708,#3349,.T.); +#17388=EDGE_CURVE('',#10710,#10708,#3409,.T.); +#17390=EDGE_CURVE('',#10710,#8972,#3353,.T.); +#17395=ADVANCED_FACE('',(#17394),#17379,.T.); +#17404=EDGE_CURVE('',#10704,#8968,#3373,.T.); +#17408=ADVANCED_FACE('',(#17407),#17400,.T.); +#17414=EDGE_CURVE('',#10713,#10714,#3377,.T.); +#17416=EDGE_CURVE('',#10560,#10713,#3389,.T.); +#17422=EDGE_CURVE('',#10716,#8967,#3417,.T.); +#17424=EDGE_CURVE('',#10714,#10716,#3401,.T.); +#17428=ADVANCED_FACE('',(#17427),#17413,.T.); +#17435=EDGE_CURVE('',#10713,#10706,#3393,.T.); +#17438=EDGE_CURVE('',#10714,#10708,#3381,.T.); +#17442=ADVANCED_FACE('',(#17441),#17433,.T.); +#17454=ADVANCED_FACE('',(#17453),#17447,.T.); +#17462=EDGE_CURVE('',#10716,#10710,#3405,.T.); +#17467=ADVANCED_FACE('',(#17466),#17459,.T.); +#17479=ADVANCED_FACE('',(#17478),#17472,.T.); +#17486=EDGE_CURVE('',#10718,#10565,#3425,.T.); +#17488=EDGE_CURVE('',#10718,#10720,#3421,.T.); +#17490=EDGE_CURVE('',#10566,#10720,#3445,.T.); +#17494=ADVANCED_FACE('',(#17493),#17484,.F.); +#17500=EDGE_CURVE('',#10718,#8978,#3433,.T.); +#17504=EDGE_CURVE('',#10722,#10570,#3477,.T.); +#17506=EDGE_CURVE('',#10724,#10722,#3453,.T.); +#17508=EDGE_CURVE('',#10726,#10724,#3489,.T.); +#17510=EDGE_CURVE('',#10726,#8980,#3429,.T.); +#17515=ADVANCED_FACE('',(#17514),#17499,.T.); +#17524=EDGE_CURVE('',#10720,#8976,#3449,.T.); +#17528=ADVANCED_FACE('',(#17527),#17520,.T.); +#17534=EDGE_CURVE('',#10729,#10730,#3457,.T.); +#17536=EDGE_CURVE('',#10568,#10729,#3469,.T.); +#17542=EDGE_CURVE('',#10732,#8975,#3497,.T.); +#17544=EDGE_CURVE('',#10730,#10732,#3481,.T.); +#17548=ADVANCED_FACE('',(#17547),#17533,.T.); +#17555=EDGE_CURVE('',#10729,#10722,#3473,.T.); +#17558=EDGE_CURVE('',#10730,#10724,#3461,.T.); +#17562=ADVANCED_FACE('',(#17561),#17553,.T.); +#17574=ADVANCED_FACE('',(#17573),#17567,.T.); +#17582=EDGE_CURVE('',#10732,#10726,#3485,.T.); +#17587=ADVANCED_FACE('',(#17586),#17579,.T.); +#17599=ADVANCED_FACE('',(#17598),#17592,.T.); +#17606=EDGE_CURVE('',#10734,#8984,#3513,.T.); +#17608=EDGE_CURVE('',#10736,#10734,#3545,.T.); +#17610=EDGE_CURVE('',#10736,#8983,#3505,.T.); +#17614=ADVANCED_FACE('',(#17613),#17604,.T.); +#17621=EDGE_CURVE('',#10738,#9020,#3521,.T.); +#17623=EDGE_CURVE('',#10734,#10738,#3549,.T.); +#17628=ADVANCED_FACE('',(#17627),#17619,.T.); +#17635=EDGE_CURVE('',#10740,#9018,#3529,.T.); +#17637=EDGE_CURVE('',#10738,#10740,#3553,.T.); +#17642=ADVANCED_FACE('',(#17641),#17633,.T.); +#17648=EDGE_CURVE('',#10736,#10740,#3525,.T.); +#17652=EDGE_CURVE('',#10742,#9016,#3569,.T.); +#17654=EDGE_CURVE('',#10744,#10742,#3733,.T.); +#17656=EDGE_CURVE('',#10744,#8986,#3537,.T.); +#17662=ADVANCED_FACE('',(#17661),#17647,.T.); +#17674=ADVANCED_FACE('',(#17673),#17667,.T.); +#17680=EDGE_CURVE('',#10747,#10748,#3557,.T.); +#17682=EDGE_CURVE('',#10748,#9008,#3561,.T.); +#17685=EDGE_CURVE('',#10750,#9006,#3625,.T.); +#17687=EDGE_CURVE('',#10742,#10750,#3737,.T.); +#17691=EDGE_CURVE('',#10747,#9014,#3593,.T.); +#17695=ADVANCED_FACE('',(#17694),#17679,.T.); +#17702=EDGE_CURVE('',#10747,#10752,#3577,.T.); +#17704=EDGE_CURVE('',#10752,#10754,#3581,.T.); +#17706=EDGE_CURVE('',#10754,#10748,#3585,.T.); +#17710=ADVANCED_FACE('',(#17709),#17700,.T.); +#17717=EDGE_CURVE('',#10752,#9012,#3601,.T.); +#17723=ADVANCED_FACE('',(#17722),#17715,.T.); +#17730=EDGE_CURVE('',#10754,#9010,#3609,.T.); +#17736=ADVANCED_FACE('',(#17735),#17728,.T.); +#17748=ADVANCED_FACE('',(#17747),#17741,.T.); +#17754=EDGE_CURVE('',#10757,#10758,#3613,.T.); +#17756=EDGE_CURVE('',#10758,#8998,#3617,.T.); +#17759=EDGE_CURVE('',#10760,#8996,#3681,.T.); +#17761=EDGE_CURVE('',#10750,#10760,#3725,.T.); +#17765=EDGE_CURVE('',#10757,#9004,#3649,.T.); +#17769=ADVANCED_FACE('',(#17768),#17753,.T.); +#17776=EDGE_CURVE('',#10757,#10762,#3633,.T.); +#17778=EDGE_CURVE('',#10762,#10764,#3637,.T.); +#17780=EDGE_CURVE('',#10764,#10758,#3641,.T.); +#17784=ADVANCED_FACE('',(#17783),#17774,.T.); +#17791=EDGE_CURVE('',#10762,#9002,#3657,.T.); +#17797=ADVANCED_FACE('',(#17796),#17789,.T.); +#17804=EDGE_CURVE('',#10764,#9000,#3665,.T.); +#17810=ADVANCED_FACE('',(#17809),#17802,.T.); +#17822=ADVANCED_FACE('',(#17821),#17815,.T.); +#17828=EDGE_CURVE('',#10767,#10768,#3669,.T.); +#17830=EDGE_CURVE('',#10768,#8988,#3673,.T.); +#17834=EDGE_CURVE('',#10760,#10744,#3729,.T.); +#17838=EDGE_CURVE('',#10767,#8994,#3705,.T.); +#17842=ADVANCED_FACE('',(#17841),#17827,.T.); +#17849=EDGE_CURVE('',#10767,#10770,#3689,.T.); +#17851=EDGE_CURVE('',#10770,#10772,#3693,.T.); +#17853=EDGE_CURVE('',#10772,#10768,#3697,.T.); +#17857=ADVANCED_FACE('',(#17856),#17847,.T.); +#17864=EDGE_CURVE('',#10770,#8992,#3713,.T.); +#17870=ADVANCED_FACE('',(#17869),#17862,.T.); +#17877=EDGE_CURVE('',#10772,#8990,#3721,.T.); +#17883=ADVANCED_FACE('',(#17882),#17875,.T.); +#17895=ADVANCED_FACE('',(#17894),#17888,.T.); +#17907=ADVANCED_FACE('',(#17906),#17900,.T.); +#17914=EDGE_CURVE('',#10774,#9024,#3753,.T.); +#17916=EDGE_CURVE('',#10776,#10774,#3781,.T.); +#17918=EDGE_CURVE('',#10776,#9023,#3745,.T.); +#17922=ADVANCED_FACE('',(#17921),#17912,.T.); +#17929=EDGE_CURVE('',#10778,#9044,#3757,.T.); +#17931=EDGE_CURVE('',#10774,#10778,#3785,.T.); +#17936=ADVANCED_FACE('',(#17935),#17927,.T.); +#17942=EDGE_CURVE('',#10778,#10780,#3773,.T.); +#17946=EDGE_CURVE('',#10782,#9042,#3801,.T.); +#17948=EDGE_CURVE('',#10784,#10782,#3869,.T.); +#17950=EDGE_CURVE('',#10784,#9028,#3765,.T.); +#17953=EDGE_CURVE('',#10780,#9026,#3793,.T.); +#17957=ADVANCED_FACE('',(#17956),#17941,.T.); +#17964=EDGE_CURVE('',#10780,#10776,#3777,.T.); +#17970=ADVANCED_FACE('',(#17969),#17962,.T.); +#17982=ADVANCED_FACE('',(#17981),#17975,.T.); +#17989=EDGE_CURVE('',#10786,#9040,#3817,.T.); +#17991=EDGE_CURVE('',#10782,#10786,#3873,.T.); +#17996=ADVANCED_FACE('',(#17995),#17987,.T.); +#18002=EDGE_CURVE('',#10789,#10790,#3805,.T.); +#18004=EDGE_CURVE('',#10790,#9032,#3809,.T.); +#18007=EDGE_CURVE('',#10792,#9030,#3865,.T.); +#18009=EDGE_CURVE('',#10786,#10792,#3877,.T.); +#18013=EDGE_CURVE('',#10789,#9038,#3841,.T.); +#18017=ADVANCED_FACE('',(#18016),#18001,.T.); +#18024=EDGE_CURVE('',#10789,#10794,#3825,.T.); +#18026=EDGE_CURVE('',#10794,#10796,#3829,.T.); +#18028=EDGE_CURVE('',#10796,#10790,#3833,.T.); +#18032=ADVANCED_FACE('',(#18031),#18022,.T.); +#18039=EDGE_CURVE('',#10794,#9036,#3849,.T.); +#18045=ADVANCED_FACE('',(#18044),#18037,.T.); +#18052=EDGE_CURVE('',#10796,#9034,#3857,.T.); +#18058=ADVANCED_FACE('',(#18057),#18050,.T.); +#18070=ADVANCED_FACE('',(#18069),#18063,.T.); +#18078=EDGE_CURVE('',#10792,#10784,#3881,.T.); +#18083=ADVANCED_FACE('',(#18082),#18075,.T.); +#18095=ADVANCED_FACE('',(#18094),#18088,.T.); +#18102=EDGE_CURVE('',#10798,#9048,#3897,.T.); +#18104=EDGE_CURVE('',#10800,#10798,#3917,.T.); +#18106=EDGE_CURVE('',#10800,#9047,#3889,.T.); +#18110=ADVANCED_FACE('',(#18109),#18100,.T.); +#18117=EDGE_CURVE('',#10802,#9052,#3905,.T.); +#18119=EDGE_CURVE('',#10798,#10802,#3921,.T.); +#18124=ADVANCED_FACE('',(#18123),#18115,.T.); +#18131=EDGE_CURVE('',#10804,#9050,#3913,.T.); +#18133=EDGE_CURVE('',#10802,#10804,#3925,.T.); +#18138=ADVANCED_FACE('',(#18137),#18129,.T.); +#18146=EDGE_CURVE('',#10804,#10800,#3929,.T.); +#18151=ADVANCED_FACE('',(#18150),#18143,.T.); +#18163=ADVANCED_FACE('',(#18162),#18156,.T.); +#18170=EDGE_CURVE('',#10806,#9056,#3941,.T.); +#18172=EDGE_CURVE('',#10808,#10806,#3969,.T.); +#18174=EDGE_CURVE('',#10808,#9055,#3937,.T.); +#18178=ADVANCED_FACE('',(#18177),#18168,.T.); +#18184=EDGE_CURVE('',#10806,#10810,#3957,.T.); +#18188=EDGE_CURVE('',#10812,#9084,#3993,.T.); +#18190=EDGE_CURVE('',#10814,#10812,#4122,.T.); +#18192=EDGE_CURVE('',#10814,#9062,#3949,.T.); +#18195=EDGE_CURVE('',#10810,#9060,#3977,.T.); +#18199=ADVANCED_FACE('',(#18198),#18183,.T.); +#18206=EDGE_CURVE('',#10810,#10816,#3961,.T.); +#18208=EDGE_CURVE('',#10816,#10808,#3965,.T.); +#18213=ADVANCED_FACE('',(#18212),#18204,.T.); +#18220=EDGE_CURVE('',#10816,#9058,#3985,.T.); +#18226=ADVANCED_FACE('',(#18225),#18218,.T.); +#18238=ADVANCED_FACE('',(#18237),#18231,.T.); +#18245=EDGE_CURVE('',#10818,#9082,#4009,.T.); +#18247=EDGE_CURVE('',#10819,#10818,#4110,.T.); +#18249=EDGE_CURVE('',#10812,#10819,#4127,.T.); +#18254=ADVANCED_FACE('',(#18253),#18243,.T.); +#18260=EDGE_CURVE('',#10822,#10823,#3997,.T.); +#18262=EDGE_CURVE('',#10823,#9074,#4001,.T.); +#18265=EDGE_CURVE('',#10825,#9072,#4065,.T.); +#18267=EDGE_CURVE('',#10818,#10825,#4114,.T.); +#18271=EDGE_CURVE('',#10822,#9080,#4033,.T.); +#18275=ADVANCED_FACE('',(#18274),#18259,.T.); +#18282=EDGE_CURVE('',#10822,#10827,#4017,.T.); +#18284=EDGE_CURVE('',#10827,#10829,#4021,.T.); +#18286=EDGE_CURVE('',#10829,#10823,#4025,.T.); +#18290=ADVANCED_FACE('',(#18289),#18280,.T.); +#18297=EDGE_CURVE('',#10827,#9078,#4041,.T.); +#18303=ADVANCED_FACE('',(#18302),#18295,.T.); +#18310=EDGE_CURVE('',#10829,#9076,#4049,.T.); +#18316=ADVANCED_FACE('',(#18315),#18308,.T.); +#18328=ADVANCED_FACE('',(#18327),#18321,.T.); +#18334=EDGE_CURVE('',#10832,#10833,#4053,.T.); +#18336=EDGE_CURVE('',#10833,#9064,#4057,.T.); +#18340=EDGE_CURVE('',#10825,#10814,#4118,.T.); +#18344=EDGE_CURVE('',#10832,#9070,#4089,.T.); +#18348=ADVANCED_FACE('',(#18347),#18333,.T.); +#18355=EDGE_CURVE('',#10832,#10835,#4073,.T.); +#18357=EDGE_CURVE('',#10835,#10837,#4077,.T.); +#18359=EDGE_CURVE('',#10837,#10833,#4081,.T.); +#18363=ADVANCED_FACE('',(#18362),#18353,.T.); +#18370=EDGE_CURVE('',#10835,#9068,#4097,.T.); +#18376=ADVANCED_FACE('',(#18375),#18368,.T.); +#18383=EDGE_CURVE('',#10837,#9066,#4105,.T.); +#18389=ADVANCED_FACE('',(#18388),#18381,.T.); +#18401=ADVANCED_FACE('',(#18400),#18394,.T.); +#18412=EDGE_CURVE('',#10819,#10839,#4132,.T.); +#18414=EDGE_CURVE('',#10839,#10841,#4137,.T.); +#18416=EDGE_CURVE('',#10841,#10819,#4142,.T.); +#18420=ADVANCED_FACE('',(#18419),#18406,.T.); +#18427=EDGE_CURVE('',#10843,#10841,#4150,.T.); +#18429=EDGE_CURVE('',#10845,#10843,#4155,.T.); +#18431=EDGE_CURVE('',#10845,#10839,#4146,.T.); +#18436=ADVANCED_FACE('',(#18435),#18425,.T.); +#18444=EDGE_CURVE('',#10843,#10845,#4160,.T.); +#18449=ADVANCED_FACE('',(#18448),#18441,.T.); +#18459=EDGE_CURVE('',#10848,#10849,#4165,.T.); +#18461=EDGE_CURVE('',#10849,#10848,#4170,.T.); +#18465=ADVANCED_FACE('',(#18458,#18464),#18454,.T.); +#18472=EDGE_CURVE('',#10848,#10851,#4174,.T.); +#18474=EDGE_CURVE('',#10853,#10851,#4183,.T.); +#18476=EDGE_CURVE('',#10849,#10853,#4178,.T.); +#18480=ADVANCED_FACE('',(#18479),#18470,.F.); +#18488=EDGE_CURVE('',#10851,#10853,#4188,.T.); +#18493=ADVANCED_FACE('',(#18492),#18485,.F.); +#18503=EDGE_CURVE('',#10856,#10857,#4193,.T.); +#18505=EDGE_CURVE('',#10857,#10856,#4198,.T.); +#18509=ADVANCED_FACE('',(#18502,#18508),#18498,.T.); +#18515=EDGE_CURVE('',#10860,#10861,#4207,.T.); +#18517=EDGE_CURVE('',#10860,#10857,#4202,.T.); +#18520=EDGE_CURVE('',#10861,#10856,#4216,.T.); +#18524=ADVANCED_FACE('',(#18523),#18514,.T.); +#18531=EDGE_CURVE('',#10861,#10860,#4212,.T.); +#18535=ADVANCED_FACE('',(#18534),#18529,.T.); +#18547=ADVANCED_FACE('',(#18546),#18540,.T.); +#18554=EDGE_CURVE('',#10863,#9088,#4232,.T.); +#18556=EDGE_CURVE('',#10865,#10863,#4252,.T.); +#18558=EDGE_CURVE('',#10865,#9087,#4224,.T.); +#18562=ADVANCED_FACE('',(#18561),#18552,.T.); +#18569=EDGE_CURVE('',#10867,#9092,#4240,.T.); +#18571=EDGE_CURVE('',#10863,#10867,#4256,.T.); +#18576=ADVANCED_FACE('',(#18575),#18567,.T.); +#18583=EDGE_CURVE('',#10869,#9090,#4248,.T.); +#18585=EDGE_CURVE('',#10867,#10869,#4260,.T.); +#18590=ADVANCED_FACE('',(#18589),#18581,.T.); +#18598=EDGE_CURVE('',#10869,#10865,#4264,.T.); +#18603=ADVANCED_FACE('',(#18602),#18595,.T.); +#18615=ADVANCED_FACE('',(#18614),#18608,.T.); +#18629=EDGE_CURVE('',#10871,#9096,#4280,.T.); +#18631=EDGE_CURVE('',#10873,#10871,#4300,.T.); +#18633=EDGE_CURVE('',#10873,#9095,#4272,.T.); +#18637=ADVANCED_FACE('',(#18636),#18627,.T.); +#18644=EDGE_CURVE('',#10875,#9100,#4288,.T.); +#18646=EDGE_CURVE('',#10871,#10875,#4304,.T.); +#18651=ADVANCED_FACE('',(#18650),#18642,.T.); +#18658=EDGE_CURVE('',#10877,#9098,#4296,.T.); +#18660=EDGE_CURVE('',#10875,#10877,#4308,.T.); +#18665=ADVANCED_FACE('',(#18664),#18656,.T.); +#18673=EDGE_CURVE('',#10877,#10873,#4312,.T.); +#18678=ADVANCED_FACE('',(#18677),#18670,.T.); +#18690=ADVANCED_FACE('',(#18689),#18683,.T.); +#18704=EDGE_CURVE('',#10879,#9104,#4328,.T.); +#18706=EDGE_CURVE('',#10881,#10879,#4348,.T.); +#18708=EDGE_CURVE('',#10881,#9103,#4320,.T.); +#18712=ADVANCED_FACE('',(#18711),#18702,.T.); +#18719=EDGE_CURVE('',#10883,#9108,#4336,.T.); +#18721=EDGE_CURVE('',#10879,#10883,#4352,.T.); +#18726=ADVANCED_FACE('',(#18725),#18717,.T.); +#18733=EDGE_CURVE('',#10885,#9106,#4344,.T.); +#18735=EDGE_CURVE('',#10883,#10885,#4356,.T.); +#18740=ADVANCED_FACE('',(#18739),#18731,.T.); +#18748=EDGE_CURVE('',#10885,#10881,#4360,.T.); +#18753=ADVANCED_FACE('',(#18752),#18745,.T.); +#18765=ADVANCED_FACE('',(#18764),#18758,.T.); +#18772=EDGE_CURVE('',#10887,#9112,#4376,.T.); +#18774=EDGE_CURVE('',#10889,#10887,#4396,.T.); +#18776=EDGE_CURVE('',#10889,#9111,#4368,.T.); +#18780=ADVANCED_FACE('',(#18779),#18770,.T.); +#18787=EDGE_CURVE('',#10891,#9116,#4384,.T.); +#18789=EDGE_CURVE('',#10887,#10891,#4400,.T.); +#18794=ADVANCED_FACE('',(#18793),#18785,.T.); +#18801=EDGE_CURVE('',#10893,#9114,#4392,.T.); +#18803=EDGE_CURVE('',#10891,#10893,#4404,.T.); +#18808=ADVANCED_FACE('',(#18807),#18799,.T.); +#18816=EDGE_CURVE('',#10893,#10889,#4408,.T.); +#18821=ADVANCED_FACE('',(#18820),#18813,.T.); +#18833=ADVANCED_FACE('',(#18832),#18826,.T.); +#18840=EDGE_CURVE('',#10895,#9120,#4424,.T.); +#18842=EDGE_CURVE('',#10897,#10895,#4444,.T.); +#18844=EDGE_CURVE('',#10897,#9119,#4416,.T.); +#18848=ADVANCED_FACE('',(#18847),#18838,.T.); +#18855=EDGE_CURVE('',#10899,#9124,#4432,.T.); +#18857=EDGE_CURVE('',#10895,#10899,#4448,.T.); +#18862=ADVANCED_FACE('',(#18861),#18853,.T.); +#18869=EDGE_CURVE('',#10901,#9122,#4440,.T.); +#18871=EDGE_CURVE('',#10899,#10901,#4452,.T.); +#18876=ADVANCED_FACE('',(#18875),#18867,.T.); +#18884=EDGE_CURVE('',#10901,#10897,#4456,.T.); +#18889=ADVANCED_FACE('',(#18888),#18881,.T.); +#18901=ADVANCED_FACE('',(#18900),#18894,.T.); +#18908=EDGE_CURVE('',#10903,#9128,#4472,.T.); +#18910=EDGE_CURVE('',#10905,#10903,#4492,.T.); +#18912=EDGE_CURVE('',#10905,#9127,#4464,.T.); +#18916=ADVANCED_FACE('',(#18915),#18906,.T.); +#18923=EDGE_CURVE('',#10907,#9132,#4480,.T.); +#18925=EDGE_CURVE('',#10903,#10907,#4496,.T.); +#18930=ADVANCED_FACE('',(#18929),#18921,.T.); +#18937=EDGE_CURVE('',#10909,#9130,#4488,.T.); +#18939=EDGE_CURVE('',#10907,#10909,#4500,.T.); +#18944=ADVANCED_FACE('',(#18943),#18935,.T.); +#18952=EDGE_CURVE('',#10909,#10905,#4504,.T.); +#18957=ADVANCED_FACE('',(#18956),#18949,.T.); +#18969=ADVANCED_FACE('',(#18968),#18962,.T.); +#18976=EDGE_CURVE('',#10911,#9136,#4520,.T.); +#18978=EDGE_CURVE('',#10913,#10911,#4540,.T.); +#18980=EDGE_CURVE('',#10913,#9135,#4512,.T.); +#18984=ADVANCED_FACE('',(#18983),#18974,.T.); +#18991=EDGE_CURVE('',#10915,#9140,#4528,.T.); +#18993=EDGE_CURVE('',#10911,#10915,#4544,.T.); +#18998=ADVANCED_FACE('',(#18997),#18989,.T.); +#19005=EDGE_CURVE('',#10917,#9138,#4536,.T.); +#19007=EDGE_CURVE('',#10915,#10917,#4548,.T.); +#19012=ADVANCED_FACE('',(#19011),#19003,.T.); +#19020=EDGE_CURVE('',#10917,#10913,#4552,.T.); +#19025=ADVANCED_FACE('',(#19024),#19017,.T.); +#19037=ADVANCED_FACE('',(#19036),#19030,.T.); +#19044=EDGE_CURVE('',#10919,#9144,#4568,.T.); +#19046=EDGE_CURVE('',#10921,#10919,#4588,.T.); +#19048=EDGE_CURVE('',#10921,#9143,#4560,.T.); +#19052=ADVANCED_FACE('',(#19051),#19042,.T.); +#19059=EDGE_CURVE('',#10923,#9148,#4576,.T.); +#19061=EDGE_CURVE('',#10919,#10923,#4592,.T.); +#19066=ADVANCED_FACE('',(#19065),#19057,.T.); +#19073=EDGE_CURVE('',#10925,#9146,#4584,.T.); +#19075=EDGE_CURVE('',#10923,#10925,#4596,.T.); +#19080=ADVANCED_FACE('',(#19079),#19071,.T.); +#19088=EDGE_CURVE('',#10925,#10921,#4600,.T.); +#19093=ADVANCED_FACE('',(#19092),#19085,.T.); +#19105=ADVANCED_FACE('',(#19104),#19098,.T.); +#19112=EDGE_CURVE('',#10927,#9152,#4616,.T.); +#19114=EDGE_CURVE('',#10929,#10927,#4636,.T.); +#19116=EDGE_CURVE('',#10929,#9151,#4608,.T.); +#19120=ADVANCED_FACE('',(#19119),#19110,.T.); +#19127=EDGE_CURVE('',#10931,#9156,#4624,.T.); +#19129=EDGE_CURVE('',#10927,#10931,#4640,.T.); +#19134=ADVANCED_FACE('',(#19133),#19125,.T.); +#19141=EDGE_CURVE('',#10933,#9154,#4632,.T.); +#19143=EDGE_CURVE('',#10931,#10933,#4644,.T.); +#19148=ADVANCED_FACE('',(#19147),#19139,.T.); +#19156=EDGE_CURVE('',#10933,#10929,#4648,.T.); +#19161=ADVANCED_FACE('',(#19160),#19153,.T.); +#19173=ADVANCED_FACE('',(#19172),#19166,.T.); +#19180=EDGE_CURVE('',#10935,#9160,#4664,.T.); +#19182=EDGE_CURVE('',#10937,#10935,#4684,.T.); +#19184=EDGE_CURVE('',#10937,#9159,#4656,.T.); +#19188=ADVANCED_FACE('',(#19187),#19178,.T.); +#19195=EDGE_CURVE('',#10939,#9164,#4672,.T.); +#19197=EDGE_CURVE('',#10935,#10939,#4688,.T.); +#19202=ADVANCED_FACE('',(#19201),#19193,.T.); +#19209=EDGE_CURVE('',#10941,#9162,#4680,.T.); +#19211=EDGE_CURVE('',#10939,#10941,#4692,.T.); +#19216=ADVANCED_FACE('',(#19215),#19207,.T.); +#19224=EDGE_CURVE('',#10941,#10937,#4696,.T.); +#19229=ADVANCED_FACE('',(#19228),#19221,.T.); +#19241=ADVANCED_FACE('',(#19240),#19234,.T.); +#19248=EDGE_CURVE('',#10943,#9168,#4712,.T.); +#19250=EDGE_CURVE('',#10945,#10943,#4732,.T.); +#19252=EDGE_CURVE('',#10945,#9167,#4704,.T.); +#19256=ADVANCED_FACE('',(#19255),#19246,.T.); +#19263=EDGE_CURVE('',#10947,#9172,#4720,.T.); +#19265=EDGE_CURVE('',#10943,#10947,#4736,.T.); +#19270=ADVANCED_FACE('',(#19269),#19261,.T.); +#19277=EDGE_CURVE('',#10949,#9170,#4728,.T.); +#19279=EDGE_CURVE('',#10947,#10949,#4740,.T.); +#19284=ADVANCED_FACE('',(#19283),#19275,.T.); +#19292=EDGE_CURVE('',#10949,#10945,#4744,.T.); +#19297=ADVANCED_FACE('',(#19296),#19289,.T.); +#19309=ADVANCED_FACE('',(#19308),#19302,.T.); +#19316=EDGE_CURVE('',#10951,#9176,#4760,.T.); +#19318=EDGE_CURVE('',#10953,#10951,#4780,.T.); +#19320=EDGE_CURVE('',#10953,#9175,#4752,.T.); +#19324=ADVANCED_FACE('',(#19323),#19314,.T.); +#19331=EDGE_CURVE('',#10955,#9180,#4768,.T.); +#19333=EDGE_CURVE('',#10951,#10955,#4784,.T.); +#19338=ADVANCED_FACE('',(#19337),#19329,.T.); +#19345=EDGE_CURVE('',#10957,#9178,#4776,.T.); +#19347=EDGE_CURVE('',#10955,#10957,#4788,.T.); +#19352=ADVANCED_FACE('',(#19351),#19343,.T.); +#19360=EDGE_CURVE('',#10957,#10953,#4792,.T.); +#19365=ADVANCED_FACE('',(#19364),#19357,.T.); +#19377=ADVANCED_FACE('',(#19376),#19370,.T.); +#19384=EDGE_CURVE('',#10959,#9184,#4808,.T.); +#19386=EDGE_CURVE('',#10961,#10959,#4828,.T.); +#19388=EDGE_CURVE('',#10961,#9183,#4800,.T.); +#19392=ADVANCED_FACE('',(#19391),#19382,.T.); +#19399=EDGE_CURVE('',#10963,#9188,#4816,.T.); +#19401=EDGE_CURVE('',#10959,#10963,#4832,.T.); +#19406=ADVANCED_FACE('',(#19405),#19397,.T.); +#19413=EDGE_CURVE('',#10965,#9186,#4824,.T.); +#19415=EDGE_CURVE('',#10963,#10965,#4836,.T.); +#19420=ADVANCED_FACE('',(#19419),#19411,.T.); +#19428=EDGE_CURVE('',#10965,#10961,#4840,.T.); +#19433=ADVANCED_FACE('',(#19432),#19425,.T.); +#19445=ADVANCED_FACE('',(#19444),#19438,.T.); +#19452=EDGE_CURVE('',#10967,#9192,#4856,.T.); +#19454=EDGE_CURVE('',#10969,#10967,#4876,.T.); +#19456=EDGE_CURVE('',#10969,#9191,#4848,.T.); +#19460=ADVANCED_FACE('',(#19459),#19450,.T.); +#19467=EDGE_CURVE('',#10971,#9196,#4864,.T.); +#19469=EDGE_CURVE('',#10967,#10971,#4880,.T.); +#19474=ADVANCED_FACE('',(#19473),#19465,.T.); +#19481=EDGE_CURVE('',#10973,#9194,#4872,.T.); +#19483=EDGE_CURVE('',#10971,#10973,#4884,.T.); +#19488=ADVANCED_FACE('',(#19487),#19479,.T.); +#19496=EDGE_CURVE('',#10973,#10969,#4888,.T.); +#19501=ADVANCED_FACE('',(#19500),#19493,.T.); +#19513=ADVANCED_FACE('',(#19512),#19506,.T.); +#19520=EDGE_CURVE('',#10975,#9200,#4904,.T.); +#19522=EDGE_CURVE('',#10977,#10975,#4924,.T.); +#19524=EDGE_CURVE('',#10977,#9199,#4896,.T.); +#19528=ADVANCED_FACE('',(#19527),#19518,.T.); +#19535=EDGE_CURVE('',#10979,#9204,#4912,.T.); +#19537=EDGE_CURVE('',#10975,#10979,#4928,.T.); +#19542=ADVANCED_FACE('',(#19541),#19533,.T.); +#19549=EDGE_CURVE('',#10981,#9202,#4920,.T.); +#19551=EDGE_CURVE('',#10979,#10981,#4932,.T.); +#19556=ADVANCED_FACE('',(#19555),#19547,.T.); +#19564=EDGE_CURVE('',#10981,#10977,#4936,.T.); +#19569=ADVANCED_FACE('',(#19568),#19561,.T.); +#19581=ADVANCED_FACE('',(#19580),#19574,.T.); +#19588=EDGE_CURVE('',#10983,#9208,#4952,.T.); +#19590=EDGE_CURVE('',#10985,#10983,#4972,.T.); +#19592=EDGE_CURVE('',#10985,#9207,#4944,.T.); +#19596=ADVANCED_FACE('',(#19595),#19586,.T.); +#19603=EDGE_CURVE('',#10987,#9212,#4960,.T.); +#19605=EDGE_CURVE('',#10983,#10987,#4976,.T.); +#19610=ADVANCED_FACE('',(#19609),#19601,.T.); +#19617=EDGE_CURVE('',#10989,#9210,#4968,.T.); +#19619=EDGE_CURVE('',#10987,#10989,#4980,.T.); +#19624=ADVANCED_FACE('',(#19623),#19615,.T.); +#19632=EDGE_CURVE('',#10989,#10985,#4984,.T.); +#19637=ADVANCED_FACE('',(#19636),#19629,.T.); +#19649=ADVANCED_FACE('',(#19648),#19642,.T.); +#19656=EDGE_CURVE('',#10991,#9216,#5000,.T.); +#19658=EDGE_CURVE('',#10993,#10991,#5020,.T.); +#19660=EDGE_CURVE('',#10993,#9215,#4992,.T.); +#19664=ADVANCED_FACE('',(#19663),#19654,.T.); +#19671=EDGE_CURVE('',#10995,#9220,#5008,.T.); +#19673=EDGE_CURVE('',#10991,#10995,#5024,.T.); +#19678=ADVANCED_FACE('',(#19677),#19669,.T.); +#19685=EDGE_CURVE('',#10997,#9218,#5016,.T.); +#19687=EDGE_CURVE('',#10995,#10997,#5028,.T.); +#19692=ADVANCED_FACE('',(#19691),#19683,.T.); +#19700=EDGE_CURVE('',#10997,#10993,#5032,.T.); +#19705=ADVANCED_FACE('',(#19704),#19697,.T.); +#19717=ADVANCED_FACE('',(#19716),#19710,.T.); +#19724=EDGE_CURVE('',#10999,#9224,#5048,.T.); +#19726=EDGE_CURVE('',#11001,#10999,#5068,.T.); +#19728=EDGE_CURVE('',#11001,#9223,#5040,.T.); +#19732=ADVANCED_FACE('',(#19731),#19722,.T.); +#19739=EDGE_CURVE('',#11003,#9228,#5056,.T.); +#19741=EDGE_CURVE('',#10999,#11003,#5072,.T.); +#19746=ADVANCED_FACE('',(#19745),#19737,.T.); +#19753=EDGE_CURVE('',#11005,#9226,#5064,.T.); +#19755=EDGE_CURVE('',#11003,#11005,#5076,.T.); +#19760=ADVANCED_FACE('',(#19759),#19751,.T.); +#19768=EDGE_CURVE('',#11005,#11001,#5080,.T.); +#19773=ADVANCED_FACE('',(#19772),#19765,.T.); +#19785=ADVANCED_FACE('',(#19784),#19778,.T.); +#19792=EDGE_CURVE('',#11007,#9232,#5096,.T.); +#19794=EDGE_CURVE('',#11009,#11007,#5116,.T.); +#19796=EDGE_CURVE('',#11009,#9231,#5088,.T.); +#19800=ADVANCED_FACE('',(#19799),#19790,.T.); +#19807=EDGE_CURVE('',#11011,#9236,#5104,.T.); +#19809=EDGE_CURVE('',#11007,#11011,#5120,.T.); +#19814=ADVANCED_FACE('',(#19813),#19805,.T.); +#19821=EDGE_CURVE('',#11013,#9234,#5112,.T.); +#19823=EDGE_CURVE('',#11011,#11013,#5124,.T.); +#19828=ADVANCED_FACE('',(#19827),#19819,.T.); +#19836=EDGE_CURVE('',#11013,#11009,#5128,.T.); +#19841=ADVANCED_FACE('',(#19840),#19833,.T.); +#19853=ADVANCED_FACE('',(#19852),#19846,.T.); +#19860=EDGE_CURVE('',#11015,#9240,#5144,.T.); +#19862=EDGE_CURVE('',#11017,#11015,#5164,.T.); +#19864=EDGE_CURVE('',#11017,#9239,#5136,.T.); +#19868=ADVANCED_FACE('',(#19867),#19858,.T.); +#19875=EDGE_CURVE('',#11019,#9244,#5152,.T.); +#19877=EDGE_CURVE('',#11015,#11019,#5168,.T.); +#19882=ADVANCED_FACE('',(#19881),#19873,.T.); +#19889=EDGE_CURVE('',#11021,#9242,#5160,.T.); +#19891=EDGE_CURVE('',#11019,#11021,#5172,.T.); +#19896=ADVANCED_FACE('',(#19895),#19887,.T.); +#19904=EDGE_CURVE('',#11021,#11017,#5176,.T.); +#19909=ADVANCED_FACE('',(#19908),#19901,.T.); +#19921=ADVANCED_FACE('',(#19920),#19914,.T.); +#19928=EDGE_CURVE('',#11023,#9248,#5192,.T.); +#19930=EDGE_CURVE('',#11025,#11023,#5212,.T.); +#19932=EDGE_CURVE('',#11025,#9247,#5184,.T.); +#19936=ADVANCED_FACE('',(#19935),#19926,.T.); +#19943=EDGE_CURVE('',#11027,#9252,#5200,.T.); +#19945=EDGE_CURVE('',#11023,#11027,#5216,.T.); +#19950=ADVANCED_FACE('',(#19949),#19941,.T.); +#19957=EDGE_CURVE('',#11029,#9250,#5208,.T.); +#19959=EDGE_CURVE('',#11027,#11029,#5220,.T.); +#19964=ADVANCED_FACE('',(#19963),#19955,.T.); +#19972=EDGE_CURVE('',#11029,#11025,#5224,.T.); +#19977=ADVANCED_FACE('',(#19976),#19969,.T.); +#19989=ADVANCED_FACE('',(#19988),#19982,.T.); +#19996=EDGE_CURVE('',#11031,#9256,#5240,.T.); +#19998=EDGE_CURVE('',#11033,#11031,#5260,.T.); +#20000=EDGE_CURVE('',#11033,#9255,#5232,.T.); +#20004=ADVANCED_FACE('',(#20003),#19994,.T.); +#20011=EDGE_CURVE('',#11035,#9260,#5248,.T.); +#20013=EDGE_CURVE('',#11031,#11035,#5264,.T.); +#20018=ADVANCED_FACE('',(#20017),#20009,.T.); +#20025=EDGE_CURVE('',#11037,#9258,#5256,.T.); +#20027=EDGE_CURVE('',#11035,#11037,#5268,.T.); +#20032=ADVANCED_FACE('',(#20031),#20023,.T.); +#20040=EDGE_CURVE('',#11037,#11033,#5272,.T.); +#20045=ADVANCED_FACE('',(#20044),#20037,.T.); +#20057=ADVANCED_FACE('',(#20056),#20050,.T.); +#20064=EDGE_CURVE('',#11039,#9264,#5288,.T.); +#20066=EDGE_CURVE('',#11041,#11039,#5308,.T.); +#20068=EDGE_CURVE('',#11041,#9263,#5280,.T.); +#20072=ADVANCED_FACE('',(#20071),#20062,.T.); +#20079=EDGE_CURVE('',#11043,#9268,#5296,.T.); +#20081=EDGE_CURVE('',#11039,#11043,#5312,.T.); +#20086=ADVANCED_FACE('',(#20085),#20077,.T.); +#20093=EDGE_CURVE('',#11045,#9266,#5304,.T.); +#20095=EDGE_CURVE('',#11043,#11045,#5316,.T.); +#20100=ADVANCED_FACE('',(#20099),#20091,.T.); +#20108=EDGE_CURVE('',#11045,#11041,#5320,.T.); +#20113=ADVANCED_FACE('',(#20112),#20105,.T.); +#20125=ADVANCED_FACE('',(#20124),#20118,.T.); +#20132=EDGE_CURVE('',#11047,#9272,#5336,.T.); +#20134=EDGE_CURVE('',#11049,#11047,#5356,.T.); +#20136=EDGE_CURVE('',#11049,#9271,#5328,.T.); +#20140=ADVANCED_FACE('',(#20139),#20130,.T.); +#20147=EDGE_CURVE('',#11051,#9276,#5344,.T.); +#20149=EDGE_CURVE('',#11047,#11051,#5360,.T.); +#20154=ADVANCED_FACE('',(#20153),#20145,.T.); +#20161=EDGE_CURVE('',#11053,#9274,#5352,.T.); +#20163=EDGE_CURVE('',#11051,#11053,#5364,.T.); +#20168=ADVANCED_FACE('',(#20167),#20159,.T.); +#20176=EDGE_CURVE('',#11053,#11049,#5368,.T.); +#20181=ADVANCED_FACE('',(#20180),#20173,.T.); +#20193=ADVANCED_FACE('',(#20192),#20186,.T.); +#20200=EDGE_CURVE('',#11055,#9280,#5384,.T.); +#20202=EDGE_CURVE('',#11057,#11055,#5404,.T.); +#20204=EDGE_CURVE('',#11057,#9279,#5376,.T.); +#20208=ADVANCED_FACE('',(#20207),#20198,.T.); +#20215=EDGE_CURVE('',#11059,#9284,#5392,.T.); +#20217=EDGE_CURVE('',#11055,#11059,#5408,.T.); +#20222=ADVANCED_FACE('',(#20221),#20213,.T.); +#20229=EDGE_CURVE('',#11061,#9282,#5400,.T.); +#20231=EDGE_CURVE('',#11059,#11061,#5412,.T.); +#20236=ADVANCED_FACE('',(#20235),#20227,.T.); +#20244=EDGE_CURVE('',#11061,#11057,#5416,.T.); +#20249=ADVANCED_FACE('',(#20248),#20241,.T.); +#20261=ADVANCED_FACE('',(#20260),#20254,.T.); +#20268=EDGE_CURVE('',#11063,#9288,#5432,.T.); +#20270=EDGE_CURVE('',#11065,#11063,#5452,.T.); +#20272=EDGE_CURVE('',#11065,#9287,#5424,.T.); +#20276=ADVANCED_FACE('',(#20275),#20266,.T.); +#20283=EDGE_CURVE('',#11067,#9292,#5440,.T.); +#20285=EDGE_CURVE('',#11063,#11067,#5456,.T.); +#20290=ADVANCED_FACE('',(#20289),#20281,.T.); +#20297=EDGE_CURVE('',#11069,#9290,#5448,.T.); +#20299=EDGE_CURVE('',#11067,#11069,#5460,.T.); +#20304=ADVANCED_FACE('',(#20303),#20295,.T.); +#20312=EDGE_CURVE('',#11069,#11065,#5464,.T.); +#20317=ADVANCED_FACE('',(#20316),#20309,.T.); +#20329=ADVANCED_FACE('',(#20328),#20322,.T.); +#20336=EDGE_CURVE('',#11071,#9296,#5480,.T.); +#20338=EDGE_CURVE('',#11073,#11071,#5500,.T.); +#20340=EDGE_CURVE('',#11073,#9295,#5472,.T.); +#20344=ADVANCED_FACE('',(#20343),#20334,.T.); +#20351=EDGE_CURVE('',#11075,#9300,#5488,.T.); +#20353=EDGE_CURVE('',#11071,#11075,#5504,.T.); +#20358=ADVANCED_FACE('',(#20357),#20349,.T.); +#20365=EDGE_CURVE('',#11077,#9298,#5496,.T.); +#20367=EDGE_CURVE('',#11075,#11077,#5508,.T.); +#20372=ADVANCED_FACE('',(#20371),#20363,.T.); +#20380=EDGE_CURVE('',#11077,#11073,#5512,.T.); +#20385=ADVANCED_FACE('',(#20384),#20377,.T.); +#20397=ADVANCED_FACE('',(#20396),#20390,.T.); +#20404=EDGE_CURVE('',#11079,#9304,#5528,.T.); +#20406=EDGE_CURVE('',#11081,#11079,#5548,.T.); +#20408=EDGE_CURVE('',#11081,#9303,#5520,.T.); +#20412=ADVANCED_FACE('',(#20411),#20402,.T.); +#20419=EDGE_CURVE('',#11083,#9308,#5536,.T.); +#20421=EDGE_CURVE('',#11079,#11083,#5552,.T.); +#20426=ADVANCED_FACE('',(#20425),#20417,.T.); +#20433=EDGE_CURVE('',#11085,#9306,#5544,.T.); +#20435=EDGE_CURVE('',#11083,#11085,#5556,.T.); +#20440=ADVANCED_FACE('',(#20439),#20431,.T.); +#20448=EDGE_CURVE('',#11085,#11081,#5560,.T.); +#20453=ADVANCED_FACE('',(#20452),#20445,.T.); +#20465=ADVANCED_FACE('',(#20464),#20458,.T.); +#20472=EDGE_CURVE('',#11087,#9312,#5576,.T.); +#20474=EDGE_CURVE('',#11089,#11087,#5596,.T.); +#20476=EDGE_CURVE('',#11089,#9311,#5568,.T.); +#20480=ADVANCED_FACE('',(#20479),#20470,.T.); +#20487=EDGE_CURVE('',#11091,#9316,#5584,.T.); +#20489=EDGE_CURVE('',#11087,#11091,#5600,.T.); +#20494=ADVANCED_FACE('',(#20493),#20485,.T.); +#20501=EDGE_CURVE('',#11093,#9314,#5592,.T.); +#20503=EDGE_CURVE('',#11091,#11093,#5604,.T.); +#20508=ADVANCED_FACE('',(#20507),#20499,.T.); +#20516=EDGE_CURVE('',#11093,#11089,#5608,.T.); +#20521=ADVANCED_FACE('',(#20520),#20513,.T.); +#20533=ADVANCED_FACE('',(#20532),#20526,.T.); +#20540=EDGE_CURVE('',#11095,#9320,#5624,.T.); +#20542=EDGE_CURVE('',#11097,#11095,#5644,.T.); +#20544=EDGE_CURVE('',#11097,#9319,#5616,.T.); +#20548=ADVANCED_FACE('',(#20547),#20538,.T.); +#20555=EDGE_CURVE('',#11099,#9324,#5632,.T.); +#20557=EDGE_CURVE('',#11095,#11099,#5648,.T.); +#20562=ADVANCED_FACE('',(#20561),#20553,.T.); +#20569=EDGE_CURVE('',#11101,#9322,#5640,.T.); +#20571=EDGE_CURVE('',#11099,#11101,#5652,.T.); +#20576=ADVANCED_FACE('',(#20575),#20567,.T.); +#20584=EDGE_CURVE('',#11101,#11097,#5656,.T.); +#20589=ADVANCED_FACE('',(#20588),#20581,.T.); +#20601=ADVANCED_FACE('',(#20600),#20594,.T.); +#20608=EDGE_CURVE('',#11103,#9328,#5672,.T.); +#20610=EDGE_CURVE('',#11105,#11103,#5692,.T.); +#20612=EDGE_CURVE('',#11105,#9327,#5664,.T.); +#20616=ADVANCED_FACE('',(#20615),#20606,.T.); +#20623=EDGE_CURVE('',#11107,#9332,#5680,.T.); +#20625=EDGE_CURVE('',#11103,#11107,#5696,.T.); +#20630=ADVANCED_FACE('',(#20629),#20621,.T.); +#20637=EDGE_CURVE('',#11109,#9330,#5688,.T.); +#20639=EDGE_CURVE('',#11107,#11109,#5700,.T.); +#20644=ADVANCED_FACE('',(#20643),#20635,.T.); +#20652=EDGE_CURVE('',#11109,#11105,#5704,.T.); +#20657=ADVANCED_FACE('',(#20656),#20649,.T.); +#20669=ADVANCED_FACE('',(#20668),#20662,.T.); +#20676=EDGE_CURVE('',#11111,#9336,#5720,.T.); +#20678=EDGE_CURVE('',#11113,#11111,#5740,.T.); +#20680=EDGE_CURVE('',#11113,#9335,#5712,.T.); +#20684=ADVANCED_FACE('',(#20683),#20674,.T.); +#20691=EDGE_CURVE('',#11115,#9340,#5728,.T.); +#20693=EDGE_CURVE('',#11111,#11115,#5744,.T.); +#20698=ADVANCED_FACE('',(#20697),#20689,.T.); +#20705=EDGE_CURVE('',#11117,#9338,#5736,.T.); +#20707=EDGE_CURVE('',#11115,#11117,#5748,.T.); +#20712=ADVANCED_FACE('',(#20711),#20703,.T.); +#20720=EDGE_CURVE('',#11117,#11113,#5752,.T.); +#20725=ADVANCED_FACE('',(#20724),#20717,.T.); +#20737=ADVANCED_FACE('',(#20736),#20730,.T.); +#20744=EDGE_CURVE('',#11119,#9344,#5768,.T.); +#20746=EDGE_CURVE('',#11121,#11119,#5788,.T.); +#20748=EDGE_CURVE('',#11121,#9343,#5760,.T.); +#20752=ADVANCED_FACE('',(#20751),#20742,.T.); +#20759=EDGE_CURVE('',#11123,#9348,#5776,.T.); +#20761=EDGE_CURVE('',#11119,#11123,#5792,.T.); +#20766=ADVANCED_FACE('',(#20765),#20757,.T.); +#20773=EDGE_CURVE('',#11125,#9346,#5784,.T.); +#20775=EDGE_CURVE('',#11123,#11125,#5796,.T.); +#20780=ADVANCED_FACE('',(#20779),#20771,.T.); +#20788=EDGE_CURVE('',#11125,#11121,#5800,.T.); +#20793=ADVANCED_FACE('',(#20792),#20785,.T.); +#20805=ADVANCED_FACE('',(#20804),#20798,.T.); +#20812=EDGE_CURVE('',#11127,#9352,#5816,.T.); +#20814=EDGE_CURVE('',#11129,#11127,#5836,.T.); +#20816=EDGE_CURVE('',#11129,#9351,#5808,.T.); +#20820=ADVANCED_FACE('',(#20819),#20810,.T.); +#20827=EDGE_CURVE('',#11131,#9356,#5824,.T.); +#20829=EDGE_CURVE('',#11127,#11131,#5840,.T.); +#20834=ADVANCED_FACE('',(#20833),#20825,.T.); +#20841=EDGE_CURVE('',#11133,#9354,#5832,.T.); +#20843=EDGE_CURVE('',#11131,#11133,#5844,.T.); +#20848=ADVANCED_FACE('',(#20847),#20839,.T.); +#20856=EDGE_CURVE('',#11133,#11129,#5848,.T.); +#20861=ADVANCED_FACE('',(#20860),#20853,.T.); +#20873=ADVANCED_FACE('',(#20872),#20866,.T.); +#20880=EDGE_CURVE('',#11135,#9360,#5864,.T.); +#20882=EDGE_CURVE('',#11137,#11135,#5884,.T.); +#20884=EDGE_CURVE('',#11137,#9359,#5856,.T.); +#20888=ADVANCED_FACE('',(#20887),#20878,.T.); +#20895=EDGE_CURVE('',#11139,#9364,#5872,.T.); +#20897=EDGE_CURVE('',#11135,#11139,#5888,.T.); +#20902=ADVANCED_FACE('',(#20901),#20893,.T.); +#20909=EDGE_CURVE('',#11141,#9362,#5880,.T.); +#20911=EDGE_CURVE('',#11139,#11141,#5892,.T.); +#20916=ADVANCED_FACE('',(#20915),#20907,.T.); +#20924=EDGE_CURVE('',#11141,#11137,#5896,.T.); +#20929=ADVANCED_FACE('',(#20928),#20921,.T.); +#20941=ADVANCED_FACE('',(#20940),#20934,.T.); +#20948=EDGE_CURVE('',#11143,#9368,#5912,.T.); +#20950=EDGE_CURVE('',#11145,#11143,#5932,.T.); +#20952=EDGE_CURVE('',#11145,#9367,#5904,.T.); +#20956=ADVANCED_FACE('',(#20955),#20946,.T.); +#20963=EDGE_CURVE('',#11147,#9372,#5920,.T.); +#20965=EDGE_CURVE('',#11143,#11147,#5936,.T.); +#20970=ADVANCED_FACE('',(#20969),#20961,.T.); +#20977=EDGE_CURVE('',#11149,#9370,#5928,.T.); +#20979=EDGE_CURVE('',#11147,#11149,#5940,.T.); +#20984=ADVANCED_FACE('',(#20983),#20975,.T.); +#20992=EDGE_CURVE('',#11149,#11145,#5944,.T.); +#20997=ADVANCED_FACE('',(#20996),#20989,.T.); +#21009=ADVANCED_FACE('',(#21008),#21002,.T.); +#21016=EDGE_CURVE('',#11151,#9376,#5960,.T.); +#21018=EDGE_CURVE('',#11153,#11151,#5980,.T.); +#21020=EDGE_CURVE('',#11153,#9375,#5952,.T.); +#21024=ADVANCED_FACE('',(#21023),#21014,.T.); +#21031=EDGE_CURVE('',#11155,#9380,#5968,.T.); +#21033=EDGE_CURVE('',#11151,#11155,#5984,.T.); +#21038=ADVANCED_FACE('',(#21037),#21029,.T.); +#21045=EDGE_CURVE('',#11157,#9378,#5976,.T.); +#21047=EDGE_CURVE('',#11155,#11157,#5988,.T.); +#21052=ADVANCED_FACE('',(#21051),#21043,.T.); +#21060=EDGE_CURVE('',#11157,#11153,#5992,.T.); +#21065=ADVANCED_FACE('',(#21064),#21057,.T.); +#21077=ADVANCED_FACE('',(#21076),#21070,.T.); +#21084=EDGE_CURVE('',#11159,#9384,#6008,.T.); +#21086=EDGE_CURVE('',#11161,#11159,#6028,.T.); +#21088=EDGE_CURVE('',#11161,#9383,#6000,.T.); +#21092=ADVANCED_FACE('',(#21091),#21082,.T.); +#21099=EDGE_CURVE('',#11163,#9388,#6016,.T.); +#21101=EDGE_CURVE('',#11159,#11163,#6032,.T.); +#21106=ADVANCED_FACE('',(#21105),#21097,.T.); +#21113=EDGE_CURVE('',#11165,#9386,#6024,.T.); +#21115=EDGE_CURVE('',#11163,#11165,#6036,.T.); +#21120=ADVANCED_FACE('',(#21119),#21111,.T.); +#21128=EDGE_CURVE('',#11165,#11161,#6040,.T.); +#21133=ADVANCED_FACE('',(#21132),#21125,.T.); +#21145=ADVANCED_FACE('',(#21144),#21138,.T.); +#21152=EDGE_CURVE('',#11167,#9392,#6056,.T.); +#21154=EDGE_CURVE('',#11169,#11167,#6076,.T.); +#21156=EDGE_CURVE('',#11169,#9391,#6048,.T.); +#21160=ADVANCED_FACE('',(#21159),#21150,.T.); +#21167=EDGE_CURVE('',#11171,#9396,#6064,.T.); +#21169=EDGE_CURVE('',#11167,#11171,#6080,.T.); +#21174=ADVANCED_FACE('',(#21173),#21165,.T.); +#21181=EDGE_CURVE('',#11173,#9394,#6072,.T.); +#21183=EDGE_CURVE('',#11171,#11173,#6084,.T.); +#21188=ADVANCED_FACE('',(#21187),#21179,.T.); +#21196=EDGE_CURVE('',#11173,#11169,#6088,.T.); +#21201=ADVANCED_FACE('',(#21200),#21193,.T.); +#21213=ADVANCED_FACE('',(#21212),#21206,.T.); +#21220=EDGE_CURVE('',#11175,#9400,#6104,.T.); +#21222=EDGE_CURVE('',#11177,#11175,#6124,.T.); +#21224=EDGE_CURVE('',#11177,#9399,#6096,.T.); +#21228=ADVANCED_FACE('',(#21227),#21218,.T.); +#21235=EDGE_CURVE('',#11179,#9404,#6112,.T.); +#21237=EDGE_CURVE('',#11175,#11179,#6128,.T.); +#21242=ADVANCED_FACE('',(#21241),#21233,.T.); +#21249=EDGE_CURVE('',#11181,#9402,#6120,.T.); +#21251=EDGE_CURVE('',#11179,#11181,#6132,.T.); +#21256=ADVANCED_FACE('',(#21255),#21247,.T.); +#21264=EDGE_CURVE('',#11181,#11177,#6136,.T.); +#21269=ADVANCED_FACE('',(#21268),#21261,.T.); +#21281=ADVANCED_FACE('',(#21280),#21274,.T.); +#21288=EDGE_CURVE('',#11183,#9408,#6152,.T.); +#21290=EDGE_CURVE('',#11185,#11183,#6172,.T.); +#21292=EDGE_CURVE('',#11185,#9407,#6144,.T.); +#21296=ADVANCED_FACE('',(#21295),#21286,.T.); +#21303=EDGE_CURVE('',#11187,#9412,#6160,.T.); +#21305=EDGE_CURVE('',#11183,#11187,#6176,.T.); +#21310=ADVANCED_FACE('',(#21309),#21301,.T.); +#21317=EDGE_CURVE('',#11189,#9410,#6168,.T.); +#21319=EDGE_CURVE('',#11187,#11189,#6180,.T.); +#21324=ADVANCED_FACE('',(#21323),#21315,.T.); +#21332=EDGE_CURVE('',#11189,#11185,#6184,.T.); +#21337=ADVANCED_FACE('',(#21336),#21329,.T.); +#21349=ADVANCED_FACE('',(#21348),#21342,.T.); +#21356=EDGE_CURVE('',#11191,#9416,#6200,.T.); +#21358=EDGE_CURVE('',#11193,#11191,#6220,.T.); +#21360=EDGE_CURVE('',#11193,#9415,#6192,.T.); +#21364=ADVANCED_FACE('',(#21363),#21354,.T.); +#21371=EDGE_CURVE('',#11195,#9420,#6208,.T.); +#21373=EDGE_CURVE('',#11191,#11195,#6224,.T.); +#21378=ADVANCED_FACE('',(#21377),#21369,.T.); +#21385=EDGE_CURVE('',#11197,#9418,#6216,.T.); +#21387=EDGE_CURVE('',#11195,#11197,#6228,.T.); +#21392=ADVANCED_FACE('',(#21391),#21383,.T.); +#21400=EDGE_CURVE('',#11197,#11193,#6232,.T.); +#21405=ADVANCED_FACE('',(#21404),#21397,.T.); +#21417=ADVANCED_FACE('',(#21416),#21410,.T.); +#21424=EDGE_CURVE('',#11199,#9424,#6248,.T.); +#21426=EDGE_CURVE('',#11201,#11199,#6268,.T.); +#21428=EDGE_CURVE('',#11201,#9423,#6240,.T.); +#21432=ADVANCED_FACE('',(#21431),#21422,.T.); +#21439=EDGE_CURVE('',#11203,#9428,#6256,.T.); +#21441=EDGE_CURVE('',#11199,#11203,#6272,.T.); +#21446=ADVANCED_FACE('',(#21445),#21437,.T.); +#21453=EDGE_CURVE('',#11205,#9426,#6264,.T.); +#21455=EDGE_CURVE('',#11203,#11205,#6276,.T.); +#21460=ADVANCED_FACE('',(#21459),#21451,.T.); +#21468=EDGE_CURVE('',#11205,#11201,#6280,.T.); +#21473=ADVANCED_FACE('',(#21472),#21465,.T.); +#21485=ADVANCED_FACE('',(#21484),#21478,.T.); +#21492=EDGE_CURVE('',#11207,#9432,#6296,.T.); +#21494=EDGE_CURVE('',#11209,#11207,#6316,.T.); +#21496=EDGE_CURVE('',#11209,#9431,#6288,.T.); +#21500=ADVANCED_FACE('',(#21499),#21490,.T.); +#21507=EDGE_CURVE('',#11211,#9436,#6304,.T.); +#21509=EDGE_CURVE('',#11207,#11211,#6320,.T.); +#21514=ADVANCED_FACE('',(#21513),#21505,.T.); +#21521=EDGE_CURVE('',#11213,#9434,#6312,.T.); +#21523=EDGE_CURVE('',#11211,#11213,#6324,.T.); +#21528=ADVANCED_FACE('',(#21527),#21519,.T.); +#21536=EDGE_CURVE('',#11213,#11209,#6328,.T.); +#21541=ADVANCED_FACE('',(#21540),#21533,.T.); +#21553=ADVANCED_FACE('',(#21552),#21546,.T.); +#21560=EDGE_CURVE('',#11215,#9440,#6344,.T.); +#21562=EDGE_CURVE('',#11217,#11215,#6364,.T.); +#21564=EDGE_CURVE('',#11217,#9439,#6336,.T.); +#21568=ADVANCED_FACE('',(#21567),#21558,.T.); +#21575=EDGE_CURVE('',#11219,#9444,#6352,.T.); +#21577=EDGE_CURVE('',#11215,#11219,#6368,.T.); +#21582=ADVANCED_FACE('',(#21581),#21573,.T.); +#21589=EDGE_CURVE('',#11221,#9442,#6360,.T.); +#21591=EDGE_CURVE('',#11219,#11221,#6372,.T.); +#21596=ADVANCED_FACE('',(#21595),#21587,.T.); +#21604=EDGE_CURVE('',#11221,#11217,#6376,.T.); +#21609=ADVANCED_FACE('',(#21608),#21601,.T.); +#21621=ADVANCED_FACE('',(#21620),#21614,.T.); +#21628=EDGE_CURVE('',#11223,#9448,#6392,.T.); +#21630=EDGE_CURVE('',#11225,#11223,#6412,.T.); +#21632=EDGE_CURVE('',#11225,#9447,#6384,.T.); +#21636=ADVANCED_FACE('',(#21635),#21626,.T.); +#21643=EDGE_CURVE('',#11227,#9452,#6400,.T.); +#21645=EDGE_CURVE('',#11223,#11227,#6416,.T.); +#21650=ADVANCED_FACE('',(#21649),#21641,.T.); +#21657=EDGE_CURVE('',#11229,#9450,#6408,.T.); +#21659=EDGE_CURVE('',#11227,#11229,#6420,.T.); +#21664=ADVANCED_FACE('',(#21663),#21655,.T.); +#21672=EDGE_CURVE('',#11229,#11225,#6424,.T.); +#21677=ADVANCED_FACE('',(#21676),#21669,.T.); +#21689=ADVANCED_FACE('',(#21688),#21682,.T.); +#21696=EDGE_CURVE('',#11231,#9456,#6440,.T.); +#21698=EDGE_CURVE('',#11233,#11231,#6460,.T.); +#21700=EDGE_CURVE('',#11233,#9455,#6432,.T.); +#21704=ADVANCED_FACE('',(#21703),#21694,.T.); +#21711=EDGE_CURVE('',#11235,#9460,#6448,.T.); +#21713=EDGE_CURVE('',#11231,#11235,#6464,.T.); +#21718=ADVANCED_FACE('',(#21717),#21709,.T.); +#21725=EDGE_CURVE('',#11237,#9458,#6456,.T.); +#21727=EDGE_CURVE('',#11235,#11237,#6468,.T.); +#21732=ADVANCED_FACE('',(#21731),#21723,.T.); +#21740=EDGE_CURVE('',#11237,#11233,#6472,.T.); +#21745=ADVANCED_FACE('',(#21744),#21737,.T.); +#21757=ADVANCED_FACE('',(#21756),#21750,.T.); +#21764=EDGE_CURVE('',#11239,#9464,#6488,.T.); +#21766=EDGE_CURVE('',#11241,#11239,#6508,.T.); +#21768=EDGE_CURVE('',#11241,#9463,#6480,.T.); +#21772=ADVANCED_FACE('',(#21771),#21762,.T.); +#21779=EDGE_CURVE('',#11243,#9468,#6496,.T.); +#21781=EDGE_CURVE('',#11239,#11243,#6512,.T.); +#21786=ADVANCED_FACE('',(#21785),#21777,.T.); +#21793=EDGE_CURVE('',#11245,#9466,#6504,.T.); +#21795=EDGE_CURVE('',#11243,#11245,#6516,.T.); +#21800=ADVANCED_FACE('',(#21799),#21791,.T.); +#21808=EDGE_CURVE('',#11245,#11241,#6520,.T.); +#21813=ADVANCED_FACE('',(#21812),#21805,.T.); +#21825=ADVANCED_FACE('',(#21824),#21818,.T.); +#21832=EDGE_CURVE('',#11247,#9472,#6536,.T.); +#21834=EDGE_CURVE('',#11249,#11247,#6556,.T.); +#21836=EDGE_CURVE('',#11249,#9471,#6528,.T.); +#21840=ADVANCED_FACE('',(#21839),#21830,.T.); +#21847=EDGE_CURVE('',#11251,#9476,#6544,.T.); +#21849=EDGE_CURVE('',#11247,#11251,#6560,.T.); +#21854=ADVANCED_FACE('',(#21853),#21845,.T.); +#21861=EDGE_CURVE('',#11253,#9474,#6552,.T.); +#21863=EDGE_CURVE('',#11251,#11253,#6564,.T.); +#21868=ADVANCED_FACE('',(#21867),#21859,.T.); +#21876=EDGE_CURVE('',#11253,#11249,#6568,.T.); +#21881=ADVANCED_FACE('',(#21880),#21873,.T.); +#21893=ADVANCED_FACE('',(#21892),#21886,.T.); +#21900=EDGE_CURVE('',#11255,#9480,#6584,.T.); +#21902=EDGE_CURVE('',#11257,#11255,#6604,.T.); +#21904=EDGE_CURVE('',#11257,#9479,#6576,.T.); +#21908=ADVANCED_FACE('',(#21907),#21898,.T.); +#21915=EDGE_CURVE('',#11259,#9484,#6592,.T.); +#21917=EDGE_CURVE('',#11255,#11259,#6608,.T.); +#21922=ADVANCED_FACE('',(#21921),#21913,.T.); +#21929=EDGE_CURVE('',#11261,#9482,#6600,.T.); +#21931=EDGE_CURVE('',#11259,#11261,#6612,.T.); +#21936=ADVANCED_FACE('',(#21935),#21927,.T.); +#21944=EDGE_CURVE('',#11261,#11257,#6616,.T.); +#21949=ADVANCED_FACE('',(#21948),#21941,.T.); +#21961=ADVANCED_FACE('',(#21960),#21954,.T.); +#21968=EDGE_CURVE('',#11263,#9488,#6632,.T.); +#21970=EDGE_CURVE('',#11265,#11263,#6652,.T.); +#21972=EDGE_CURVE('',#11265,#9487,#6624,.T.); +#21976=ADVANCED_FACE('',(#21975),#21966,.T.); +#21983=EDGE_CURVE('',#11267,#9492,#6640,.T.); +#21985=EDGE_CURVE('',#11263,#11267,#6656,.T.); +#21990=ADVANCED_FACE('',(#21989),#21981,.T.); +#21997=EDGE_CURVE('',#11269,#9490,#6648,.T.); +#21999=EDGE_CURVE('',#11267,#11269,#6660,.T.); +#22004=ADVANCED_FACE('',(#22003),#21995,.T.); +#22012=EDGE_CURVE('',#11269,#11265,#6664,.T.); +#22017=ADVANCED_FACE('',(#22016),#22009,.T.); +#22029=ADVANCED_FACE('',(#22028),#22022,.T.); +#22036=EDGE_CURVE('',#11271,#9496,#6680,.T.); +#22038=EDGE_CURVE('',#11273,#11271,#6700,.T.); +#22040=EDGE_CURVE('',#11273,#9495,#6672,.T.); +#22044=ADVANCED_FACE('',(#22043),#22034,.T.); +#22051=EDGE_CURVE('',#11275,#9500,#6688,.T.); +#22053=EDGE_CURVE('',#11271,#11275,#6704,.T.); +#22058=ADVANCED_FACE('',(#22057),#22049,.T.); +#22065=EDGE_CURVE('',#11277,#9498,#6696,.T.); +#22067=EDGE_CURVE('',#11275,#11277,#6708,.T.); +#22072=ADVANCED_FACE('',(#22071),#22063,.T.); +#22080=EDGE_CURVE('',#11277,#11273,#6712,.T.); +#22085=ADVANCED_FACE('',(#22084),#22077,.T.); +#22097=ADVANCED_FACE('',(#22096),#22090,.T.); +#22104=EDGE_CURVE('',#11279,#9504,#6728,.T.); +#22106=EDGE_CURVE('',#11281,#11279,#6748,.T.); +#22108=EDGE_CURVE('',#11281,#9503,#6720,.T.); +#22112=ADVANCED_FACE('',(#22111),#22102,.T.); +#22119=EDGE_CURVE('',#11283,#9508,#6736,.T.); +#22121=EDGE_CURVE('',#11279,#11283,#6752,.T.); +#22126=ADVANCED_FACE('',(#22125),#22117,.T.); +#22133=EDGE_CURVE('',#11285,#9506,#6744,.T.); +#22135=EDGE_CURVE('',#11283,#11285,#6756,.T.); +#22140=ADVANCED_FACE('',(#22139),#22131,.T.); +#22148=EDGE_CURVE('',#11285,#11281,#6760,.T.); +#22153=ADVANCED_FACE('',(#22152),#22145,.T.); +#22165=ADVANCED_FACE('',(#22164),#22158,.T.); +#22172=EDGE_CURVE('',#11287,#9512,#6776,.T.); +#22174=EDGE_CURVE('',#11289,#11287,#6796,.T.); +#22176=EDGE_CURVE('',#11289,#9511,#6768,.T.); +#22180=ADVANCED_FACE('',(#22179),#22170,.T.); +#22187=EDGE_CURVE('',#11291,#9516,#6784,.T.); +#22189=EDGE_CURVE('',#11287,#11291,#6800,.T.); +#22194=ADVANCED_FACE('',(#22193),#22185,.T.); +#22201=EDGE_CURVE('',#11293,#9514,#6792,.T.); +#22203=EDGE_CURVE('',#11291,#11293,#6804,.T.); +#22208=ADVANCED_FACE('',(#22207),#22199,.T.); +#22216=EDGE_CURVE('',#11293,#11289,#6808,.T.); +#22221=ADVANCED_FACE('',(#22220),#22213,.T.); +#22233=ADVANCED_FACE('',(#22232),#22226,.T.); +#22240=EDGE_CURVE('',#11295,#9520,#6824,.T.); +#22242=EDGE_CURVE('',#11297,#11295,#6844,.T.); +#22244=EDGE_CURVE('',#11297,#9519,#6816,.T.); +#22248=ADVANCED_FACE('',(#22247),#22238,.T.); +#22255=EDGE_CURVE('',#11299,#9524,#6832,.T.); +#22257=EDGE_CURVE('',#11295,#11299,#6848,.T.); +#22262=ADVANCED_FACE('',(#22261),#22253,.T.); +#22269=EDGE_CURVE('',#11301,#9522,#6840,.T.); +#22271=EDGE_CURVE('',#11299,#11301,#6852,.T.); +#22276=ADVANCED_FACE('',(#22275),#22267,.T.); +#22284=EDGE_CURVE('',#11301,#11297,#6856,.T.); +#22289=ADVANCED_FACE('',(#22288),#22281,.T.); +#22301=ADVANCED_FACE('',(#22300),#22294,.T.); +#22308=EDGE_CURVE('',#11303,#9528,#6872,.T.); +#22310=EDGE_CURVE('',#11305,#11303,#6892,.T.); +#22312=EDGE_CURVE('',#11305,#9527,#6864,.T.); +#22316=ADVANCED_FACE('',(#22315),#22306,.T.); +#22323=EDGE_CURVE('',#11307,#9532,#6880,.T.); +#22325=EDGE_CURVE('',#11303,#11307,#6896,.T.); +#22330=ADVANCED_FACE('',(#22329),#22321,.T.); +#22337=EDGE_CURVE('',#11309,#9530,#6888,.T.); +#22339=EDGE_CURVE('',#11307,#11309,#6900,.T.); +#22344=ADVANCED_FACE('',(#22343),#22335,.T.); +#22352=EDGE_CURVE('',#11309,#11305,#6904,.T.); +#22357=ADVANCED_FACE('',(#22356),#22349,.T.); +#22369=ADVANCED_FACE('',(#22368),#22362,.T.); +#22376=EDGE_CURVE('',#11311,#9536,#6920,.T.); +#22378=EDGE_CURVE('',#11313,#11311,#6948,.T.); +#22380=EDGE_CURVE('',#11313,#9535,#6912,.T.); +#22384=ADVANCED_FACE('',(#22383),#22374,.T.); +#22391=EDGE_CURVE('',#11315,#9556,#6924,.T.); +#22393=EDGE_CURVE('',#11311,#11315,#6952,.T.); +#22398=ADVANCED_FACE('',(#22397),#22389,.T.); +#22404=EDGE_CURVE('',#11315,#11317,#6940,.T.); +#22408=EDGE_CURVE('',#11319,#9554,#6968,.T.); +#22410=EDGE_CURVE('',#11321,#11319,#7036,.T.); +#22412=EDGE_CURVE('',#11321,#9540,#6932,.T.); +#22415=EDGE_CURVE('',#11317,#9538,#6960,.T.); +#22419=ADVANCED_FACE('',(#22418),#22403,.T.); +#22426=EDGE_CURVE('',#11317,#11313,#6944,.T.); +#22432=ADVANCED_FACE('',(#22431),#22424,.T.); +#22444=ADVANCED_FACE('',(#22443),#22437,.T.); +#22451=EDGE_CURVE('',#11323,#9552,#6984,.T.); +#22453=EDGE_CURVE('',#11319,#11323,#7040,.T.); +#22458=ADVANCED_FACE('',(#22457),#22449,.T.); +#22464=EDGE_CURVE('',#11326,#11327,#6972,.T.); +#22466=EDGE_CURVE('',#11327,#9544,#6976,.T.); +#22469=EDGE_CURVE('',#11329,#9542,#7032,.T.); +#22471=EDGE_CURVE('',#11323,#11329,#7044,.T.); +#22475=EDGE_CURVE('',#11326,#9550,#7008,.T.); +#22479=ADVANCED_FACE('',(#22478),#22463,.T.); +#22486=EDGE_CURVE('',#11326,#11331,#6992,.T.); +#22488=EDGE_CURVE('',#11331,#11333,#6996,.T.); +#22490=EDGE_CURVE('',#11333,#11327,#7000,.T.); +#22494=ADVANCED_FACE('',(#22493),#22484,.T.); +#22501=EDGE_CURVE('',#11331,#9548,#7016,.T.); +#22507=ADVANCED_FACE('',(#22506),#22499,.T.); +#22514=EDGE_CURVE('',#11333,#9546,#7024,.T.); +#22520=ADVANCED_FACE('',(#22519),#22512,.T.); +#22532=ADVANCED_FACE('',(#22531),#22525,.T.); +#22540=EDGE_CURVE('',#11329,#11321,#7048,.T.); +#22545=ADVANCED_FACE('',(#22544),#22537,.T.); +#22557=ADVANCED_FACE('',(#22556),#22550,.T.); +#22564=EDGE_CURVE('',#11335,#9560,#7064,.T.); +#22566=EDGE_CURVE('',#11337,#11335,#7096,.T.); +#22568=EDGE_CURVE('',#11337,#9559,#7056,.T.); +#22572=ADVANCED_FACE('',(#22571),#22562,.T.); +#22579=EDGE_CURVE('',#11339,#9580,#7072,.T.); +#22581=EDGE_CURVE('',#11335,#11339,#7100,.T.); +#22586=ADVANCED_FACE('',(#22585),#22577,.T.); +#22593=EDGE_CURVE('',#11341,#9578,#7076,.T.); +#22595=EDGE_CURVE('',#11339,#11341,#7104,.T.); +#22600=ADVANCED_FACE('',(#22599),#22591,.T.); +#22606=EDGE_CURVE('',#11341,#11337,#7092,.T.); +#22610=EDGE_CURVE('',#11343,#9576,#7112,.T.); +#22612=EDGE_CURVE('',#11345,#11343,#7188,.T.); +#22614=EDGE_CURVE('',#11345,#9562,#7084,.T.); +#22620=ADVANCED_FACE('',(#22619),#22605,.T.); +#22632=ADVANCED_FACE('',(#22631),#22625,.T.); +#22639=EDGE_CURVE('',#11347,#9574,#7128,.T.); +#22641=EDGE_CURVE('',#11343,#11347,#7192,.T.); +#22646=ADVANCED_FACE('',(#22645),#22637,.T.); +#22652=EDGE_CURVE('',#11350,#11351,#7116,.T.); +#22654=EDGE_CURVE('',#11351,#9566,#7120,.T.); +#22657=EDGE_CURVE('',#11353,#9564,#7176,.T.); +#22659=EDGE_CURVE('',#11347,#11353,#7180,.T.); +#22663=EDGE_CURVE('',#11350,#9572,#7152,.T.); +#22667=ADVANCED_FACE('',(#22666),#22651,.T.); +#22674=EDGE_CURVE('',#11350,#11355,#7136,.T.); +#22676=EDGE_CURVE('',#11355,#11357,#7140,.T.); +#22678=EDGE_CURVE('',#11357,#11351,#7144,.T.); +#22682=ADVANCED_FACE('',(#22681),#22672,.T.); +#22689=EDGE_CURVE('',#11355,#9570,#7160,.T.); +#22695=ADVANCED_FACE('',(#22694),#22687,.T.); +#22702=EDGE_CURVE('',#11357,#9568,#7168,.T.); +#22708=ADVANCED_FACE('',(#22707),#22700,.T.); +#22720=ADVANCED_FACE('',(#22719),#22713,.T.); +#22728=EDGE_CURVE('',#11353,#11345,#7184,.T.); +#22733=ADVANCED_FACE('',(#22732),#22725,.T.); +#22745=ADVANCED_FACE('',(#22744),#22738,.T.); +#22752=EDGE_CURVE('',#11359,#9584,#7208,.T.); +#22754=EDGE_CURVE('',#11361,#11359,#7380,.T.); +#22756=EDGE_CURVE('',#11361,#9583,#7200,.T.); +#22760=ADVANCED_FACE('',(#22759),#22750,.T.); +#22767=EDGE_CURVE('',#11363,#9612,#7236,.T.); +#22769=EDGE_CURVE('',#11359,#11363,#7384,.T.); +#22774=ADVANCED_FACE('',(#22773),#22765,.T.); +#22780=EDGE_CURVE('',#11366,#11367,#7212,.T.); +#22782=EDGE_CURVE('',#11367,#9604,#7216,.T.); +#22785=EDGE_CURVE('',#11369,#9602,#7284,.T.); +#22787=EDGE_CURVE('',#11371,#11369,#7356,.T.); +#22789=EDGE_CURVE('',#11371,#9588,#7224,.T.); +#22792=EDGE_CURVE('',#11373,#9586,#7372,.T.); +#22794=EDGE_CURVE('',#11373,#11363,#7232,.T.); +#22798=EDGE_CURVE('',#11366,#9610,#7260,.T.); +#22802=ADVANCED_FACE('',(#22801),#22779,.T.); +#22809=EDGE_CURVE('',#11366,#11375,#7244,.T.); +#22811=EDGE_CURVE('',#11375,#11377,#7248,.T.); +#22813=EDGE_CURVE('',#11377,#11367,#7252,.T.); +#22817=ADVANCED_FACE('',(#22816),#22807,.T.); +#22824=EDGE_CURVE('',#11375,#9608,#7268,.T.); +#22830=ADVANCED_FACE('',(#22829),#22822,.T.); +#22837=EDGE_CURVE('',#11377,#9606,#7276,.T.); +#22843=ADVANCED_FACE('',(#22842),#22835,.T.); +#22855=ADVANCED_FACE('',(#22854),#22848,.T.); +#22862=EDGE_CURVE('',#11379,#9600,#7300,.T.); +#22864=EDGE_CURVE('',#11369,#11379,#7360,.T.); +#22869=ADVANCED_FACE('',(#22868),#22860,.T.); +#22875=EDGE_CURVE('',#11382,#11383,#7288,.T.); +#22877=EDGE_CURVE('',#11383,#9592,#7292,.T.); +#22880=EDGE_CURVE('',#11385,#9590,#7348,.T.); +#22882=EDGE_CURVE('',#11379,#11385,#7364,.T.); +#22886=EDGE_CURVE('',#11382,#9598,#7324,.T.); +#22890=ADVANCED_FACE('',(#22889),#22874,.T.); +#22897=EDGE_CURVE('',#11382,#11387,#7308,.T.); +#22899=EDGE_CURVE('',#11387,#11389,#7312,.T.); +#22901=EDGE_CURVE('',#11389,#11383,#7316,.T.); +#22905=ADVANCED_FACE('',(#22904),#22895,.T.); +#22912=EDGE_CURVE('',#11387,#9596,#7332,.T.); +#22918=ADVANCED_FACE('',(#22917),#22910,.T.); +#22925=EDGE_CURVE('',#11389,#9594,#7340,.T.); +#22931=ADVANCED_FACE('',(#22930),#22923,.T.); +#22943=ADVANCED_FACE('',(#22942),#22936,.T.); +#22951=EDGE_CURVE('',#11385,#11371,#7352,.T.); +#22956=ADVANCED_FACE('',(#22955),#22948,.T.); +#22968=ADVANCED_FACE('',(#22967),#22961,.T.); +#22976=EDGE_CURVE('',#11373,#11361,#7376,.T.); +#22981=ADVANCED_FACE('',(#22980),#22973,.T.); +#22993=ADVANCED_FACE('',(#22992),#22986,.T.); +#23000=EDGE_CURVE('',#11391,#9616,#7400,.T.); +#23002=EDGE_CURVE('',#11393,#11391,#7568,.T.); +#23004=EDGE_CURVE('',#11393,#9615,#7392,.T.); +#23008=ADVANCED_FACE('',(#23007),#22998,.T.); +#23015=EDGE_CURVE('',#11395,#9644,#7408,.T.); +#23017=EDGE_CURVE('',#11391,#11395,#7572,.T.); +#23022=ADVANCED_FACE('',(#23021),#23013,.T.); +#23029=EDGE_CURVE('',#11397,#9642,#7436,.T.); +#23031=EDGE_CURVE('',#11395,#11397,#7576,.T.); +#23036=ADVANCED_FACE('',(#23035),#23027,.T.); +#23042=EDGE_CURVE('',#11400,#11401,#7412,.T.); +#23044=EDGE_CURVE('',#11401,#9634,#7416,.T.); +#23047=EDGE_CURVE('',#11403,#9632,#7484,.T.); +#23049=EDGE_CURVE('',#11405,#11403,#7556,.T.); +#23051=EDGE_CURVE('',#11405,#9618,#7424,.T.); +#23055=EDGE_CURVE('',#11393,#11397,#7432,.T.); +#23059=EDGE_CURVE('',#11400,#9640,#7460,.T.); +#23063=ADVANCED_FACE('',(#23062),#23041,.T.); +#23070=EDGE_CURVE('',#11400,#11407,#7444,.T.); +#23072=EDGE_CURVE('',#11407,#11409,#7448,.T.); +#23074=EDGE_CURVE('',#11409,#11401,#7452,.T.); +#23078=ADVANCED_FACE('',(#23077),#23068,.T.); +#23085=EDGE_CURVE('',#11407,#9638,#7468,.T.); +#23091=ADVANCED_FACE('',(#23090),#23083,.T.); +#23098=EDGE_CURVE('',#11409,#9636,#7476,.T.); +#23104=ADVANCED_FACE('',(#23103),#23096,.T.); +#23116=ADVANCED_FACE('',(#23115),#23109,.T.); +#23123=EDGE_CURVE('',#11411,#9630,#7496,.T.); +#23125=EDGE_CURVE('',#11403,#11411,#7560,.T.); +#23130=ADVANCED_FACE('',(#23129),#23121,.T.); +#23136=EDGE_CURVE('',#11414,#11415,#7504,.T.); +#23138=EDGE_CURVE('',#11414,#9622,#7488,.T.); +#23141=EDGE_CURVE('',#11417,#9620,#7548,.T.); +#23143=EDGE_CURVE('',#11411,#11417,#7564,.T.); +#23147=EDGE_CURVE('',#11415,#9628,#7524,.T.); +#23151=ADVANCED_FACE('',(#23150),#23135,.T.); +#23158=EDGE_CURVE('',#11415,#11419,#7508,.T.); +#23160=EDGE_CURVE('',#11419,#11421,#7512,.T.); +#23162=EDGE_CURVE('',#11421,#11414,#7516,.T.); +#23166=ADVANCED_FACE('',(#23165),#23156,.T.); +#23173=EDGE_CURVE('',#11419,#9626,#7532,.T.); +#23179=ADVANCED_FACE('',(#23178),#23171,.T.); +#23186=EDGE_CURVE('',#11421,#9624,#7540,.T.); +#23192=ADVANCED_FACE('',(#23191),#23184,.T.); +#23204=ADVANCED_FACE('',(#23203),#23197,.T.); +#23212=EDGE_CURVE('',#11417,#11405,#7552,.T.); +#23217=ADVANCED_FACE('',(#23216),#23209,.T.); +#23229=ADVANCED_FACE('',(#23228),#23222,.T.); +#23241=ADVANCED_FACE('',(#23240),#23234,.T.); +#23248=EDGE_CURVE('',#11423,#9648,#7592,.T.); +#23250=EDGE_CURVE('',#11425,#11423,#7620,.T.); +#23252=EDGE_CURVE('',#11425,#9647,#7584,.T.); +#23256=ADVANCED_FACE('',(#23255),#23246,.T.); +#23263=EDGE_CURVE('',#11427,#9676,#7600,.T.); +#23265=EDGE_CURVE('',#11423,#11427,#7624,.T.); +#23270=ADVANCED_FACE('',(#23269),#23261,.T.); +#23276=EDGE_CURVE('',#11429,#11427,#7596,.T.); +#23280=EDGE_CURVE('',#11431,#9674,#7640,.T.); +#23282=EDGE_CURVE('',#11433,#11431,#7768,.T.); +#23284=EDGE_CURVE('',#11433,#9652,#7608,.T.); +#23287=EDGE_CURVE('',#11429,#9650,#7632,.T.); +#23291=ADVANCED_FACE('',(#23290),#23275,.T.); +#23298=EDGE_CURVE('',#11429,#11425,#7616,.T.); +#23304=ADVANCED_FACE('',(#23303),#23296,.T.); +#23316=ADVANCED_FACE('',(#23315),#23309,.T.); +#23323=EDGE_CURVE('',#11435,#9672,#7660,.T.); +#23325=EDGE_CURVE('',#11431,#11435,#7756,.T.); +#23330=ADVANCED_FACE('',(#23329),#23321,.T.); +#23336=EDGE_CURVE('',#11438,#11439,#7668,.T.); +#23338=EDGE_CURVE('',#11438,#9664,#7644,.T.); +#23341=EDGE_CURVE('',#11441,#9662,#7712,.T.); +#23343=EDGE_CURVE('',#11443,#11441,#7732,.T.); +#23345=EDGE_CURVE('',#11443,#9656,#7652,.T.); +#23348=EDGE_CURVE('',#11445,#9654,#7752,.T.); +#23350=EDGE_CURVE('',#11435,#11445,#7760,.T.); +#23354=EDGE_CURVE('',#11439,#9670,#7688,.T.); +#23358=ADVANCED_FACE('',(#23357),#23335,.T.); +#23365=EDGE_CURVE('',#11439,#11447,#7672,.T.); +#23367=EDGE_CURVE('',#11447,#11449,#7676,.T.); +#23369=EDGE_CURVE('',#11449,#11438,#7680,.T.); +#23373=ADVANCED_FACE('',(#23372),#23363,.T.); +#23380=EDGE_CURVE('',#11447,#9668,#7696,.T.); +#23386=ADVANCED_FACE('',(#23385),#23378,.T.); +#23393=EDGE_CURVE('',#11449,#9666,#7704,.T.); +#23399=ADVANCED_FACE('',(#23398),#23391,.T.); +#23411=ADVANCED_FACE('',(#23410),#23404,.T.); +#23418=EDGE_CURVE('',#11451,#9660,#7720,.T.); +#23420=EDGE_CURVE('',#11441,#11451,#7736,.T.); +#23425=ADVANCED_FACE('',(#23424),#23416,.T.); +#23432=EDGE_CURVE('',#11453,#9658,#7728,.T.); +#23434=EDGE_CURVE('',#11451,#11453,#7740,.T.); +#23439=ADVANCED_FACE('',(#23438),#23430,.T.); +#23447=EDGE_CURVE('',#11453,#11443,#7744,.T.); +#23452=ADVANCED_FACE('',(#23451),#23444,.T.); +#23464=ADVANCED_FACE('',(#23463),#23457,.T.); +#23472=EDGE_CURVE('',#11445,#11433,#7764,.T.); +#23477=ADVANCED_FACE('',(#23476),#23469,.T.); +#23489=ADVANCED_FACE('',(#23488),#23482,.T.); +#23496=EDGE_CURVE('',#11455,#9680,#7784,.T.); +#23498=EDGE_CURVE('',#11457,#11455,#7816,.T.); +#23500=EDGE_CURVE('',#11457,#9679,#7776,.T.); +#23504=ADVANCED_FACE('',(#23503),#23494,.T.); +#23511=EDGE_CURVE('',#11459,#9708,#7792,.T.); +#23513=EDGE_CURVE('',#11455,#11459,#7820,.T.); +#23518=ADVANCED_FACE('',(#23517),#23509,.T.); +#23525=EDGE_CURVE('',#11461,#9706,#7796,.T.); +#23527=EDGE_CURVE('',#11459,#11461,#7824,.T.); +#23532=ADVANCED_FACE('',(#23531),#23523,.T.); +#23538=EDGE_CURVE('',#11461,#11457,#7812,.T.); +#23542=EDGE_CURVE('',#11463,#9704,#7832,.T.); +#23544=EDGE_CURVE('',#11465,#11463,#7920,.T.); +#23546=EDGE_CURVE('',#11465,#9682,#7804,.T.); +#23552=ADVANCED_FACE('',(#23551),#23537,.T.); +#23564=ADVANCED_FACE('',(#23563),#23557,.T.); +#23571=EDGE_CURVE('',#11467,#9702,#7848,.T.); +#23573=EDGE_CURVE('',#11463,#11467,#7924,.T.); +#23578=ADVANCED_FACE('',(#23577),#23569,.T.); +#23584=EDGE_CURVE('',#11470,#11471,#7836,.T.); +#23586=EDGE_CURVE('',#11471,#9686,#7840,.T.); +#23589=EDGE_CURVE('',#11473,#9684,#7908,.T.); +#23591=EDGE_CURVE('',#11467,#11473,#7912,.T.); +#23595=EDGE_CURVE('',#11475,#9700,#7932,.T.); +#23597=EDGE_CURVE('',#11475,#11477,#7856,.T.); +#23599=EDGE_CURVE('',#11477,#9694,#7860,.T.); +#23602=EDGE_CURVE('',#11470,#9692,#7884,.T.); +#23606=ADVANCED_FACE('',(#23605),#23583,.T.); +#23613=EDGE_CURVE('',#11470,#11479,#7868,.T.); +#23615=EDGE_CURVE('',#11479,#11481,#7872,.T.); +#23617=EDGE_CURVE('',#11481,#11471,#7876,.T.); +#23621=ADVANCED_FACE('',(#23620),#23611,.T.); +#23628=EDGE_CURVE('',#11479,#9690,#7892,.T.); +#23634=ADVANCED_FACE('',(#23633),#23626,.T.); +#23641=EDGE_CURVE('',#11481,#9688,#7900,.T.); +#23647=ADVANCED_FACE('',(#23646),#23639,.T.); +#23659=ADVANCED_FACE('',(#23658),#23652,.T.); +#23667=EDGE_CURVE('',#11473,#11465,#7916,.T.); +#23672=ADVANCED_FACE('',(#23671),#23664,.T.); +#23684=ADVANCED_FACE('',(#23683),#23677,.T.); +#23691=EDGE_CURVE('',#11483,#9698,#7940,.T.); +#23693=EDGE_CURVE('',#11475,#11483,#7952,.T.); +#23698=ADVANCED_FACE('',(#23697),#23689,.T.); +#23705=EDGE_CURVE('',#11485,#9696,#7948,.T.); +#23707=EDGE_CURVE('',#11483,#11485,#7956,.T.); +#23712=ADVANCED_FACE('',(#23711),#23703,.T.); +#23720=EDGE_CURVE('',#11485,#11477,#7960,.T.); +#23725=ADVANCED_FACE('',(#23724),#23717,.T.); +#23737=ADVANCED_FACE('',(#23736),#23730,.T.); +#23744=EDGE_CURVE('',#11487,#9712,#7976,.T.); +#23746=EDGE_CURVE('',#11489,#11487,#8008,.T.); +#23748=EDGE_CURVE('',#11489,#9711,#7968,.T.); +#23752=ADVANCED_FACE('',(#23751),#23742,.T.); +#23759=EDGE_CURVE('',#11491,#9732,#7984,.T.); +#23761=EDGE_CURVE('',#11487,#11491,#8012,.T.); +#23766=ADVANCED_FACE('',(#23765),#23757,.T.); +#23773=EDGE_CURVE('',#11493,#9730,#7988,.T.); +#23775=EDGE_CURVE('',#11491,#11493,#8016,.T.); +#23780=ADVANCED_FACE('',(#23779),#23771,.T.); +#23786=EDGE_CURVE('',#11493,#11489,#8004,.T.); +#23790=EDGE_CURVE('',#11495,#9728,#8024,.T.); +#23792=EDGE_CURVE('',#11497,#11495,#8096,.T.); +#23794=EDGE_CURVE('',#11497,#9714,#7996,.T.); +#23800=ADVANCED_FACE('',(#23799),#23785,.T.); +#23812=ADVANCED_FACE('',(#23811),#23805,.T.); +#23819=EDGE_CURVE('',#11499,#9726,#8040,.T.); +#23821=EDGE_CURVE('',#11495,#11499,#8100,.T.); +#23826=ADVANCED_FACE('',(#23825),#23817,.T.); +#23832=EDGE_CURVE('',#11502,#11503,#8028,.T.); +#23834=EDGE_CURVE('',#11503,#9718,#8032,.T.); +#23837=EDGE_CURVE('',#11505,#9716,#8088,.T.); +#23839=EDGE_CURVE('',#11499,#11505,#8104,.T.); +#23843=EDGE_CURVE('',#11502,#9724,#8064,.T.); +#23847=ADVANCED_FACE('',(#23846),#23831,.T.); +#23854=EDGE_CURVE('',#11502,#11507,#8048,.T.); +#23856=EDGE_CURVE('',#11507,#11509,#8052,.T.); +#23858=EDGE_CURVE('',#11509,#11503,#8056,.T.); +#23862=ADVANCED_FACE('',(#23861),#23852,.T.); +#23869=EDGE_CURVE('',#11507,#9722,#8072,.T.); +#23875=ADVANCED_FACE('',(#23874),#23867,.T.); +#23882=EDGE_CURVE('',#11509,#9720,#8080,.T.); +#23888=ADVANCED_FACE('',(#23887),#23880,.T.); +#23900=ADVANCED_FACE('',(#23899),#23893,.T.); +#23908=EDGE_CURVE('',#11505,#11497,#8092,.T.); +#23913=ADVANCED_FACE('',(#23912),#23905,.T.); +#23925=ADVANCED_FACE('',(#23924),#23918,.T.); +#23932=EDGE_CURVE('',#11511,#9736,#8120,.T.); +#23934=EDGE_CURVE('',#11513,#11511,#8160,.T.); +#23936=EDGE_CURVE('',#11513,#9735,#8112,.T.); +#23940=ADVANCED_FACE('',(#23939),#23930,.T.); +#23947=EDGE_CURVE('',#11515,#9780,#8128,.T.); +#23949=EDGE_CURVE('',#11511,#11515,#8164,.T.); +#23954=ADVANCED_FACE('',(#23953),#23945,.T.); +#23961=EDGE_CURVE('',#11517,#9778,#8132,.T.); +#23963=EDGE_CURVE('',#11515,#11517,#8168,.T.); +#23968=ADVANCED_FACE('',(#23967),#23959,.T.); +#23974=EDGE_CURVE('',#11517,#11513,#8156,.T.); +#23978=EDGE_CURVE('',#11519,#9776,#8176,.T.); +#23980=EDGE_CURVE('',#11521,#11519,#8196,.T.); +#23982=EDGE_CURVE('',#11521,#9770,#8140,.T.); +#23985=EDGE_CURVE('',#11523,#9768,#8216,.T.); +#23987=EDGE_CURVE('',#11525,#11523,#8312,.T.); +#23989=EDGE_CURVE('',#11525,#9738,#8148,.T.); +#23995=ADVANCED_FACE('',(#23994),#23973,.T.); +#24007=ADVANCED_FACE('',(#24006),#24000,.T.); +#24014=EDGE_CURVE('',#11527,#9774,#8184,.T.); +#24016=EDGE_CURVE('',#11519,#11527,#8200,.T.); +#24021=ADVANCED_FACE('',(#24020),#24012,.T.); +#24028=EDGE_CURVE('',#11529,#9772,#8192,.T.); +#24030=EDGE_CURVE('',#11527,#11529,#8204,.T.); +#24035=ADVANCED_FACE('',(#24034),#24026,.T.); +#24043=EDGE_CURVE('',#11529,#11521,#8208,.T.); +#24048=ADVANCED_FACE('',(#24047),#24040,.T.); +#24060=ADVANCED_FACE('',(#24059),#24053,.T.); +#24067=EDGE_CURVE('',#11531,#9766,#8232,.T.); +#24069=EDGE_CURVE('',#11523,#11531,#8316,.T.); +#24074=ADVANCED_FACE('',(#24073),#24065,.T.); +#24080=EDGE_CURVE('',#11534,#11535,#8220,.T.); +#24082=EDGE_CURVE('',#11535,#9742,#8224,.T.); +#24085=EDGE_CURVE('',#11537,#9740,#8304,.T.); +#24087=EDGE_CURVE('',#11531,#11537,#8320,.T.); +#24091=EDGE_CURVE('',#11539,#9764,#8328,.T.); +#24093=EDGE_CURVE('',#11539,#11541,#8240,.T.); +#24095=EDGE_CURVE('',#11541,#9758,#8244,.T.); +#24098=EDGE_CURVE('',#11543,#9756,#8364,.T.); +#24100=EDGE_CURVE('',#11543,#11545,#8252,.T.); +#24102=EDGE_CURVE('',#11545,#9750,#8256,.T.); +#24105=EDGE_CURVE('',#11534,#9748,#8280,.T.); +#24109=ADVANCED_FACE('',(#24108),#24079,.T.); +#24116=EDGE_CURVE('',#11534,#11547,#8264,.T.); +#24118=EDGE_CURVE('',#11547,#11549,#8268,.T.); +#24120=EDGE_CURVE('',#11549,#11535,#8272,.T.); +#24124=ADVANCED_FACE('',(#24123),#24114,.T.); +#24131=EDGE_CURVE('',#11547,#9746,#8288,.T.); +#24137=ADVANCED_FACE('',(#24136),#24129,.T.); +#24144=EDGE_CURVE('',#11549,#9744,#8296,.T.); +#24150=ADVANCED_FACE('',(#24149),#24142,.T.); +#24162=ADVANCED_FACE('',(#24161),#24155,.T.); +#24170=EDGE_CURVE('',#11537,#11525,#8308,.T.); +#24175=ADVANCED_FACE('',(#24174),#24167,.T.); +#24187=ADVANCED_FACE('',(#24186),#24180,.T.); +#24194=EDGE_CURVE('',#11551,#9762,#8336,.T.); +#24196=EDGE_CURVE('',#11539,#11551,#8348,.T.); +#24201=ADVANCED_FACE('',(#24200),#24192,.T.); +#24208=EDGE_CURVE('',#11553,#9760,#8344,.T.); +#24210=EDGE_CURVE('',#11551,#11553,#8352,.T.); +#24215=ADVANCED_FACE('',(#24214),#24206,.T.); +#24223=EDGE_CURVE('',#11553,#11541,#8356,.T.); +#24228=ADVANCED_FACE('',(#24227),#24220,.T.); +#24240=ADVANCED_FACE('',(#24239),#24233,.T.); +#24247=EDGE_CURVE('',#11555,#9754,#8372,.T.); +#24249=EDGE_CURVE('',#11543,#11555,#8384,.T.); +#24254=ADVANCED_FACE('',(#24253),#24245,.T.); +#24261=EDGE_CURVE('',#11557,#9752,#8380,.T.); +#24263=EDGE_CURVE('',#11555,#11557,#8388,.T.); +#24268=ADVANCED_FACE('',(#24267),#24259,.T.); +#24276=EDGE_CURVE('',#11557,#11545,#8392,.T.); +#24281=ADVANCED_FACE('',(#24280),#24273,.T.); +#24293=ADVANCED_FACE('',(#24292),#24286,.T.); +#24300=EDGE_CURVE('',#11559,#9784,#8408,.T.); +#24302=EDGE_CURVE('',#11561,#11559,#8428,.T.); +#24304=EDGE_CURVE('',#11561,#9783,#8400,.T.); +#24308=ADVANCED_FACE('',(#24307),#24298,.T.); +#24315=EDGE_CURVE('',#11563,#9788,#8416,.T.); +#24317=EDGE_CURVE('',#11559,#11563,#8432,.T.); +#24322=ADVANCED_FACE('',(#24321),#24313,.T.); +#24329=EDGE_CURVE('',#11565,#9786,#8424,.T.); +#24331=EDGE_CURVE('',#11563,#11565,#8436,.T.); +#24336=ADVANCED_FACE('',(#24335),#24327,.T.); +#24344=EDGE_CURVE('',#11565,#11561,#8440,.T.); +#24349=ADVANCED_FACE('',(#24348),#24341,.T.); +#24361=ADVANCED_FACE('',(#24360),#24354,.T.); +#24368=EDGE_CURVE('',#11567,#9792,#8456,.T.); +#24370=EDGE_CURVE('',#11569,#11567,#8476,.T.); +#24372=EDGE_CURVE('',#11569,#9791,#8448,.T.); +#24376=ADVANCED_FACE('',(#24375),#24366,.T.); +#24383=EDGE_CURVE('',#11571,#9796,#8464,.T.); +#24385=EDGE_CURVE('',#11567,#11571,#8480,.T.); +#24390=ADVANCED_FACE('',(#24389),#24381,.T.); +#24397=EDGE_CURVE('',#11573,#9794,#8472,.T.); +#24399=EDGE_CURVE('',#11571,#11573,#8484,.T.); +#24404=ADVANCED_FACE('',(#24403),#24395,.T.); +#24412=EDGE_CURVE('',#11573,#11569,#8488,.T.); +#24417=ADVANCED_FACE('',(#24416),#24409,.T.); +#24429=ADVANCED_FACE('',(#24428),#24422,.T.); +#24436=EDGE_CURVE('',#11575,#9800,#8504,.T.); +#24438=EDGE_CURVE('',#11577,#11575,#8588,.T.); +#24440=EDGE_CURVE('',#11577,#9799,#8496,.T.); +#24444=ADVANCED_FACE('',(#24443),#24434,.T.); +#24451=EDGE_CURVE('',#11579,#9844,#8516,.T.); +#24453=EDGE_CURVE('',#11575,#11579,#8592,.T.); +#24458=ADVANCED_FACE('',(#24457),#24449,.T.); +#24464=EDGE_CURVE('',#11582,#11583,#8532,.T.); +#24466=EDGE_CURVE('',#11582,#9804,#8508,.T.); +#24469=EDGE_CURVE('',#11585,#9802,#8576,.T.); +#24471=EDGE_CURVE('',#11579,#11585,#8580,.T.); +#24475=EDGE_CURVE('',#11587,#9842,#8600,.T.); +#24477=EDGE_CURVE('',#11589,#11587,#8692,.T.); +#24479=EDGE_CURVE('',#11589,#9812,#8524,.T.); +#24482=EDGE_CURVE('',#11583,#9810,#8552,.T.); +#24486=ADVANCED_FACE('',(#24485),#24463,.T.); +#24493=EDGE_CURVE('',#11583,#11591,#8536,.T.); +#24495=EDGE_CURVE('',#11591,#11593,#8540,.T.); +#24497=EDGE_CURVE('',#11593,#11582,#8544,.T.); +#24501=ADVANCED_FACE('',(#24500),#24491,.T.); +#24508=EDGE_CURVE('',#11591,#9808,#8560,.T.); +#24514=ADVANCED_FACE('',(#24513),#24506,.T.); +#24521=EDGE_CURVE('',#11593,#9806,#8568,.T.); +#24527=ADVANCED_FACE('',(#24526),#24519,.T.); +#24539=ADVANCED_FACE('',(#24538),#24532,.T.); +#24547=EDGE_CURVE('',#11585,#11577,#8584,.T.); +#24552=ADVANCED_FACE('',(#24551),#24544,.T.); +#24564=ADVANCED_FACE('',(#24563),#24557,.T.); +#24571=EDGE_CURVE('',#11595,#9840,#8616,.T.); +#24573=EDGE_CURVE('',#11587,#11595,#8696,.T.); +#24578=ADVANCED_FACE('',(#24577),#24569,.T.); +#24584=EDGE_CURVE('',#11598,#11599,#8604,.T.); +#24586=EDGE_CURVE('',#11599,#9816,#8608,.T.); +#24589=EDGE_CURVE('',#11601,#9814,#8688,.T.); +#24591=EDGE_CURVE('',#11595,#11601,#8700,.T.); +#24595=EDGE_CURVE('',#11603,#9838,#8712,.T.); +#24597=EDGE_CURVE('',#11603,#11605,#8624,.T.); +#24599=EDGE_CURVE('',#11605,#9832,#8628,.T.); +#24602=EDGE_CURVE('',#11607,#9830,#8748,.T.); +#24604=EDGE_CURVE('',#11607,#11609,#8636,.T.); +#24606=EDGE_CURVE('',#11609,#9824,#8640,.T.); +#24609=EDGE_CURVE('',#11598,#9822,#8664,.T.); +#24613=ADVANCED_FACE('',(#24612),#24583,.T.); +#24620=EDGE_CURVE('',#11598,#11611,#8648,.T.); +#24622=EDGE_CURVE('',#11611,#11613,#8652,.T.); +#24624=EDGE_CURVE('',#11613,#11599,#8656,.T.); +#24628=ADVANCED_FACE('',(#24627),#24618,.T.); +#24635=EDGE_CURVE('',#11611,#9820,#8672,.T.); +#24641=ADVANCED_FACE('',(#24640),#24633,.T.); +#24648=EDGE_CURVE('',#11613,#9818,#8680,.T.); +#24654=ADVANCED_FACE('',(#24653),#24646,.T.); +#24666=ADVANCED_FACE('',(#24665),#24659,.T.); +#24674=EDGE_CURVE('',#11601,#11589,#8704,.T.); +#24679=ADVANCED_FACE('',(#24678),#24671,.T.); +#24691=ADVANCED_FACE('',(#24690),#24684,.T.); +#24698=EDGE_CURVE('',#11615,#9836,#8720,.T.); +#24700=EDGE_CURVE('',#11603,#11615,#8732,.T.); +#24705=ADVANCED_FACE('',(#24704),#24696,.T.); +#24712=EDGE_CURVE('',#11617,#9834,#8728,.T.); +#24714=EDGE_CURVE('',#11615,#11617,#8736,.T.); +#24719=ADVANCED_FACE('',(#24718),#24710,.T.); +#24727=EDGE_CURVE('',#11617,#11605,#8740,.T.); +#24732=ADVANCED_FACE('',(#24731),#24724,.T.); +#24744=ADVANCED_FACE('',(#24743),#24737,.T.); +#24751=EDGE_CURVE('',#11619,#9828,#8756,.T.); +#24753=EDGE_CURVE('',#11607,#11619,#8768,.T.); +#24758=ADVANCED_FACE('',(#24757),#24749,.T.); +#24765=EDGE_CURVE('',#11621,#9826,#8764,.T.); +#24767=EDGE_CURVE('',#11619,#11621,#8772,.T.); +#24772=ADVANCED_FACE('',(#24771),#24763,.T.); +#24780=EDGE_CURVE('',#11621,#11609,#8776,.T.); +#24785=ADVANCED_FACE('',(#24784),#24777,.T.); +#24797=ADVANCED_FACE('',(#24796),#24790,.T.); +#24800=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#24801=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#24804=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#24806=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#24805))GLOBAL_UNIT_ASSIGNED_CONTEXT((#24800,#24803,#24804))REPRESENTATION_CONTEXT('ID1','3')); +#24810=AXIS2_PLACEMENT_3D('',#24807,#24808,#24809); +#24811=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#24810,#24799),#24806); +#24818=PRODUCT_DEFINITION('part definition','',#24817,#24814); +#24819=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR T_DISPLAY_S3.',#24818); +#24820=SHAPE_ASPECT('','solid data associated with T_DISPLAY_S3',#24819,.F.); +#24821=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#24820); +#24822=SHAPE_REPRESENTATION('',(#24799),#24806); +#24823=SHAPE_DEFINITION_REPRESENTATION(#24821,#24822); +#24824=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#24828=PROPERTY_DEFINITION('geometric validation property', +'area of T_DISPLAY_S3',#24820); +#24829=REPRESENTATION('surface area',(#24827),#24806); +#24830=PROPERTY_DEFINITION_REPRESENTATION(#24828,#24829); +#24831=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#24835=PROPERTY_DEFINITION('geometric validation property', +'volume of T_DISPLAY_S3',#24820); +#24836=REPRESENTATION('volume',(#24834),#24806); +#24837=PROPERTY_DEFINITION_REPRESENTATION(#24835,#24836); +#24839=PROPERTY_DEFINITION('geometric validation property', +'centroid of T_DISPLAY_S3',#24820); +#24840=REPRESENTATION('centroid',(#24838),#24806); +#24841=PROPERTY_DEFINITION_REPRESENTATION(#24839,#24840); +#24842=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#24846=PROPERTY_DEFINITION('geometric validation property', +'area of T_DISPLAY_S3',#24819); +#24847=REPRESENTATION('surface area',(#24845),#24806); +#24848=PROPERTY_DEFINITION_REPRESENTATION(#24846,#24847); +#24849=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#24853=PROPERTY_DEFINITION('geometric validation property', +'volume of T_DISPLAY_S3',#24819); +#24854=REPRESENTATION('volume',(#24852),#24806); +#24855=PROPERTY_DEFINITION_REPRESENTATION(#24853,#24854); +#24857=PROPERTY_DEFINITION('geometric validation property', +'centroid of T_DISPLAY_S3',#24819); +#24858=REPRESENTATION('centroid',(#24856),#24806); +#24859=PROPERTY_DEFINITION_REPRESENTATION(#24857,#24858); +#24860=SHAPE_DEFINITION_REPRESENTATION(#24819,#24811); +#24861=SHAPE_DEFINITION_REPRESENTATION(#24862,#24863); +#24862=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR S3-SHELL-0926_ASM.',#27667); +#24863=SHAPE_REPRESENTATION('',(#24873,#25272,#27648,#27662),#27658); +#24864=NEXT_ASSEMBLY_USAGE_OCCURRENCE('0','Next assembly relationship', +'T_DISPLAY_S3',#27667,#24818,$); +#24865=PRODUCT_DEFINITION_SHAPE('Placement #0', +'Placement of T_DISPLAY_S3 with respect to S3-SHELL-0926_ASM',#24864); +#24867=PROPERTY_DEFINITION('geometric validation property', +'centroid of T_DISPLAY_S3',#24865); +#24868=REPRESENTATION('centroid',(#24866),#24806); +#24869=PROPERTY_DEFINITION_REPRESENTATION(#24867,#24868); +#24877=COLOUR_RGB('',8.07E-1,7.65E-1,5.8E-2); +#25011=EDGE_CURVE('',#24992,#24993,#24881,.T.); +#25013=EDGE_CURVE('',#24993,#24995,#24885,.T.); +#25015=EDGE_CURVE('',#24995,#24997,#24889,.T.); +#25017=EDGE_CURVE('',#24997,#24992,#24893,.T.); +#25021=ADVANCED_FACE('',(#25020),#25010,.F.); +#25027=EDGE_CURVE('',#25000,#24992,#24901,.T.); +#25029=EDGE_CURVE('',#25000,#25001,#24913,.T.); +#25031=EDGE_CURVE('',#24993,#25001,#24909,.T.); +#25036=ADVANCED_FACE('',(#25035),#25026,.T.); +#25042=EDGE_CURVE('',#24997,#25005,#24897,.T.); +#25044=EDGE_CURVE('',#25005,#25000,#24917,.T.); +#25050=ADVANCED_FACE('',(#25049),#25041,.T.); +#25056=EDGE_CURVE('',#24995,#25003,#24905,.T.); +#25058=EDGE_CURVE('',#25003,#25005,#24921,.T.); +#25064=ADVANCED_FACE('',(#25063),#25055,.T.); +#25071=EDGE_CURVE('',#25001,#25003,#24925,.T.); +#25077=ADVANCED_FACE('',(#25076),#25069,.T.); +#25089=EDGE_CURVE('',#24984,#24985,#24929,.T.); +#25091=EDGE_CURVE('',#24985,#24987,#24933,.T.); +#25093=EDGE_CURVE('',#24987,#24989,#24937,.T.); +#25095=EDGE_CURVE('',#24989,#24984,#24941,.T.); +#25099=ADVANCED_FACE('',(#25088,#25098),#25082,.T.); +#25106=EDGE_CURVE('',#24984,#24976,#24945,.T.); +#25108=EDGE_CURVE('',#24976,#24977,#24961,.T.); +#25110=EDGE_CURVE('',#24985,#24977,#24957,.T.); +#25114=ADVANCED_FACE('',(#25113),#25104,.F.); +#25128=EDGE_CURVE('',#24989,#24981,#24949,.T.); +#25130=EDGE_CURVE('',#24981,#24976,#24965,.T.); +#25135=ADVANCED_FACE('',(#25134),#25126,.F.); +#25149=EDGE_CURVE('',#24987,#24979,#24953,.T.); +#25151=EDGE_CURVE('',#24979,#24981,#24969,.T.); +#25156=ADVANCED_FACE('',(#25155),#25147,.F.); +#25171=EDGE_CURVE('',#24977,#24979,#24973,.T.); +#25176=ADVANCED_FACE('',(#25175),#25168,.F.); +#25195=ADVANCED_FACE('',(#25194),#25188,.T.); +#25205=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25206=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#25209=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#25211=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#25210))GLOBAL_UNIT_ASSIGNED_CONTEXT((#25205,#25208,#25209))REPRESENTATION_CONTEXT('ID2','3')); +#25215=AXIS2_PLACEMENT_3D('',#25212,#25213,#25214); +#25216=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#25215,#25204),#25211); +#25220=PRODUCT_DEFINITION('part definition','',#25219,#24814); +#25221=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR LCD-NFP190B.',#25220); +#25222=SHAPE_ASPECT('','solid data associated with LCD-NFP190B',#25221,.F.); +#25223=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#25222); +#25224=SHAPE_REPRESENTATION('',(#25204),#25211); +#25225=SHAPE_DEFINITION_REPRESENTATION(#25223,#25224); +#25226=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25230=PROPERTY_DEFINITION('geometric validation property', +'area of LCD-NFP190B',#25222); +#25231=REPRESENTATION('surface area',(#25229),#25211); +#25232=PROPERTY_DEFINITION_REPRESENTATION(#25230,#25231); +#25233=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25237=PROPERTY_DEFINITION('geometric validation property', +'volume of LCD-NFP190B',#25222); +#25238=REPRESENTATION('volume',(#25236),#25211); +#25239=PROPERTY_DEFINITION_REPRESENTATION(#25237,#25238); +#25241=PROPERTY_DEFINITION('geometric validation property', +'centroid of LCD-NFP190B',#25222); +#25242=REPRESENTATION('centroid',(#25240),#25211); +#25243=PROPERTY_DEFINITION_REPRESENTATION(#25241,#25242); +#25244=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25248=PROPERTY_DEFINITION('geometric validation property', +'area of LCD-NFP190B',#25221); +#25249=REPRESENTATION('surface area',(#25247),#25211); +#25250=PROPERTY_DEFINITION_REPRESENTATION(#25248,#25249); +#25251=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#25255=PROPERTY_DEFINITION('geometric validation property', +'volume of LCD-NFP190B',#25221); +#25256=REPRESENTATION('volume',(#25254),#25211); +#25257=PROPERTY_DEFINITION_REPRESENTATION(#25255,#25256); +#25259=PROPERTY_DEFINITION('geometric validation property', +'centroid of LCD-NFP190B',#25221); +#25260=REPRESENTATION('centroid',(#25258),#25211); +#25261=PROPERTY_DEFINITION_REPRESENTATION(#25259,#25260); +#25262=SHAPE_DEFINITION_REPRESENTATION(#25221,#25216); +#25263=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1','Next assembly relationship', +'LCD-NFP190B',#27667,#25220,$); +#25264=PRODUCT_DEFINITION_SHAPE('Placement #1', +'Placement of LCD-NFP190B with respect to S3-SHELL-0926_ASM',#25263); +#25266=PROPERTY_DEFINITION('geometric validation property', +'centroid of LCD-NFP190B',#25264); +#25267=REPRESENTATION('centroid',(#25265),#25211); +#25268=PROPERTY_DEFINITION_REPRESENTATION(#25266,#25267); +#25281=CIRCLE('',#25280,1.E0); +#25290=CIRCLE('',#25289,1.E0); +#25298=CIRCLE('',#25297,1.E0); +#25313=CIRCLE('',#25312,1.5E0); +#25321=CIRCLE('',#25320,1.5E0); +#25336=CIRCLE('',#25335,1.E0); +#25344=CIRCLE('',#25343,1.05E0); +#25352=CIRCLE('',#25351,1.05E0); +#25360=CIRCLE('',#25359,1.05E0); +#25368=CIRCLE('',#25367,1.05E0); +#25467=CIRCLE('',#25466,1.E0); +#25475=CIRCLE('',#25474,1.E0); +#25567=CIRCLE('',#25566,1.E0); +#25575=CIRCLE('',#25574,1.E0); +#25583=CIRCLE('',#25582,1.E0); +#25612=CIRCLE('',#25611,1.E0); +#25648=CIRCLE('',#25647,1.E0); +#25663=CIRCLE('',#25662,1.E0); +#25671=CIRCLE('',#25670,1.E0); +#25679=CIRCLE('',#25678,1.E0); +#25694=CIRCLE('',#25693,1.E0); +#25702=CIRCLE('',#25701,1.5E0); +#25717=CIRCLE('',#25716,1.5E0); +#25725=CIRCLE('',#25724,1.E0); +#25733=CIRCLE('',#25732,1.E0); +#25741=CIRCLE('',#25740,1.E0); +#25749=CIRCLE('',#25748,1.E0); +#25764=CIRCLE('',#25763,1.E0); +#25856=CIRCLE('',#25855,1.E0); +#25871=CIRCLE('',#25870,1.E0); +#25879=CIRCLE('',#25878,1.E0); +#25894=CIRCLE('',#25893,1.E0); +#25902=CIRCLE('',#25901,1.E0); +#25959=CIRCLE('',#25958,1.E0); +#25967=CIRCLE('',#25966,1.E0); +#26010=CIRCLE('',#26009,5.E-1); +#26025=CIRCLE('',#26024,5.E-1); +#26075=CIRCLE('',#26074,5.E-1); +#26090=CIRCLE('',#26089,5.E-1); +#26126=CIRCLE('',#26125,1.E0); +#26141=CIRCLE('',#26140,1.E0); +#26149=CIRCLE('',#26148,1.E0); +#26157=CIRCLE('',#26156,1.E0); +#26165=CIRCLE('',#26164,1.E0); +#26397=CIRCLE('',#26396,1.05E0); +#26405=CIRCLE('',#26404,1.05E0); +#26427=CIRCLE('',#26426,1.05E0); +#26435=CIRCLE('',#26434,1.05E0); +#26656=EDGE_CURVE('',#26441,#26442,#25375,.T.); +#26658=EDGE_CURVE('',#26444,#26441,#25403,.T.); +#26660=EDGE_CURVE('',#26444,#26446,#25281,.T.); +#26662=EDGE_CURVE('',#26448,#26446,#25545,.T.); +#26664=EDGE_CURVE('',#26448,#26450,#25290,.T.); +#26666=EDGE_CURVE('',#26452,#26450,#25517,.T.); +#26668=EDGE_CURVE('',#26454,#26452,#26361,.T.); +#26670=EDGE_CURVE('',#26454,#26456,#25298,.T.); +#26672=EDGE_CURVE('',#26456,#26458,#25305,.T.); +#26674=EDGE_CURVE('',#26458,#26460,#25313,.T.); +#26676=EDGE_CURVE('',#26462,#26460,#26319,.T.); +#26678=EDGE_CURVE('',#26462,#26464,#25321,.T.); +#26680=EDGE_CURVE('',#26464,#26466,#25328,.T.); +#26682=EDGE_CURVE('',#26466,#26442,#25336,.T.); +#26686=EDGE_CURVE('',#26469,#26470,#25344,.T.); +#26688=EDGE_CURVE('',#26470,#26469,#25352,.T.); +#26692=EDGE_CURVE('',#26473,#26474,#25360,.T.); +#26694=EDGE_CURVE('',#26474,#26473,#25368,.T.); +#26698=ADVANCED_FACE('',(#26685,#26691,#26697),#26655,.T.); +#26704=EDGE_CURVE('',#26441,#26476,#25410,.T.); +#26707=EDGE_CURVE('',#26478,#26442,#26284,.T.); +#26709=EDGE_CURVE('',#26478,#26476,#25382,.T.); +#26713=ADVANCED_FACE('',(#26712),#26703,.F.); +#26719=EDGE_CURVE('',#26481,#26482,#25389,.T.); +#26721=EDGE_CURVE('',#26482,#26484,#25396,.T.); +#26723=EDGE_CURVE('',#26444,#26484,#25552,.T.); +#26727=EDGE_CURVE('',#26486,#26476,#25686,.T.); +#26729=EDGE_CURVE('',#26486,#26488,#25417,.T.); +#26731=EDGE_CURVE('',#26488,#26490,#25424,.T.); +#26733=EDGE_CURVE('',#26492,#26490,#26118,.T.); +#26735=EDGE_CURVE('',#26492,#26494,#25431,.T.); +#26737=EDGE_CURVE('',#26496,#26494,#25974,.T.); +#26739=EDGE_CURVE('',#26496,#26481,#25438,.T.); +#26743=ADVANCED_FACE('',(#26742),#26718,.F.); +#26749=EDGE_CURVE('',#26498,#26482,#25445,.T.); +#26752=EDGE_CURVE('',#26481,#26500,#25452,.T.); +#26754=EDGE_CURVE('',#26500,#26498,#25459,.T.); +#26758=ADVANCED_FACE('',(#26757),#26748,.F.); +#26765=EDGE_CURVE('',#26502,#26498,#25482,.T.); +#26767=EDGE_CURVE('',#26502,#26504,#25467,.T.); +#26769=EDGE_CURVE('',#26506,#26504,#25538,.T.); +#26771=EDGE_CURVE('',#26506,#26484,#25475,.T.); +#26776=ADVANCED_FACE('',(#26775),#26763,.T.); +#26782=EDGE_CURVE('',#26502,#26450,#25531,.T.); +#26786=EDGE_CURVE('',#26508,#26500,#25597,.T.); +#26788=EDGE_CURVE('',#26510,#26508,#25988,.T.); +#26790=EDGE_CURVE('',#26510,#26512,#25489,.T.); +#26792=EDGE_CURVE('',#26514,#26512,#25944,.T.); +#26794=EDGE_CURVE('',#26514,#26516,#25496,.T.); +#26796=EDGE_CURVE('',#26516,#26518,#25503,.T.); +#26798=EDGE_CURVE('',#26518,#26520,#25510,.T.); +#26800=EDGE_CURVE('',#26452,#26520,#26368,.T.); +#26805=ADVANCED_FACE('',(#26804),#26781,.T.); +#26811=EDGE_CURVE('',#26448,#26504,#25524,.T.); +#26818=ADVANCED_FACE('',(#26817),#26810,.T.); +#26824=EDGE_CURVE('',#26506,#26446,#25559,.T.); +#26831=ADVANCED_FACE('',(#26830),#26823,.T.); +#26843=ADVANCED_FACE('',(#26842),#26836,.T.); +#26849=EDGE_CURVE('',#26523,#26524,#25619,.T.); +#26851=EDGE_CURVE('',#26523,#26526,#25567,.T.); +#26853=EDGE_CURVE('',#26528,#26526,#25771,.T.); +#26855=EDGE_CURVE('',#26528,#26530,#25575,.T.); +#26857=EDGE_CURVE('',#26532,#26530,#25806,.T.); +#26859=EDGE_CURVE('',#26532,#26534,#25583,.T.); +#26861=EDGE_CURVE('',#26534,#26508,#25590,.T.); +#26866=EDGE_CURVE('',#26496,#26536,#25604,.T.); +#26868=EDGE_CURVE('',#26536,#26524,#25612,.T.); +#26872=ADVANCED_FACE('',(#26871),#26848,.T.); +#26878=EDGE_CURVE('',#26523,#26538,#25633,.T.); +#26881=EDGE_CURVE('',#26540,#26524,#26277,.T.); +#26883=EDGE_CURVE('',#26540,#26542,#25626,.T.); +#26885=EDGE_CURVE('',#26544,#26542,#26263,.T.); +#26887=EDGE_CURVE('',#26538,#26544,#25655,.T.); +#26891=ADVANCED_FACE('',(#26890),#26877,.F.); +#26898=EDGE_CURVE('',#26546,#26538,#25648,.T.); +#26900=EDGE_CURVE('',#26546,#26526,#25640,.T.); +#26905=ADVANCED_FACE('',(#26904),#26896,.F.); +#26911=EDGE_CURVE('',#26546,#26548,#25778,.T.); +#26915=EDGE_CURVE('',#26544,#26550,#25663,.T.); +#26917=EDGE_CURVE('',#26550,#26552,#25671,.T.); +#26919=EDGE_CURVE('',#26554,#26552,#26235,.T.); +#26921=EDGE_CURVE('',#26554,#26556,#25679,.T.); +#26923=EDGE_CURVE('',#26486,#26556,#26214,.T.); +#26927=EDGE_CURVE('',#26478,#26558,#25694,.T.); +#26929=EDGE_CURVE('',#26560,#26558,#26298,.T.); +#26931=EDGE_CURVE('',#26560,#26562,#25702,.T.); +#26933=EDGE_CURVE('',#26562,#26564,#25709,.T.); +#26935=EDGE_CURVE('',#26564,#26566,#25717,.T.); +#26937=EDGE_CURVE('',#26568,#26566,#26340,.T.); +#26939=EDGE_CURVE('',#26568,#26570,#25725,.T.); +#26941=EDGE_CURVE('',#26520,#26570,#26375,.T.); +#26944=EDGE_CURVE('',#26572,#26518,#25909,.T.); +#26946=EDGE_CURVE('',#26572,#26574,#25733,.T.); +#26948=EDGE_CURVE('',#26576,#26574,#25937,.T.); +#26950=EDGE_CURVE('',#26576,#26578,#25741,.T.); +#26952=EDGE_CURVE('',#26580,#26578,#25827,.T.); +#26954=EDGE_CURVE('',#26580,#26582,#25749,.T.); +#26956=EDGE_CURVE('',#26582,#26584,#25756,.T.); +#26958=EDGE_CURVE('',#26584,#26548,#25764,.T.); +#26962=ADVANCED_FACE('',(#26961),#26910,.T.); +#26968=EDGE_CURVE('',#26528,#26548,#25785,.T.); +#26975=ADVANCED_FACE('',(#26974),#26967,.F.); +#26983=EDGE_CURVE('',#26584,#26530,#25792,.T.); +#26988=ADVANCED_FACE('',(#26987),#26980,.F.); +#26996=EDGE_CURVE('',#26586,#26582,#25820,.T.); +#26998=EDGE_CURVE('',#26586,#26588,#25799,.T.); +#27000=EDGE_CURVE('',#26532,#26588,#26046,.T.); +#27005=ADVANCED_FACE('',(#27004),#26993,.F.); +#27012=EDGE_CURVE('',#26580,#26590,#25813,.T.); +#27014=EDGE_CURVE('',#26586,#26590,#25879,.T.); +#27019=ADVANCED_FACE('',(#27018),#27010,.F.); +#27025=EDGE_CURVE('',#26592,#26578,#25834,.T.); +#27027=EDGE_CURVE('',#26590,#26592,#25886,.T.); +#27033=ADVANCED_FACE('',(#27032),#27024,.F.); +#27039=EDGE_CURVE('',#26592,#26594,#25894,.T.); +#27043=EDGE_CURVE('',#26576,#26594,#25841,.T.); +#27047=ADVANCED_FACE('',(#27046),#27038,.T.); +#27053=EDGE_CURVE('',#26596,#26516,#25848,.T.); +#27056=EDGE_CURVE('',#26514,#26598,#25856,.T.); +#27058=EDGE_CURVE('',#26600,#26598,#26104,.T.); +#27060=EDGE_CURVE('',#26600,#26602,#25863,.T.); +#27062=EDGE_CURVE('',#26604,#26602,#25995,.T.); +#27064=EDGE_CURVE('',#26604,#26588,#25871,.T.); +#27070=EDGE_CURVE('',#26606,#26594,#25930,.T.); +#27072=EDGE_CURVE('',#26606,#26596,#25902,.T.); +#27076=ADVANCED_FACE('',(#27075),#27052,.T.); +#27084=EDGE_CURVE('',#26572,#26596,#25916,.T.); +#27089=ADVANCED_FACE('',(#27088),#27081,.F.); +#27097=EDGE_CURVE('',#26606,#26574,#25923,.T.); +#27102=ADVANCED_FACE('',(#27101),#27094,.T.); +#27114=ADVANCED_FACE('',(#27113),#27107,.F.); +#27121=EDGE_CURVE('',#26608,#26512,#25967,.T.); +#27123=EDGE_CURVE('',#26608,#26598,#25951,.T.); +#27128=ADVANCED_FACE('',(#27127),#27119,.T.); +#27134=EDGE_CURVE('',#26494,#26510,#25981,.T.); +#27137=EDGE_CURVE('',#26492,#26610,#25959,.T.); +#27139=EDGE_CURVE('',#26608,#26610,#26060,.T.); +#27145=ADVANCED_FACE('',(#27144),#27133,.T.); +#27155=EDGE_CURVE('',#26604,#26534,#26053,.T.); +#27158=EDGE_CURVE('',#26602,#26612,#26002,.T.); +#27160=EDGE_CURVE('',#26612,#26614,#26010,.T.); +#27162=EDGE_CURVE('',#26614,#26616,#26017,.T.); +#27164=EDGE_CURVE('',#26616,#26618,#26025,.T.); +#27166=EDGE_CURVE('',#26618,#26620,#26032,.T.); +#27168=EDGE_CURVE('',#26620,#26622,#26039,.T.); +#27170=EDGE_CURVE('',#26536,#26622,#26270,.T.); +#27175=ADVANCED_FACE('',(#27174),#27150,.F.); +#27187=ADVANCED_FACE('',(#27186),#27180,.F.); +#27194=EDGE_CURVE('',#26624,#26600,#26097,.T.); +#27196=EDGE_CURVE('',#26612,#26624,#26200,.T.); +#27201=ADVANCED_FACE('',(#27200),#27192,.F.); +#27209=EDGE_CURVE('',#26626,#26610,#26111,.T.); +#27211=EDGE_CURVE('',#26626,#26628,#26067,.T.); +#27213=EDGE_CURVE('',#26630,#26628,#26172,.T.); +#27215=EDGE_CURVE('',#26630,#26632,#26075,.T.); +#27217=EDGE_CURVE('',#26632,#26634,#26082,.T.); +#27219=EDGE_CURVE('',#26634,#26624,#26090,.T.); +#27225=ADVANCED_FACE('',(#27224),#27206,.T.); +#27234=EDGE_CURVE('',#26626,#26490,#26126,.T.); +#27238=ADVANCED_FACE('',(#27237),#27230,.T.); +#27244=EDGE_CURVE('',#26628,#26620,#26179,.T.); +#27249=EDGE_CURVE('',#26488,#26636,#26133,.T.); +#27251=EDGE_CURVE('',#26636,#26638,#26141,.T.); +#27253=EDGE_CURVE('',#26640,#26638,#26242,.T.); +#27255=EDGE_CURVE('',#26640,#26642,#26149,.T.); +#27257=EDGE_CURVE('',#26642,#26542,#26157,.T.); +#27260=EDGE_CURVE('',#26540,#26622,#26165,.T.); +#27265=ADVANCED_FACE('',(#27264),#27243,.T.); +#27274=EDGE_CURVE('',#26630,#26618,#26186,.T.); +#27278=ADVANCED_FACE('',(#27277),#27270,.F.); +#27286=EDGE_CURVE('',#26616,#26632,#26193,.T.); +#27291=ADVANCED_FACE('',(#27290),#27283,.F.); +#27299=EDGE_CURVE('',#26634,#26614,#26207,.T.); +#27304=ADVANCED_FACE('',(#27303),#27296,.F.); +#27316=ADVANCED_FACE('',(#27315),#27309,.F.); +#27325=EDGE_CURVE('',#26636,#26556,#26221,.T.); +#27329=ADVANCED_FACE('',(#27328),#27321,.F.); +#27338=EDGE_CURVE('',#26554,#26638,#26228,.T.); +#27342=ADVANCED_FACE('',(#27341),#27334,.T.); +#27350=EDGE_CURVE('',#26640,#26552,#26256,.T.); +#27355=ADVANCED_FACE('',(#27354),#27347,.F.); +#27361=EDGE_CURVE('',#26550,#26642,#26249,.T.); +#27368=ADVANCED_FACE('',(#27367),#27360,.T.); +#27380=ADVANCED_FACE('',(#27379),#27373,.F.); +#27392=ADVANCED_FACE('',(#27391),#27385,.F.); +#27401=EDGE_CURVE('',#26466,#26558,#26291,.T.); +#27405=ADVANCED_FACE('',(#27404),#27397,.F.); +#27411=EDGE_CURVE('',#26560,#26464,#26312,.T.); +#27418=ADVANCED_FACE('',(#27417),#27410,.F.); +#27425=EDGE_CURVE('',#26462,#26562,#26305,.T.); +#27431=ADVANCED_FACE('',(#27430),#27423,.T.); +#27439=EDGE_CURVE('',#26564,#26460,#26326,.T.); +#27444=ADVANCED_FACE('',(#27443),#27436,.F.); +#27452=EDGE_CURVE('',#26458,#26566,#26333,.T.); +#27457=ADVANCED_FACE('',(#27456),#27449,.T.); +#27465=EDGE_CURVE('',#26568,#26456,#26347,.T.); +#27470=ADVANCED_FACE('',(#27469),#27462,.F.); +#27479=EDGE_CURVE('',#26454,#26570,#26354,.T.); +#27483=ADVANCED_FACE('',(#27482),#27475,.F.); +#27495=ADVANCED_FACE('',(#27494),#27488,.F.); +#27502=EDGE_CURVE('',#26469,#26644,#26382,.T.); +#27504=EDGE_CURVE('',#26646,#26644,#26397,.T.); +#27506=EDGE_CURVE('',#26470,#26646,#26389,.T.); +#27510=ADVANCED_FACE('',(#27509),#27500,.F.); +#27518=EDGE_CURVE('',#26644,#26646,#26405,.T.); +#27523=ADVANCED_FACE('',(#27522),#27515,.F.); +#27533=ADVANCED_FACE('',(#27532),#27528,.T.); +#27540=EDGE_CURVE('',#26473,#26648,#26412,.T.); +#27542=EDGE_CURVE('',#26650,#26648,#26427,.T.); +#27544=EDGE_CURVE('',#26474,#26650,#26419,.T.); +#27548=ADVANCED_FACE('',(#27547),#27538,.F.); +#27556=EDGE_CURVE('',#26648,#26650,#26435,.T.); +#27561=ADVANCED_FACE('',(#27560),#27553,.F.); +#27571=ADVANCED_FACE('',(#27570),#27566,.T.); +#27580=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#27581=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#27584=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#27586=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#27585))GLOBAL_UNIT_ASSIGNED_CONTEXT((#27580,#27583,#27584))REPRESENTATION_CONTEXT('ID3','3')); +#27590=AXIS2_PLACEMENT_3D('',#27587,#27588,#27589); +#27591=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#27590,#27573),#27586); +#27596=PRODUCT_DEFINITION('part definition','',#27595,#27592); +#27597=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR K20430001.',#27596); +#27598=SHAPE_ASPECT('','solid data associated with K20430001',#27597,.F.); +#27599=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#27598); +#27600=SHAPE_REPRESENTATION('',(#27573),#27586); +#27601=SHAPE_DEFINITION_REPRESENTATION(#27599,#27600); +#27602=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#27606=PROPERTY_DEFINITION('geometric validation property','area of K20430001', +#27598); +#27607=REPRESENTATION('surface area',(#27605),#27586); +#27608=PROPERTY_DEFINITION_REPRESENTATION(#27606,#27607); +#27609=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#27613=PROPERTY_DEFINITION('geometric validation property', +'volume of K20430001',#27598); +#27614=REPRESENTATION('volume',(#27612),#27586); +#27615=PROPERTY_DEFINITION_REPRESENTATION(#27613,#27614); +#27617=PROPERTY_DEFINITION('geometric validation property', +'centroid of K20430001',#27598); +#27618=REPRESENTATION('centroid',(#27616),#27586); +#27619=PROPERTY_DEFINITION_REPRESENTATION(#27617,#27618); +#27620=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#27624=PROPERTY_DEFINITION('geometric validation property','area of K20430001', +#27597); +#27625=REPRESENTATION('surface area',(#27623),#27586); +#27626=PROPERTY_DEFINITION_REPRESENTATION(#27624,#27625); +#27627=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#27631=PROPERTY_DEFINITION('geometric validation property', +'volume of K20430001',#27597); +#27632=REPRESENTATION('volume',(#27630),#27586); +#27633=PROPERTY_DEFINITION_REPRESENTATION(#27631,#27632); +#27635=PROPERTY_DEFINITION('geometric validation property', +'centroid of K20430001',#27597); +#27636=REPRESENTATION('centroid',(#27634),#27586); +#27637=PROPERTY_DEFINITION_REPRESENTATION(#27635,#27636); +#27638=SHAPE_DEFINITION_REPRESENTATION(#27597,#27591); +#27639=NEXT_ASSEMBLY_USAGE_OCCURRENCE('2','Next assembly relationship', +'K204\X2\5C4F5E55652F67B6\X0\3',#27667,#27596,$); +#27640=PRODUCT_DEFINITION_SHAPE('Placement #2', +'Placement of K20430001 with respect to S3-SHELL-0926_ASM',#27639); +#27642=PROPERTY_DEFINITION('geometric validation property', +'centroid of K204\X2\5C4F5E55652F67B6\X0\3',#27640); +#27643=REPRESENTATION('centroid',(#27641),#27586); +#27644=PROPERTY_DEFINITION_REPRESENTATION(#27642,#27643); +#27652=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#27653=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#27656=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#27658=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#27657))GLOBAL_UNIT_ASSIGNED_CONTEXT((#27652,#27655,#27656))REPRESENTATION_CONTEXT('ID4','3')); +#27662=AXIS2_PLACEMENT_3D('',#27659,#27660,#27661); +#27667=PRODUCT_DEFINITION('part definition','',#27666,#27592); +#27668=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#27672=PROPERTY_DEFINITION('geometric validation property', +'area of S3-SHELL-0926_ASM',#24862); +#27673=REPRESENTATION('surface area',(#27671),#27658); +#27674=PROPERTY_DEFINITION_REPRESENTATION(#27672,#27673); +#27675=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#27679=PROPERTY_DEFINITION('geometric validation property', +'volume of S3-SHELL-0926_ASM',#24862); +#27680=REPRESENTATION('volume',(#27678),#27658); +#27681=PROPERTY_DEFINITION_REPRESENTATION(#27679,#27680); +#27683=PROPERTY_DEFINITION('geometric validation property', +'centroid of S3-SHELL-0926_ASM',#24862); +#27684=REPRESENTATION('centroid',(#27682),#27658); +#27685=PROPERTY_DEFINITION_REPRESENTATION(#27683,#27684); +ENDSEC; +END-ISO-10303-21; diff --git a/3D_file/MainBoard_3D.stp b/dimensions/t-display-s3-pcb.stp similarity index 97% rename from 3D_file/MainBoard_3D.stp rename to dimensions/t-display-s3-pcb.stp index 423446a..8bf9d17 100644 --- a/3D_file/MainBoard_3D.stp +++ b/dimensions/t-display-s3-pcb.stp @@ -1,24873 +1,24873 @@ -ISO-10303-21; -HEADER; -FILE_DESCRIPTION((''),'2;1'); -FILE_NAME('T_DISPLAY_S3','2022-09-07T11:43:41',('123456'),(''), -'CREO PARAMETRIC BY PTC INC, 2019080','CREO PARAMETRIC BY PTC INC, 2019080',''); -FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); -ENDSEC; -DATA; -#64=CARTESIAN_POINT('',(8.098266033901E1,1.085502E2,4.311724784545E0)); -#65=DIRECTION('',(0.E0,0.E0,1.E0)); -#66=DIRECTION('',(0.E0,1.E0,0.E0)); -#67=AXIS2_PLACEMENT_3D('',#64,#65,#66); -#69=DIRECTION('',(-1.E0,0.E0,0.E0)); -#70=VECTOR('',#69,2.350520218157E1); -#71=CARTESIAN_POINT('',(1.044878625206E2,1.095502E2,4.311724784545E0)); -#72=LINE('',#71,#70); -#73=CARTESIAN_POINT('',(1.044878625206E2,1.085502E2,4.311724784545E0)); -#74=DIRECTION('',(0.E0,0.E0,1.E0)); -#75=DIRECTION('',(9.999999765744E-1,-2.164513699654E-4,0.E0)); -#76=AXIS2_PLACEMENT_3D('',#73,#74,#75); -#78=DIRECTION('',(2.164513699637E-4,9.999999765744E-1,0.E0)); -#79=VECTOR('',#78,5.878220937701E1); -#80=CARTESIAN_POINT('',(1.054751390074E2,4.976777554863E1,4.311724784545E0)); -#81=LINE('',#80,#79); -#82=CARTESIAN_POINT('',(1.044751390308E2,4.9767992E1,4.311724784545E0)); -#83=DIRECTION('',(0.E0,0.E0,1.E0)); -#84=DIRECTION('',(0.E0,-1.E0,0.E0)); -#85=AXIS2_PLACEMENT_3D('',#82,#83,#84); -#87=DIRECTION('',(1.E0,0.E0,0.E0)); -#88=VECTOR('',#87,2.346889864187E1); -#89=CARTESIAN_POINT('',(8.100624038897E1,4.8767992E1,4.311724784545E0)); -#90=LINE('',#89,#88); -#91=CARTESIAN_POINT('',(8.100624038897E1,4.9767992E1,4.311724784545E0)); -#92=DIRECTION('',(0.E0,0.E0,1.E0)); -#93=DIRECTION('',(-9.999999195423E-1,-4.011426052273E-4,0.E0)); -#94=AXIS2_PLACEMENT_3D('',#91,#92,#93); -#96=DIRECTION('',(4.011426052263E-4,-9.999999195423E-1,0.E0)); -#97=VECTOR('',#96,5.878221272948E1); -#98=CARTESIAN_POINT('',(7.998266041947E1,1.085497988574E2,4.311724784545E0)); -#99=LINE('',#98,#97); -#100=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,4.311724784545E0)); -#101=DIRECTION('',(0.E0,0.E0,1.E0)); -#102=DIRECTION('',(1.E0,0.E0,0.E0)); -#103=AXIS2_PLACEMENT_3D('',#100,#101,#102); -#105=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,4.311724784545E0)); -#106=DIRECTION('',(0.E0,0.E0,1.E0)); -#107=DIRECTION('',(-1.E0,0.E0,0.E0)); -#108=AXIS2_PLACEMENT_3D('',#105,#106,#107); -#110=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,4.311724784545E0)); -#111=DIRECTION('',(0.E0,0.E0,1.E0)); -#112=DIRECTION('',(1.E0,0.E0,0.E0)); -#113=AXIS2_PLACEMENT_3D('',#110,#111,#112); -#115=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,4.311724784545E0)); -#116=DIRECTION('',(0.E0,0.E0,1.E0)); -#117=DIRECTION('',(-1.E0,0.E0,0.E0)); -#118=AXIS2_PLACEMENT_3D('',#115,#116,#117); -#120=DIRECTION('',(-1.E0,0.E0,0.E0)); -#121=VECTOR('',#120,7.03199E-1); -#122=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.311724784545E0)); -#123=LINE('',#122,#121); -#124=DIRECTION('',(0.E0,-1.E0,0.E0)); -#125=VECTOR('',#124,9.55599E-1); -#126=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.311724784545E0)); -#127=LINE('',#126,#125); -#128=DIRECTION('',(-1.E0,0.E0,0.E0)); -#129=VECTOR('',#128,2.96799E-1); -#130=CARTESIAN_POINT('',(1.02432462E2,5.3689809E1,4.311724784545E0)); -#131=LINE('',#130,#129); -#132=DIRECTION('',(0.E0,1.E0,0.E0)); -#133=VECTOR('',#132,9.55599E-1); -#134=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.311724784545E0)); -#135=LINE('',#134,#133); -#136=DIRECTION('',(-1.E0,0.E0,0.E0)); -#137=VECTOR('',#136,7.03199E-1); -#138=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.311724784545E0)); -#139=LINE('',#138,#137); -#140=DIRECTION('',(0.E0,-1.E0,0.E0)); -#141=VECTOR('',#140,9.55599E-1); -#142=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.311724784545E0)); -#143=LINE('',#142,#141); -#144=DIRECTION('',(-1.E0,0.E0,0.E0)); -#145=VECTOR('',#144,2.96799E-1); -#146=CARTESIAN_POINT('',(1.01432464E2,5.3689809E1,4.311724784545E0)); -#147=LINE('',#146,#145); -#148=DIRECTION('',(0.E0,1.E0,0.E0)); -#149=VECTOR('',#148,9.55599E-1); -#150=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.311724784545E0)); -#151=LINE('',#150,#149); -#152=DIRECTION('',(-1.E0,0.E0,0.E0)); -#153=VECTOR('',#152,7.03199E-1); -#154=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.311724784545E0)); -#155=LINE('',#154,#153); -#156=DIRECTION('',(0.E0,-1.E0,0.E0)); -#157=VECTOR('',#156,9.55599E-1); -#158=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.311724784545E0)); -#159=LINE('',#158,#157); -#160=DIRECTION('',(-1.E0,0.E0,0.E0)); -#161=VECTOR('',#160,2.96799E-1); -#162=CARTESIAN_POINT('',(1.00432466E2,5.3689809E1,4.311724784545E0)); -#163=LINE('',#162,#161); -#164=DIRECTION('',(0.E0,1.E0,0.E0)); -#165=VECTOR('',#164,9.55599E-1); -#166=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.311724784545E0)); -#167=LINE('',#166,#165); -#168=DIRECTION('',(-1.E0,0.E0,0.E0)); -#169=VECTOR('',#168,7.03199E-1); -#170=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.311724784545E0)); -#171=LINE('',#170,#169); -#172=DIRECTION('',(0.E0,-1.E0,0.E0)); -#173=VECTOR('',#172,9.55599E-1); -#174=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.311724784545E0)); -#175=LINE('',#174,#173); -#176=DIRECTION('',(-1.E0,0.E0,0.E0)); -#177=VECTOR('',#176,1.371384E0); -#178=CARTESIAN_POINT('',(9.9432468E1,5.3689809E1,4.311724784545E0)); -#179=LINE('',#178,#177); -#180=DIRECTION('',(0.E0,-1.E0,0.E0)); -#181=VECTOR('',#180,4.699E0); -#182=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,4.311724784545E0)); -#183=LINE('',#182,#181); -#184=DIRECTION('',(1.E0,0.E0,0.E0)); -#185=VECTOR('',#184,6.321831E0); -#186=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,4.311724784545E0)); -#187=LINE('',#186,#185); -#188=DIRECTION('',(0.E0,1.E0,0.E0)); -#189=VECTOR('',#188,4.699E0); -#190=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,4.311724784545E0)); -#191=LINE('',#190,#189); -#192=DIRECTION('',(-1.E0,0.E0,0.E0)); -#193=VECTOR('',#192,1.247254E0); -#194=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,4.311724784545E0)); -#195=LINE('',#194,#193); -#196=DIRECTION('',(0.E0,1.E0,0.E0)); -#197=VECTOR('',#196,9.55599E-1); -#198=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.311724784545E0)); -#199=LINE('',#198,#197); -#200=DIRECTION('',(0.E0,1.E0,0.E0)); -#201=VECTOR('',#200,8.332010989979E-1); -#202=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.311724784545E0)); -#203=LINE('',#202,#201); -#204=DIRECTION('',(9.999694801760E-1,7.812727858096E-3,0.E0)); -#205=VECTOR('',#204,2.150068619716E0); -#206=CARTESIAN_POINT('',(9.3633191E1,4.991800209900E1,4.311724784545E0)); -#207=LINE('',#206,#205); -#208=DIRECTION('',(7.071017303986E-1,7.071118319385E-1,0.E0)); -#209=VECTOR('',#208,4.949740397364E-1); -#210=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,4.311724784545E0)); -#211=LINE('',#210,#209); -#212=DIRECTION('',(-1.088139673763E-6,9.999999999994E-1,0.E0)); -#213=VECTOR('',#212,2.756999000002E0); -#214=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,4.311724784545E0)); -#215=LINE('',#214,#213); -#216=DIRECTION('',(-1.E0,0.E0,0.E0)); -#217=VECTOR('',#216,1.049997E0); -#218=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,4.311724784545E0)); -#219=LINE('',#218,#217); -#220=DIRECTION('',(0.E0,1.E0,0.E0)); -#221=VECTOR('',#220,1.192998E0); -#222=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,4.311724784545E0)); -#223=LINE('',#222,#221); -#224=DIRECTION('',(-1.E0,0.E0,0.E0)); -#225=VECTOR('',#224,4.899998E0); -#226=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,4.311724784545E0)); -#227=LINE('',#226,#225); -#228=DIRECTION('',(0.E0,-1.E0,0.E0)); -#229=VECTOR('',#228,1.192997999999E0); -#230=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,4.311724784545E0)); -#231=LINE('',#230,#229); -#232=DIRECTION('',(-1.E0,-2.639156219341E-13,0.E0)); -#233=VECTOR('',#232,1.050001E0); -#234=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,4.311724784545E0)); -#235=LINE('',#234,#233); -#236=DIRECTION('',(0.E0,-1.E0,0.E0)); -#237=VECTOR('',#236,2.907004E0); -#238=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,4.311724784545E0)); -#239=LINE('',#238,#237); -#240=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); -#241=VECTOR('',#240,3.535533905933E-1); -#242=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,4.311724784545E0)); -#243=LINE('',#242,#241); -#244=DIRECTION('',(9.999694801760E-1,7.812727858091E-3,0.E0)); -#245=VECTOR('',#244,2.280072587414E0); -#246=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,4.311724784545E0)); -#247=LINE('',#246,#245); -#248=DIRECTION('',(0.E0,-1.E0,0.E0)); -#249=VECTOR('',#248,8.178065866222E-1); -#250=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.311724784545E0)); -#251=LINE('',#250,#249); -#252=DIRECTION('',(1.E0,0.E0,0.E0)); -#253=VECTOR('',#252,6.99998E-1); -#254=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.311724784545E0)); -#255=LINE('',#254,#253); -#256=DIRECTION('',(0.E0,1.E0,0.E0)); -#257=VECTOR('',#256,8.232756474121E-1); -#258=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.311724784545E0)); -#259=LINE('',#258,#257); -#260=DIRECTION('',(9.999694801760E-1,7.812727858085E-3,0.E0)); -#261=VECTOR('',#260,5.700163968001E-1); -#262=CARTESIAN_POINT('',(9.2363193E1,4.990807964741E1,4.311724784545E0)); -#263=LINE('',#262,#261); -#264=DIRECTION('',(0.E0,-1.E0,0.E0)); -#265=VECTOR('',#264,8.277320303950E-1); -#266=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.311724784545E0)); -#267=LINE('',#266,#265); -#268=DIRECTION('',(1.E0,0.E0,0.E0)); -#269=VECTOR('',#268,6.99999E-1); -#270=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.311724784545E0)); -#271=LINE('',#270,#269); -#272=DIRECTION('',(-9.999999999999E-1,-4.347829787492E-7,0.E0)); -#273=VECTOR('',#272,1.510695874468E0); -#274=CARTESIAN_POINT('',(1.041634878745E2,6.138039865682E1,4.311724784545E0)); -#275=LINE('',#274,#273); -#276=DIRECTION('',(4.347830986934E-7,-9.999999999999E-1,0.E0)); -#277=VECTOR('',#276,1.083097488742E-1); -#278=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,4.311724784545E0)); -#279=LINE('',#278,#277); -#280=DIRECTION('',(4.347829843599E-7,-9.999999999999E-1,0.E0)); -#281=VECTOR('',#280,2.416612634713E0); -#282=CARTESIAN_POINT('',(1.026527924746E2,6.028870596627E1,4.311724784545E0)); -#283=LINE('',#282,#281); -#284=DIRECTION('',(4.347830455550E-7,-9.999999999999E-1,0.E0)); -#285=VECTOR('',#284,1.083068858375E-1); -#286=CARTESIAN_POINT('',(1.026527939529E2,5.688870888584E1,4.311724784545E0)); -#287=LINE('',#286,#285); -#288=DIRECTION('',(9.999999999999E-1,4.347829828982E-7,0.E0)); -#289=VECTOR('',#288,1.510694814134E0); -#290=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,4.311724784545E0)); -#291=LINE('',#290,#289); -#292=DIRECTION('',(-4.347829855229E-7,9.999999999999E-1,0.E0)); -#293=VECTOR('',#292,4.257082992832E0); -#294=CARTESIAN_POINT('',(1.049527939255E2,5.695185797116E1,4.311724784545E0)); -#295=LINE('',#294,#293); -#296=DIRECTION('',(-1.E0,0.E0,0.E0)); -#297=VECTOR('',#296,5.08E0); -#298=CARTESIAN_POINT('',(9.52246E1,7.69366E1,4.311724784545E0)); -#299=LINE('',#298,#297); -#300=DIRECTION('',(0.E0,-1.E0,0.E0)); -#301=VECTOR('',#300,4.826E0); -#302=CARTESIAN_POINT('',(9.01446E1,7.69366E1,4.311724784545E0)); -#303=LINE('',#302,#301); -#304=DIRECTION('',(1.E0,0.E0,0.E0)); -#305=VECTOR('',#304,5.08E0); -#306=CARTESIAN_POINT('',(9.01446E1,7.21106E1,4.311724784545E0)); -#307=LINE('',#306,#305); -#308=DIRECTION('',(0.E0,1.E0,0.E0)); -#309=VECTOR('',#308,4.826E0); -#310=CARTESIAN_POINT('',(9.52246E1,7.21106E1,4.311724784545E0)); -#311=LINE('',#310,#309); -#312=DIRECTION('',(0.E0,1.E0,0.E0)); -#313=VECTOR('',#312,8.128E-1); -#314=CARTESIAN_POINT('',(8.9662E1,7.60222E1,4.311724784545E0)); -#315=LINE('',#314,#313); -#316=DIRECTION('',(0.E0,-1.E0,0.E0)); -#317=VECTOR('',#316,8.128E-1); -#318=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.311724784545E0)); -#319=LINE('',#318,#317); -#320=DIRECTION('',(0.E0,1.E0,0.E0)); -#321=VECTOR('',#320,8.128E-1); -#322=CARTESIAN_POINT('',(8.9662E1,7.47522E1,4.311724784545E0)); -#323=LINE('',#322,#321); -#324=DIRECTION('',(0.E0,-1.E0,0.E0)); -#325=VECTOR('',#324,8.128E-1); -#326=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.311724784545E0)); -#327=LINE('',#326,#325); -#328=DIRECTION('',(3.496765431890E-14,1.E0,0.E0)); -#329=VECTOR('',#328,8.128E-1); -#330=CARTESIAN_POINT('',(8.9662E1,7.34822E1,4.311724784545E0)); -#331=LINE('',#330,#329); -#332=DIRECTION('',(0.E0,-1.E0,0.E0)); -#333=VECTOR('',#332,8.128E-1); -#334=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.311724784545E0)); -#335=LINE('',#334,#333); -#336=DIRECTION('',(0.E0,1.E0,0.E0)); -#337=VECTOR('',#336,8.128E-1); -#338=CARTESIAN_POINT('',(8.9662E1,7.22122E1,4.311724784545E0)); -#339=LINE('',#338,#337); -#340=DIRECTION('',(0.E0,-1.E0,0.E0)); -#341=VECTOR('',#340,8.128E-1); -#342=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.311724784545E0)); -#343=LINE('',#342,#341); -#344=DIRECTION('',(0.E0,-1.E0,0.E0)); -#345=VECTOR('',#344,8.128E-1); -#346=CARTESIAN_POINT('',(9.57072E1,7.6835E1,4.311724784545E0)); -#347=LINE('',#346,#345); -#348=DIRECTION('',(0.E0,1.E0,0.E0)); -#349=VECTOR('',#348,8.128E-1); -#350=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.311724784545E0)); -#351=LINE('',#350,#349); -#352=DIRECTION('',(0.E0,-1.E0,0.E0)); -#353=VECTOR('',#352,8.128E-1); -#354=CARTESIAN_POINT('',(9.57072E1,7.5565E1,4.311724784545E0)); -#355=LINE('',#354,#353); -#356=DIRECTION('',(0.E0,1.E0,0.E0)); -#357=VECTOR('',#356,8.128E-1); -#358=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.311724784545E0)); -#359=LINE('',#358,#357); -#360=DIRECTION('',(0.E0,-1.E0,0.E0)); -#361=VECTOR('',#360,8.128E-1); -#362=CARTESIAN_POINT('',(9.57072E1,7.4295E1,4.311724784545E0)); -#363=LINE('',#362,#361); -#364=DIRECTION('',(0.E0,1.E0,0.E0)); -#365=VECTOR('',#364,8.128E-1); -#366=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.311724784545E0)); -#367=LINE('',#366,#365); -#368=DIRECTION('',(0.E0,-1.E0,0.E0)); -#369=VECTOR('',#368,8.128E-1); -#370=CARTESIAN_POINT('',(9.57072E1,7.3025E1,4.311724784545E0)); -#371=LINE('',#370,#369); -#372=DIRECTION('',(0.E0,1.E0,0.E0)); -#373=VECTOR('',#372,8.128E-1); -#374=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.311724784545E0)); -#375=LINE('',#374,#373); -#376=DIRECTION('',(-1.E0,0.E0,0.E0)); -#377=VECTOR('',#376,2.65391E-1); -#378=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.311724784545E0)); -#379=LINE('',#378,#377); -#380=DIRECTION('',(0.E0,1.E0,0.E0)); -#381=VECTOR('',#380,7.74624E-1); -#382=CARTESIAN_POINT('',(9.6946695E1,9.3530318E1,4.311724784545E0)); -#383=LINE('',#382,#381); -#384=DIRECTION('',(-1.E0,0.E0,0.E0)); -#385=VECTOR('',#384,7.74624E-1); -#386=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,4.311724784545E0)); -#387=LINE('',#386,#385); -#388=DIRECTION('',(0.E0,1.E0,0.E0)); -#389=VECTOR('',#388,2.65392E-1); -#390=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.311724784545E0)); -#391=LINE('',#390,#389); -#392=DIRECTION('',(-1.E0,0.E0,0.E0)); -#393=VECTOR('',#392,5.603189E0); -#394=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.311724784545E0)); -#395=LINE('',#394,#393); -#396=DIRECTION('',(0.E0,-1.E0,0.E0)); -#397=VECTOR('',#396,2.65392E-1); -#398=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.311724784545E0)); -#399=LINE('',#398,#397); -#400=DIRECTION('',(-1.E0,0.E0,0.E0)); -#401=VECTOR('',#400,7.74624E-1); -#402=CARTESIAN_POINT('',(9.0568882E1,9.4304942E1,4.311724784545E0)); -#403=LINE('',#402,#401); -#404=DIRECTION('',(0.E0,-1.E0,0.E0)); -#405=VECTOR('',#404,7.74624E-1); -#406=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,4.311724784545E0)); -#407=LINE('',#406,#405); -#408=DIRECTION('',(-1.E0,0.E0,0.E0)); -#409=VECTOR('',#408,2.65392E-1); -#410=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.311724784545E0)); -#411=LINE('',#410,#409); -#412=DIRECTION('',(0.E0,-1.E0,0.E0)); -#413=VECTOR('',#412,5.603189E0); -#414=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.311724784545E0)); -#415=LINE('',#414,#413); -#416=DIRECTION('',(1.E0,0.E0,0.E0)); -#417=VECTOR('',#416,2.65392E-1); -#418=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.311724784545E0)); -#419=LINE('',#418,#417); -#420=DIRECTION('',(0.E0,-1.E0,0.E0)); -#421=VECTOR('',#420,7.74624E-1); -#422=CARTESIAN_POINT('',(8.9794258E1,8.7927129E1,4.311724784545E0)); -#423=LINE('',#422,#421); -#424=DIRECTION('',(1.E0,0.E0,0.E0)); -#425=VECTOR('',#424,7.74624E-1); -#426=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,4.311724784545E0)); -#427=LINE('',#426,#425); -#428=DIRECTION('',(0.E0,-1.E0,0.E0)); -#429=VECTOR('',#428,2.65392E-1); -#430=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.311724784545E0)); -#431=LINE('',#430,#429); -#432=DIRECTION('',(1.E0,0.E0,0.E0)); -#433=VECTOR('',#432,5.603189E0); -#434=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.311724784545E0)); -#435=LINE('',#434,#433); -#436=DIRECTION('',(0.E0,1.E0,0.E0)); -#437=VECTOR('',#436,2.65392E-1); -#438=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.311724784545E0)); -#439=LINE('',#438,#437); -#440=DIRECTION('',(1.E0,0.E0,0.E0)); -#441=VECTOR('',#440,7.74624E-1); -#442=CARTESIAN_POINT('',(9.6172071E1,8.7152505E1,4.311724784545E0)); -#443=LINE('',#442,#441); -#444=DIRECTION('',(0.E0,1.E0,0.E0)); -#445=VECTOR('',#444,7.74624E-1); -#446=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,4.311724784545E0)); -#447=LINE('',#446,#445); -#448=DIRECTION('',(1.E0,0.E0,0.E0)); -#449=VECTOR('',#448,2.65391E-1); -#450=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.311724784545E0)); -#451=LINE('',#450,#449); -#452=DIRECTION('',(0.E0,1.E0,0.E0)); -#453=VECTOR('',#452,5.603189E0); -#454=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.311724784545E0)); -#455=LINE('',#454,#453); -#456=DIRECTION('',(-1.E0,0.E0,0.E0)); -#457=VECTOR('',#456,1.983232E0); -#458=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.311724784545E0)); -#459=LINE('',#458,#457); -#460=DIRECTION('',(0.E0,-1.E0,0.E0)); -#461=VECTOR('',#460,2.27914E-1); -#462=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.311724784545E0)); -#463=LINE('',#462,#461); -#464=DIRECTION('',(-1.E0,0.E0,0.E0)); -#465=VECTOR('',#464,8.458199999998E-2); -#466=CARTESIAN_POINT('',(9.4918784E1,8.2076798E1,4.311724784545E0)); -#467=LINE('',#466,#465); -#468=DIRECTION('',(0.E0,-1.E0,0.E0)); -#469=VECTOR('',#468,2.152396E0); -#470=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,4.311724784545E0)); -#471=LINE('',#470,#469); -#472=DIRECTION('',(1.E0,0.E0,0.E0)); -#473=VECTOR('',#472,8.458199999998E-2); -#474=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,4.311724784545E0)); -#475=LINE('',#474,#473); -#476=DIRECTION('',(0.E0,-1.E0,0.E0)); -#477=VECTOR('',#476,2.27914E-1); -#478=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.311724784545E0)); -#479=LINE('',#478,#477); -#480=DIRECTION('',(1.E0,0.E0,0.E0)); -#481=VECTOR('',#480,1.983232E0); -#482=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.311724784545E0)); -#483=LINE('',#482,#481); -#484=DIRECTION('',(0.E0,1.E0,0.E0)); -#485=VECTOR('',#484,2.27914E-1); -#486=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.311724784545E0)); -#487=LINE('',#486,#485); -#488=DIRECTION('',(1.E0,0.E0,0.E0)); -#489=VECTOR('',#488,8.458199999998E-2); -#490=CARTESIAN_POINT('',(9.6902016E1,7.9924402E1,4.311724784545E0)); -#491=LINE('',#490,#489); -#492=DIRECTION('',(0.E0,1.E0,0.E0)); -#493=VECTOR('',#492,2.152396E0); -#494=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,4.311724784545E0)); -#495=LINE('',#494,#493); -#496=DIRECTION('',(-1.E0,0.E0,0.E0)); -#497=VECTOR('',#496,8.458199999998E-2); -#498=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,4.311724784545E0)); -#499=LINE('',#498,#497); -#500=DIRECTION('',(0.E0,1.E0,0.E0)); -#501=VECTOR('',#500,2.27914E-1); -#502=CARTESIAN_POINT('',(9.6902016E1,8.2076798E1,4.311724784545E0)); -#503=LINE('',#502,#501); -#504=DIRECTION('',(-1.E0,0.E0,0.E0)); -#505=VECTOR('',#504,3.269998E0); -#506=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.311724784545E0)); -#507=LINE('',#506,#505); -#508=DIRECTION('',(0.E0,-1.E0,0.E0)); -#509=VECTOR('',#508,3.820002E0); -#510=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.311724784545E0)); -#511=LINE('',#510,#509); -#512=DIRECTION('',(1.E0,0.E0,0.E0)); -#513=VECTOR('',#512,3.269998E0); -#514=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.311724784545E0)); -#515=LINE('',#514,#513); -#516=DIRECTION('',(0.E0,1.E0,0.E0)); -#517=VECTOR('',#516,3.820002E0); -#518=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.311724784545E0)); -#519=LINE('',#518,#517); -#520=DIRECTION('',(0.E0,1.E0,0.E0)); -#521=VECTOR('',#520,1.589614029687E-1); -#522=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.311724784545E0)); -#523=LINE('',#522,#521); -#524=DIRECTION('',(-1.E0,0.E0,0.E0)); -#525=VECTOR('',#524,1.662328E0); -#526=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.311724784545E0)); -#527=LINE('',#526,#525); -#528=DIRECTION('',(0.E0,-1.E0,0.E0)); -#529=VECTOR('',#528,1.589614029687E-1); -#530=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.311724784545E0)); -#531=LINE('',#530,#529); -#532=DIRECTION('',(-1.E0,0.E0,0.E0)); -#533=VECTOR('',#532,5.5848E-1); -#534=CARTESIAN_POINT('',(1.00471072E2,1.02177639E2,4.311724784545E0)); -#535=LINE('',#534,#533); -#536=DIRECTION('',(0.E0,-1.E0,0.E0)); -#537=VECTOR('',#536,1.238402E0); -#538=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.311724784545E0)); -#539=LINE('',#538,#537); -#540=DIRECTION('',(-1.E0,0.E0,0.E0)); -#541=VECTOR('',#540,5.71518E-1); -#542=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.311724784545E0)); -#543=LINE('',#542,#541); -#544=DIRECTION('',(0.E0,-1.E0,0.E0)); -#545=VECTOR('',#544,1.003199E0); -#546=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.311724784545E0)); -#547=LINE('',#546,#545); -#548=DIRECTION('',(1.E0,0.E0,0.E0)); -#549=VECTOR('',#548,5.71518E-1); -#550=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.311724784545E0)); -#551=LINE('',#550,#549); -#552=DIRECTION('',(0.E0,-1.E0,0.E0)); -#553=VECTOR('',#552,1.278397E0); -#554=CARTESIAN_POINT('',(9.9912592E1,9.9936038E1,4.311724784545E0)); -#555=LINE('',#554,#553); -#556=DIRECTION('',(1.E0,0.E0,0.E0)); -#557=VECTOR('',#556,5.5848E-1); -#558=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.311724784545E0)); -#559=LINE('',#558,#557); -#560=DIRECTION('',(0.E0,-1.E0,0.E0)); -#561=VECTOR('',#560,1.31044E-1); -#562=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.311724784545E0)); -#563=LINE('',#562,#561); -#564=DIRECTION('',(1.E0,0.E0,0.E0)); -#565=VECTOR('',#564,1.484528E0); -#566=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.311724784545E0)); -#567=LINE('',#566,#565); -#568=DIRECTION('',(0.E0,1.E0,0.E0)); -#569=VECTOR('',#568,1.31044E-1); -#570=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.311724784545E0)); -#571=LINE('',#570,#569); -#572=DIRECTION('',(1.E0,0.E0,0.E0)); -#573=VECTOR('',#572,5.223891031842E-1); -#574=CARTESIAN_POINT('',(1.019556E2,9.8657641E1,4.311724784545E0)); -#575=LINE('',#574,#573); -#576=DIRECTION('',(0.E0,1.E0,0.E0)); -#577=VECTOR('',#576,3.519998E0); -#578=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.311724784545E0)); -#579=LINE('',#578,#577); -#580=DIRECTION('',(-1.E0,0.E0,0.E0)); -#581=VECTOR('',#580,3.445891031842E-1); -#582=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.311724784545E0)); -#583=LINE('',#582,#581); -#584=DIRECTION('',(-1.E0,0.E0,0.E0)); -#585=VECTOR('',#584,1.5516E0); -#586=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.311724784545E0)); -#587=LINE('',#586,#585); -#588=DIRECTION('',(0.E0,-1.E0,0.E0)); -#589=VECTOR('',#588,7.51602E-1); -#590=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.311724784545E0)); -#591=LINE('',#590,#589); -#592=DIRECTION('',(1.E0,0.E0,0.E0)); -#593=VECTOR('',#592,1.5516E0); -#594=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.311724784545E0)); -#595=LINE('',#594,#593); -#596=DIRECTION('',(0.E0,1.E0,0.E0)); -#597=VECTOR('',#596,7.51602E-1); -#598=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.311724784545E0)); -#599=LINE('',#598,#597); -#600=DIRECTION('',(-1.E0,0.E0,0.E0)); -#601=VECTOR('',#600,1.5516E0); -#602=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.311724784545E0)); -#603=LINE('',#602,#601); -#604=DIRECTION('',(0.E0,-1.E0,0.E0)); -#605=VECTOR('',#604,7.51602E-1); -#606=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.311724784545E0)); -#607=LINE('',#606,#605); -#608=DIRECTION('',(1.E0,0.E0,0.E0)); -#609=VECTOR('',#608,1.5516E0); -#610=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.311724784545E0)); -#611=LINE('',#610,#609); -#612=DIRECTION('',(0.E0,1.E0,0.E0)); -#613=VECTOR('',#612,7.51602E-1); -#614=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.311724784545E0)); -#615=LINE('',#614,#613); -#616=DIRECTION('',(-1.E0,0.E0,0.E0)); -#617=VECTOR('',#616,1.5516E0); -#618=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.311724784545E0)); -#619=LINE('',#618,#617); -#620=DIRECTION('',(0.E0,-1.E0,0.E0)); -#621=VECTOR('',#620,7.51602E-1); -#622=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.311724784545E0)); -#623=LINE('',#622,#621); -#624=DIRECTION('',(1.E0,0.E0,0.E0)); -#625=VECTOR('',#624,1.5516E0); -#626=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.311724784545E0)); -#627=LINE('',#626,#625); -#628=DIRECTION('',(0.E0,1.E0,0.E0)); -#629=VECTOR('',#628,7.51602E-1); -#630=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.311724784545E0)); -#631=LINE('',#630,#629); -#632=DIRECTION('',(0.E0,-1.E0,0.E0)); -#633=VECTOR('',#632,7.51602E-1); -#634=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.311724784545E0)); -#635=LINE('',#634,#633); -#636=DIRECTION('',(1.E0,0.E0,0.E0)); -#637=VECTOR('',#636,1.5516E0); -#638=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.311724784545E0)); -#639=LINE('',#638,#637); -#640=DIRECTION('',(0.E0,1.E0,0.E0)); -#641=VECTOR('',#640,7.51602E-1); -#642=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.311724784545E0)); -#643=LINE('',#642,#641); -#644=DIRECTION('',(-1.E0,0.E0,0.E0)); -#645=VECTOR('',#644,1.5516E0); -#646=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.311724784545E0)); -#647=LINE('',#646,#645); -#648=DIRECTION('',(-1.E0,0.E0,0.E0)); -#649=VECTOR('',#648,1.5516E0); -#650=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.311724784545E0)); -#651=LINE('',#650,#649); -#652=DIRECTION('',(0.E0,-1.E0,0.E0)); -#653=VECTOR('',#652,7.51602E-1); -#654=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.311724784545E0)); -#655=LINE('',#654,#653); -#656=DIRECTION('',(1.E0,0.E0,0.E0)); -#657=VECTOR('',#656,1.5516E0); -#658=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.311724784545E0)); -#659=LINE('',#658,#657); -#660=DIRECTION('',(0.E0,1.E0,0.E0)); -#661=VECTOR('',#660,7.51602E-1); -#662=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.311724784545E0)); -#663=LINE('',#662,#661); -#664=DIRECTION('',(0.E0,1.E0,0.E0)); -#665=VECTOR('',#664,7.51602E-1); -#666=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.311724784545E0)); -#667=LINE('',#666,#665); -#668=DIRECTION('',(-1.E0,0.E0,0.E0)); -#669=VECTOR('',#668,1.5516E0); -#670=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.311724784545E0)); -#671=LINE('',#670,#669); -#672=DIRECTION('',(0.E0,-1.E0,0.E0)); -#673=VECTOR('',#672,7.51602E-1); -#674=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.311724784545E0)); -#675=LINE('',#674,#673); -#676=DIRECTION('',(1.E0,0.E0,0.E0)); -#677=VECTOR('',#676,1.5516E0); -#678=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.311724784545E0)); -#679=LINE('',#678,#677); -#680=DIRECTION('',(-1.E0,0.E0,0.E0)); -#681=VECTOR('',#680,1.5516E0); -#682=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.311724784545E0)); -#683=LINE('',#682,#681); -#684=DIRECTION('',(0.E0,-1.E0,0.E0)); -#685=VECTOR('',#684,7.51602E-1); -#686=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.311724784545E0)); -#687=LINE('',#686,#685); -#688=DIRECTION('',(1.E0,0.E0,0.E0)); -#689=VECTOR('',#688,1.5516E0); -#690=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.311724784545E0)); -#691=LINE('',#690,#689); -#692=DIRECTION('',(0.E0,1.E0,0.E0)); -#693=VECTOR('',#692,7.51602E-1); -#694=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.311724784545E0)); -#695=LINE('',#694,#693); -#696=DIRECTION('',(-1.E0,0.E0,0.E0)); -#697=VECTOR('',#696,1.5516E0); -#698=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.311724784545E0)); -#699=LINE('',#698,#697); -#700=DIRECTION('',(0.E0,-1.E0,0.E0)); -#701=VECTOR('',#700,7.51602E-1); -#702=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.311724784545E0)); -#703=LINE('',#702,#701); -#704=DIRECTION('',(1.E0,0.E0,0.E0)); -#705=VECTOR('',#704,1.5516E0); -#706=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.311724784545E0)); -#707=LINE('',#706,#705); -#708=DIRECTION('',(0.E0,1.E0,0.E0)); -#709=VECTOR('',#708,7.51602E-1); -#710=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.311724784545E0)); -#711=LINE('',#710,#709); -#712=DIRECTION('',(1.E0,0.E0,0.E0)); -#713=VECTOR('',#712,1.5516E0); -#714=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.311724784545E0)); -#715=LINE('',#714,#713); -#716=DIRECTION('',(0.E0,1.E0,0.E0)); -#717=VECTOR('',#716,7.51602E-1); -#718=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.311724784545E0)); -#719=LINE('',#718,#717); -#720=DIRECTION('',(-1.E0,0.E0,0.E0)); -#721=VECTOR('',#720,1.5516E0); -#722=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.311724784545E0)); -#723=LINE('',#722,#721); -#724=DIRECTION('',(0.E0,-1.E0,0.E0)); -#725=VECTOR('',#724,7.51602E-1); -#726=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.311724784545E0)); -#727=LINE('',#726,#725); -#728=DIRECTION('',(1.E0,0.E0,0.E0)); -#729=VECTOR('',#728,7.51601E-1); -#730=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.311724784545E0)); -#731=LINE('',#730,#729); -#732=DIRECTION('',(0.E0,1.E0,0.E0)); -#733=VECTOR('',#732,1.551599E0); -#734=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.311724784545E0)); -#735=LINE('',#734,#733); -#736=DIRECTION('',(-1.E0,0.E0,0.E0)); -#737=VECTOR('',#736,7.51601E-1); -#738=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.311724784545E0)); -#739=LINE('',#738,#737); -#740=DIRECTION('',(0.E0,-1.E0,0.E0)); -#741=VECTOR('',#740,1.551599E0); -#742=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.311724784545E0)); -#743=LINE('',#742,#741); -#744=DIRECTION('',(-1.E0,0.E0,0.E0)); -#745=VECTOR('',#744,7.51601E-1); -#746=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.311724784545E0)); -#747=LINE('',#746,#745); -#748=DIRECTION('',(0.E0,-1.E0,0.E0)); -#749=VECTOR('',#748,1.551599E0); -#750=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.311724784545E0)); -#751=LINE('',#750,#749); -#752=DIRECTION('',(1.E0,0.E0,0.E0)); -#753=VECTOR('',#752,7.51601E-1); -#754=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.311724784545E0)); -#755=LINE('',#754,#753); -#756=DIRECTION('',(0.E0,1.E0,0.E0)); -#757=VECTOR('',#756,1.551599E0); -#758=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.311724784545E0)); -#759=LINE('',#758,#757); -#760=DIRECTION('',(-1.E0,0.E0,0.E0)); -#761=VECTOR('',#760,7.51601E-1); -#762=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.311724784545E0)); -#763=LINE('',#762,#761); -#764=DIRECTION('',(0.E0,-1.E0,0.E0)); -#765=VECTOR('',#764,1.551599E0); -#766=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.311724784545E0)); -#767=LINE('',#766,#765); -#768=DIRECTION('',(1.E0,0.E0,0.E0)); -#769=VECTOR('',#768,7.51601E-1); -#770=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.311724784545E0)); -#771=LINE('',#770,#769); -#772=DIRECTION('',(0.E0,1.E0,0.E0)); -#773=VECTOR('',#772,1.551599E0); -#774=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.311724784545E0)); -#775=LINE('',#774,#773); -#776=DIRECTION('',(-1.E0,0.E0,0.E0)); -#777=VECTOR('',#776,1.5516E0); -#778=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.311724784545E0)); -#779=LINE('',#778,#777); -#780=DIRECTION('',(0.E0,-1.E0,0.E0)); -#781=VECTOR('',#780,7.51602E-1); -#782=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.311724784545E0)); -#783=LINE('',#782,#781); -#784=DIRECTION('',(1.E0,0.E0,0.E0)); -#785=VECTOR('',#784,1.5516E0); -#786=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.311724784545E0)); -#787=LINE('',#786,#785); -#788=DIRECTION('',(0.E0,1.E0,0.E0)); -#789=VECTOR('',#788,7.51602E-1); -#790=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.311724784545E0)); -#791=LINE('',#790,#789); -#792=DIRECTION('',(0.E0,1.E0,0.E0)); -#793=VECTOR('',#792,7.51602E-1); -#794=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.311724784545E0)); -#795=LINE('',#794,#793); -#796=DIRECTION('',(-1.E0,0.E0,0.E0)); -#797=VECTOR('',#796,1.5516E0); -#798=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.311724784545E0)); -#799=LINE('',#798,#797); -#800=DIRECTION('',(0.E0,-1.E0,0.E0)); -#801=VECTOR('',#800,7.51602E-1); -#802=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.311724784545E0)); -#803=LINE('',#802,#801); -#804=DIRECTION('',(1.E0,0.E0,0.E0)); -#805=VECTOR('',#804,1.5516E0); -#806=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.311724784545E0)); -#807=LINE('',#806,#805); -#808=DIRECTION('',(1.E0,0.E0,0.E0)); -#809=VECTOR('',#808,1.5516E0); -#810=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.311724784545E0)); -#811=LINE('',#810,#809); -#812=DIRECTION('',(0.E0,1.E0,0.E0)); -#813=VECTOR('',#812,7.51602E-1); -#814=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.311724784545E0)); -#815=LINE('',#814,#813); -#816=DIRECTION('',(-1.E0,0.E0,0.E0)); -#817=VECTOR('',#816,1.5516E0); -#818=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.311724784545E0)); -#819=LINE('',#818,#817); -#820=DIRECTION('',(0.E0,-1.E0,0.E0)); -#821=VECTOR('',#820,7.51602E-1); -#822=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.311724784545E0)); -#823=LINE('',#822,#821); -#824=DIRECTION('',(0.E0,1.E0,0.E0)); -#825=VECTOR('',#824,7.51602E-1); -#826=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.311724784545E0)); -#827=LINE('',#826,#825); -#828=DIRECTION('',(-1.E0,0.E0,0.E0)); -#829=VECTOR('',#828,1.5516E0); -#830=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.311724784545E0)); -#831=LINE('',#830,#829); -#832=DIRECTION('',(0.E0,-1.E0,0.E0)); -#833=VECTOR('',#832,7.51602E-1); -#834=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.311724784545E0)); -#835=LINE('',#834,#833); -#836=DIRECTION('',(1.E0,0.E0,0.E0)); -#837=VECTOR('',#836,1.5516E0); -#838=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.311724784545E0)); -#839=LINE('',#838,#837); -#840=DIRECTION('',(-1.E0,0.E0,0.E0)); -#841=VECTOR('',#840,1.5516E0); -#842=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.311724784545E0)); -#843=LINE('',#842,#841); -#844=DIRECTION('',(0.E0,-1.E0,0.E0)); -#845=VECTOR('',#844,7.51602E-1); -#846=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.311724784545E0)); -#847=LINE('',#846,#845); -#848=DIRECTION('',(1.E0,0.E0,0.E0)); -#849=VECTOR('',#848,1.5516E0); -#850=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.311724784545E0)); -#851=LINE('',#850,#849); -#852=DIRECTION('',(0.E0,1.E0,0.E0)); -#853=VECTOR('',#852,7.51602E-1); -#854=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.311724784545E0)); -#855=LINE('',#854,#853); -#856=DIRECTION('',(0.E0,-1.E0,0.E0)); -#857=VECTOR('',#856,7.51602E-1); -#858=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.311724784545E0)); -#859=LINE('',#858,#857); -#860=DIRECTION('',(1.E0,0.E0,0.E0)); -#861=VECTOR('',#860,1.5516E0); -#862=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.311724784545E0)); -#863=LINE('',#862,#861); -#864=DIRECTION('',(0.E0,1.E0,0.E0)); -#865=VECTOR('',#864,7.51602E-1); -#866=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.311724784545E0)); -#867=LINE('',#866,#865); -#868=DIRECTION('',(-1.E0,0.E0,0.E0)); -#869=VECTOR('',#868,1.5516E0); -#870=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.311724784545E0)); -#871=LINE('',#870,#869); -#872=DIRECTION('',(-1.E0,0.E0,0.E0)); -#873=VECTOR('',#872,1.5516E0); -#874=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.311724784545E0)); -#875=LINE('',#874,#873); -#876=DIRECTION('',(0.E0,-1.E0,0.E0)); -#877=VECTOR('',#876,7.51602E-1); -#878=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.311724784545E0)); -#879=LINE('',#878,#877); -#880=DIRECTION('',(1.E0,0.E0,0.E0)); -#881=VECTOR('',#880,1.5516E0); -#882=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.311724784545E0)); -#883=LINE('',#882,#881); -#884=DIRECTION('',(0.E0,1.E0,0.E0)); -#885=VECTOR('',#884,7.51602E-1); -#886=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.311724784545E0)); -#887=LINE('',#886,#885); -#888=DIRECTION('',(-1.E0,0.E0,0.E0)); -#889=VECTOR('',#888,7.51602E-1); -#890=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.311724784545E0)); -#891=LINE('',#890,#889); -#892=DIRECTION('',(0.E0,-1.E0,0.E0)); -#893=VECTOR('',#892,1.551599E0); -#894=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.311724784545E0)); -#895=LINE('',#894,#893); -#896=DIRECTION('',(1.E0,0.E0,0.E0)); -#897=VECTOR('',#896,7.51602E-1); -#898=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.311724784545E0)); -#899=LINE('',#898,#897); -#900=DIRECTION('',(0.E0,1.E0,0.E0)); -#901=VECTOR('',#900,1.551599E0); -#902=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.311724784545E0)); -#903=LINE('',#902,#901); -#904=DIRECTION('',(-1.E0,0.E0,0.E0)); -#905=VECTOR('',#904,7.01598E-1); -#906=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.311724784545E0)); -#907=LINE('',#906,#905); -#908=DIRECTION('',(0.E0,-1.E0,0.E0)); -#909=VECTOR('',#908,7.51602E-1); -#910=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.311724784545E0)); -#911=LINE('',#910,#909); -#912=DIRECTION('',(1.E0,0.E0,0.E0)); -#913=VECTOR('',#912,7.01598E-1); -#914=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.311724784545E0)); -#915=LINE('',#914,#913); -#916=DIRECTION('',(0.E0,1.E0,0.E0)); -#917=VECTOR('',#916,7.51602E-1); -#918=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.311724784545E0)); -#919=LINE('',#918,#917); -#920=DIRECTION('',(0.E0,-1.E0,0.E0)); -#921=VECTOR('',#920,1.5516E0); -#922=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.311724784545E0)); -#923=LINE('',#922,#921); -#924=DIRECTION('',(1.E0,0.E0,0.E0)); -#925=VECTOR('',#924,7.51602E-1); -#926=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.311724784545E0)); -#927=LINE('',#926,#925); -#928=DIRECTION('',(0.E0,1.E0,0.E0)); -#929=VECTOR('',#928,1.5516E0); -#930=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.311724784545E0)); -#931=LINE('',#930,#929); -#932=DIRECTION('',(-1.E0,0.E0,0.E0)); -#933=VECTOR('',#932,7.51602E-1); -#934=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.311724784545E0)); -#935=LINE('',#934,#933); -#936=DIRECTION('',(0.E0,-1.E0,0.E0)); -#937=VECTOR('',#936,7.51601E-1); -#938=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.311724784545E0)); -#939=LINE('',#938,#937); -#940=DIRECTION('',(1.E0,0.E0,0.E0)); -#941=VECTOR('',#940,1.551599E0); -#942=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.311724784545E0)); -#943=LINE('',#942,#941); -#944=DIRECTION('',(0.E0,1.E0,0.E0)); -#945=VECTOR('',#944,7.51601E-1); -#946=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.311724784545E0)); -#947=LINE('',#946,#945); -#948=DIRECTION('',(-1.E0,0.E0,0.E0)); -#949=VECTOR('',#948,1.551599E0); -#950=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.311724784545E0)); -#951=LINE('',#950,#949); -#952=DIRECTION('',(-1.E0,0.E0,0.E0)); -#953=VECTOR('',#952,1.551599E0); -#954=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.311724784545E0)); -#955=LINE('',#954,#953); -#956=DIRECTION('',(0.E0,-1.E0,0.E0)); -#957=VECTOR('',#956,7.51601E-1); -#958=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.311724784545E0)); -#959=LINE('',#958,#957); -#960=DIRECTION('',(1.E0,0.E0,0.E0)); -#961=VECTOR('',#960,1.551599E0); -#962=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.311724784545E0)); -#963=LINE('',#962,#961); -#964=DIRECTION('',(0.E0,1.E0,0.E0)); -#965=VECTOR('',#964,7.51601E-1); -#966=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.311724784545E0)); -#967=LINE('',#966,#965); -#968=DIRECTION('',(0.E0,-1.E0,0.E0)); -#969=VECTOR('',#968,1.551599E0); -#970=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.311724784545E0)); -#971=LINE('',#970,#969); -#972=DIRECTION('',(1.E0,0.E0,0.E0)); -#973=VECTOR('',#972,7.51601E-1); -#974=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.311724784545E0)); -#975=LINE('',#974,#973); -#976=DIRECTION('',(0.E0,1.E0,0.E0)); -#977=VECTOR('',#976,1.551599E0); -#978=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.311724784545E0)); -#979=LINE('',#978,#977); -#980=DIRECTION('',(-1.E0,0.E0,0.E0)); -#981=VECTOR('',#980,7.51601E-1); -#982=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.311724784545E0)); -#983=LINE('',#982,#981); -#984=DIRECTION('',(0.E0,-1.E0,0.E0)); -#985=VECTOR('',#984,7.51601E-1); -#986=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.311724784545E0)); -#987=LINE('',#986,#985); -#988=DIRECTION('',(1.E0,0.E0,0.E0)); -#989=VECTOR('',#988,1.551599E0); -#990=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.311724784545E0)); -#991=LINE('',#990,#989); -#992=DIRECTION('',(0.E0,1.E0,0.E0)); -#993=VECTOR('',#992,7.51601E-1); -#994=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.311724784545E0)); -#995=LINE('',#994,#993); -#996=DIRECTION('',(-1.E0,0.E0,0.E0)); -#997=VECTOR('',#996,1.551599E0); -#998=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.311724784545E0)); -#999=LINE('',#998,#997); -#1000=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1001=VECTOR('',#1000,1.551599E0); -#1002=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.311724784545E0)); -#1003=LINE('',#1002,#1001); -#1004=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1005=VECTOR('',#1004,7.51601E-1); -#1006=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.311724784545E0)); -#1007=LINE('',#1006,#1005); -#1008=DIRECTION('',(1.E0,0.E0,0.E0)); -#1009=VECTOR('',#1008,1.551599E0); -#1010=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.311724784545E0)); -#1011=LINE('',#1010,#1009); -#1012=DIRECTION('',(0.E0,1.E0,0.E0)); -#1013=VECTOR('',#1012,7.51601E-1); -#1014=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.311724784545E0)); -#1015=LINE('',#1014,#1013); -#1016=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1017=VECTOR('',#1016,7.51601E-1); -#1018=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.311724784545E0)); -#1019=LINE('',#1018,#1017); -#1020=DIRECTION('',(1.E0,0.E0,0.E0)); -#1021=VECTOR('',#1020,1.551599E0); -#1022=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.311724784545E0)); -#1023=LINE('',#1022,#1021); -#1024=DIRECTION('',(0.E0,1.E0,0.E0)); -#1025=VECTOR('',#1024,7.51601E-1); -#1026=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.311724784545E0)); -#1027=LINE('',#1026,#1025); -#1028=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1029=VECTOR('',#1028,1.551599E0); -#1030=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.311724784545E0)); -#1031=LINE('',#1030,#1029); -#1032=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1033=VECTOR('',#1032,1.5516E0); -#1034=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.311724784545E0)); -#1035=LINE('',#1034,#1033); -#1036=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1037=VECTOR('',#1036,7.51601E-1); -#1038=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.311724784545E0)); -#1039=LINE('',#1038,#1037); -#1040=DIRECTION('',(1.E0,0.E0,0.E0)); -#1041=VECTOR('',#1040,1.5516E0); -#1042=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.311724784545E0)); -#1043=LINE('',#1042,#1041); -#1044=DIRECTION('',(0.E0,1.E0,0.E0)); -#1045=VECTOR('',#1044,7.51601E-1); -#1046=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.311724784545E0)); -#1047=LINE('',#1046,#1045); -#1048=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1049=VECTOR('',#1048,1.5516E0); -#1050=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.311724784545E0)); -#1051=LINE('',#1050,#1049); -#1052=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1053=VECTOR('',#1052,7.51601E-1); -#1054=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.311724784545E0)); -#1055=LINE('',#1054,#1053); -#1056=DIRECTION('',(1.E0,0.E0,0.E0)); -#1057=VECTOR('',#1056,1.5516E0); -#1058=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.311724784545E0)); -#1059=LINE('',#1058,#1057); -#1060=DIRECTION('',(0.E0,1.E0,0.E0)); -#1061=VECTOR('',#1060,7.51601E-1); -#1062=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.311724784545E0)); -#1063=LINE('',#1062,#1061); -#1064=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1065=VECTOR('',#1064,7.51601E-1); -#1066=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.311724784545E0)); -#1067=LINE('',#1066,#1065); -#1068=DIRECTION('',(1.E0,0.E0,0.E0)); -#1069=VECTOR('',#1068,1.5516E0); -#1070=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.311724784545E0)); -#1071=LINE('',#1070,#1069); -#1072=DIRECTION('',(0.E0,1.E0,0.E0)); -#1073=VECTOR('',#1072,7.51601E-1); -#1074=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.311724784545E0)); -#1075=LINE('',#1074,#1073); -#1076=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1077=VECTOR('',#1076,1.5516E0); -#1078=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.311724784545E0)); -#1079=LINE('',#1078,#1077); -#1080=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1081=VECTOR('',#1080,7.51601E-1); -#1082=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.311724784545E0)); -#1083=LINE('',#1082,#1081); -#1084=DIRECTION('',(1.E0,0.E0,0.E0)); -#1085=VECTOR('',#1084,1.5516E0); -#1086=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.311724784545E0)); -#1087=LINE('',#1086,#1085); -#1088=DIRECTION('',(0.E0,1.E0,0.E0)); -#1089=VECTOR('',#1088,7.51601E-1); -#1090=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.311724784545E0)); -#1091=LINE('',#1090,#1089); -#1092=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1093=VECTOR('',#1092,1.5516E0); -#1094=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.311724784545E0)); -#1095=LINE('',#1094,#1093); -#1096=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1097=VECTOR('',#1096,1.5516E0); -#1098=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.311724784545E0)); -#1099=LINE('',#1098,#1097); -#1100=DIRECTION('',(1.E0,0.E0,0.E0)); -#1101=VECTOR('',#1100,7.51601E-1); -#1102=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.311724784545E0)); -#1103=LINE('',#1102,#1101); -#1104=DIRECTION('',(0.E0,1.E0,0.E0)); -#1105=VECTOR('',#1104,1.5516E0); -#1106=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.311724784545E0)); -#1107=LINE('',#1106,#1105); -#1108=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1109=VECTOR('',#1108,7.51601E-1); -#1110=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.311724784545E0)); -#1111=LINE('',#1110,#1109); -#1112=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1113=VECTOR('',#1112,7.51601E-1); -#1114=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.311724784545E0)); -#1115=LINE('',#1114,#1113); -#1116=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1117=VECTOR('',#1116,1.5516E0); -#1118=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.311724784545E0)); -#1119=LINE('',#1118,#1117); -#1120=DIRECTION('',(1.E0,0.E0,0.E0)); -#1121=VECTOR('',#1120,7.51601E-1); -#1122=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.311724784545E0)); -#1123=LINE('',#1122,#1121); -#1124=DIRECTION('',(0.E0,1.E0,0.E0)); -#1125=VECTOR('',#1124,1.5516E0); -#1126=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.311724784545E0)); -#1127=LINE('',#1126,#1125); -#1128=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1129=VECTOR('',#1128,1.551599E0); -#1130=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.311724784545E0)); -#1131=LINE('',#1130,#1129); -#1132=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1133=VECTOR('',#1132,7.51601E-1); -#1134=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.311724784545E0)); -#1135=LINE('',#1134,#1133); -#1136=DIRECTION('',(1.E0,0.E0,0.E0)); -#1137=VECTOR('',#1136,1.551599E0); -#1138=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.311724784545E0)); -#1139=LINE('',#1138,#1137); -#1140=DIRECTION('',(0.E0,1.E0,0.E0)); -#1141=VECTOR('',#1140,7.51601E-1); -#1142=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.311724784545E0)); -#1143=LINE('',#1142,#1141); -#1144=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1145=VECTOR('',#1144,7.51601E-1); -#1146=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.311724784545E0)); -#1147=LINE('',#1146,#1145); -#1148=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1149=VECTOR('',#1148,1.5516E0); -#1150=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.311724784545E0)); -#1151=LINE('',#1150,#1149); -#1152=DIRECTION('',(1.E0,0.E0,0.E0)); -#1153=VECTOR('',#1152,7.51601E-1); -#1154=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.311724784545E0)); -#1155=LINE('',#1154,#1153); -#1156=DIRECTION('',(0.E0,1.E0,0.E0)); -#1157=VECTOR('',#1156,1.5516E0); -#1158=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.311724784545E0)); -#1159=LINE('',#1158,#1157); -#1160=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1161=VECTOR('',#1160,7.51601E-1); -#1162=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.311724784545E0)); -#1163=LINE('',#1162,#1161); -#1164=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1165=VECTOR('',#1164,1.5516E0); -#1166=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.311724784545E0)); -#1167=LINE('',#1166,#1165); -#1168=DIRECTION('',(1.E0,0.E0,0.E0)); -#1169=VECTOR('',#1168,7.51601E-1); -#1170=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.311724784545E0)); -#1171=LINE('',#1170,#1169); -#1172=DIRECTION('',(0.E0,1.E0,0.E0)); -#1173=VECTOR('',#1172,1.5516E0); -#1174=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.311724784545E0)); -#1175=LINE('',#1174,#1173); -#1176=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1177=VECTOR('',#1176,7.51601E-1); -#1178=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.311724784545E0)); -#1179=LINE('',#1178,#1177); -#1180=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1181=VECTOR('',#1180,1.5516E0); -#1182=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.311724784545E0)); -#1183=LINE('',#1182,#1181); -#1184=DIRECTION('',(1.E0,0.E0,0.E0)); -#1185=VECTOR('',#1184,7.51601E-1); -#1186=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.311724784545E0)); -#1187=LINE('',#1186,#1185); -#1188=DIRECTION('',(0.E0,1.E0,0.E0)); -#1189=VECTOR('',#1188,1.5516E0); -#1190=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.311724784545E0)); -#1191=LINE('',#1190,#1189); -#1192=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1193=VECTOR('',#1192,1.5516E0); -#1194=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.311724784545E0)); -#1195=LINE('',#1194,#1193); -#1196=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1197=VECTOR('',#1196,7.51601E-1); -#1198=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.311724784545E0)); -#1199=LINE('',#1198,#1197); -#1200=DIRECTION('',(1.E0,0.E0,0.E0)); -#1201=VECTOR('',#1200,1.5516E0); -#1202=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.311724784545E0)); -#1203=LINE('',#1202,#1201); -#1204=DIRECTION('',(0.E0,1.E0,0.E0)); -#1205=VECTOR('',#1204,7.51601E-1); -#1206=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.311724784545E0)); -#1207=LINE('',#1206,#1205); -#1208=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1209=VECTOR('',#1208,7.51601E-1); -#1210=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.311724784545E0)); -#1211=LINE('',#1210,#1209); -#1212=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1213=VECTOR('',#1212,1.5516E0); -#1214=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.311724784545E0)); -#1215=LINE('',#1214,#1213); -#1216=DIRECTION('',(1.E0,0.E0,0.E0)); -#1217=VECTOR('',#1216,7.51601E-1); -#1218=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.311724784545E0)); -#1219=LINE('',#1218,#1217); -#1220=DIRECTION('',(0.E0,1.E0,0.E0)); -#1221=VECTOR('',#1220,1.5516E0); -#1222=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.311724784545E0)); -#1223=LINE('',#1222,#1221); -#1224=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1225=VECTOR('',#1224,1.5516E0); -#1226=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.311724784545E0)); -#1227=LINE('',#1226,#1225); -#1228=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1229=VECTOR('',#1228,7.45203E-1); -#1230=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.311724784545E0)); -#1231=LINE('',#1230,#1229); -#1232=DIRECTION('',(1.E0,0.E0,0.E0)); -#1233=VECTOR('',#1232,1.5516E0); -#1234=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.311724784545E0)); -#1235=LINE('',#1234,#1233); -#1236=DIRECTION('',(0.E0,1.E0,0.E0)); -#1237=VECTOR('',#1236,7.45203E-1); -#1238=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.311724784545E0)); -#1239=LINE('',#1238,#1237); -#1240=DIRECTION('',(0.E0,1.E0,0.E0)); -#1241=VECTOR('',#1240,1.183198E0); -#1242=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,4.311724784545E0)); -#1243=LINE('',#1242,#1241); -#1244=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1245=VECTOR('',#1244,2.673202E0); -#1246=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,4.311724784545E0)); -#1247=LINE('',#1246,#1245); -#1248=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1249=VECTOR('',#1248,1.183198E0); -#1250=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,4.311724784545E0)); -#1251=LINE('',#1250,#1249); -#1252=DIRECTION('',(1.E0,0.E0,0.E0)); -#1253=VECTOR('',#1252,2.673202E0); -#1254=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,4.311724784545E0)); -#1255=LINE('',#1254,#1253); -#1256=DIRECTION('',(1.E0,0.E0,0.E0)); -#1257=VECTOR('',#1256,1.183198E0); -#1258=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,4.311724784545E0)); -#1259=LINE('',#1258,#1257); -#1260=DIRECTION('',(0.E0,1.E0,0.E0)); -#1261=VECTOR('',#1260,2.673203E0); -#1262=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,4.311724784545E0)); -#1263=LINE('',#1262,#1261); -#1264=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1265=VECTOR('',#1264,1.183198E0); -#1266=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,4.311724784545E0)); -#1267=LINE('',#1266,#1265); -#1268=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1269=VECTOR('',#1268,2.673203E0); -#1270=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,4.311724784545E0)); -#1271=LINE('',#1270,#1269); -#1272=DIRECTION('',(0.E0,1.E0,0.E0)); -#1273=VECTOR('',#1272,2.673203E0); -#1274=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,4.311724784545E0)); -#1275=LINE('',#1274,#1273); -#1276=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1277=VECTOR('',#1276,1.183198E0); -#1278=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,4.311724784545E0)); -#1279=LINE('',#1278,#1277); -#1280=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1281=VECTOR('',#1280,2.673203E0); -#1282=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,4.311724784545E0)); -#1283=LINE('',#1282,#1281); -#1284=DIRECTION('',(1.E0,0.E0,0.E0)); -#1285=VECTOR('',#1284,1.183198E0); -#1286=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,4.311724784545E0)); -#1287=LINE('',#1286,#1285); -#1288=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1289=VECTOR('',#1288,1.183198E0); -#1290=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,4.311724784545E0)); -#1291=LINE('',#1290,#1289); -#1292=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1293=VECTOR('',#1292,2.673203E0); -#1294=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,4.311724784545E0)); -#1295=LINE('',#1294,#1293); -#1296=DIRECTION('',(1.E0,0.E0,0.E0)); -#1297=VECTOR('',#1296,1.183198E0); -#1298=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,4.311724784545E0)); -#1299=LINE('',#1298,#1297); -#1300=DIRECTION('',(0.E0,1.E0,0.E0)); -#1301=VECTOR('',#1300,2.673203E0); -#1302=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,4.311724784545E0)); -#1303=LINE('',#1302,#1301); -#1304=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1305=VECTOR('',#1304,2.673203E0); -#1306=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,4.311724784545E0)); -#1307=LINE('',#1306,#1305); -#1308=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1309=VECTOR('',#1308,1.183198E0); -#1310=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,4.311724784545E0)); -#1311=LINE('',#1310,#1309); -#1312=DIRECTION('',(1.E0,0.E0,0.E0)); -#1313=VECTOR('',#1312,2.673203E0); -#1314=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,4.311724784545E0)); -#1315=LINE('',#1314,#1313); -#1316=DIRECTION('',(0.E0,1.E0,0.E0)); -#1317=VECTOR('',#1316,1.183198E0); -#1318=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,4.311724784545E0)); -#1319=LINE('',#1318,#1317); -#1320=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1321=VECTOR('',#1320,2.673203E0); -#1322=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,4.311724784545E0)); -#1323=LINE('',#1322,#1321); -#1324=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1325=VECTOR('',#1324,1.183198E0); -#1326=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,4.311724784545E0)); -#1327=LINE('',#1326,#1325); -#1328=DIRECTION('',(1.E0,0.E0,0.E0)); -#1329=VECTOR('',#1328,2.673203E0); -#1330=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,4.311724784545E0)); -#1331=LINE('',#1330,#1329); -#1332=DIRECTION('',(0.E0,1.E0,0.E0)); -#1333=VECTOR('',#1332,1.183198E0); -#1334=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,4.311724784545E0)); -#1335=LINE('',#1334,#1333); -#1336=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1337=VECTOR('',#1336,1.183198E0); -#1338=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,4.311724784545E0)); -#1339=LINE('',#1338,#1337); -#1340=DIRECTION('',(1.E0,0.E0,0.E0)); -#1341=VECTOR('',#1340,2.673203E0); -#1342=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,4.311724784545E0)); -#1343=LINE('',#1342,#1341); -#1344=DIRECTION('',(0.E0,1.E0,0.E0)); -#1345=VECTOR('',#1344,1.183198E0); -#1346=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,4.311724784545E0)); -#1347=LINE('',#1346,#1345); -#1348=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1349=VECTOR('',#1348,2.673203E0); -#1350=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,4.311724784545E0)); -#1351=LINE('',#1350,#1349); -#1352=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1353=VECTOR('',#1352,1.183198E0); -#1354=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,4.311724784545E0)); -#1355=LINE('',#1354,#1353); -#1356=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1357=VECTOR('',#1356,2.673203E0); -#1358=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,4.311724784545E0)); -#1359=LINE('',#1358,#1357); -#1360=DIRECTION('',(1.E0,0.E0,0.E0)); -#1361=VECTOR('',#1360,1.183198E0); -#1362=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,4.311724784545E0)); -#1363=LINE('',#1362,#1361); -#1364=DIRECTION('',(0.E0,1.E0,0.E0)); -#1365=VECTOR('',#1364,2.673203E0); -#1366=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,4.311724784545E0)); -#1367=LINE('',#1366,#1365); -#1368=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1369=VECTOR('',#1368,1.6732E0); -#1370=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,4.311724784545E0)); -#1371=LINE('',#1370,#1369); -#1372=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1373=VECTOR('',#1372,3.143199E0); -#1374=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,4.311724784545E0)); -#1375=LINE('',#1374,#1373); -#1376=DIRECTION('',(1.E0,0.E0,0.E0)); -#1377=VECTOR('',#1376,1.6732E0); -#1378=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,4.311724784545E0)); -#1379=LINE('',#1378,#1377); -#1380=DIRECTION('',(0.E0,1.E0,0.E0)); -#1381=VECTOR('',#1380,3.143199E0); -#1382=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,4.311724784545E0)); -#1383=LINE('',#1382,#1381); -#1384=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1385=VECTOR('',#1384,1.6732E0); -#1386=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,4.311724784545E0)); -#1387=LINE('',#1386,#1385); -#1388=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1389=VECTOR('',#1388,3.143199E0); -#1390=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,4.311724784545E0)); -#1391=LINE('',#1390,#1389); -#1392=DIRECTION('',(1.E0,0.E0,0.E0)); -#1393=VECTOR('',#1392,1.6732E0); -#1394=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,4.311724784545E0)); -#1395=LINE('',#1394,#1393); -#1396=DIRECTION('',(0.E0,1.E0,0.E0)); -#1397=VECTOR('',#1396,3.143199E0); -#1398=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,4.311724784545E0)); -#1399=LINE('',#1398,#1397); -#1400=DIRECTION('',(0.E0,1.E0,0.E0)); -#1401=VECTOR('',#1400,1.6732E0); -#1402=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,4.311724784545E0)); -#1403=LINE('',#1402,#1401); -#1404=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1405=VECTOR('',#1404,3.1432E0); -#1406=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,4.311724784545E0)); -#1407=LINE('',#1406,#1405); -#1408=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1409=VECTOR('',#1408,1.6732E0); -#1410=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,4.311724784545E0)); -#1411=LINE('',#1410,#1409); -#1412=DIRECTION('',(1.E0,0.E0,0.E0)); -#1413=VECTOR('',#1412,3.1432E0); -#1414=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,4.311724784545E0)); -#1415=LINE('',#1414,#1413); -#1416=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1417=VECTOR('',#1416,1.673199E0); -#1418=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,4.311724784545E0)); -#1419=LINE('',#1418,#1417); -#1420=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1421=VECTOR('',#1420,3.143199E0); -#1422=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,4.311724784545E0)); -#1423=LINE('',#1422,#1421); -#1424=DIRECTION('',(1.E0,0.E0,0.E0)); -#1425=VECTOR('',#1424,1.673199E0); -#1426=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,4.311724784545E0)); -#1427=LINE('',#1426,#1425); -#1428=DIRECTION('',(0.E0,1.E0,0.E0)); -#1429=VECTOR('',#1428,3.143199E0); -#1430=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,4.311724784545E0)); -#1431=LINE('',#1430,#1429); -#1432=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1433=VECTOR('',#1432,3.143199E0); -#1434=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,4.311724784545E0)); -#1435=LINE('',#1434,#1433); -#1436=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1437=VECTOR('',#1436,1.6732E0); -#1438=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,4.311724784545E0)); -#1439=LINE('',#1438,#1437); -#1440=DIRECTION('',(1.E0,0.E0,0.E0)); -#1441=VECTOR('',#1440,3.143199E0); -#1442=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,4.311724784545E0)); -#1443=LINE('',#1442,#1441); -#1444=DIRECTION('',(0.E0,1.E0,0.E0)); -#1445=VECTOR('',#1444,1.6732E0); -#1446=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,4.311724784545E0)); -#1447=LINE('',#1446,#1445); -#1448=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1449=VECTOR('',#1448,4.313199E0); -#1450=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,4.311724784545E0)); -#1451=LINE('',#1450,#1449); -#1452=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1453=VECTOR('',#1452,2.003197E0); -#1454=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,4.311724784545E0)); -#1455=LINE('',#1454,#1453); -#1456=DIRECTION('',(1.E0,0.E0,0.E0)); -#1457=VECTOR('',#1456,4.313199E0); -#1458=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,4.311724784545E0)); -#1459=LINE('',#1458,#1457); -#1460=DIRECTION('',(0.E0,1.E0,0.E0)); -#1461=VECTOR('',#1460,2.003197E0); -#1462=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,4.311724784545E0)); -#1463=LINE('',#1462,#1461); -#1464=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1465=VECTOR('',#1464,4.3132E0); -#1466=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,4.311724784545E0)); -#1467=LINE('',#1466,#1465); -#1468=DIRECTION('',(1.E0,0.E0,0.E0)); -#1469=VECTOR('',#1468,2.003196E0); -#1470=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,4.311724784545E0)); -#1471=LINE('',#1470,#1469); -#1472=DIRECTION('',(0.E0,1.E0,0.E0)); -#1473=VECTOR('',#1472,4.3132E0); -#1474=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,4.311724784545E0)); -#1475=LINE('',#1474,#1473); -#1476=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1477=VECTOR('',#1476,2.003196E0); -#1478=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,4.311724784545E0)); -#1479=LINE('',#1478,#1477); -#1480=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1481=VECTOR('',#1480,1.033196E0); -#1482=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.311724784545E0)); -#1483=LINE('',#1482,#1481); -#1484=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1485=VECTOR('',#1484,9.31598E-1); -#1486=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.311724784545E0)); -#1487=LINE('',#1486,#1485); -#1488=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1489=VECTOR('',#1488,1.084E-1); -#1490=CARTESIAN_POINT('',(1.01910594E2,6.8718801E1,4.311724784545E0)); -#1491=LINE('',#1490,#1489); -#1492=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1493=VECTOR('',#1492,1.700002E0); -#1494=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,4.311724784545E0)); -#1495=LINE('',#1494,#1493); -#1496=DIRECTION('',(1.E0,0.E0,0.E0)); -#1497=VECTOR('',#1496,1.084E-1); -#1498=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,4.311724784545E0)); -#1499=LINE('',#1498,#1497); -#1500=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1501=VECTOR('',#1500,9.31598E-1); -#1502=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.311724784545E0)); -#1503=LINE('',#1502,#1501); -#1504=DIRECTION('',(1.E0,0.E0,0.E0)); -#1505=VECTOR('',#1504,1.033196E0); -#1506=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.311724784545E0)); -#1507=LINE('',#1506,#1505); -#1508=DIRECTION('',(0.E0,1.E0,0.E0)); -#1509=VECTOR('',#1508,9.31598E-1); -#1510=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.311724784545E0)); -#1511=LINE('',#1510,#1509); -#1512=DIRECTION('',(1.E0,0.E0,0.E0)); -#1513=VECTOR('',#1512,1.08399E-1); -#1514=CARTESIAN_POINT('',(1.0294379E2,6.7018799E1,4.311724784545E0)); -#1515=LINE('',#1514,#1513); -#1516=DIRECTION('',(0.E0,1.E0,0.E0)); -#1517=VECTOR('',#1516,1.700002E0); -#1518=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,4.311724784545E0)); -#1519=LINE('',#1518,#1517); -#1520=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1521=VECTOR('',#1520,1.08399E-1); -#1522=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,4.311724784545E0)); -#1523=LINE('',#1522,#1521); -#1524=DIRECTION('',(0.E0,1.E0,0.E0)); -#1525=VECTOR('',#1524,9.31598E-1); -#1526=CARTESIAN_POINT('',(1.0294379E2,6.8718801E1,4.311724784545E0)); -#1527=LINE('',#1526,#1525); -#1528=DIRECTION('',(0.E0,1.E0,0.E0)); -#1529=VECTOR('',#1528,9.31598E-1); -#1530=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.311724784545E0)); -#1531=LINE('',#1530,#1529); -#1532=DIRECTION('',(1.E0,0.E0,0.E0)); -#1533=VECTOR('',#1532,1.08394E-1); -#1534=CARTESIAN_POINT('',(1.01368995E2,6.7018799E1,4.311724784545E0)); -#1535=LINE('',#1534,#1533); -#1536=DIRECTION('',(0.E0,1.E0,0.E0)); -#1537=VECTOR('',#1536,1.700002E0); -#1538=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,4.311724784545E0)); -#1539=LINE('',#1538,#1537); -#1540=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1541=VECTOR('',#1540,1.08394E-1); -#1542=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,4.311724784545E0)); -#1543=LINE('',#1542,#1541); -#1544=DIRECTION('',(0.E0,1.E0,0.E0)); -#1545=VECTOR('',#1544,9.31598E-1); -#1546=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.311724784545E0)); -#1547=LINE('',#1546,#1545); -#1548=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1549=VECTOR('',#1548,1.033206E0); -#1550=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.311724784545E0)); -#1551=LINE('',#1550,#1549); -#1552=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1553=VECTOR('',#1552,9.31598E-1); -#1554=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.311724784545E0)); -#1555=LINE('',#1554,#1553); -#1556=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1557=VECTOR('',#1556,1.08395E-1); -#1558=CARTESIAN_POINT('',(1.00335789E2,6.8718801E1,4.311724784545E0)); -#1559=LINE('',#1558,#1557); -#1560=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1561=VECTOR('',#1560,1.700002E0); -#1562=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,4.311724784545E0)); -#1563=LINE('',#1562,#1561); -#1564=DIRECTION('',(1.E0,0.E0,0.E0)); -#1565=VECTOR('',#1564,1.08395E-1); -#1566=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,4.311724784545E0)); -#1567=LINE('',#1566,#1565); -#1568=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1569=VECTOR('',#1568,9.31598E-1); -#1570=CARTESIAN_POINT('',(1.00335789E2,6.7018799E1,4.311724784545E0)); -#1571=LINE('',#1570,#1569); -#1572=DIRECTION('',(1.E0,0.E0,0.E0)); -#1573=VECTOR('',#1572,1.033206E0); -#1574=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.311724784545E0)); -#1575=LINE('',#1574,#1573); -#1576=DIRECTION('',(0.E0,1.E0,0.E0)); -#1577=VECTOR('',#1576,9.144E-1); -#1578=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.311724784545E0)); -#1579=LINE('',#1578,#1577); -#1580=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1581=VECTOR('',#1580,8.50001E-1); -#1582=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.311724784545E0)); -#1583=LINE('',#1582,#1581); -#1584=DIRECTION('',(0.E0,1.E0,0.E0)); -#1585=VECTOR('',#1584,1.016E-1); -#1586=CARTESIAN_POINT('',(9.6515791E1,6.9072811E1,4.311724784545E0)); -#1587=LINE('',#1586,#1585); -#1588=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1589=VECTOR('',#1588,1.2E0); -#1590=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,4.311724784545E0)); -#1591=LINE('',#1590,#1589); -#1592=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1593=VECTOR('',#1592,1.083811E0); -#1594=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,4.311724784545E0)); -#1595=LINE('',#1594,#1593); -#1596=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1597=VECTOR('',#1596,9.06998E-1); -#1598=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.311724784545E0)); -#1599=LINE('',#1598,#1597); -#1600=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1601=VECTOR('',#1600,8.5E-1); -#1602=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.311724784545E0)); -#1603=LINE('',#1602,#1601); -#1604=DIRECTION('',(1.E0,0.E0,0.E0)); -#1605=VECTOR('',#1604,9.06998E-1); -#1606=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.311724784545E0)); -#1607=LINE('',#1606,#1605); -#1608=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1609=VECTOR('',#1608,1.051611E0); -#1610=CARTESIAN_POINT('',(9.5315791E1,6.72406E1,4.311724784545E0)); -#1611=LINE('',#1610,#1609); -#1612=DIRECTION('',(1.E0,0.E0,0.E0)); -#1613=VECTOR('',#1612,1.2E0); -#1614=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,4.311724784545E0)); -#1615=LINE('',#1614,#1613); -#1616=DIRECTION('',(0.E0,1.E0,0.E0)); -#1617=VECTOR('',#1616,1.016E-1); -#1618=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,4.311724784545E0)); -#1619=LINE('',#1618,#1617); -#1620=DIRECTION('',(1.E0,0.E0,0.E0)); -#1621=VECTOR('',#1620,8.50001E-1); -#1622=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.311724784545E0)); -#1623=LINE('',#1622,#1621); -#1624=DIRECTION('',(0.E0,1.E0,0.E0)); -#1625=VECTOR('',#1624,8.50001E-1); -#1626=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.311724784545E0)); -#1627=LINE('',#1626,#1625); -#1628=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1629=VECTOR('',#1628,8.50001E-1); -#1630=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.311724784545E0)); -#1631=LINE('',#1630,#1629); -#1632=DIRECTION('',(0.E0,1.E0,0.E0)); -#1633=VECTOR('',#1632,1.017821E0); -#1634=CARTESIAN_POINT('',(9.6515791E1,6.714059E1,4.311724784545E0)); -#1635=LINE('',#1634,#1633); -#1636=DIRECTION('',(1.E0,0.E0,0.E0)); -#1637=VECTOR('',#1636,8.50001E-1); -#1638=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.311724784545E0)); -#1639=LINE('',#1638,#1637); -#1640=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1641=VECTOR('',#1640,9.144E-1); -#1642=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.311724784545E0)); -#1643=LINE('',#1642,#1641); -#1644=DIRECTION('',(0.E0,1.E0,0.E0)); -#1645=VECTOR('',#1644,1.016E-1); -#1646=CARTESIAN_POINT('',(9.0641592E1,7.1409611E1,4.311724784545E0)); -#1647=LINE('',#1646,#1645); -#1648=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1649=VECTOR('',#1648,1.2E0); -#1650=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,4.311724784545E0)); -#1651=LINE('',#1650,#1649); -#1652=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1653=VECTOR('',#1652,1.051611E0); -#1654=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,4.311724784545E0)); -#1655=LINE('',#1654,#1653); -#1656=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1657=VECTOR('',#1656,9.24799E-1); -#1658=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.311724784545E0)); -#1659=LINE('',#1658,#1657); -#1660=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1661=VECTOR('',#1660,9.144E-1); -#1662=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.311724784545E0)); -#1663=LINE('',#1662,#1661); -#1664=DIRECTION('',(1.E0,0.E0,0.E0)); -#1665=VECTOR('',#1664,9.24799E-1); -#1666=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.311724784545E0)); -#1667=LINE('',#1666,#1665); -#1668=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1669=VECTOR('',#1668,1.051611E0); -#1670=CARTESIAN_POINT('',(8.9441592E1,6.95452E1,4.311724784545E0)); -#1671=LINE('',#1670,#1669); -#1672=DIRECTION('',(1.E0,0.E0,0.E0)); -#1673=VECTOR('',#1672,1.2E0); -#1674=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,4.311724784545E0)); -#1675=LINE('',#1674,#1673); -#1676=DIRECTION('',(0.E0,1.E0,0.E0)); -#1677=VECTOR('',#1676,1.016E-1); -#1678=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,4.311724784545E0)); -#1679=LINE('',#1678,#1677); -#1680=DIRECTION('',(1.E0,0.E0,0.E0)); -#1681=VECTOR('',#1680,9.144E-1); -#1682=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.311724784545E0)); -#1683=LINE('',#1682,#1681); -#1684=DIRECTION('',(0.E0,1.E0,0.E0)); -#1685=VECTOR('',#1684,9.144E-1); -#1686=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.311724784545E0)); -#1687=LINE('',#1686,#1685); -#1688=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1689=VECTOR('',#1688,9.144E-1); -#1690=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.311724784545E0)); -#1691=LINE('',#1690,#1689); -#1692=DIRECTION('',(0.E0,1.E0,0.E0)); -#1693=VECTOR('',#1692,9.85622E-1); -#1694=CARTESIAN_POINT('',(9.0641592E1,6.9509589E1,4.311724784545E0)); -#1695=LINE('',#1694,#1693); -#1696=DIRECTION('',(1.E0,0.E0,0.E0)); -#1697=VECTOR('',#1696,9.144E-1); -#1698=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.311724784545E0)); -#1699=LINE('',#1698,#1697); -#1700=DIRECTION('',(0.E0,1.E0,0.E0)); -#1701=VECTOR('',#1700,9.144E-1); -#1702=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.311724784545E0)); -#1703=LINE('',#1702,#1701); -#1704=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1705=VECTOR('',#1704,9.144E-1); -#1706=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.311724784545E0)); -#1707=LINE('',#1706,#1705); -#1708=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1709=VECTOR('',#1708,9.24799E-1); -#1710=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.311724784545E0)); -#1711=LINE('',#1710,#1709); -#1712=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1713=VECTOR('',#1712,1.051611E0); -#1714=CARTESIAN_POINT('',(8.7999992E1,6.70114E1,4.311724784545E0)); -#1715=LINE('',#1714,#1713); -#1716=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1717=VECTOR('',#1716,1.2E0); -#1718=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,4.311724784545E0)); -#1719=LINE('',#1718,#1717); -#1720=DIRECTION('',(1.E0,0.E0,0.E0)); -#1721=VECTOR('',#1720,1.016E-1); -#1722=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,4.311724784545E0)); -#1723=LINE('',#1722,#1721); -#1724=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1725=VECTOR('',#1724,9.144E-1); -#1726=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.311724784545E0)); -#1727=LINE('',#1726,#1725); -#1728=DIRECTION('',(1.E0,0.E0,0.E0)); -#1729=VECTOR('',#1728,9.144E-1); -#1730=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.311724784545E0)); -#1731=LINE('',#1730,#1729); -#1732=DIRECTION('',(0.E0,1.E0,0.E0)); -#1733=VECTOR('',#1732,9.144E-1); -#1734=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.311724784545E0)); -#1735=LINE('',#1734,#1733); -#1736=DIRECTION('',(1.E0,0.E0,0.E0)); -#1737=VECTOR('',#1736,9.85621E-1); -#1738=CARTESIAN_POINT('',(8.7964381E1,6.58114E1,4.311724784545E0)); -#1739=LINE('',#1738,#1737); -#1740=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1741=VECTOR('',#1740,9.144E-1); -#1742=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.311724784545E0)); -#1743=LINE('',#1742,#1741); -#1744=DIRECTION('',(1.E0,0.E0,0.E0)); -#1745=VECTOR('',#1744,9.144E-1); -#1746=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.311724784545E0)); -#1747=LINE('',#1746,#1745); -#1748=DIRECTION('',(0.E0,1.E0,0.E0)); -#1749=VECTOR('',#1748,9.144E-1); -#1750=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.311724784545E0)); -#1751=LINE('',#1750,#1749); -#1752=DIRECTION('',(1.E0,0.E0,0.E0)); -#1753=VECTOR('',#1752,1.016E-1); -#1754=CARTESIAN_POINT('',(8.9864402E1,6.58114E1,4.311724784545E0)); -#1755=LINE('',#1754,#1753); -#1756=DIRECTION('',(0.E0,1.E0,0.E0)); -#1757=VECTOR('',#1756,1.2E0); -#1758=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,4.311724784545E0)); -#1759=LINE('',#1758,#1757); -#1760=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1761=VECTOR('',#1760,1.05161E0); -#1762=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,4.311724784545E0)); -#1763=LINE('',#1762,#1761); -#1764=DIRECTION('',(0.E0,1.E0,0.E0)); -#1765=VECTOR('',#1764,9.24799E-1); -#1766=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.311724784545E0)); -#1767=LINE('',#1766,#1765); -#1768=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1769=VECTOR('',#1768,9.24799E-1); -#1770=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.311724784545E0)); -#1771=LINE('',#1770,#1769); -#1772=DIRECTION('',(0.E0,1.E0,0.E0)); -#1773=VECTOR('',#1772,1.051611E0); -#1774=CARTESIAN_POINT('',(9.0850992E1,6.30682E1,4.311724784545E0)); -#1775=LINE('',#1774,#1773); -#1776=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1777=VECTOR('',#1776,1.2E0); -#1778=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,4.311724784545E0)); -#1779=LINE('',#1778,#1777); -#1780=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1781=VECTOR('',#1780,1.016E-1); -#1782=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,4.311724784545E0)); -#1783=LINE('',#1782,#1781); -#1784=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1785=VECTOR('',#1784,9.144E-1); -#1786=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.311724784545E0)); -#1787=LINE('',#1786,#1785); -#1788=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1789=VECTOR('',#1788,9.144E-1); -#1790=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.311724784545E0)); -#1791=LINE('',#1790,#1789); -#1792=DIRECTION('',(1.E0,0.E0,0.E0)); -#1793=VECTOR('',#1792,9.144E-1); -#1794=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.311724784545E0)); -#1795=LINE('',#1794,#1793); -#1796=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1797=VECTOR('',#1796,9.85622E-1); -#1798=CARTESIAN_POINT('',(8.9650992E1,6.3103811E1,4.311724784545E0)); -#1799=LINE('',#1798,#1797); -#1800=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1801=VECTOR('',#1800,9.144E-1); -#1802=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.311724784545E0)); -#1803=LINE('',#1802,#1801); -#1804=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1805=VECTOR('',#1804,9.144E-1); -#1806=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.311724784545E0)); -#1807=LINE('',#1806,#1805); -#1808=DIRECTION('',(1.E0,0.E0,0.E0)); -#1809=VECTOR('',#1808,9.144E-1); -#1810=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.311724784545E0)); -#1811=LINE('',#1810,#1809); -#1812=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1813=VECTOR('',#1812,1.016E-1); -#1814=CARTESIAN_POINT('',(8.9650992E1,6.1203789E1,4.311724784545E0)); -#1815=LINE('',#1814,#1813); -#1816=DIRECTION('',(1.E0,0.E0,0.E0)); -#1817=VECTOR('',#1816,1.2E0); -#1818=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,4.311724784545E0)); -#1819=LINE('',#1818,#1817); -#1820=DIRECTION('',(0.E0,1.E0,0.E0)); -#1821=VECTOR('',#1820,1.051611E0); -#1822=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,4.311724784545E0)); -#1823=LINE('',#1822,#1821); -#1824=DIRECTION('',(1.E0,0.E0,0.E0)); -#1825=VECTOR('',#1824,9.24799E-1); -#1826=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.311724784545E0)); -#1827=LINE('',#1826,#1825); -#1828=DIRECTION('',(0.E0,1.E0,0.E0)); -#1829=VECTOR('',#1828,9.144E-1); -#1830=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.311724784545E0)); -#1831=LINE('',#1830,#1829); -#1832=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1833=VECTOR('',#1832,9.49998E-1); -#1834=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.311724784545E0)); -#1835=LINE('',#1834,#1833); -#1836=DIRECTION('',(0.E0,1.E0,0.E0)); -#1837=VECTOR('',#1836,2.00002E-1); -#1838=CARTESIAN_POINT('',(9.2295591E1,6.0466199E1,4.311724784545E0)); -#1839=LINE('',#1838,#1837); -#1840=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1841=VECTOR('',#1840,2.799999E0); -#1842=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,4.311724784545E0)); -#1843=LINE('',#1842,#1841); -#1844=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1845=VECTOR('',#1844,2.00002E-1); -#1846=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,4.311724784545E0)); -#1847=LINE('',#1846,#1845); -#1848=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1849=VECTOR('',#1848,9.49998E-1); -#1850=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.311724784545E0)); -#1851=LINE('',#1850,#1849); -#1852=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1853=VECTOR('',#1852,1.399998E0); -#1854=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.311724784545E0)); -#1855=LINE('',#1854,#1853); -#1856=DIRECTION('',(1.E0,0.E0,0.E0)); -#1857=VECTOR('',#1856,9.49998E-1); -#1858=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.311724784545E0)); -#1859=LINE('',#1858,#1857); -#1860=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1861=VECTOR('',#1860,2.00002E-1); -#1862=CARTESIAN_POINT('',(8.9495592E1,5.9066201E1,4.311724784545E0)); -#1863=LINE('',#1862,#1861); -#1864=DIRECTION('',(1.E0,0.E0,0.E0)); -#1865=VECTOR('',#1864,2.799999E0); -#1866=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,4.311724784545E0)); -#1867=LINE('',#1866,#1865); -#1868=DIRECTION('',(0.E0,1.E0,0.E0)); -#1869=VECTOR('',#1868,2.00002E-1); -#1870=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,4.311724784545E0)); -#1871=LINE('',#1870,#1869); -#1872=DIRECTION('',(1.E0,0.E0,0.E0)); -#1873=VECTOR('',#1872,9.49998E-1); -#1874=CARTESIAN_POINT('',(9.2295591E1,5.9066201E1,4.311724784545E0)); -#1875=LINE('',#1874,#1873); -#1876=DIRECTION('',(0.E0,1.E0,0.E0)); -#1877=VECTOR('',#1876,1.399998E0); -#1878=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.311724784545E0)); -#1879=LINE('',#1878,#1877); -#1880=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1881=VECTOR('',#1880,1.049998E0); -#1882=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.311724784545E0)); -#1883=LINE('',#1882,#1881); -#1884=DIRECTION('',(0.E0,1.E0,0.E0)); -#1885=VECTOR('',#1884,3.E-1); -#1886=CARTESIAN_POINT('',(9.839959E1,6.4622997E1,4.311724784545E0)); -#1887=LINE('',#1886,#1885); -#1888=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1889=VECTOR('',#1888,1.800002E0); -#1890=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,4.311724784545E0)); -#1891=LINE('',#1890,#1889); -#1892=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1893=VECTOR('',#1892,3.E-1); -#1894=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,4.311724784545E0)); -#1895=LINE('',#1894,#1893); -#1896=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1897=VECTOR('',#1896,1.049998E0); -#1898=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.311724784545E0)); -#1899=LINE('',#1898,#1897); -#1900=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1901=VECTOR('',#1900,5.99998E-1); -#1902=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.311724784545E0)); -#1903=LINE('',#1902,#1901); -#1904=DIRECTION('',(1.E0,0.E0,0.E0)); -#1905=VECTOR('',#1904,1.049998E0); -#1906=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.311724784545E0)); -#1907=LINE('',#1906,#1905); -#1908=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1909=VECTOR('',#1908,3.50002E-1); -#1910=CARTESIAN_POINT('',(9.6599588E1,6.4022999E1,4.311724784545E0)); -#1911=LINE('',#1910,#1909); -#1912=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1913=VECTOR('',#1912,1.049998E0); -#1914=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.311724784545E0)); -#1915=LINE('',#1914,#1913); -#1916=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1917=VECTOR('',#1916,5.99999E-1); -#1918=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.311724784545E0)); -#1919=LINE('',#1918,#1917); -#1920=DIRECTION('',(1.E0,0.E0,0.E0)); -#1921=VECTOR('',#1920,1.049998E0); -#1922=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.311724784545E0)); -#1923=LINE('',#1922,#1921); -#1924=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1925=VECTOR('',#1924,3.49999E-1); -#1926=CARTESIAN_POINT('',(9.6599588E1,6.3072998E1,4.311724784545E0)); -#1927=LINE('',#1926,#1925); -#1928=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1929=VECTOR('',#1928,1.049998E0); -#1930=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.311724784545E0)); -#1931=LINE('',#1930,#1929); -#1932=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1933=VECTOR('',#1932,5.99999E-1); -#1934=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.311724784545E0)); -#1935=LINE('',#1934,#1933); -#1936=DIRECTION('',(1.E0,0.E0,0.E0)); -#1937=VECTOR('',#1936,1.049998E0); -#1938=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.311724784545E0)); -#1939=LINE('',#1938,#1937); -#1940=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1941=VECTOR('',#1940,3.00002E-1); -#1942=CARTESIAN_POINT('',(9.6599588E1,6.2123E1,4.311724784545E0)); -#1943=LINE('',#1942,#1941); -#1944=DIRECTION('',(1.E0,0.E0,0.E0)); -#1945=VECTOR('',#1944,1.800002E0); -#1946=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,4.311724784545E0)); -#1947=LINE('',#1946,#1945); -#1948=DIRECTION('',(0.E0,1.E0,0.E0)); -#1949=VECTOR('',#1948,3.00002E-1); -#1950=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,4.311724784545E0)); -#1951=LINE('',#1950,#1949); -#1952=DIRECTION('',(1.E0,0.E0,0.E0)); -#1953=VECTOR('',#1952,1.049998E0); -#1954=CARTESIAN_POINT('',(9.839959E1,6.2123E1,4.311724784545E0)); -#1955=LINE('',#1954,#1953); -#1956=DIRECTION('',(0.E0,1.E0,0.E0)); -#1957=VECTOR('',#1956,5.99999E-1); -#1958=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.311724784545E0)); -#1959=LINE('',#1958,#1957); -#1960=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1961=VECTOR('',#1960,1.049998E0); -#1962=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.311724784545E0)); -#1963=LINE('',#1962,#1961); -#1964=DIRECTION('',(0.E0,1.E0,0.E0)); -#1965=VECTOR('',#1964,1.3E0); -#1966=CARTESIAN_POINT('',(9.839959E1,6.2722999E1,4.311724784545E0)); -#1967=LINE('',#1966,#1965); -#1968=DIRECTION('',(1.E0,0.E0,0.E0)); -#1969=VECTOR('',#1968,1.049998E0); -#1970=CARTESIAN_POINT('',(9.839959E1,6.4022999E1,4.311724784545E0)); -#1971=LINE('',#1970,#1969); -#1972=DIRECTION('',(0.E0,1.E0,0.E0)); -#1973=VECTOR('',#1972,5.99998E-1); -#1974=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.311724784545E0)); -#1975=LINE('',#1974,#1973); -#1976=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1977=VECTOR('',#1976,1.5516E0); -#1978=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.311724784545E0)); -#1979=LINE('',#1978,#1977); -#1980=DIRECTION('',(-3.781484007547E-14,-1.E0,0.E0)); -#1981=VECTOR('',#1980,7.51602E-1); -#1982=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.311724784545E0)); -#1983=LINE('',#1982,#1981); -#1984=DIRECTION('',(1.E0,0.E0,0.E0)); -#1985=VECTOR('',#1984,1.5516E0); -#1986=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.311724784545E0)); -#1987=LINE('',#1986,#1985); -#1988=DIRECTION('',(0.E0,1.E0,0.E0)); -#1989=VECTOR('',#1988,7.51602E-1); -#1990=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.311724784545E0)); -#1991=LINE('',#1990,#1989); -#1992=DIRECTION('',(-1.E0,0.E0,0.E0)); -#1993=VECTOR('',#1992,1.183198E0); -#1994=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,4.311724784545E0)); -#1995=LINE('',#1994,#1993); -#1996=DIRECTION('',(0.E0,-1.E0,0.E0)); -#1997=VECTOR('',#1996,2.673202E0); -#1998=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,4.311724784545E0)); -#1999=LINE('',#1998,#1997); -#2000=DIRECTION('',(1.E0,0.E0,0.E0)); -#2001=VECTOR('',#2000,1.183198E0); -#2002=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,4.311724784545E0)); -#2003=LINE('',#2002,#2001); -#2004=DIRECTION('',(0.E0,1.E0,0.E0)); -#2005=VECTOR('',#2004,2.673202E0); -#2006=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,4.311724784545E0)); -#2007=LINE('',#2006,#2005); -#2008=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2009=VECTOR('',#2008,5.99999E-1); -#2010=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.311724784545E0)); -#2011=LINE('',#2010,#2009); -#2012=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2013=VECTOR('',#2012,4.99999E-1); -#2014=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.311724784545E0)); -#2015=LINE('',#2014,#2013); -#2016=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2017=VECTOR('',#2016,1.3E0); -#2018=CARTESIAN_POINT('',(8.4738393E1,6.4222198E1,4.311724784545E0)); -#2019=LINE('',#2018,#2017); -#2020=DIRECTION('',(0.E0,1.E0,0.E0)); -#2021=VECTOR('',#2020,4.99999E-1); -#2022=CARTESIAN_POINT('',(8.3438393E1,6.4222198E1,4.311724784545E0)); -#2023=LINE('',#2022,#2021); -#2024=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2025=VECTOR('',#2024,5.99999E-1); -#2026=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.311724784545E0)); -#2027=LINE('',#2026,#2025); -#2028=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2029=VECTOR('',#2028,4.99999E-1); -#2030=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.311724784545E0)); -#2031=LINE('',#2030,#2029); -#2032=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2033=VECTOR('',#2032,2.99999E-1); -#2034=CARTESIAN_POINT('',(8.2838394E1,6.4222198E1,4.311724784545E0)); -#2035=LINE('',#2034,#2033); -#2036=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2037=VECTOR('',#2036,1.800001E0); -#2038=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,4.311724784545E0)); -#2039=LINE('',#2038,#2037); -#2040=DIRECTION('',(1.E0,0.E0,0.E0)); -#2041=VECTOR('',#2040,2.99999E-1); -#2042=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,4.311724784545E0)); -#2043=LINE('',#2042,#2041); -#2044=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2045=VECTOR('',#2044,4.99999E-1); -#2046=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.311724784545E0)); -#2047=LINE('',#2046,#2045); -#2048=DIRECTION('',(1.E0,0.E0,0.E0)); -#2049=VECTOR('',#2048,5.99999E-1); -#2050=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.311724784545E0)); -#2051=LINE('',#2050,#2049); -#2052=DIRECTION('',(0.E0,1.E0,0.E0)); -#2053=VECTOR('',#2052,4.99999E-1); -#2054=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.311724784545E0)); -#2055=LINE('',#2054,#2053); -#2056=DIRECTION('',(1.E0,0.E0,0.E0)); -#2057=VECTOR('',#2056,3.50002E-1); -#2058=CARTESIAN_POINT('',(8.3438393E1,6.2422197E1,4.311724784545E0)); -#2059=LINE('',#2058,#2057); -#2060=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2061=VECTOR('',#2060,4.99999E-1); -#2062=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.311724784545E0)); -#2063=LINE('',#2062,#2061); -#2064=DIRECTION('',(1.E0,0.E0,0.E0)); -#2065=VECTOR('',#2064,5.99999E-1); -#2066=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.311724784545E0)); -#2067=LINE('',#2066,#2065); -#2068=DIRECTION('',(0.E0,1.E0,0.E0)); -#2069=VECTOR('',#2068,4.99999E-1); -#2070=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.311724784545E0)); -#2071=LINE('',#2070,#2069); -#2072=DIRECTION('',(1.E0,0.E0,0.E0)); -#2073=VECTOR('',#2072,3.49999E-1); -#2074=CARTESIAN_POINT('',(8.4388394E1,6.2422197E1,4.311724784545E0)); -#2075=LINE('',#2074,#2073); -#2076=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2077=VECTOR('',#2076,4.99999E-1); -#2078=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.311724784545E0)); -#2079=LINE('',#2078,#2077); -#2080=DIRECTION('',(1.E0,0.E0,0.E0)); -#2081=VECTOR('',#2080,5.99999E-1); -#2082=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.311724784545E0)); -#2083=LINE('',#2082,#2081); -#2084=DIRECTION('',(0.E0,1.E0,0.E0)); -#2085=VECTOR('',#2084,4.99999E-1); -#2086=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.311724784545E0)); -#2087=LINE('',#2086,#2085); -#2088=DIRECTION('',(1.E0,0.E0,0.E0)); -#2089=VECTOR('',#2088,3.00002E-1); -#2090=CARTESIAN_POINT('',(8.5338392E1,6.2422197E1,4.311724784545E0)); -#2091=LINE('',#2090,#2089); -#2092=DIRECTION('',(0.E0,1.E0,0.E0)); -#2093=VECTOR('',#2092,1.800001E0); -#2094=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,4.311724784545E0)); -#2095=LINE('',#2094,#2093); -#2096=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2097=VECTOR('',#2096,3.00002E-1); -#2098=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,4.311724784545E0)); -#2099=LINE('',#2098,#2097); -#2100=DIRECTION('',(0.E0,1.E0,0.E0)); -#2101=VECTOR('',#2100,4.99999E-1); -#2102=CARTESIAN_POINT('',(8.5338392E1,6.4222198E1,4.311724784545E0)); -#2103=LINE('',#2102,#2101); -#2104=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,4.311724784545E0)); -#2105=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2106=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2107=AXIS2_PLACEMENT_3D('',#2104,#2105,#2106); -#2109=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,4.311724784545E0)); -#2110=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2111=DIRECTION('',(1.E0,0.E0,0.E0)); -#2112=AXIS2_PLACEMENT_3D('',#2109,#2110,#2111); -#2114=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,4.311724784545E0)); -#2115=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2116=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2117=AXIS2_PLACEMENT_3D('',#2114,#2115,#2116); -#2119=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,4.311724784545E0)); -#2120=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2121=DIRECTION('',(1.E0,0.E0,0.E0)); -#2122=AXIS2_PLACEMENT_3D('',#2119,#2120,#2121); -#2124=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,4.311724784545E0)); -#2125=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2126=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2127=AXIS2_PLACEMENT_3D('',#2124,#2125,#2126); -#2129=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,4.311724784545E0)); -#2130=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2131=DIRECTION('',(1.E0,0.E0,0.E0)); -#2132=AXIS2_PLACEMENT_3D('',#2129,#2130,#2131); -#2134=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,4.311724784545E0)); -#2135=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2136=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2137=AXIS2_PLACEMENT_3D('',#2134,#2135,#2136); -#2139=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,4.311724784545E0)); -#2140=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2141=DIRECTION('',(1.E0,0.E0,0.E0)); -#2142=AXIS2_PLACEMENT_3D('',#2139,#2140,#2141); -#2144=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,4.311724784545E0)); -#2145=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2146=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2147=AXIS2_PLACEMENT_3D('',#2144,#2145,#2146); -#2149=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,4.311724784545E0)); -#2150=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2151=DIRECTION('',(1.E0,0.E0,0.E0)); -#2152=AXIS2_PLACEMENT_3D('',#2149,#2150,#2151); -#2154=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,4.311724784545E0)); -#2155=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2156=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2157=AXIS2_PLACEMENT_3D('',#2154,#2155,#2156); -#2159=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,4.311724784545E0)); -#2160=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2161=DIRECTION('',(1.E0,0.E0,0.E0)); -#2162=AXIS2_PLACEMENT_3D('',#2159,#2160,#2161); -#2164=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,4.311724784545E0)); -#2165=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2166=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2167=AXIS2_PLACEMENT_3D('',#2164,#2165,#2166); -#2169=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,4.311724784545E0)); -#2170=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2171=DIRECTION('',(1.E0,0.E0,0.E0)); -#2172=AXIS2_PLACEMENT_3D('',#2169,#2170,#2171); -#2174=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,4.311724784545E0)); -#2175=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2176=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2177=AXIS2_PLACEMENT_3D('',#2174,#2175,#2176); -#2179=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,4.311724784545E0)); -#2180=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2181=DIRECTION('',(1.E0,0.E0,0.E0)); -#2182=AXIS2_PLACEMENT_3D('',#2179,#2180,#2181); -#2184=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,4.311724784545E0)); -#2185=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2186=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2187=AXIS2_PLACEMENT_3D('',#2184,#2185,#2186); -#2189=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,4.311724784545E0)); -#2190=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2191=DIRECTION('',(1.E0,0.E0,0.E0)); -#2192=AXIS2_PLACEMENT_3D('',#2189,#2190,#2191); -#2194=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,4.311724784545E0)); -#2195=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2196=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2197=AXIS2_PLACEMENT_3D('',#2194,#2195,#2196); -#2199=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,4.311724784545E0)); -#2200=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2201=DIRECTION('',(1.E0,0.E0,0.E0)); -#2202=AXIS2_PLACEMENT_3D('',#2199,#2200,#2201); -#2204=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,4.311724784545E0)); -#2205=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2206=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2207=AXIS2_PLACEMENT_3D('',#2204,#2205,#2206); -#2209=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,4.311724784545E0)); -#2210=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2211=DIRECTION('',(1.E0,0.E0,0.E0)); -#2212=AXIS2_PLACEMENT_3D('',#2209,#2210,#2211); -#2214=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,4.311724784545E0)); -#2215=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2216=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2217=AXIS2_PLACEMENT_3D('',#2214,#2215,#2216); -#2219=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,4.311724784545E0)); -#2220=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2221=DIRECTION('',(1.E0,0.E0,0.E0)); -#2222=AXIS2_PLACEMENT_3D('',#2219,#2220,#2221); -#2224=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,4.311724784545E0)); -#2225=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2226=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2227=AXIS2_PLACEMENT_3D('',#2224,#2225,#2226); -#2229=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,4.311724784545E0)); -#2230=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2231=DIRECTION('',(1.E0,0.E0,0.E0)); -#2232=AXIS2_PLACEMENT_3D('',#2229,#2230,#2231); -#2234=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,4.311724784545E0)); -#2235=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2236=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2237=AXIS2_PLACEMENT_3D('',#2234,#2235,#2236); -#2239=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,4.311724784545E0)); -#2240=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2241=DIRECTION('',(1.E0,0.E0,0.E0)); -#2242=AXIS2_PLACEMENT_3D('',#2239,#2240,#2241); -#2244=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,4.311724784545E0)); -#2245=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2246=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2247=AXIS2_PLACEMENT_3D('',#2244,#2245,#2246); -#2249=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,4.311724784545E0)); -#2250=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2251=DIRECTION('',(1.E0,0.E0,0.E0)); -#2252=AXIS2_PLACEMENT_3D('',#2249,#2250,#2251); -#2254=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,4.311724784545E0)); -#2255=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2256=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2257=AXIS2_PLACEMENT_3D('',#2254,#2255,#2256); -#2259=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,4.311724784545E0)); -#2260=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2261=DIRECTION('',(1.E0,0.E0,0.E0)); -#2262=AXIS2_PLACEMENT_3D('',#2259,#2260,#2261); -#2264=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,4.311724784545E0)); -#2265=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2266=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2267=AXIS2_PLACEMENT_3D('',#2264,#2265,#2266); -#2269=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,4.311724784545E0)); -#2270=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2271=DIRECTION('',(1.E0,0.E0,0.E0)); -#2272=AXIS2_PLACEMENT_3D('',#2269,#2270,#2271); -#2274=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,4.311724784545E0)); -#2275=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2276=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2277=AXIS2_PLACEMENT_3D('',#2274,#2275,#2276); -#2279=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,4.311724784545E0)); -#2280=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2281=DIRECTION('',(1.E0,0.E0,0.E0)); -#2282=AXIS2_PLACEMENT_3D('',#2279,#2280,#2281); -#2284=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,4.311724784545E0)); -#2285=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2286=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2287=AXIS2_PLACEMENT_3D('',#2284,#2285,#2286); -#2289=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,4.311724784545E0)); -#2290=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2291=DIRECTION('',(1.E0,0.E0,0.E0)); -#2292=AXIS2_PLACEMENT_3D('',#2289,#2290,#2291); -#2294=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,4.311724784545E0)); -#2295=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2296=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2297=AXIS2_PLACEMENT_3D('',#2294,#2295,#2296); -#2299=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,4.311724784545E0)); -#2300=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2301=DIRECTION('',(1.E0,0.E0,0.E0)); -#2302=AXIS2_PLACEMENT_3D('',#2299,#2300,#2301); -#2304=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,4.311724784545E0)); -#2305=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2306=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2307=AXIS2_PLACEMENT_3D('',#2304,#2305,#2306); -#2309=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,4.311724784545E0)); -#2310=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2311=DIRECTION('',(1.E0,0.E0,0.E0)); -#2312=AXIS2_PLACEMENT_3D('',#2309,#2310,#2311); -#2314=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,4.311724784545E0)); -#2315=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2316=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2317=AXIS2_PLACEMENT_3D('',#2314,#2315,#2316); -#2319=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,4.311724784545E0)); -#2320=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2321=DIRECTION('',(1.E0,0.E0,0.E0)); -#2322=AXIS2_PLACEMENT_3D('',#2319,#2320,#2321); -#2324=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,4.311724784545E0)); -#2325=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2326=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2327=AXIS2_PLACEMENT_3D('',#2324,#2325,#2326); -#2329=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,4.311724784545E0)); -#2330=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2331=DIRECTION('',(1.E0,0.E0,0.E0)); -#2332=AXIS2_PLACEMENT_3D('',#2329,#2330,#2331); -#2334=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,4.311724784545E0)); -#2335=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2336=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2337=AXIS2_PLACEMENT_3D('',#2334,#2335,#2336); -#2339=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,4.311724784545E0)); -#2340=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2341=DIRECTION('',(1.E0,0.E0,0.E0)); -#2342=AXIS2_PLACEMENT_3D('',#2339,#2340,#2341); -#2344=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,3.111724784545E0)); -#2345=DIRECTION('',(0.E0,0.E0,1.E0)); -#2346=DIRECTION('',(1.E0,0.E0,0.E0)); -#2347=AXIS2_PLACEMENT_3D('',#2344,#2345,#2346); -#2349=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,3.111724784545E0)); -#2350=DIRECTION('',(0.E0,0.E0,1.E0)); -#2351=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2352=AXIS2_PLACEMENT_3D('',#2349,#2350,#2351); -#2354=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,3.111724784545E0)); -#2355=DIRECTION('',(0.E0,0.E0,1.E0)); -#2356=DIRECTION('',(1.E0,0.E0,0.E0)); -#2357=AXIS2_PLACEMENT_3D('',#2354,#2355,#2356); -#2359=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,3.111724784545E0)); -#2360=DIRECTION('',(0.E0,0.E0,1.E0)); -#2361=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2362=AXIS2_PLACEMENT_3D('',#2359,#2360,#2361); -#2364=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,3.111724784545E0)); -#2365=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2366=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2367=AXIS2_PLACEMENT_3D('',#2364,#2365,#2366); -#2369=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,3.111724784545E0)); -#2370=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2371=DIRECTION('',(1.E0,0.E0,0.E0)); -#2372=AXIS2_PLACEMENT_3D('',#2369,#2370,#2371); -#2374=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,3.111724784545E0)); -#2375=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2376=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2377=AXIS2_PLACEMENT_3D('',#2374,#2375,#2376); -#2379=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,3.111724784545E0)); -#2380=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2381=DIRECTION('',(1.E0,0.E0,0.E0)); -#2382=AXIS2_PLACEMENT_3D('',#2379,#2380,#2381); -#2384=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,3.111724784545E0)); -#2385=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2386=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2387=AXIS2_PLACEMENT_3D('',#2384,#2385,#2386); -#2389=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,3.111724784545E0)); -#2390=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2391=DIRECTION('',(1.E0,0.E0,0.E0)); -#2392=AXIS2_PLACEMENT_3D('',#2389,#2390,#2391); -#2394=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,3.111724784545E0)); -#2395=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2396=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2397=AXIS2_PLACEMENT_3D('',#2394,#2395,#2396); -#2399=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,3.111724784545E0)); -#2400=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2401=DIRECTION('',(1.E0,0.E0,0.E0)); -#2402=AXIS2_PLACEMENT_3D('',#2399,#2400,#2401); -#2404=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,3.111724784545E0)); -#2405=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2406=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2407=AXIS2_PLACEMENT_3D('',#2404,#2405,#2406); -#2409=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,3.111724784545E0)); -#2410=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2411=DIRECTION('',(1.E0,0.E0,0.E0)); -#2412=AXIS2_PLACEMENT_3D('',#2409,#2410,#2411); -#2414=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,3.111724784545E0)); -#2415=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2416=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2417=AXIS2_PLACEMENT_3D('',#2414,#2415,#2416); -#2419=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,3.111724784545E0)); -#2420=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2421=DIRECTION('',(1.E0,0.E0,0.E0)); -#2422=AXIS2_PLACEMENT_3D('',#2419,#2420,#2421); -#2424=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,3.111724784545E0)); -#2425=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2426=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2427=AXIS2_PLACEMENT_3D('',#2424,#2425,#2426); -#2429=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,3.111724784545E0)); -#2430=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2431=DIRECTION('',(1.E0,0.E0,0.E0)); -#2432=AXIS2_PLACEMENT_3D('',#2429,#2430,#2431); -#2434=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,3.111724784545E0)); -#2435=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2436=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2437=AXIS2_PLACEMENT_3D('',#2434,#2435,#2436); -#2439=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,3.111724784545E0)); -#2440=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2441=DIRECTION('',(1.E0,0.E0,0.E0)); -#2442=AXIS2_PLACEMENT_3D('',#2439,#2440,#2441); -#2444=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,3.111724784545E0)); -#2445=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2446=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2447=AXIS2_PLACEMENT_3D('',#2444,#2445,#2446); -#2449=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,3.111724784545E0)); -#2450=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2451=DIRECTION('',(1.E0,0.E0,0.E0)); -#2452=AXIS2_PLACEMENT_3D('',#2449,#2450,#2451); -#2454=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,3.111724784545E0)); -#2455=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2456=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2457=AXIS2_PLACEMENT_3D('',#2454,#2455,#2456); -#2459=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,3.111724784545E0)); -#2460=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2461=DIRECTION('',(1.E0,0.E0,0.E0)); -#2462=AXIS2_PLACEMENT_3D('',#2459,#2460,#2461); -#2464=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,3.111724784545E0)); -#2465=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2466=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2467=AXIS2_PLACEMENT_3D('',#2464,#2465,#2466); -#2469=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,3.111724784545E0)); -#2470=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2471=DIRECTION('',(1.E0,0.E0,0.E0)); -#2472=AXIS2_PLACEMENT_3D('',#2469,#2470,#2471); -#2474=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,3.111724784545E0)); -#2475=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2476=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2477=AXIS2_PLACEMENT_3D('',#2474,#2475,#2476); -#2479=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,3.111724784545E0)); -#2480=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2481=DIRECTION('',(1.E0,0.E0,0.E0)); -#2482=AXIS2_PLACEMENT_3D('',#2479,#2480,#2481); -#2484=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,3.111724784545E0)); -#2485=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2486=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2487=AXIS2_PLACEMENT_3D('',#2484,#2485,#2486); -#2489=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,3.111724784545E0)); -#2490=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2491=DIRECTION('',(1.E0,0.E0,0.E0)); -#2492=AXIS2_PLACEMENT_3D('',#2489,#2490,#2491); -#2494=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,3.111724784545E0)); -#2495=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2496=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2497=AXIS2_PLACEMENT_3D('',#2494,#2495,#2496); -#2499=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,3.111724784545E0)); -#2500=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2501=DIRECTION('',(1.E0,0.E0,0.E0)); -#2502=AXIS2_PLACEMENT_3D('',#2499,#2500,#2501); -#2504=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,3.111724784545E0)); -#2505=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2506=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2507=AXIS2_PLACEMENT_3D('',#2504,#2505,#2506); -#2509=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,3.111724784545E0)); -#2510=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2511=DIRECTION('',(1.E0,0.E0,0.E0)); -#2512=AXIS2_PLACEMENT_3D('',#2509,#2510,#2511); -#2514=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,3.111724784545E0)); -#2515=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2516=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2517=AXIS2_PLACEMENT_3D('',#2514,#2515,#2516); -#2519=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,3.111724784545E0)); -#2520=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2521=DIRECTION('',(1.E0,0.E0,0.E0)); -#2522=AXIS2_PLACEMENT_3D('',#2519,#2520,#2521); -#2524=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,3.111724784545E0)); -#2525=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2526=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2527=AXIS2_PLACEMENT_3D('',#2524,#2525,#2526); -#2529=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,3.111724784545E0)); -#2530=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2531=DIRECTION('',(1.E0,0.E0,0.E0)); -#2532=AXIS2_PLACEMENT_3D('',#2529,#2530,#2531); -#2534=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,3.111724784545E0)); -#2535=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2536=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2537=AXIS2_PLACEMENT_3D('',#2534,#2535,#2536); -#2539=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,3.111724784545E0)); -#2540=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2541=DIRECTION('',(1.E0,0.E0,0.E0)); -#2542=AXIS2_PLACEMENT_3D('',#2539,#2540,#2541); -#2544=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,3.111724784545E0)); -#2545=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2546=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2547=AXIS2_PLACEMENT_3D('',#2544,#2545,#2546); -#2549=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,3.111724784545E0)); -#2550=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2551=DIRECTION('',(1.E0,0.E0,0.E0)); -#2552=AXIS2_PLACEMENT_3D('',#2549,#2550,#2551); -#2554=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,3.111724784545E0)); -#2555=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2556=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2557=AXIS2_PLACEMENT_3D('',#2554,#2555,#2556); -#2559=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,3.111724784545E0)); -#2560=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2561=DIRECTION('',(1.E0,0.E0,0.E0)); -#2562=AXIS2_PLACEMENT_3D('',#2559,#2560,#2561); -#2564=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,3.111724784545E0)); -#2565=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2566=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2567=AXIS2_PLACEMENT_3D('',#2564,#2565,#2566); -#2569=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,3.111724784545E0)); -#2570=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2571=DIRECTION('',(1.E0,0.E0,0.E0)); -#2572=AXIS2_PLACEMENT_3D('',#2569,#2570,#2571); -#2574=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,3.111724784545E0)); -#2575=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2576=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2577=AXIS2_PLACEMENT_3D('',#2574,#2575,#2576); -#2579=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,3.111724784545E0)); -#2580=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2581=DIRECTION('',(1.E0,0.E0,0.E0)); -#2582=AXIS2_PLACEMENT_3D('',#2579,#2580,#2581); -#2584=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,3.111724784545E0)); -#2585=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2586=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2587=AXIS2_PLACEMENT_3D('',#2584,#2585,#2586); -#2589=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,3.111724784545E0)); -#2590=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2591=DIRECTION('',(1.E0,0.E0,0.E0)); -#2592=AXIS2_PLACEMENT_3D('',#2589,#2590,#2591); -#2594=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,3.111724784545E0)); -#2595=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2596=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2597=AXIS2_PLACEMENT_3D('',#2594,#2595,#2596); -#2599=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,3.111724784545E0)); -#2600=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2601=DIRECTION('',(1.E0,0.E0,0.E0)); -#2602=AXIS2_PLACEMENT_3D('',#2599,#2600,#2601); -#2604=DIRECTION('',(1.E0,0.E0,0.E0)); -#2605=VECTOR('',#2604,7.613631337673E-1); -#2606=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,3.111724784545E0)); -#2607=LINE('',#2606,#2605); -#2608=DIRECTION('',(0.E0,1.E0,0.E0)); -#2609=VECTOR('',#2608,2.344466E0); -#2610=CARTESIAN_POINT('',(8.348498713377E1,8.5605734E1,3.111724784545E0)); -#2611=LINE('',#2610,#2609); -#2612=DIRECTION('',(1.E0,0.E0,0.E0)); -#2613=VECTOR('',#2612,1.85E1); -#2614=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,3.111724784545E0)); -#2615=LINE('',#2614,#2613); -#2616=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2617=VECTOR('',#2616,2.444567E0); -#2618=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,3.111724784545E0)); -#2619=LINE('',#2618,#2617); -#2620=DIRECTION('',(1.E0,0.E0,0.E0)); -#2621=VECTOR('',#2620,8.416958662327E-1); -#2622=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,3.111724784545E0)); -#2623=LINE('',#2622,#2621); -#2624=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2625=VECTOR('',#2624,1.503198E0); -#2626=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,3.111724784545E0)); -#2627=LINE('',#2626,#2625); -#2628=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2629=VECTOR('',#2628,8.416958662327E-1); -#2630=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,3.111724784545E0)); -#2631=LINE('',#2630,#2629); -#2632=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2633=VECTOR('',#2632,1.852235E0); -#2634=CARTESIAN_POINT('',(1.019849871338E2,8.4002435E1,3.111724784545E0)); -#2635=LINE('',#2634,#2633); -#2636=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2637=VECTOR('',#2636,1.85E1); -#2638=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,3.111724784545E0)); -#2639=LINE('',#2638,#2637); -#2640=DIRECTION('',(0.E0,1.E0,0.E0)); -#2641=VECTOR('',#2640,1.752337E0); -#2642=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,3.111724784545E0)); -#2643=LINE('',#2642,#2641); -#2644=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2645=VECTOR('',#2644,7.613631337673E-1); -#2646=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,3.111724784545E0)); -#2647=LINE('',#2646,#2645); -#2648=DIRECTION('',(0.E0,1.E0,0.E0)); -#2649=VECTOR('',#2648,1.703197E0); -#2650=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,3.111724784545E0)); -#2651=LINE('',#2650,#2649); -#2652=DIRECTION('',(1.E0,0.E0,0.E0)); -#2653=VECTOR('',#2652,7.203201E0); -#2654=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,3.111724784545E0)); -#2655=LINE('',#2654,#2653); -#2656=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2657=VECTOR('',#2656,8.77007E-1); -#2658=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,3.111724784545E0)); -#2659=LINE('',#2658,#2657); -#2660=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2661=VECTOR('',#2660,4.266E-1); -#2662=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.111724784545E0)); -#2663=LINE('',#2662,#2661); -#2664=DIRECTION('',(0.E0,1.E0,0.E0)); -#2665=VECTOR('',#2664,6.3E0); -#2666=CARTESIAN_POINT('',(9.5772392E1,4.8767992E1,3.111724784545E0)); -#2667=LINE('',#2666,#2665); -#2668=DIRECTION('',(1.E0,0.E0,0.E0)); -#2669=VECTOR('',#2668,8.702747030836E0); -#2670=CARTESIAN_POINT('',(9.5772392E1,4.8767992E1,3.111724784545E0)); -#2671=LINE('',#2670,#2669); -#2672=CARTESIAN_POINT('',(1.044751390308E2,4.9767992E1,3.111724784545E0)); -#2673=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2674=DIRECTION('',(9.999999765744E-1,-2.164513699654E-4,0.E0)); -#2675=AXIS2_PLACEMENT_3D('',#2672,#2673,#2674); -#2677=DIRECTION('',(2.164513699637E-4,9.999999765744E-1,0.E0)); -#2678=VECTOR('',#2677,5.878220937701E1); -#2679=CARTESIAN_POINT('',(1.054751390074E2,4.976777554863E1,3.111724784545E0)); -#2680=LINE('',#2679,#2678); -#2681=CARTESIAN_POINT('',(1.044878625206E2,1.085502E2,3.111724784545E0)); -#2682=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2683=DIRECTION('',(0.E0,1.E0,0.E0)); -#2684=AXIS2_PLACEMENT_3D('',#2681,#2682,#2683); -#2686=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2687=VECTOR('',#2686,2.350520218157E1); -#2688=CARTESIAN_POINT('',(1.044878625206E2,1.095502E2,3.111724784545E0)); -#2689=LINE('',#2688,#2687); -#2690=CARTESIAN_POINT('',(8.098266033901E1,1.085502E2,3.111724784545E0)); -#2691=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2692=DIRECTION('',(-9.999999195423E-1,-4.011426052273E-4,0.E0)); -#2693=AXIS2_PLACEMENT_3D('',#2690,#2691,#2692); -#2695=DIRECTION('',(4.011426052263E-4,-9.999999195423E-1,0.E0)); -#2696=VECTOR('',#2695,5.878221272948E1); -#2697=CARTESIAN_POINT('',(7.998266041947E1,1.085497988574E2,3.111724784545E0)); -#2698=LINE('',#2697,#2696); -#2699=CARTESIAN_POINT('',(8.100624038897E1,4.9767992E1,3.111724784545E0)); -#2700=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2701=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2702=AXIS2_PLACEMENT_3D('',#2699,#2700,#2701); -#2704=DIRECTION('',(1.E0,0.E0,0.E0)); -#2705=VECTOR('',#2704,8.416151611030E0); -#2706=CARTESIAN_POINT('',(8.100624038897E1,4.8767992E1,3.111724784545E0)); -#2707=LINE('',#2706,#2705); -#2708=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2709=VECTOR('',#2708,6.3E0); -#2710=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,3.111724784545E0)); -#2711=LINE('',#2710,#2709); -#2712=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2713=VECTOR('',#2712,4.26601E-1); -#2714=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,3.111724784545E0)); -#2715=LINE('',#2714,#2713); -#2716=DIRECTION('',(0.E0,1.E0,0.E0)); -#2717=VECTOR('',#2716,8.77007E-1); -#2718=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.111724784545E0)); -#2719=LINE('',#2718,#2717); -#2720=DIRECTION('',(0.E0,1.E0,0.E0)); -#2721=VECTOR('',#2720,3.35E0); -#2722=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,3.111724784545E0)); -#2723=LINE('',#2722,#2721); -#2724=DIRECTION('',(1.E0,0.E0,0.E0)); -#2725=VECTOR('',#2724,4.2E0); -#2726=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,3.111724784545E0)); -#2727=LINE('',#2726,#2725); -#2728=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2729=VECTOR('',#2728,3.35E0); -#2730=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,3.111724784545E0)); -#2731=LINE('',#2730,#2729); -#2732=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2733=VECTOR('',#2732,4.2E0); -#2734=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,3.111724784545E0)); -#2735=LINE('',#2734,#2733); -#2736=DIRECTION('',(0.E0,1.E0,0.E0)); -#2737=VECTOR('',#2736,3.35E0); -#2738=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,3.111724784545E0)); -#2739=LINE('',#2738,#2737); -#2740=DIRECTION('',(1.E0,0.E0,0.E0)); -#2741=VECTOR('',#2740,4.2E0); -#2742=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,3.111724784545E0)); -#2743=LINE('',#2742,#2741); -#2744=DIRECTION('',(0.E0,-1.E0,0.E0)); -#2745=VECTOR('',#2744,3.35E0); -#2746=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,3.111724784545E0)); -#2747=LINE('',#2746,#2745); -#2748=DIRECTION('',(-1.E0,0.E0,0.E0)); -#2749=VECTOR('',#2748,4.2E0); -#2750=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,3.111724784545E0)); -#2751=LINE('',#2750,#2749); -#2752=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2753=VECTOR('',#2752,1.2E0); -#2754=CARTESIAN_POINT('',(8.3619282E1,1.06429602E2,4.311724784545E0)); -#2755=LINE('',#2754,#2753); -#2756=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2757=VECTOR('',#2756,1.2E0); -#2758=CARTESIAN_POINT('',(8.1669583E1,1.06429602E2,4.311724784545E0)); -#2759=LINE('',#2758,#2757); -#2760=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2761=VECTOR('',#2760,1.2E0); -#2762=CARTESIAN_POINT('',(1.03596963E2,1.06426003E2,4.311724784545E0)); -#2763=LINE('',#2762,#2761); -#2764=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2765=VECTOR('',#2764,1.2E0); -#2766=CARTESIAN_POINT('',(1.01714615E2,1.06426003E2,4.311724784545E0)); -#2767=LINE('',#2766,#2765); -#2768=DIRECTION('',(0.E0,0.E0,1.E0)); -#2769=VECTOR('',#2768,1.2E0); -#2770=CARTESIAN_POINT('',(1.034531915E2,1.00711E2,3.111724784545E0)); -#2771=LINE('',#2770,#2769); -#2772=DIRECTION('',(0.E0,0.E0,1.E0)); -#2773=VECTOR('',#2772,1.2E0); -#2774=CARTESIAN_POINT('',(1.048563895E2,1.00711E2,3.111724784545E0)); -#2775=LINE('',#2774,#2773); -#2776=DIRECTION('',(0.E0,0.E0,1.E0)); -#2777=VECTOR('',#2776,1.2E0); -#2778=CARTESIAN_POINT('',(1.034531915E2,9.8171E1,3.111724784545E0)); -#2779=LINE('',#2778,#2777); -#2780=DIRECTION('',(0.E0,0.E0,1.E0)); -#2781=VECTOR('',#2780,1.2E0); -#2782=CARTESIAN_POINT('',(1.048563895E2,9.8171E1,3.111724784545E0)); -#2783=LINE('',#2782,#2781); -#2784=DIRECTION('',(0.E0,0.E0,1.E0)); -#2785=VECTOR('',#2784,1.2E0); -#2786=CARTESIAN_POINT('',(1.034531915E2,9.5631E1,3.111724784545E0)); -#2787=LINE('',#2786,#2785); -#2788=DIRECTION('',(0.E0,0.E0,1.E0)); -#2789=VECTOR('',#2788,1.2E0); -#2790=CARTESIAN_POINT('',(1.048563895E2,9.5631E1,3.111724784545E0)); -#2791=LINE('',#2790,#2789); -#2792=DIRECTION('',(0.E0,0.E0,1.E0)); -#2793=VECTOR('',#2792,1.2E0); -#2794=CARTESIAN_POINT('',(1.034531915E2,9.3091E1,3.111724784545E0)); -#2795=LINE('',#2794,#2793); -#2796=DIRECTION('',(0.E0,0.E0,1.E0)); -#2797=VECTOR('',#2796,1.2E0); -#2798=CARTESIAN_POINT('',(1.048563895E2,9.3091E1,3.111724784545E0)); -#2799=LINE('',#2798,#2797); -#2800=DIRECTION('',(0.E0,0.E0,1.E0)); -#2801=VECTOR('',#2800,1.2E0); -#2802=CARTESIAN_POINT('',(1.034531915E2,9.0551E1,3.111724784545E0)); -#2803=LINE('',#2802,#2801); -#2804=DIRECTION('',(0.E0,0.E0,1.E0)); -#2805=VECTOR('',#2804,1.2E0); -#2806=CARTESIAN_POINT('',(1.048563895E2,9.0551E1,3.111724784545E0)); -#2807=LINE('',#2806,#2805); -#2808=DIRECTION('',(0.E0,0.E0,1.E0)); -#2809=VECTOR('',#2808,1.2E0); -#2810=CARTESIAN_POINT('',(1.034531915E2,8.8011E1,3.111724784545E0)); -#2811=LINE('',#2810,#2809); -#2812=DIRECTION('',(0.E0,0.E0,1.E0)); -#2813=VECTOR('',#2812,1.2E0); -#2814=CARTESIAN_POINT('',(1.048563895E2,8.8011E1,3.111724784545E0)); -#2815=LINE('',#2814,#2813); -#2816=DIRECTION('',(0.E0,0.E0,1.E0)); -#2817=VECTOR('',#2816,1.2E0); -#2818=CARTESIAN_POINT('',(1.033531915E2,8.5471E1,3.111724784545E0)); -#2819=LINE('',#2818,#2817); -#2820=DIRECTION('',(0.E0,0.E0,1.E0)); -#2821=VECTOR('',#2820,1.2E0); -#2822=CARTESIAN_POINT('',(1.049563895E2,8.5471E1,3.111724784545E0)); -#2823=LINE('',#2822,#2821); -#2824=DIRECTION('',(0.E0,0.E0,1.E0)); -#2825=VECTOR('',#2824,1.2E0); -#2826=CARTESIAN_POINT('',(1.033531915E2,8.2931E1,3.111724784545E0)); -#2827=LINE('',#2826,#2825); -#2828=DIRECTION('',(0.E0,0.E0,1.E0)); -#2829=VECTOR('',#2828,1.2E0); -#2830=CARTESIAN_POINT('',(1.049563895E2,8.2931E1,3.111724784545E0)); -#2831=LINE('',#2830,#2829); -#2832=DIRECTION('',(0.E0,0.E0,1.E0)); -#2833=VECTOR('',#2832,1.2E0); -#2834=CARTESIAN_POINT('',(1.033531915E2,8.0391E1,3.111724784545E0)); -#2835=LINE('',#2834,#2833); -#2836=DIRECTION('',(0.E0,0.E0,1.E0)); -#2837=VECTOR('',#2836,1.2E0); -#2838=CARTESIAN_POINT('',(1.049563895E2,8.0391E1,3.111724784545E0)); -#2839=LINE('',#2838,#2837); -#2840=DIRECTION('',(0.E0,0.E0,1.E0)); -#2841=VECTOR('',#2840,1.2E0); -#2842=CARTESIAN_POINT('',(1.033531915E2,7.7851E1,3.111724784545E0)); -#2843=LINE('',#2842,#2841); -#2844=DIRECTION('',(0.E0,0.E0,1.E0)); -#2845=VECTOR('',#2844,1.2E0); -#2846=CARTESIAN_POINT('',(1.049563895E2,7.7851E1,3.111724784545E0)); -#2847=LINE('',#2846,#2845); -#2848=DIRECTION('',(0.E0,0.E0,1.E0)); -#2849=VECTOR('',#2848,1.2E0); -#2850=CARTESIAN_POINT('',(1.033531915E2,7.5311E1,3.111724784545E0)); -#2851=LINE('',#2850,#2849); -#2852=DIRECTION('',(0.E0,0.E0,1.E0)); -#2853=VECTOR('',#2852,1.2E0); -#2854=CARTESIAN_POINT('',(1.049563895E2,7.5311E1,3.111724784545E0)); -#2855=LINE('',#2854,#2853); -#2856=DIRECTION('',(0.E0,0.E0,1.E0)); -#2857=VECTOR('',#2856,1.2E0); -#2858=CARTESIAN_POINT('',(1.033531915E2,7.2771E1,3.111724784545E0)); -#2859=LINE('',#2858,#2857); -#2860=DIRECTION('',(0.E0,0.E0,1.E0)); -#2861=VECTOR('',#2860,1.2E0); -#2862=CARTESIAN_POINT('',(1.049563895E2,7.2771E1,3.111724784545E0)); -#2863=LINE('',#2862,#2861); -#2864=DIRECTION('',(0.E0,0.E0,1.E0)); -#2865=VECTOR('',#2864,1.2E0); -#2866=CARTESIAN_POINT('',(8.04931915E1,1.00711399E2,3.111724784545E0)); -#2867=LINE('',#2866,#2865); -#2868=DIRECTION('',(0.E0,0.E0,1.E0)); -#2869=VECTOR('',#2868,1.2E0); -#2870=CARTESIAN_POINT('',(8.20963895E1,1.00711399E2,3.111724784545E0)); -#2871=LINE('',#2870,#2869); -#2872=DIRECTION('',(0.E0,0.E0,1.E0)); -#2873=VECTOR('',#2872,1.2E0); -#2874=CARTESIAN_POINT('',(8.05931915E1,9.8171399E1,3.111724784545E0)); -#2875=LINE('',#2874,#2873); -#2876=DIRECTION('',(0.E0,0.E0,1.E0)); -#2877=VECTOR('',#2876,1.2E0); -#2878=CARTESIAN_POINT('',(8.19963895E1,9.8171399E1,3.111724784545E0)); -#2879=LINE('',#2878,#2877); -#2880=DIRECTION('',(0.E0,0.E0,1.E0)); -#2881=VECTOR('',#2880,1.2E0); -#2882=CARTESIAN_POINT('',(8.05931915E1,9.5631399E1,3.111724784545E0)); -#2883=LINE('',#2882,#2881); -#2884=DIRECTION('',(0.E0,0.E0,1.E0)); -#2885=VECTOR('',#2884,1.2E0); -#2886=CARTESIAN_POINT('',(8.19963895E1,9.5631399E1,3.111724784545E0)); -#2887=LINE('',#2886,#2885); -#2888=DIRECTION('',(0.E0,0.E0,1.E0)); -#2889=VECTOR('',#2888,1.2E0); -#2890=CARTESIAN_POINT('',(8.05931915E1,9.3091399E1,3.111724784545E0)); -#2891=LINE('',#2890,#2889); -#2892=DIRECTION('',(0.E0,0.E0,1.E0)); -#2893=VECTOR('',#2892,1.2E0); -#2894=CARTESIAN_POINT('',(8.19963895E1,9.3091399E1,3.111724784545E0)); -#2895=LINE('',#2894,#2893); -#2896=DIRECTION('',(0.E0,0.E0,1.E0)); -#2897=VECTOR('',#2896,1.2E0); -#2898=CARTESIAN_POINT('',(8.05931915E1,9.0551399E1,3.111724784545E0)); -#2899=LINE('',#2898,#2897); -#2900=DIRECTION('',(0.E0,0.E0,1.E0)); -#2901=VECTOR('',#2900,1.2E0); -#2902=CARTESIAN_POINT('',(8.19963895E1,9.0551399E1,3.111724784545E0)); -#2903=LINE('',#2902,#2901); -#2904=DIRECTION('',(0.E0,0.E0,1.E0)); -#2905=VECTOR('',#2904,1.2E0); -#2906=CARTESIAN_POINT('',(8.05931915E1,8.8011399E1,3.111724784545E0)); -#2907=LINE('',#2906,#2905); -#2908=DIRECTION('',(0.E0,0.E0,1.E0)); -#2909=VECTOR('',#2908,1.2E0); -#2910=CARTESIAN_POINT('',(8.19963895E1,8.8011399E1,3.111724784545E0)); -#2911=LINE('',#2910,#2909); -#2912=DIRECTION('',(0.E0,0.E0,1.E0)); -#2913=VECTOR('',#2912,1.2E0); -#2914=CARTESIAN_POINT('',(8.05931915E1,8.5471399E1,3.111724784545E0)); -#2915=LINE('',#2914,#2913); -#2916=DIRECTION('',(0.E0,0.E0,1.E0)); -#2917=VECTOR('',#2916,1.2E0); -#2918=CARTESIAN_POINT('',(8.19963895E1,8.5471399E1,3.111724784545E0)); -#2919=LINE('',#2918,#2917); -#2920=DIRECTION('',(0.E0,0.E0,1.E0)); -#2921=VECTOR('',#2920,1.2E0); -#2922=CARTESIAN_POINT('',(8.05931915E1,8.2931399E1,3.111724784545E0)); -#2923=LINE('',#2922,#2921); -#2924=DIRECTION('',(0.E0,0.E0,1.E0)); -#2925=VECTOR('',#2924,1.2E0); -#2926=CARTESIAN_POINT('',(8.19963895E1,8.2931399E1,3.111724784545E0)); -#2927=LINE('',#2926,#2925); -#2928=DIRECTION('',(0.E0,0.E0,1.E0)); -#2929=VECTOR('',#2928,1.2E0); -#2930=CARTESIAN_POINT('',(8.05931915E1,8.0391399E1,3.111724784545E0)); -#2931=LINE('',#2930,#2929); -#2932=DIRECTION('',(0.E0,0.E0,1.E0)); -#2933=VECTOR('',#2932,1.2E0); -#2934=CARTESIAN_POINT('',(8.19963895E1,8.0391399E1,3.111724784545E0)); -#2935=LINE('',#2934,#2933); -#2936=DIRECTION('',(0.E0,0.E0,1.E0)); -#2937=VECTOR('',#2936,1.2E0); -#2938=CARTESIAN_POINT('',(8.05931915E1,7.7851399E1,3.111724784545E0)); -#2939=LINE('',#2938,#2937); -#2940=DIRECTION('',(0.E0,0.E0,1.E0)); -#2941=VECTOR('',#2940,1.2E0); -#2942=CARTESIAN_POINT('',(8.19963895E1,7.7851399E1,3.111724784545E0)); -#2943=LINE('',#2942,#2941); -#2944=DIRECTION('',(0.E0,0.E0,1.E0)); -#2945=VECTOR('',#2944,1.2E0); -#2946=CARTESIAN_POINT('',(8.05931915E1,7.5311399E1,3.111724784545E0)); -#2947=LINE('',#2946,#2945); -#2948=DIRECTION('',(0.E0,0.E0,1.E0)); -#2949=VECTOR('',#2948,1.2E0); -#2950=CARTESIAN_POINT('',(8.19963895E1,7.5311399E1,3.111724784545E0)); -#2951=LINE('',#2950,#2949); -#2952=DIRECTION('',(0.E0,0.E0,1.E0)); -#2953=VECTOR('',#2952,1.2E0); -#2954=CARTESIAN_POINT('',(8.05931915E1,7.2771399E1,3.111724784545E0)); -#2955=LINE('',#2954,#2953); -#2956=DIRECTION('',(0.E0,0.E0,1.E0)); -#2957=VECTOR('',#2956,1.2E0); -#2958=CARTESIAN_POINT('',(8.19963895E1,7.2771399E1,3.111724784545E0)); -#2959=LINE('',#2958,#2957); -#2960=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2961=VECTOR('',#2960,5.E-1); -#2962=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,3.111724784545E0)); -#2963=LINE('',#2962,#2961); -#2964=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2965=VECTOR('',#2964,5.E-1); -#2966=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,3.111724784545E0)); -#2967=LINE('',#2966,#2965); -#2968=DIRECTION('',(0.E0,1.E0,0.E0)); -#2969=VECTOR('',#2968,1.622658E0); -#2970=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,2.111724784545E0)); -#2971=LINE('',#2970,#2969); -#2972=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2973=VECTOR('',#2972,6.E-1); -#2974=CARTESIAN_POINT('',(8.348498713377E1,8.3772858E1,2.111724784545E0)); -#2975=LINE('',#2974,#2973); -#2976=DIRECTION('',(0.E0,1.E0,0.E0)); -#2977=VECTOR('',#2976,1.622658E0); -#2978=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,1.511724784545E0)); -#2979=LINE('',#2978,#2977); -#2980=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2981=VECTOR('',#2980,4.E-1); -#2982=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,1.511724784545E0)); -#2983=LINE('',#2982,#2981); -#2984=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2985=VECTOR('',#2984,5.E-1); -#2986=CARTESIAN_POINT('',(8.348498713377E1,8.5605734E1,3.111724784545E0)); -#2987=LINE('',#2986,#2985); -#2988=DIRECTION('',(0.E0,1.E0,0.E0)); -#2989=VECTOR('',#2988,1.703197E0); -#2990=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,2.611724784545E0)); -#2991=LINE('',#2990,#2989); -#2992=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2993=VECTOR('',#2992,5.E-1); -#2994=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,3.111724784545E0)); -#2995=LINE('',#2994,#2993); -#2996=DIRECTION('',(0.E0,0.E0,-1.E0)); -#2997=VECTOR('',#2996,1.E0); -#2998=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,3.111724784545E0)); -#2999=LINE('',#2998,#2997); -#3000=DIRECTION('',(1.E0,0.E0,0.E0)); -#3001=VECTOR('',#3000,4.902868662327E-1); -#3002=CARTESIAN_POINT('',(1.019849871338E2,8.3772858E1,2.111724784545E0)); -#3003=LINE('',#3002,#3001); -#3004=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3005=VECTOR('',#3004,2.168906E0); -#3006=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,2.111724784545E0)); -#3007=LINE('',#3006,#3005); -#3008=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3009=VECTOR('',#3008,1.9400012E1); -#3010=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,2.111724784545E0)); -#3011=LINE('',#3010,#3009); -#3012=DIRECTION('',(0.E0,1.E0,0.E0)); -#3013=VECTOR('',#3012,2.168906E0); -#3014=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,2.111724784545E0)); -#3015=LINE('',#3014,#3013); -#3016=DIRECTION('',(1.E0,0.E0,0.E0)); -#3017=VECTOR('',#3016,4.097251337673E-1); -#3018=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,2.111724784545E0)); -#3019=LINE('',#3018,#3017); -#3020=DIRECTION('',(0.E0,1.E0,0.E0)); -#3021=VECTOR('',#3020,1.622658E0); -#3022=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,2.111724784545E0)); -#3023=LINE('',#3022,#3021); -#3024=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3025=VECTOR('',#3024,5.E-1); -#3026=CARTESIAN_POINT('',(1.019849871338E2,8.4002435E1,3.111724784545E0)); -#3027=LINE('',#3026,#3025); -#3028=DIRECTION('',(0.E0,1.E0,0.E0)); -#3029=VECTOR('',#3028,1.503198E0); -#3030=CARTESIAN_POINT('',(1.019849871338E2,8.4002435E1,2.611724784545E0)); -#3031=LINE('',#3030,#3029); -#3032=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3033=VECTOR('',#3032,5.E-1); -#3034=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,3.111724784545E0)); -#3035=LINE('',#3034,#3033); -#3036=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3037=VECTOR('',#3036,2.E0); -#3038=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,3.111724784545E0)); -#3039=LINE('',#3038,#3037); -#3040=DIRECTION('',(0.E0,1.E0,0.E0)); -#3041=VECTOR('',#3040,1.622658E0); -#3042=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.511724784545E0)); -#3043=LINE('',#3042,#3041); -#3044=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3045=VECTOR('',#3044,6.E-1); -#3046=CARTESIAN_POINT('',(1.019849871338E2,8.3772858E1,2.111724784545E0)); -#3047=LINE('',#3046,#3045); -#3048=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3049=VECTOR('',#3048,1.85E1); -#3050=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,2.111724784545E0)); -#3051=LINE('',#3050,#3049); -#3052=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3053=VECTOR('',#3052,1.E0); -#3054=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,3.111724784545E0)); -#3055=LINE('',#3054,#3053); -#3056=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3057=VECTOR('',#3056,1.85E1); -#3058=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.511724784545E0)); -#3059=LINE('',#3058,#3057); -#3060=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3061=VECTOR('',#3060,4.E-1); -#3062=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.511724784545E0)); -#3063=LINE('',#3062,#3061); -#3064=DIRECTION('',(1.E0,0.E0,0.E0)); -#3065=VECTOR('',#3064,4.097251337673E-1); -#3066=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,1.511724784545E0)); -#3067=LINE('',#3066,#3065); -#3068=DIRECTION('',(0.E0,1.E0,0.E0)); -#3069=VECTOR('',#3068,2.168906E0); -#3070=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,1.511724784545E0)); -#3071=LINE('',#3070,#3069); -#3072=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3073=VECTOR('',#3072,1.9400012E1); -#3074=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,1.511724784545E0)); -#3075=LINE('',#3074,#3073); -#3076=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3077=VECTOR('',#3076,2.168906E0); -#3078=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,1.511724784545E0)); -#3079=LINE('',#3078,#3077); -#3080=DIRECTION('',(1.E0,0.E0,0.E0)); -#3081=VECTOR('',#3080,4.902868662327E-1); -#3082=CARTESIAN_POINT('',(1.019849871338E2,8.3772858E1,1.511724784545E0)); -#3083=LINE('',#3082,#3081); -#3084=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3085=VECTOR('',#3084,6.E-1); -#3086=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,2.111724784545E0)); -#3087=LINE('',#3086,#3085); -#3088=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3089=VECTOR('',#3088,6.E-1); -#3090=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,2.111724784545E0)); -#3091=LINE('',#3090,#3089); -#3092=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3093=VECTOR('',#3092,6.E-1); -#3094=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,2.111724784545E0)); -#3095=LINE('',#3094,#3093); -#3096=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3097=VECTOR('',#3096,6.E-1); -#3098=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,2.111724784545E0)); -#3099=LINE('',#3098,#3097); -#3100=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3101=VECTOR('',#3100,5.8E0); -#3102=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,1.111724784545E0)); -#3103=LINE('',#3102,#3101); -#3104=DIRECTION('',(1.E0,0.E0,0.E0)); -#3105=VECTOR('',#3104,1.85E1); -#3106=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,1.111724784545E0)); -#3107=LINE('',#3106,#3105); -#3108=DIRECTION('',(0.E0,1.E0,0.E0)); -#3109=VECTOR('',#3108,5.8E0); -#3110=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,1.111724784545E0)); -#3111=LINE('',#3110,#3109); -#3112=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3113=VECTOR('',#3112,1.85E1); -#3114=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.111724784545E0)); -#3115=LINE('',#3114,#3113); -#3116=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3117=VECTOR('',#3116,2.E0); -#3118=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,3.111724784545E0)); -#3119=LINE('',#3118,#3117); -#3120=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3121=VECTOR('',#3120,5.E-1); -#3122=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,3.111724784545E0)); -#3123=LINE('',#3122,#3121); -#3124=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3125=VECTOR('',#3124,5.E-1); -#3126=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,3.111724784545E0)); -#3127=LINE('',#3126,#3125); -#3128=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3129=VECTOR('',#3128,8.416958662327E-1); -#3130=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,2.611724784545E0)); -#3131=LINE('',#3130,#3129); -#3132=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3133=VECTOR('',#3132,1.503198E0); -#3134=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,2.611724784545E0)); -#3135=LINE('',#3134,#3133); -#3136=DIRECTION('',(1.E0,0.E0,0.E0)); -#3137=VECTOR('',#3136,8.416958662327E-1); -#3138=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,2.611724784545E0)); -#3139=LINE('',#3138,#3137); -#3140=DIRECTION('',(1.E0,0.E0,0.E0)); -#3141=VECTOR('',#3140,7.613631337673E-1); -#3142=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,2.611724784545E0)); -#3143=LINE('',#3142,#3141); -#3144=DIRECTION('',(0.E0,1.E0,0.E0)); -#3145=VECTOR('',#3144,1.703197E0); -#3146=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,2.611724784545E0)); -#3147=LINE('',#3146,#3145); -#3148=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3149=VECTOR('',#3148,7.613631337673E-1); -#3150=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,2.611724784545E0)); -#3151=LINE('',#3150,#3149); -#3152=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3153=VECTOR('',#3152,3.E-1); -#3154=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,3.111724784545E0)); -#3155=LINE('',#3154,#3153); -#3156=DIRECTION('',(0.E0,9.870188906415E-14,-1.E0)); -#3157=VECTOR('',#3156,7.198876764135E-2); -#3158=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.111724784545E0)); -#3159=LINE('',#3158,#3157); -#3160=DIRECTION('',(0.E0,9.870236536546E-14,-1.E0)); -#3161=VECTOR('',#3160,7.198842025004E-2); -#3162=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.111724784545E0)); -#3163=LINE('',#3162,#3161); -#3164=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3165=VECTOR('',#3164,3.E-1); -#3166=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,3.111724784545E0)); -#3167=LINE('',#3166,#3165); -#3168=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3169=VECTOR('',#3168,8.77007E-1); -#3170=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,2.811724784545E0)); -#3171=LINE('',#3170,#3169); -#3172=DIRECTION('',(1.E0,0.E0,0.E0)); -#3173=VECTOR('',#3172,7.203201E0); -#3174=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,2.811724784545E0)); -#3175=LINE('',#3174,#3173); -#3176=DIRECTION('',(0.E0,1.E0,0.E0)); -#3177=VECTOR('',#3176,8.77007E-1); -#3178=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,2.811724784545E0)); -#3179=LINE('',#3178,#3177); -#3180=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3181=VECTOR('',#3180,7.203201E0); -#3182=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,2.811724784545E0)); -#3183=LINE('',#3182,#3181); -#3184=DIRECTION('',(0.E0,-3.116261985912E-14,-1.E0)); -#3185=VECTOR('',#3184,2.280112323587E-1); -#3186=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.039736016904E0)); -#3187=LINE('',#3186,#3185); -#3188=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,1.811724784545E0)); -#3189=DIRECTION('',(0.E0,1.E0,0.E0)); -#3190=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3191=AXIS2_PLACEMENT_3D('',#3188,#3189,#3190); -#3193=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3194=VECTOR('',#3193,5.6E-1); -#3195=CARTESIAN_POINT('',(8.8122392E1,5.5067992E1,1.811724784545E0)); -#3196=LINE('',#3195,#3194); -#3197=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,1.251724784545E0)); -#3198=DIRECTION('',(0.E0,1.E0,0.E0)); -#3199=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3200=AXIS2_PLACEMENT_3D('',#3197,#3198,#3199); -#3202=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,1.251724784545E0)); -#3203=DIRECTION('',(0.E0,1.E0,0.E0)); -#3204=DIRECTION('',(1.E0,0.E0,-1.041901607725E-14)); -#3205=AXIS2_PLACEMENT_3D('',#3202,#3203,#3204); -#3207=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,1.811724784545E0)); -#3208=DIRECTION('',(0.E0,1.E0,0.E0)); -#3209=DIRECTION('',(3.281538461539E-1,0.E0,9.446242921154E-1)); -#3210=AXIS2_PLACEMENT_3D('',#3207,#3208,#3209); -#3212=DIRECTION('',(0.E0,-3.116257238072E-14,-1.E0)); -#3213=VECTOR('',#3212,2.280115797500E-1); -#3214=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.039736364295E0)); -#3215=LINE('',#3214,#3213); -#3216=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,1.811724784545E0)); -#3217=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3218=DIRECTION('',(0.E0,0.E0,1.E0)); -#3219=AXIS2_PLACEMENT_3D('',#3216,#3217,#3218); -#3221=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,1.811724784545E0)); -#3222=DIRECTION('',(0.E0,1.E0,0.E0)); -#3223=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3224=AXIS2_PLACEMENT_3D('',#3221,#3222,#3223); -#3226=DIRECTION('',(0.E0,1.E0,0.E0)); -#3227=VECTOR('',#3226,1.2E0); -#3228=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,3.111724784545E0)); -#3229=LINE('',#3228,#3227); -#3230=DIRECTION('',(1.E0,0.E0,0.E0)); -#3231=VECTOR('',#3230,6.35E0); -#3232=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,3.111724784545E0)); -#3233=LINE('',#3232,#3231); -#3234=DIRECTION('',(3.552713678800E-14,-1.E0,0.E0)); -#3235=VECTOR('',#3234,1.2E0); -#3236=CARTESIAN_POINT('',(8.9422392E1,4.8767992E1,3.111724784545E0)); -#3237=LINE('',#3236,#3235); -#3238=DIRECTION('',(1.E0,0.E0,0.E0)); -#3239=VECTOR('',#3238,6.35E0); -#3240=CARTESIAN_POINT('',(8.9422392E1,4.8767992E1,3.111724784545E0)); -#3241=LINE('',#3240,#3239); -#3242=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3243=VECTOR('',#3242,1.2E0); -#3244=CARTESIAN_POINT('',(8.100624038897E1,4.8767992E1,4.311724784545E0)); -#3245=LINE('',#3244,#3243); -#3246=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3247=VECTOR('',#3246,1.2E0); -#3248=CARTESIAN_POINT('',(1.044751390308E2,4.8767992E1,4.311724784545E0)); -#3249=LINE('',#3248,#3247); -#3250=DIRECTION('',(0.E0,1.184237892934E-14,-1.E0)); -#3251=VECTOR('',#3250,1.2E0); -#3252=CARTESIAN_POINT('',(7.998266041947E1,1.085497988574E2,4.311724784545E0)); -#3253=LINE('',#3252,#3251); -#3254=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3255=VECTOR('',#3254,1.2E0); -#3256=CARTESIAN_POINT('',(8.000624046943E1,4.976759085739E1,4.311724784545E0)); -#3257=LINE('',#3256,#3255); -#3258=DIRECTION('',(0.E0,2.368475785867E-14,-1.E0)); -#3259=VECTOR('',#3258,1.2E0); -#3260=CARTESIAN_POINT('',(1.054878624972E2,1.085499835486E2,4.311724784545E0)); -#3261=LINE('',#3260,#3259); -#3262=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3263=VECTOR('',#3262,1.2E0); -#3264=CARTESIAN_POINT('',(1.054751390074E2,4.976777554863E1,4.311724784545E0)); -#3265=LINE('',#3264,#3263); -#3266=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3267=VECTOR('',#3266,1.2E0); -#3268=CARTESIAN_POINT('',(8.098266033901E1,1.095502E2,4.311724784545E0)); -#3269=LINE('',#3268,#3267); -#3270=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3271=VECTOR('',#3270,1.2E0); -#3272=CARTESIAN_POINT('',(1.044878625206E2,1.095502E2,4.311724784545E0)); -#3273=LINE('',#3272,#3271); -#3274=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,1.811724784545E0)); -#3275=DIRECTION('',(0.E0,1.E0,0.E0)); -#3276=DIRECTION('',(0.E0,0.E0,1.E0)); -#3277=AXIS2_PLACEMENT_3D('',#3274,#3275,#3276); -#3279=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,1.811724784545E0)); -#3280=DIRECTION('',(0.E0,1.E0,0.E0)); -#3281=DIRECTION('',(0.E0,0.E0,1.E0)); -#3282=AXIS2_PLACEMENT_3D('',#3279,#3280,#3281); -#3284=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3285=VECTOR('',#3284,5.6E-1); -#3286=CARTESIAN_POINT('',(9.7072392E1,4.7567992E1,1.811724784545E0)); -#3287=LINE('',#3286,#3285); -#3288=DIRECTION('',(0.E0,1.E0,0.E0)); -#3289=VECTOR('',#3288,7.5E0); -#3290=CARTESIAN_POINT('',(9.7072392E1,4.7567992E1,1.811724784545E0)); -#3291=LINE('',#3290,#3289); -#3292=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3293=VECTOR('',#3292,5.6E-1); -#3294=CARTESIAN_POINT('',(9.7072392E1,5.5067992E1,1.811724784545E0)); -#3295=LINE('',#3294,#3293); -#3296=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3297=VECTOR('',#3296,7.5E0); -#3298=CARTESIAN_POINT('',(9.7072392E1,5.5067992E1,1.251724784545E0)); -#3299=LINE('',#3298,#3297); -#3300=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,1.251724784545E0)); -#3301=DIRECTION('',(0.E0,1.E0,0.E0)); -#3302=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3303=AXIS2_PLACEMENT_3D('',#3300,#3301,#3302); -#3305=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3306=VECTOR('',#3305,6.35E0); -#3307=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,-4.827521545509E-2)); -#3308=LINE('',#3307,#3306); -#3309=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3310=VECTOR('',#3309,7.5E0); -#3311=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,-4.827521545509E-2)); -#3312=LINE('',#3311,#3310); -#3313=DIRECTION('',(1.E0,0.E0,0.E0)); -#3314=VECTOR('',#3313,6.35E0); -#3315=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,-4.827521545509E-2)); -#3316=LINE('',#3315,#3314); -#3317=DIRECTION('',(0.E0,1.E0,0.E0)); -#3318=VECTOR('',#3317,7.5E0); -#3319=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,-4.827521545509E-2)); -#3320=LINE('',#3319,#3318); -#3321=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,1.251724784545E0)); -#3322=DIRECTION('',(0.E0,1.E0,0.E0)); -#3323=DIRECTION('',(1.E0,0.E0,0.E0)); -#3324=AXIS2_PLACEMENT_3D('',#3321,#3322,#3323); -#3326=DIRECTION('',(0.E0,0.E0,1.E0)); -#3327=VECTOR('',#3326,5.6E-1); -#3328=CARTESIAN_POINT('',(8.8122392E1,4.7567992E1,1.251724784545E0)); -#3329=LINE('',#3328,#3327); -#3330=DIRECTION('',(0.E0,1.E0,0.E0)); -#3331=VECTOR('',#3330,7.5E0); -#3332=CARTESIAN_POINT('',(8.8122392E1,4.7567992E1,1.251724784545E0)); -#3333=LINE('',#3332,#3331); -#3334=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3335=VECTOR('',#3334,7.5E0); -#3336=CARTESIAN_POINT('',(8.8122392E1,5.5067992E1,1.811724784545E0)); -#3337=LINE('',#3336,#3335); -#3338=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,1.811724784545E0)); -#3339=DIRECTION('',(0.E0,1.E0,0.E0)); -#3340=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3341=AXIS2_PLACEMENT_3D('',#3338,#3339,#3340); -#3343=DIRECTION('',(1.E0,0.E0,0.E0)); -#3344=VECTOR('',#3343,6.35E0); -#3345=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,2.811724784545E0)); -#3346=LINE('',#3345,#3344); -#3347=DIRECTION('',(0.E0,1.E0,0.E0)); -#3348=VECTOR('',#3347,7.2E0); -#3349=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,2.811724784545E0)); -#3350=LINE('',#3349,#3348); -#3351=DIRECTION('',(1.E0,0.E0,0.E0)); -#3352=VECTOR('',#3351,6.35E0); -#3353=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,2.811724784545E0)); -#3354=LINE('',#3353,#3352); -#3355=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3356=VECTOR('',#3355,7.2E0); -#3357=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,2.811724784545E0)); -#3358=LINE('',#3357,#3356); -#3359=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,1.811724784545E0)); -#3360=DIRECTION('',(0.E0,1.E0,0.E0)); -#3361=DIRECTION('',(0.E0,0.E0,1.E0)); -#3362=AXIS2_PLACEMENT_3D('',#3359,#3360,#3361); -#3364=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3365=VECTOR('',#3364,5.6E-1); -#3366=CARTESIAN_POINT('',(9.6772392E1,4.7567992E1,1.811724784545E0)); -#3367=LINE('',#3366,#3365); -#3368=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3369=VECTOR('',#3368,7.2E0); -#3370=CARTESIAN_POINT('',(9.6772392E1,5.4767992E1,1.251724784545E0)); -#3371=LINE('',#3370,#3369); -#3372=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3373=VECTOR('',#3372,5.6E-1); -#3374=CARTESIAN_POINT('',(9.6772392E1,5.4767992E1,1.811724784545E0)); -#3375=LINE('',#3374,#3373); -#3376=DIRECTION('',(0.E0,1.E0,0.E0)); -#3377=VECTOR('',#3376,7.2E0); -#3378=CARTESIAN_POINT('',(9.6772392E1,4.7567992E1,1.811724784545E0)); -#3379=LINE('',#3378,#3377); -#3380=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,1.251724784545E0)); -#3381=DIRECTION('',(0.E0,1.E0,0.E0)); -#3382=DIRECTION('',(1.E0,0.E0,0.E0)); -#3383=AXIS2_PLACEMENT_3D('',#3380,#3381,#3382); -#3385=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3386=VECTOR('',#3385,6.35E0); -#3387=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,2.517247845449E-1)); -#3388=LINE('',#3387,#3386); -#3389=DIRECTION('',(0.E0,1.E0,0.E0)); -#3390=VECTOR('',#3389,7.2E0); -#3391=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,2.517247845449E-1)); -#3392=LINE('',#3391,#3390); -#3393=DIRECTION('',(1.E0,0.E0,0.E0)); -#3394=VECTOR('',#3393,6.35E0); -#3395=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,2.517247845449E-1)); -#3396=LINE('',#3395,#3394); -#3397=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3398=VECTOR('',#3397,7.2E0); -#3399=CARTESIAN_POINT('',(9.5772392E1,5.4767992E1,2.517247845449E-1)); -#3400=LINE('',#3399,#3398); -#3401=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,1.251724784545E0)); -#3402=DIRECTION('',(0.E0,1.E0,0.E0)); -#3403=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3404=AXIS2_PLACEMENT_3D('',#3401,#3402,#3403); -#3406=DIRECTION('',(0.E0,0.E0,1.E0)); -#3407=VECTOR('',#3406,5.6E-1); -#3408=CARTESIAN_POINT('',(8.8422392E1,4.7567992E1,1.251724784545E0)); -#3409=LINE('',#3408,#3407); -#3410=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3411=VECTOR('',#3410,7.2E0); -#3412=CARTESIAN_POINT('',(8.8422392E1,5.4767992E1,1.811724784545E0)); -#3413=LINE('',#3412,#3411); -#3414=DIRECTION('',(0.E0,1.E0,0.E0)); -#3415=VECTOR('',#3414,7.2E0); -#3416=CARTESIAN_POINT('',(8.8422392E1,4.7567992E1,1.251724784545E0)); -#3417=LINE('',#3416,#3415); -#3418=CARTESIAN_POINT('',(9.5772392E1,5.4767992E1,1.251724784545E0)); -#3419=DIRECTION('',(0.E0,1.E0,0.E0)); -#3420=DIRECTION('',(1.E0,0.E0,0.E0)); -#3421=AXIS2_PLACEMENT_3D('',#3418,#3419,#3420); -#3423=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,1.251724784545E0)); -#3424=DIRECTION('',(0.E0,1.E0,0.E0)); -#3425=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3426=AXIS2_PLACEMENT_3D('',#3423,#3424,#3425); -#3428=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3429=VECTOR('',#3428,5.6E-1); -#3430=CARTESIAN_POINT('',(8.8422392E1,5.4767992E1,1.811724784545E0)); -#3431=LINE('',#3430,#3429); -#3432=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,1.811724784545E0)); -#3433=DIRECTION('',(0.E0,1.E0,0.E0)); -#3434=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3435=AXIS2_PLACEMENT_3D('',#3432,#3433,#3434); -#3437=CARTESIAN_POINT('',(9.5772392E1,5.4767992E1,1.811724784545E0)); -#3438=DIRECTION('',(0.E0,1.E0,0.E0)); -#3439=DIRECTION('',(0.E0,0.E0,1.E0)); -#3440=AXIS2_PLACEMENT_3D('',#3437,#3438,#3439); -#3442=DIRECTION('',(0.E0,1.E0,0.E0)); -#3443=VECTOR('',#3442,3.35E0); -#3444=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,1.611724784545E0)); -#3445=LINE('',#3444,#3443); -#3446=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3447=VECTOR('',#3446,1.5E0); -#3448=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,3.111724784545E0)); -#3449=LINE('',#3448,#3447); -#3450=CARTESIAN_POINT('',(8.30967915E1,5.10872005E1,1.611724784545E0)); -#3451=DIRECTION('',(0.E0,0.E0,1.E0)); -#3452=DIRECTION('',(4.060244204343E-14,1.E0,0.E0)); -#3453=AXIS2_PLACEMENT_3D('',#3450,#3451,#3452); -#3455=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3456=VECTOR('',#3455,6.999999999999E-1); -#3457=CARTESIAN_POINT('',(8.37967915E1,5.21372005E1,1.611724784545E0)); -#3458=LINE('',#3457,#3456); -#3459=CARTESIAN_POINT('',(8.37967915E1,5.10872005E1,1.611724784545E0)); -#3460=DIRECTION('',(0.E0,0.E0,1.E0)); -#3461=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3462=AXIS2_PLACEMENT_3D('',#3459,#3460,#3461); -#3464=DIRECTION('',(1.E0,0.E0,0.E0)); -#3465=VECTOR('',#3464,6.999999999999E-1); -#3466=CARTESIAN_POINT('',(8.30967915E1,5.00372005E1,1.611724784545E0)); -#3467=LINE('',#3466,#3465); -#3468=CARTESIAN_POINT('',(1.01194792E2,5.09699995E1,1.611724784545E0)); -#3469=DIRECTION('',(0.E0,0.E0,1.E0)); -#3470=DIRECTION('',(0.E0,1.E0,0.E0)); -#3471=AXIS2_PLACEMENT_3D('',#3468,#3469,#3470); -#3473=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3474=VECTOR('',#3473,6.999999999999E-1); -#3475=CARTESIAN_POINT('',(1.01894792E2,5.20199995E1,1.611724784545E0)); -#3476=LINE('',#3475,#3474); -#3477=CARTESIAN_POINT('',(1.01894792E2,5.09699995E1,1.611724784545E0)); -#3478=DIRECTION('',(0.E0,0.E0,1.E0)); -#3479=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3480=AXIS2_PLACEMENT_3D('',#3477,#3478,#3479); -#3482=DIRECTION('',(1.E0,0.E0,0.E0)); -#3483=VECTOR('',#3482,6.999999999999E-1); -#3484=CARTESIAN_POINT('',(1.01194792E2,4.99199995E1,1.611724784545E0)); -#3485=LINE('',#3484,#3483); -#3486=CARTESIAN_POINT('',(8.30967915E1,5.10872005E1,9.117247845449E-1)); -#3487=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3488=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3489=AXIS2_PLACEMENT_3D('',#3486,#3487,#3488); -#3491=DIRECTION('',(-3.639602904773E-10,-1.015061051086E-14,1.E0)); -#3492=VECTOR('',#3491,7.E-1); -#3493=CARTESIAN_POINT('',(8.309679150025E1,5.21372005E1,9.117247845449E-1)); -#3494=LINE('',#3493,#3492); -#3495=CARTESIAN_POINT('',(8.30967915E1,5.03372005E1,9.117247845449E-1)); -#3496=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3497=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3498=AXIS2_PLACEMENT_3D('',#3495,#3496,#3497); -#3500=DIRECTION('',(-1.E0,-2.030122102172E-14,0.E0)); -#3501=VECTOR('',#3500,6.999999999999E-1); -#3502=CARTESIAN_POINT('',(1.01894792E2,5.02199995E1,6.117247845449E-1)); -#3503=LINE('',#3502,#3501); -#3504=CARTESIAN_POINT('',(1.01894792E2,5.09699995E1,6.117247845449E-1)); -#3505=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3506=DIRECTION('',(0.E0,1.E0,0.E0)); -#3507=AXIS2_PLACEMENT_3D('',#3504,#3505,#3506); -#3509=DIRECTION('',(1.E0,3.045183153258E-14,0.E0)); -#3510=VECTOR('',#3509,7.E-1); -#3511=CARTESIAN_POINT('',(1.01194792E2,5.17199995E1,6.117247845449E-1)); -#3512=LINE('',#3511,#3510); -#3513=CARTESIAN_POINT('',(1.01194792E2,5.09699995E1,6.117247845449E-1)); -#3514=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3515=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3516=AXIS2_PLACEMENT_3D('',#3513,#3514,#3515); -#3518=DIRECTION('',(-1.E0,-3.045183153258E-14,0.E0)); -#3519=VECTOR('',#3518,6.999999999999E-1); -#3520=CARTESIAN_POINT('',(8.37967915E1,5.03372005E1,6.117247845449E-1)); -#3521=LINE('',#3520,#3519); -#3522=CARTESIAN_POINT('',(8.37967915E1,5.10872005E1,6.117247845449E-1)); -#3523=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3524=DIRECTION('',(0.E0,1.E0,0.E0)); -#3525=AXIS2_PLACEMENT_3D('',#3522,#3523,#3524); -#3527=DIRECTION('',(1.E0,3.045183153258E-14,0.E0)); -#3528=VECTOR('',#3527,6.999999999999E-1); -#3529=CARTESIAN_POINT('',(8.30967915E1,5.18372005E1,6.117247845449E-1)); -#3530=LINE('',#3529,#3528); -#3531=CARTESIAN_POINT('',(8.30967915E1,5.10872005E1,6.117247845449E-1)); -#3532=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3533=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3534=AXIS2_PLACEMENT_3D('',#3531,#3532,#3533); -#3536=CARTESIAN_POINT('',(1.01894792E2,5.02199995E1,9.117247845449E-1)); -#3537=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3538=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3539=AXIS2_PLACEMENT_3D('',#3536,#3537,#3538); -#3541=CARTESIAN_POINT('',(1.01194792E2,5.02199995E1,9.117247845449E-1)); -#3542=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3543=DIRECTION('',(0.E0,2.368475785867E-14,-1.E0)); -#3544=AXIS2_PLACEMENT_3D('',#3541,#3542,#3543); -#3546=CARTESIAN_POINT('',(1.01194792E2,5.17199995E1,9.117247845449E-1)); -#3547=DIRECTION('',(1.E0,0.E0,0.E0)); -#3548=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3549=AXIS2_PLACEMENT_3D('',#3546,#3547,#3548); -#3551=CARTESIAN_POINT('',(1.01894792E2,5.17199995E1,9.117247845449E-1)); -#3552=DIRECTION('',(1.E0,0.E0,0.E0)); -#3553=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3554=AXIS2_PLACEMENT_3D('',#3551,#3552,#3553); -#3556=CARTESIAN_POINT('',(1.01894792E2,5.09699995E1,9.117247845449E-1)); -#3557=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3558=DIRECTION('',(0.E0,1.E0,0.E0)); -#3559=AXIS2_PLACEMENT_3D('',#3556,#3557,#3558); -#3561=DIRECTION('',(3.639602904773E-10,-1.015061051086E-14,1.E0)); -#3562=VECTOR('',#3561,7.E-1); -#3563=CARTESIAN_POINT('',(1.018947919997E2,4.99199995E1,9.117247845449E-1)); -#3564=LINE('',#3563,#3562); -#3565=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3566=VECTOR('',#3565,6.999999977315E-1); -#3567=CARTESIAN_POINT('',(1.018947919997E2,4.99199995E1,9.117247845449E-1)); -#3568=LINE('',#3567,#3566); -#3569=DIRECTION('',(-2.876703319998E-9,-1.015061051086E-14,1.E0)); -#3570=VECTOR('',#3569,7.E-1); -#3571=CARTESIAN_POINT('',(1.011947920020E2,4.99199995E1,9.117247845449E-1)); -#3572=LINE('',#3571,#3570); -#3573=CARTESIAN_POINT('',(1.01194792E2,5.09699995E1,9.117247845449E-1)); -#3574=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3575=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3576=AXIS2_PLACEMENT_3D('',#3573,#3574,#3575); -#3578=DIRECTION('',(-3.639602904773E-10,0.E0,1.E0)); -#3579=VECTOR('',#3578,7.E-1); -#3580=CARTESIAN_POINT('',(1.011947920003E2,5.20199995E1,9.117247845449E-1)); -#3581=LINE('',#3580,#3579); -#3582=DIRECTION('',(1.E0,0.E0,0.E0)); -#3583=VECTOR('',#3582,6.999999977315E-1); -#3584=CARTESIAN_POINT('',(1.011947920003E2,5.20199995E1,9.117247845449E-1)); -#3585=LINE('',#3584,#3583); -#3586=DIRECTION('',(2.876703319998E-9,0.E0,1.E0)); -#3587=VECTOR('',#3586,7.E-1); -#3588=CARTESIAN_POINT('',(1.018947919980E2,5.20199995E1,9.117247845449E-1)); -#3589=LINE('',#3588,#3587); -#3590=CARTESIAN_POINT('',(8.37967915E1,5.03372005E1,9.117247845449E-1)); -#3591=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3592=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3593=AXIS2_PLACEMENT_3D('',#3590,#3591,#3592); -#3595=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3596=VECTOR('',#3595,6.999999977315E-1); -#3597=CARTESIAN_POINT('',(8.379679149975E1,5.00372005E1,9.117247845449E-1)); -#3598=LINE('',#3597,#3596); -#3599=DIRECTION('',(-2.876703319998E-9,0.E0,1.E0)); -#3600=VECTOR('',#3599,7.E-1); -#3601=CARTESIAN_POINT('',(8.309679150201E1,5.00372005E1,9.117247845449E-1)); -#3602=LINE('',#3601,#3600); -#3603=CARTESIAN_POINT('',(8.37967915E1,5.10872005E1,9.117247845449E-1)); -#3604=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3605=DIRECTION('',(0.E0,1.E0,0.E0)); -#3606=AXIS2_PLACEMENT_3D('',#3603,#3604,#3605); -#3608=DIRECTION('',(3.639602904773E-10,0.E0,1.E0)); -#3609=VECTOR('',#3608,7.E-1); -#3610=CARTESIAN_POINT('',(8.379679149975E1,5.00372005E1,9.117247845449E-1)); -#3611=LINE('',#3610,#3609); -#3612=CARTESIAN_POINT('',(8.37967915E1,5.18372005E1,9.117247845449E-1)); -#3613=DIRECTION('',(1.E0,0.E0,0.E0)); -#3614=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3615=AXIS2_PLACEMENT_3D('',#3612,#3613,#3614); -#3617=CARTESIAN_POINT('',(8.30967915E1,5.18372005E1,9.117247845449E-1)); -#3618=DIRECTION('',(1.E0,0.E0,0.E0)); -#3619=DIRECTION('',(0.E0,-2.368475785867E-14,-1.E0)); -#3620=AXIS2_PLACEMENT_3D('',#3617,#3618,#3619); -#3622=DIRECTION('',(1.E0,0.E0,0.E0)); -#3623=VECTOR('',#3622,6.999999977315E-1); -#3624=CARTESIAN_POINT('',(8.309679150025E1,5.21372005E1,9.117247845449E-1)); -#3625=LINE('',#3624,#3623); -#3626=DIRECTION('',(2.876662717556E-9,-1.015061051086E-14,1.E0)); -#3627=VECTOR('',#3626,7.E-1); -#3628=CARTESIAN_POINT('',(8.379679149799E1,5.21372005E1,9.117247845449E-1)); -#3629=LINE('',#3628,#3627); -#3630=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3631=VECTOR('',#3630,4.2E0); -#3632=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,1.611724784545E0)); -#3633=LINE('',#3632,#3631); -#3634=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3635=VECTOR('',#3634,1.5E0); -#3636=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,3.111724784545E0)); -#3637=LINE('',#3636,#3635); -#3638=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3639=VECTOR('',#3638,3.35E0); -#3640=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,1.611724784545E0)); -#3641=LINE('',#3640,#3639); -#3642=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3643=VECTOR('',#3642,1.5E0); -#3644=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,3.111724784545E0)); -#3645=LINE('',#3644,#3643); -#3646=DIRECTION('',(1.E0,0.E0,0.E0)); -#3647=VECTOR('',#3646,4.2E0); -#3648=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,1.611724784545E0)); -#3649=LINE('',#3648,#3647); -#3650=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3651=VECTOR('',#3650,1.5E0); -#3652=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,3.111724784545E0)); -#3653=LINE('',#3652,#3651); -#3654=DIRECTION('',(0.E0,1.E0,0.E0)); -#3655=VECTOR('',#3654,3.35E0); -#3656=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,1.611724784545E0)); -#3657=LINE('',#3656,#3655); -#3658=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3659=VECTOR('',#3658,1.5E0); -#3660=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,3.111724784545E0)); -#3661=LINE('',#3660,#3659); -#3662=DIRECTION('',(1.E0,0.E0,0.E0)); -#3663=VECTOR('',#3662,4.2E0); -#3664=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,1.611724784545E0)); -#3665=LINE('',#3664,#3663); -#3666=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3667=VECTOR('',#3666,1.5E0); -#3668=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,3.111724784545E0)); -#3669=LINE('',#3668,#3667); -#3670=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3671=VECTOR('',#3670,3.35E0); -#3672=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,1.611724784545E0)); -#3673=LINE('',#3672,#3671); -#3674=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3675=VECTOR('',#3674,1.5E0); -#3676=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,3.111724784545E0)); -#3677=LINE('',#3676,#3675); -#3678=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3679=VECTOR('',#3678,4.2E0); -#3680=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,1.611724784545E0)); -#3681=LINE('',#3680,#3679); -#3682=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3683=VECTOR('',#3682,1.5E0); -#3684=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,3.111724784545E0)); -#3685=LINE('',#3684,#3683); -#3686=DIRECTION('',(0.E0,0.E0,1.E0)); -#3687=VECTOR('',#3686,5.E-1); -#3688=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.311724784545E0)); -#3689=LINE('',#3688,#3687); -#3690=DIRECTION('',(1.E0,0.E0,0.E0)); -#3691=VECTOR('',#3690,7.03199E-1); -#3692=CARTESIAN_POINT('',(9.9432468E1,5.3689809E1,4.811724784545E0)); -#3693=LINE('',#3692,#3691); -#3694=DIRECTION('',(0.E0,0.E0,1.E0)); -#3695=VECTOR('',#3694,5.E-1); -#3696=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.311724784545E0)); -#3697=LINE('',#3696,#3695); -#3698=DIRECTION('',(0.E0,0.E0,1.E0)); -#3699=VECTOR('',#3698,5.E-1); -#3700=CARTESIAN_POINT('',(1.00432466E2,5.3689809E1,4.311724784545E0)); -#3701=LINE('',#3700,#3699); -#3702=DIRECTION('',(1.E0,0.E0,0.E0)); -#3703=VECTOR('',#3702,7.03199E-1); -#3704=CARTESIAN_POINT('',(1.00432466E2,5.3689809E1,4.811724784545E0)); -#3705=LINE('',#3704,#3703); -#3706=DIRECTION('',(0.E0,0.E0,1.E0)); -#3707=VECTOR('',#3706,5.E-1); -#3708=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.311724784545E0)); -#3709=LINE('',#3708,#3707); -#3710=DIRECTION('',(0.E0,0.E0,1.E0)); -#3711=VECTOR('',#3710,5.E-1); -#3712=CARTESIAN_POINT('',(1.01432464E2,5.3689809E1,4.311724784545E0)); -#3713=LINE('',#3712,#3711); -#3714=DIRECTION('',(1.E0,0.E0,0.E0)); -#3715=VECTOR('',#3714,7.03199E-1); -#3716=CARTESIAN_POINT('',(1.01432464E2,5.3689809E1,4.811724784545E0)); -#3717=LINE('',#3716,#3715); -#3718=DIRECTION('',(0.E0,0.E0,1.E0)); -#3719=VECTOR('',#3718,5.E-1); -#3720=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.311724784545E0)); -#3721=LINE('',#3720,#3719); -#3722=DIRECTION('',(0.E0,0.E0,1.E0)); -#3723=VECTOR('',#3722,5.E-1); -#3724=CARTESIAN_POINT('',(1.02432462E2,5.3689809E1,4.311724784545E0)); -#3725=LINE('',#3724,#3723); -#3726=DIRECTION('',(1.E0,0.E0,0.E0)); -#3727=VECTOR('',#3726,7.03199E-1); -#3728=CARTESIAN_POINT('',(1.02432462E2,5.3689809E1,4.811724784545E0)); -#3729=LINE('',#3728,#3727); -#3730=DIRECTION('',(0.E0,0.E0,1.E0)); -#3731=VECTOR('',#3730,5.E-1); -#3732=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.311724784545E0)); -#3733=LINE('',#3732,#3731); -#3734=DIRECTION('',(0.E0,0.E0,1.E0)); -#3735=VECTOR('',#3734,2.8E0); -#3736=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,4.311724784545E0)); -#3737=LINE('',#3736,#3735); -#3738=DIRECTION('',(0.E0,0.E0,1.E0)); -#3739=VECTOR('',#3738,5.E-1); -#3740=CARTESIAN_POINT('',(9.9432468E1,5.3689809E1,4.311724784545E0)); -#3741=LINE('',#3740,#3739); -#3742=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3743=VECTOR('',#3742,9.55599E-1); -#3744=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.811724784545E0)); -#3745=LINE('',#3744,#3743); -#3746=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3747=VECTOR('',#3746,7.03199E-1); -#3748=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.811724784545E0)); -#3749=LINE('',#3748,#3747); -#3750=DIRECTION('',(0.E0,1.E0,0.E0)); -#3751=VECTOR('',#3750,9.55599E-1); -#3752=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.811724784545E0)); -#3753=LINE('',#3752,#3751); -#3754=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3755=VECTOR('',#3754,9.55599E-1); -#3756=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.811724784545E0)); -#3757=LINE('',#3756,#3755); -#3758=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3759=VECTOR('',#3758,7.03199E-1); -#3760=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.811724784545E0)); -#3761=LINE('',#3760,#3759); -#3762=DIRECTION('',(0.E0,1.E0,0.E0)); -#3763=VECTOR('',#3762,9.55599E-1); -#3764=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.811724784545E0)); -#3765=LINE('',#3764,#3763); -#3766=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3767=VECTOR('',#3766,9.55599E-1); -#3768=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.811724784545E0)); -#3769=LINE('',#3768,#3767); -#3770=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3771=VECTOR('',#3770,7.03199E-1); -#3772=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.811724784545E0)); -#3773=LINE('',#3772,#3771); -#3774=DIRECTION('',(0.E0,1.E0,0.E0)); -#3775=VECTOR('',#3774,9.55599E-1); -#3776=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.811724784545E0)); -#3777=LINE('',#3776,#3775); -#3778=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3779=VECTOR('',#3778,9.55599E-1); -#3780=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.811724784545E0)); -#3781=LINE('',#3780,#3779); -#3782=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3783=VECTOR('',#3782,7.03199E-1); -#3784=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.811724784545E0)); -#3785=LINE('',#3784,#3783); -#3786=DIRECTION('',(0.E0,1.E0,0.E0)); -#3787=VECTOR('',#3786,9.55599E-1); -#3788=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.811724784545E0)); -#3789=LINE('',#3788,#3787); -#3790=DIRECTION('',(0.E0,0.E0,1.E0)); -#3791=VECTOR('',#3790,5.E-1); -#3792=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.311724784545E0)); -#3793=LINE('',#3792,#3791); -#3794=DIRECTION('',(0.E0,0.E0,1.E0)); -#3795=VECTOR('',#3794,5.E-1); -#3796=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.311724784545E0)); -#3797=LINE('',#3796,#3795); -#3798=DIRECTION('',(0.E0,0.E0,1.E0)); -#3799=VECTOR('',#3798,5.E-1); -#3800=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.311724784545E0)); -#3801=LINE('',#3800,#3799); -#3802=DIRECTION('',(0.E0,0.E0,1.E0)); -#3803=VECTOR('',#3802,5.E-1); -#3804=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.311724784545E0)); -#3805=LINE('',#3804,#3803); -#3806=DIRECTION('',(0.E0,0.E0,1.E0)); -#3807=VECTOR('',#3806,5.E-1); -#3808=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.311724784545E0)); -#3809=LINE('',#3808,#3807); -#3810=DIRECTION('',(0.E0,0.E0,1.E0)); -#3811=VECTOR('',#3810,5.E-1); -#3812=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.311724784545E0)); -#3813=LINE('',#3812,#3811); -#3814=DIRECTION('',(0.E0,0.E0,1.E0)); -#3815=VECTOR('',#3814,5.E-1); -#3816=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.311724784545E0)); -#3817=LINE('',#3816,#3815); -#3818=DIRECTION('',(0.E0,0.E0,1.E0)); -#3819=VECTOR('',#3818,2.8E0); -#3820=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,4.311724784545E0)); -#3821=LINE('',#3820,#3819); -#3822=DIRECTION('',(0.E0,0.E0,1.E0)); -#3823=VECTOR('',#3822,2.8E0); -#3824=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,4.311724784545E0)); -#3825=LINE('',#3824,#3823); -#3826=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3827=VECTOR('',#3826,5.E-1); -#3828=CARTESIAN_POINT('',(9.86219995E1,4.8990809E1,6.311724784545E0)); -#3829=LINE('',#3828,#3827); -#3830=DIRECTION('',(1.E0,0.E0,0.E0)); -#3831=VECTOR('',#3830,4.E-1); -#3832=CARTESIAN_POINT('',(9.86219995E1,4.8990809E1,5.811724784545E0)); -#3833=LINE('',#3832,#3831); -#3834=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3835=VECTOR('',#3834,9.5E-1); -#3836=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,5.811724784545E0)); -#3837=LINE('',#3836,#3835); -#3838=DIRECTION('',(1.E0,0.E0,0.E0)); -#3839=VECTOR('',#3838,4.4E0); -#3840=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,4.861724784545E0)); -#3841=LINE('',#3840,#3839); -#3842=DIRECTION('',(0.E0,0.E0,1.E0)); -#3843=VECTOR('',#3842,9.5E-1); -#3844=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,4.861724784545E0)); -#3845=LINE('',#3844,#3843); -#3846=DIRECTION('',(1.E0,0.E0,0.E0)); -#3847=VECTOR('',#3846,4.E-1); -#3848=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,5.811724784545E0)); -#3849=LINE('',#3848,#3847); -#3850=DIRECTION('',(0.E0,0.E0,1.E0)); -#3851=VECTOR('',#3850,5.E-1); -#3852=CARTESIAN_POINT('',(1.038219995E2,4.8990809E1,5.811724784545E0)); -#3853=LINE('',#3852,#3851); -#3854=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3855=VECTOR('',#3854,4.E-1); -#3856=CARTESIAN_POINT('',(1.038219995E2,4.8990809E1,6.311724784545E0)); -#3857=LINE('',#3856,#3855); -#3858=DIRECTION('',(0.E0,0.E0,1.E0)); -#3859=VECTOR('',#3858,4.E-1); -#3860=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.311724784545E0)); -#3861=LINE('',#3860,#3859); -#3862=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3863=VECTOR('',#3862,4.4E0); -#3864=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.711724784545E0)); -#3865=LINE('',#3864,#3863); -#3866=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3867=VECTOR('',#3866,4.E-1); -#3868=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.711724784545E0)); -#3869=LINE('',#3868,#3867); -#3870=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3871=VECTOR('',#3870,4.E-1); -#3872=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.311724784545E0)); -#3873=LINE('',#3872,#3871); -#3874=DIRECTION('',(0.E0,0.E0,1.E0)); -#3875=VECTOR('',#3874,2.8E0); -#3876=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,4.311724784545E0)); -#3877=LINE('',#3876,#3875); -#3878=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3879=VECTOR('',#3878,6.321831E0); -#3880=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,7.111724784545E0)); -#3881=LINE('',#3880,#3879); -#3882=DIRECTION('',(0.E0,1.E0,0.E0)); -#3883=VECTOR('',#3882,4.699E0); -#3884=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,7.111724784545E0)); -#3885=LINE('',#3884,#3883); -#3886=DIRECTION('',(1.E0,0.E0,0.E0)); -#3887=VECTOR('',#3886,6.321831E0); -#3888=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,7.111724784545E0)); -#3889=LINE('',#3888,#3887); -#3890=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3891=VECTOR('',#3890,4.699E0); -#3892=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,7.111724784545E0)); -#3893=LINE('',#3892,#3891); -#3894=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3895=VECTOR('',#3894,3.5E0); -#3896=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,6.311724784545E0)); -#3897=LINE('',#3896,#3895); -#3898=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3899=VECTOR('',#3898,3.5E0); -#3900=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,6.311724784545E0)); -#3901=LINE('',#3900,#3899); -#3902=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3903=VECTOR('',#3902,3.5E0); -#3904=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,5.811724784545E0)); -#3905=LINE('',#3904,#3903); -#3906=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3907=VECTOR('',#3906,3.5E0); -#3908=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,5.811724784545E0)); -#3909=LINE('',#3908,#3907); -#3910=DIRECTION('',(0.E0,0.E0,1.E0)); -#3911=VECTOR('',#3910,4.E-1); -#3912=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,6.311724784545E0)); -#3913=LINE('',#3912,#3911); -#3914=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3915=VECTOR('',#3914,4.E-1); -#3916=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,6.311724784545E0)); -#3917=LINE('',#3916,#3915); -#3918=DIRECTION('',(0.E0,0.E0,1.E0)); -#3919=VECTOR('',#3918,5.E-1); -#3920=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,5.811724784545E0)); -#3921=LINE('',#3920,#3919); -#3922=DIRECTION('',(1.E0,0.E0,0.E0)); -#3923=VECTOR('',#3922,4.E-1); -#3924=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,5.811724784545E0)); -#3925=LINE('',#3924,#3923); -#3926=DIRECTION('',(0.E0,0.E0,1.E0)); -#3927=VECTOR('',#3926,9.5E-1); -#3928=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,4.861724784545E0)); -#3929=LINE('',#3928,#3927); -#3930=DIRECTION('',(1.E0,0.E0,0.E0)); -#3931=VECTOR('',#3930,4.4E0); -#3932=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,4.861724784545E0)); -#3933=LINE('',#3932,#3931); -#3934=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3935=VECTOR('',#3934,9.5E-1); -#3936=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,5.811724784545E0)); -#3937=LINE('',#3936,#3935); -#3938=DIRECTION('',(1.E0,0.E0,0.E0)); -#3939=VECTOR('',#3938,4.E-1); -#3940=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,5.811724784545E0)); -#3941=LINE('',#3940,#3939); -#3942=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3943=VECTOR('',#3942,5.E-1); -#3944=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,6.311724784545E0)); -#3945=LINE('',#3944,#3943); -#3946=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3947=VECTOR('',#3946,4.E-1); -#3948=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,6.311724784545E0)); -#3949=LINE('',#3948,#3947); -#3950=DIRECTION('',(0.E0,0.E0,-1.E0)); -#3951=VECTOR('',#3950,4.E-1); -#3952=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,6.711724784545E0)); -#3953=LINE('',#3952,#3951); -#3954=DIRECTION('',(-1.E0,0.E0,0.E0)); -#3955=VECTOR('',#3954,4.4E0); -#3956=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,6.711724784545E0)); -#3957=LINE('',#3956,#3955); -#3958=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3959=VECTOR('',#3958,3.5E0); -#3960=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,5.811724784545E0)); -#3961=LINE('',#3960,#3959); -#3962=DIRECTION('',(0.E0,1.E0,0.E0)); -#3963=VECTOR('',#3962,3.5E0); -#3964=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,4.861724784545E0)); -#3965=LINE('',#3964,#3963); -#3966=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3967=VECTOR('',#3966,3.5E0); -#3968=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,6.311724784545E0)); -#3969=LINE('',#3968,#3967); -#3970=DIRECTION('',(0.E0,1.E0,0.E0)); -#3971=VECTOR('',#3970,3.5E0); -#3972=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,4.861724784545E0)); -#3973=LINE('',#3972,#3971); -#3974=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3975=VECTOR('',#3974,3.5E0); -#3976=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,5.811724784545E0)); -#3977=LINE('',#3976,#3975); -#3978=DIRECTION('',(0.E0,-1.E0,0.E0)); -#3979=VECTOR('',#3978,3.5E0); -#3980=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,6.311724784545E0)); -#3981=LINE('',#3980,#3979); -#3982=DIRECTION('',(0.E0,1.E0,0.E0)); -#3983=VECTOR('',#3982,3.5E0); -#3984=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.711724784545E0)); -#3985=LINE('',#3984,#3983); -#3986=DIRECTION('',(0.E0,1.E0,0.E0)); -#3987=VECTOR('',#3986,3.5E0); -#3988=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.711724784545E0)); -#3989=LINE('',#3988,#3987); -#3990=DIRECTION('',(0.E0,0.E0,1.E0)); -#3991=VECTOR('',#3990,5.E-1); -#3992=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.311724784545E0)); -#3993=LINE('',#3992,#3991); -#3994=DIRECTION('',(0.E0,0.E0,1.E0)); -#3995=VECTOR('',#3994,5.E-1); -#3996=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.311724784545E0)); -#3997=LINE('',#3996,#3995); -#3998=DIRECTION('',(9.999694801760E-1,7.812727858096E-3,0.E0)); -#3999=VECTOR('',#3998,8.000254166355E-1); -#4000=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,7.111724784545E0)); -#4001=LINE('',#4000,#3999); -#4002=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4003=VECTOR('',#4002,7.E-1); -#4004=CARTESIAN_POINT('',(9.0183193E1,4.989104738086E1,7.811724784545E0)); -#4005=LINE('',#4004,#4003); -#4006=DIRECTION('',(0.E0,-1.015061051086E-14,-1.E0)); -#4007=VECTOR('',#4006,7.E-1); -#4008=CARTESIAN_POINT('',(9.5083191E1,4.992933090015E1,7.811724784545E0)); -#4009=LINE('',#4008,#4007); -#4010=DIRECTION('',(9.999694801760E-1,7.812727858103E-3,0.E0)); -#4011=VECTOR('',#4010,7.000243646204E-1); -#4012=CARTESIAN_POINT('',(9.5083191E1,4.992933090015E1,7.111724784545E0)); -#4013=LINE('',#4012,#4011); -#4014=DIRECTION('',(0.E0,0.E0,1.E0)); -#4015=VECTOR('',#4014,5.E-1); -#4016=CARTESIAN_POINT('',(9.3633191E1,4.991800209900E1,4.311724784545E0)); -#4017=LINE('',#4016,#4015); -#4018=DIRECTION('',(9.999694801760E-1,7.812727858104E-3,0.E0)); -#4019=VECTOR('',#4018,7.000203644983E-1); -#4020=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.811724784545E0)); -#4021=LINE('',#4020,#4019); -#4022=DIRECTION('',(0.E0,0.E0,1.E0)); -#4023=VECTOR('',#4022,5.E-1); -#4024=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.311724784545E0)); -#4025=LINE('',#4024,#4023); -#4026=DIRECTION('',(0.E0,0.E0,1.E0)); -#4027=VECTOR('',#4026,5.E-1); -#4028=CARTESIAN_POINT('',(9.2363193E1,4.990807964741E1,4.311724784545E0)); -#4029=LINE('',#4028,#4027); -#4030=DIRECTION('',(9.999694801760E-1,7.812727858086E-3,0.E0)); -#4031=VECTOR('',#4030,7.000193644678E-1); -#4032=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.811724784545E0)); -#4033=LINE('',#4032,#4031); -#4034=DIRECTION('',(0.E0,0.E0,1.E0)); -#4035=VECTOR('',#4034,5.E-1); -#4036=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.311724784545E0)); -#4037=LINE('',#4036,#4035); -#4038=DIRECTION('',(0.E0,0.E0,1.E0)); -#4039=VECTOR('',#4038,2.8E0); -#4040=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,4.311724784545E0)); -#4041=LINE('',#4040,#4039); -#4042=DIRECTION('',(-1.804121354189E-13,1.E0,0.E0)); -#4043=VECTOR('',#4042,3.150753619141E0); -#4044=CARTESIAN_POINT('',(9.0183193E1,4.989104738086E1,7.111724784545E0)); -#4045=LINE('',#4044,#4043); -#4046=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4047=VECTOR('',#4046,3.112470099855E0); -#4048=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,7.111724784545E0)); -#4049=LINE('',#4048,#4047); -#4050=DIRECTION('',(-1.E0,-2.639156219341E-13,0.E0)); -#4051=VECTOR('',#4050,1.050001E0); -#4052=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.111724784545E0)); -#4053=LINE('',#4052,#4051); -#4054=DIRECTION('',(0.E0,0.E0,1.E0)); -#4055=VECTOR('',#4054,2.8E0); -#4056=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,4.311724784545E0)); -#4057=LINE('',#4056,#4055); -#4058=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4059=VECTOR('',#4058,2.907004E0); -#4060=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,7.111724784545E0)); -#4061=LINE('',#4060,#4059); -#4062=DIRECTION('',(0.E0,0.E0,1.E0)); -#4063=VECTOR('',#4062,2.8E0); -#4064=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,4.311724784545E0)); -#4065=LINE('',#4064,#4063); -#4066=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); -#4067=VECTOR('',#4066,3.535533905933E-1); -#4068=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,7.111724784545E0)); -#4069=LINE('',#4068,#4067); -#4070=DIRECTION('',(0.E0,0.E0,1.E0)); -#4071=VECTOR('',#4070,2.8E0); -#4072=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,4.311724784545E0)); -#4073=LINE('',#4072,#4071); -#4074=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4075=VECTOR('',#4074,1.192998E0); -#4076=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,7.411724784545E0)); -#4077=LINE('',#4076,#4075); -#4078=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4079=VECTOR('',#4078,4.E-1); -#4080=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.811724784545E0)); -#4081=LINE('',#4080,#4079); -#4082=DIRECTION('',(1.804121354189E-13,-1.E0,0.E0)); -#4083=VECTOR('',#4082,3.150753619141E0); -#4084=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.811724784545E0)); -#4085=LINE('',#4084,#4083); -#4086=DIRECTION('',(0.E0,0.E0,1.E0)); -#4087=VECTOR('',#4086,3.1E0); -#4088=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,4.311724784545E0)); -#4089=LINE('',#4088,#4087); -#4090=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4091=VECTOR('',#4090,1.192998E0); -#4092=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,7.411724784545E0)); -#4093=LINE('',#4092,#4091); -#4094=DIRECTION('',(1.E0,-1.776356839400E-14,0.E0)); -#4095=VECTOR('',#4094,4.E-1); -#4096=CARTESIAN_POINT('',(9.4683191E1,5.3041801E1,7.411724784545E0)); -#4097=LINE('',#4096,#4095); -#4098=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4099=VECTOR('',#4098,1.192998E0); -#4100=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,7.411724784545E0)); -#4101=LINE('',#4100,#4099); -#4102=DIRECTION('',(1.E0,1.776356839396E-14,0.E0)); -#4103=VECTOR('',#4102,4.000000000009E-1); -#4104=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.411724784545E0)); -#4105=LINE('',#4104,#4103); -#4106=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4107=VECTOR('',#4106,1.507002E0); -#4108=CARTESIAN_POINT('',(9.4683191E1,5.3041801E1,7.411724784545E0)); -#4109=LINE('',#4108,#4107); -#4110=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4111=VECTOR('',#4110,2.7E0); -#4112=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,7.411724784545E0)); -#4113=LINE('',#4112,#4111); -#4114=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4115=VECTOR('',#4114,4.099997999999E0); -#4116=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,4.711724784545E0)); -#4117=LINE('',#4116,#4115); -#4118=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4119=VECTOR('',#4118,2.7E0); -#4120=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,7.411724784545E0)); -#4121=LINE('',#4120,#4119); -#4122=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4123=VECTOR('',#4122,4.000000000009E-1); -#4124=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,7.411724784545E0)); -#4125=LINE('',#4124,#4123); -#4126=DIRECTION('',(0.E0,0.E0,1.E0)); -#4127=VECTOR('',#4126,3.1E0); -#4128=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,4.311724784545E0)); -#4129=LINE('',#4128,#4127); -#4130=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4131=VECTOR('',#4130,4.E-1); -#4132=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,7.411724784545E0)); -#4133=LINE('',#4132,#4131); -#4134=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4135=VECTOR('',#4134,2.7E0); -#4136=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,4.711724784545E0)); -#4137=LINE('',#4136,#4135); -#4138=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4139=VECTOR('',#4138,2.7E0); -#4140=CARTESIAN_POINT('',(9.4683191E1,5.1534799E1,7.411724784545E0)); -#4141=LINE('',#4140,#4139); -#4142=DIRECTION('',(1.E0,0.E0,0.E0)); -#4143=VECTOR('',#4142,4.099997999999E0); -#4144=CARTESIAN_POINT('',(9.0583193E1,5.1534799E1,7.411724784545E0)); -#4145=LINE('',#4144,#4143); -#4146=DIRECTION('',(0.E0,0.E0,1.E0)); -#4147=VECTOR('',#4146,2.7E0); -#4148=CARTESIAN_POINT('',(9.0583193E1,5.1534799E1,4.711724784545E0)); -#4149=LINE('',#4148,#4147); -#4150=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4151=VECTOR('',#4150,4.099997999999E0); -#4152=CARTESIAN_POINT('',(9.4683191E1,5.1534799E1,4.711724784545E0)); -#4153=LINE('',#4152,#4151); -#4154=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4155=VECTOR('',#4154,1.507002E0); -#4156=CARTESIAN_POINT('',(9.0583193E1,5.3041801E1,7.411724784545E0)); -#4157=LINE('',#4156,#4155); -#4158=DIRECTION('',(1.E0,0.E0,0.E0)); -#4159=VECTOR('',#4158,4.099997999999E0); -#4160=CARTESIAN_POINT('',(9.0583193E1,5.3041801E1,7.411724784545E0)); -#4161=LINE('',#4160,#4159); -#4162=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4163=VECTOR('',#4162,1.192998E0); -#4164=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,7.411724784545E0)); -#4165=LINE('',#4164,#4163); -#4166=DIRECTION('',(0.E0,0.E0,1.E0)); -#4167=VECTOR('',#4166,2.8E0); -#4168=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,4.311724784545E0)); -#4169=LINE('',#4168,#4167); -#4170=DIRECTION('',(0.E0,1.E0,0.E0)); -#4171=VECTOR('',#4170,3.112470099855E0); -#4172=CARTESIAN_POINT('',(9.5083191E1,4.992933090015E1,7.811724784545E0)); -#4173=LINE('',#4172,#4171); -#4174=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4175=VECTOR('',#4174,4.E-1); -#4176=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,7.811724784545E0)); -#4177=LINE('',#4176,#4175); -#4178=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4179=VECTOR('',#4178,1.049997E0); -#4180=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,7.111724784545E0)); -#4181=LINE('',#4180,#4179); -#4182=DIRECTION('',(0.E0,0.E0,1.E0)); -#4183=VECTOR('',#4182,2.8E0); -#4184=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,4.311724784545E0)); -#4185=LINE('',#4184,#4183); -#4186=DIRECTION('',(-1.088139673763E-6,9.999999999994E-1,0.E0)); -#4187=VECTOR('',#4186,2.756999000002E0); -#4188=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,7.111724784545E0)); -#4189=LINE('',#4188,#4187); -#4190=DIRECTION('',(0.E0,0.E0,1.E0)); -#4191=VECTOR('',#4190,2.8E0); -#4192=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,4.311724784545E0)); -#4193=LINE('',#4192,#4191); -#4194=DIRECTION('',(7.071017303986E-1,7.071118319385E-1,0.E0)); -#4195=VECTOR('',#4194,4.949740397364E-1); -#4196=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,7.111724784545E0)); -#4197=LINE('',#4196,#4195); -#4198=DIRECTION('',(0.E0,0.E0,1.E0)); -#4199=VECTOR('',#4198,2.8E0); -#4200=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,4.311724784545E0)); -#4201=LINE('',#4200,#4199); -#4202=DIRECTION('',(1.E0,0.E0,0.E0)); -#4203=VECTOR('',#4202,4.899998E0); -#4204=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.811724784545E0)); -#4205=LINE('',#4204,#4203); -#4206=DIRECTION('',(9.999694801760E-1,7.812727858090E-3,0.E0)); -#4207=VECTOR('',#4206,4.900147551640E0); -#4208=CARTESIAN_POINT('',(9.0183193E1,4.989104738086E1,7.811724784545E0)); -#4209=LINE('',#4208,#4207); -#4210=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4211=VECTOR('',#4210,2.7E0); -#4212=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,4.711724784545E0)); -#4213=LINE('',#4212,#4211); -#4214=DIRECTION('',(0.E0,1.E0,0.E0)); -#4215=VECTOR('',#4214,8.232756474121E-1); -#4216=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.811724784545E0)); -#4217=LINE('',#4216,#4215); -#4218=DIRECTION('',(1.E0,0.E0,0.E0)); -#4219=VECTOR('',#4218,6.99998E-1); -#4220=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.811724784545E0)); -#4221=LINE('',#4220,#4219); -#4222=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4223=VECTOR('',#4222,8.178065866222E-1); -#4224=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.811724784545E0)); -#4225=LINE('',#4224,#4223); -#4226=DIRECTION('',(0.E0,1.E0,0.E0)); -#4227=VECTOR('',#4226,8.332010989979E-1); -#4228=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.811724784545E0)); -#4229=LINE('',#4228,#4227); -#4230=DIRECTION('',(1.E0,0.E0,0.E0)); -#4231=VECTOR('',#4230,6.99999E-1); -#4232=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.811724784545E0)); -#4233=LINE('',#4232,#4231); -#4234=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4235=VECTOR('',#4234,8.277320303950E-1); -#4236=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.811724784545E0)); -#4237=LINE('',#4236,#4235); -#4238=DIRECTION('',(0.E0,0.E0,1.E0)); -#4239=VECTOR('',#4238,5.E-1); -#4240=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.311724784545E0)); -#4241=LINE('',#4240,#4239); -#4242=DIRECTION('',(0.E0,0.E0,1.E0)); -#4243=VECTOR('',#4242,5.E-1); -#4244=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.311724784545E0)); -#4245=LINE('',#4244,#4243); -#4246=CARTESIAN_POINT('',(1.046527925E2,6.1730402E1,4.311724784545E0)); -#4247=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4248=DIRECTION('',(-8.133401577001E-1,-5.817884391017E-1,0.E0)); -#4249=AXIS2_PLACEMENT_3D('',#4246,#4247,#4248); -#4251=CARTESIAN_POINT('',(1.046527925E2,6.1730402E1,4.811724784545E0)); -#4252=DIRECTION('',(0.E0,0.E0,1.E0)); -#4253=DIRECTION('',(4.986703344733E-1,-8.667917267235E-1,0.E0)); -#4254=AXIS2_PLACEMENT_3D('',#4251,#4252,#4253); -#4256=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4257=VECTOR('',#4256,8.E-1); -#4258=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,5.711724784545E0)); -#4259=LINE('',#4258,#4257); -#4260=DIRECTION('',(-4.347829819897E-7,9.999999999999E-1,0.E0)); -#4261=VECTOR('',#4260,1.85E0); -#4262=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,4.911724784545E0)); -#4263=LINE('',#4262,#4261); -#4264=DIRECTION('',(0.E0,0.E0,1.E0)); -#4265=VECTOR('',#4264,8.E-1); -#4266=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,4.911724784545E0)); -#4267=LINE('',#4266,#4265); -#4268=DIRECTION('',(4.347829819897E-7,-9.999999999999E-1,0.E0)); -#4269=VECTOR('',#4268,1.85E0); -#4270=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,5.711724784545E0)); -#4271=LINE('',#4270,#4269); -#4272=DIRECTION('',(-4.347830193781E-7,9.999999999999E-1,0.E0)); -#4273=VECTOR('',#4272,1.714549711622E-1); -#4274=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,4.811724784545E0)); -#4275=LINE('',#4274,#4273); -#4276=DIRECTION('',(0.E0,0.E0,1.E0)); -#4277=VECTOR('',#4276,1.3E0); -#4278=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,4.811724784545E0)); -#4279=LINE('',#4278,#4277); -#4280=DIRECTION('',(-4.347829556157E-7,9.999999999999E-1,0.E0)); -#4281=VECTOR('',#4280,1.714580360060E-1); -#4282=CARTESIAN_POINT('',(1.049527920745E2,6.120894096399E1,4.811724784545E0)); -#4283=LINE('',#4282,#4281); -#4284=DIRECTION('',(0.E0,0.E0,1.E0)); -#4285=VECTOR('',#4284,5.E-1); -#4286=CARTESIAN_POINT('',(1.049527920745E2,6.120894096399E1,4.311724784545E0)); -#4287=LINE('',#4286,#4285); -#4288=DIRECTION('',(0.E0,-1.421085471520E-14,1.E0)); -#4289=VECTOR('',#4288,5.E-1); -#4290=CARTESIAN_POINT('',(1.049527939255E2,5.695185797116E1,4.311724784545E0)); -#4291=LINE('',#4290,#4289); -#4292=DIRECTION('',(9.999999999999E-1,4.347829778745E-7,0.E0)); -#4293=VECTOR('',#4292,1.12E0); -#4294=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,5.711724784545E0)); -#4295=LINE('',#4294,#4293); -#4296=DIRECTION('',(9.999999999999E-1,4.347829778745E-7,0.E0)); -#4297=VECTOR('',#4296,1.12E0); -#4298=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,5.711724784545E0)); -#4299=LINE('',#4298,#4297); -#4300=DIRECTION('',(9.999999999999E-1,4.347829778745E-7,0.E0)); -#4301=VECTOR('',#4300,1.12E0); -#4302=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,4.911724784545E0)); -#4303=LINE('',#4302,#4301); -#4304=DIRECTION('',(9.999999999999E-1,4.347829842187E-7,0.E0)); -#4305=VECTOR('',#4304,1.12E0); -#4306=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,4.911724784545E0)); -#4307=LINE('',#4306,#4305); -#4308=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4309=VECTOR('',#4308,8.E-1); -#4310=CARTESIAN_POINT('',(1.060727934022E2,5.815540148696E1,5.711724784545E0)); -#4311=LINE('',#4310,#4309); -#4312=DIRECTION('',(4.347829743081E-7,-9.999999999999E-1,0.E0)); -#4313=VECTOR('',#4312,1.85E0); -#4314=CARTESIAN_POINT('',(1.060727925978E2,6.000540148696E1,5.711724784545E0)); -#4315=LINE('',#4314,#4313); -#4316=DIRECTION('',(0.E0,0.E0,1.E0)); -#4317=VECTOR('',#4316,8.E-1); -#4318=CARTESIAN_POINT('',(1.060727925978E2,6.000540148696E1,4.911724784545E0)); -#4319=LINE('',#4318,#4317); -#4320=DIRECTION('',(-4.347829743081E-7,9.999999999999E-1,0.E0)); -#4321=VECTOR('',#4320,1.85E0); -#4322=CARTESIAN_POINT('',(1.060727934022E2,5.815540148696E1,4.911724784545E0)); -#4323=LINE('',#4322,#4321); -#4324=DIRECTION('',(9.999999999999E-1,4.347829965508E-7,0.E0)); -#4325=VECTOR('',#4324,7.893051858680E-1); -#4326=CARTESIAN_POINT('',(1.041634888141E2,5.678040265682E1,4.811724784545E0)); -#4327=LINE('',#4326,#4325); -#4328=DIRECTION('',(0.E0,0.E0,1.E0)); -#4329=VECTOR('',#4328,5.E-1); -#4330=CARTESIAN_POINT('',(1.041634888141E2,5.678040265682E1,4.311724784545E0)); -#4331=LINE('',#4330,#4329); -#4332=DIRECTION('',(0.E0,0.E0,1.E0)); -#4333=VECTOR('',#4332,1.8E0); -#4334=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,4.311724784545E0)); -#4335=LINE('',#4334,#4333); -#4336=CARTESIAN_POINT('',(1.046527925E2,5.6430398E1,4.311724784545E0)); -#4337=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4338=DIRECTION('',(4.986734111194E-1,8.667899567038E-1,0.E0)); -#4339=AXIS2_PLACEMENT_3D('',#4336,#4337,#4338); -#4341=CARTESIAN_POINT('',(1.046527925E2,5.6430398E1,4.811724784545E0)); -#4342=DIRECTION('',(0.E0,0.E0,1.E0)); -#4343=DIRECTION('',(-8.133385957526E-1,5.817906226978E-1,0.E0)); -#4344=AXIS2_PLACEMENT_3D('',#4341,#4342,#4343); -#4346=DIRECTION('',(4.347829930175E-7,-9.999999999999E-1,0.E0)); -#4347=VECTOR('',#4346,9.833822848562E-1); -#4348=CARTESIAN_POINT('',(1.026527920471E2,6.127208825113E1,4.811724784545E0)); -#4349=LINE('',#4348,#4347); -#4350=DIRECTION('',(0.E0,0.E0,1.E0)); -#4351=VECTOR('',#4350,5.E-1); -#4352=CARTESIAN_POINT('',(1.026527920471E2,6.127208825113E1,4.311724784545E0)); -#4353=LINE('',#4352,#4351); -#4354=DIRECTION('',(0.E0,0.E0,1.E0)); -#4355=VECTOR('',#4354,1.8E0); -#4356=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,4.311724784545E0)); -#4357=LINE('',#4356,#4355); -#4358=DIRECTION('',(0.E0,-1.421085471520E-14,1.E0)); -#4359=VECTOR('',#4358,5.E-1); -#4360=CARTESIAN_POINT('',(1.026527939529E2,5.688870888584E1,4.311724784545E0)); -#4361=LINE('',#4360,#4359); -#4362=DIRECTION('',(4.347829624983E-7,-9.999999999999E-1,0.E0)); -#4363=VECTOR('',#4362,9.833844457199E-1); -#4364=CARTESIAN_POINT('',(1.026527935254E2,5.787209333156E1,4.811724784545E0)); -#4365=LINE('',#4364,#4363); -#4366=DIRECTION('',(0.E0,0.E0,1.E0)); -#4367=VECTOR('',#4366,5.E-1); -#4368=CARTESIAN_POINT('',(1.026527935254E2,5.787209333156E1,4.311724784545E0)); -#4369=LINE('',#4368,#4367); -#4370=DIRECTION('',(0.E0,0.E0,1.E0)); -#4371=VECTOR('',#4370,5.E-1); -#4372=CARTESIAN_POINT('',(1.026527924746E2,6.028870596627E1,4.311724784545E0)); -#4373=LINE('',#4372,#4371); -#4374=CARTESIAN_POINT('',(1.024027875E2,6.0780397E1,4.811724784545E0)); -#4375=DIRECTION('',(0.E0,0.E0,1.E0)); -#4376=DIRECTION('',(4.532352195273E-1,8.913909556306E-1,0.E0)); -#4377=AXIS2_PLACEMENT_3D('',#4374,#4375,#4376); -#4379=CARTESIAN_POINT('',(1.024027875E2,6.0780397E1,4.311724784545E0)); -#4380=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4381=DIRECTION('',(4.532359946503E-1,-8.913905615124E-1,0.E0)); -#4382=AXIS2_PLACEMENT_3D('',#4379,#4380,#4381); -#4384=DIRECTION('',(-9.999999999999E-1,-4.347829954896E-7,0.E0)); -#4385=VECTOR('',#4384,7.893041255340E-1); -#4386=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,4.811724784545E0)); -#4387=LINE('',#4386,#4385); -#4388=DIRECTION('',(0.E0,0.E0,1.E0)); -#4389=VECTOR('',#4388,1.3E0); -#4390=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,4.811724784545E0)); -#4391=LINE('',#4390,#4389); -#4392=DIRECTION('',(0.E0,0.E0,1.E0)); -#4393=VECTOR('',#4392,5.E-1); -#4394=CARTESIAN_POINT('',(1.041634878745E2,6.138039865682E1,4.311724784545E0)); -#4395=LINE('',#4394,#4393); -#4396=DIRECTION('',(9.999999999999E-1,4.347829875835E-7,0.E0)); -#4397=VECTOR('',#4396,2.300000000002E0); -#4398=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,6.111724784545E0)); -#4399=LINE('',#4398,#4397); -#4400=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); -#4401=VECTOR('',#4400,4.599996E0); -#4402=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,6.111724784545E0)); -#4403=LINE('',#4402,#4401); -#4404=DIRECTION('',(-9.999999999999E-1,-4.347829844941E-7,0.E0)); -#4405=VECTOR('',#4404,2.300000000002E0); -#4406=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,6.111724784545E0)); -#4407=LINE('',#4406,#4405); -#4408=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); -#4409=VECTOR('',#4408,4.599996E0); -#4410=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,6.111724784545E0)); -#4411=LINE('',#4410,#4409); -#4412=CARTESIAN_POINT('',(1.0240279E2,5.7380401E1,4.811724784545E0)); -#4413=DIRECTION('',(0.E0,0.E0,1.E0)); -#4414=DIRECTION('',(4.532329563718E-1,8.913921063474E-1,0.E0)); -#4415=AXIS2_PLACEMENT_3D('',#4412,#4413,#4414); -#4417=CARTESIAN_POINT('',(1.0240279E2,5.7380401E1,4.311724784545E0)); -#4418=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4419=DIRECTION('',(4.532337314959E-1,-8.913917122311E-1,0.E0)); -#4420=AXIS2_PLACEMENT_3D('',#4417,#4418,#4419); -#4422=DIRECTION('',(0.E0,0.E0,1.E0)); -#4423=VECTOR('',#4422,2.E0); -#4424=CARTESIAN_POINT('',(9.52246E1,7.69366E1,4.311724784545E0)); -#4425=LINE('',#4424,#4423); -#4426=DIRECTION('',(0.E0,0.E0,1.E0)); -#4427=VECTOR('',#4426,2.E0); -#4428=CARTESIAN_POINT('',(9.52246E1,7.21106E1,4.311724784545E0)); -#4429=LINE('',#4428,#4427); -#4430=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4431=VECTOR('',#4430,8.128E-1); -#4432=CARTESIAN_POINT('',(9.52246E1,7.6835E1,5.311724784545E0)); -#4433=LINE('',#4432,#4431); -#4434=DIRECTION('',(0.E0,0.E0,1.E0)); -#4435=VECTOR('',#4434,5.E-1); -#4436=CARTESIAN_POINT('',(9.52246E1,7.6835E1,5.311724784545E0)); -#4437=LINE('',#4436,#4435); -#4438=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4439=VECTOR('',#4438,8.128E-1); -#4440=CARTESIAN_POINT('',(9.52246E1,7.6835E1,5.811724784545E0)); -#4441=LINE('',#4440,#4439); -#4442=DIRECTION('',(0.E0,0.E0,1.E0)); -#4443=VECTOR('',#4442,5.E-1); -#4444=CARTESIAN_POINT('',(9.52246E1,7.60222E1,5.311724784545E0)); -#4445=LINE('',#4444,#4443); -#4446=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4447=VECTOR('',#4446,8.128E-1); -#4448=CARTESIAN_POINT('',(9.52246E1,7.5565E1,5.311724784545E0)); -#4449=LINE('',#4448,#4447); -#4450=DIRECTION('',(0.E0,0.E0,1.E0)); -#4451=VECTOR('',#4450,5.E-1); -#4452=CARTESIAN_POINT('',(9.52246E1,7.5565E1,5.311724784545E0)); -#4453=LINE('',#4452,#4451); -#4454=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4455=VECTOR('',#4454,8.128E-1); -#4456=CARTESIAN_POINT('',(9.52246E1,7.5565E1,5.811724784545E0)); -#4457=LINE('',#4456,#4455); -#4458=DIRECTION('',(0.E0,0.E0,1.E0)); -#4459=VECTOR('',#4458,5.E-1); -#4460=CARTESIAN_POINT('',(9.52246E1,7.47522E1,5.311724784545E0)); -#4461=LINE('',#4460,#4459); -#4462=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4463=VECTOR('',#4462,8.128E-1); -#4464=CARTESIAN_POINT('',(9.52246E1,7.4295E1,5.311724784545E0)); -#4465=LINE('',#4464,#4463); -#4466=DIRECTION('',(0.E0,0.E0,1.E0)); -#4467=VECTOR('',#4466,5.E-1); -#4468=CARTESIAN_POINT('',(9.52246E1,7.4295E1,5.311724784545E0)); -#4469=LINE('',#4468,#4467); -#4470=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4471=VECTOR('',#4470,8.128E-1); -#4472=CARTESIAN_POINT('',(9.52246E1,7.4295E1,5.811724784545E0)); -#4473=LINE('',#4472,#4471); -#4474=DIRECTION('',(0.E0,0.E0,1.E0)); -#4475=VECTOR('',#4474,5.E-1); -#4476=CARTESIAN_POINT('',(9.52246E1,7.34822E1,5.311724784545E0)); -#4477=LINE('',#4476,#4475); -#4478=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4479=VECTOR('',#4478,8.128E-1); -#4480=CARTESIAN_POINT('',(9.52246E1,7.3025E1,5.311724784545E0)); -#4481=LINE('',#4480,#4479); -#4482=DIRECTION('',(0.E0,0.E0,1.E0)); -#4483=VECTOR('',#4482,5.E-1); -#4484=CARTESIAN_POINT('',(9.52246E1,7.3025E1,5.311724784545E0)); -#4485=LINE('',#4484,#4483); -#4486=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4487=VECTOR('',#4486,8.128E-1); -#4488=CARTESIAN_POINT('',(9.52246E1,7.3025E1,5.811724784545E0)); -#4489=LINE('',#4488,#4487); -#4490=DIRECTION('',(0.E0,0.E0,1.E0)); -#4491=VECTOR('',#4490,5.E-1); -#4492=CARTESIAN_POINT('',(9.52246E1,7.22122E1,5.311724784545E0)); -#4493=LINE('',#4492,#4491); -#4494=DIRECTION('',(0.E0,0.E0,1.E0)); -#4495=VECTOR('',#4494,2.E0); -#4496=CARTESIAN_POINT('',(9.01446E1,7.21106E1,4.311724784545E0)); -#4497=LINE('',#4496,#4495); -#4498=DIRECTION('',(0.E0,0.E0,1.E0)); -#4499=VECTOR('',#4498,2.E0); -#4500=CARTESIAN_POINT('',(9.01446E1,7.69366E1,4.311724784545E0)); -#4501=LINE('',#4500,#4499); -#4502=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4503=VECTOR('',#4502,8.128E-1); -#4504=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.311724784545E0)); -#4505=LINE('',#4504,#4503); -#4506=DIRECTION('',(0.E0,0.E0,1.E0)); -#4507=VECTOR('',#4506,5.E-1); -#4508=CARTESIAN_POINT('',(9.01446E1,7.60222E1,5.311724784545E0)); -#4509=LINE('',#4508,#4507); -#4510=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4511=VECTOR('',#4510,8.128E-1); -#4512=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.811724784545E0)); -#4513=LINE('',#4512,#4511); -#4514=DIRECTION('',(0.E0,0.E0,1.E0)); -#4515=VECTOR('',#4514,5.E-1); -#4516=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.311724784545E0)); -#4517=LINE('',#4516,#4515); -#4518=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4519=VECTOR('',#4518,8.128E-1); -#4520=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.311724784545E0)); -#4521=LINE('',#4520,#4519); -#4522=DIRECTION('',(0.E0,0.E0,1.E0)); -#4523=VECTOR('',#4522,5.E-1); -#4524=CARTESIAN_POINT('',(9.01446E1,7.47522E1,5.311724784545E0)); -#4525=LINE('',#4524,#4523); -#4526=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4527=VECTOR('',#4526,8.128E-1); -#4528=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.811724784545E0)); -#4529=LINE('',#4528,#4527); -#4530=DIRECTION('',(0.E0,0.E0,1.E0)); -#4531=VECTOR('',#4530,5.E-1); -#4532=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.311724784545E0)); -#4533=LINE('',#4532,#4531); -#4534=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4535=VECTOR('',#4534,8.128E-1); -#4536=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.311724784545E0)); -#4537=LINE('',#4536,#4535); -#4538=DIRECTION('',(0.E0,0.E0,1.E0)); -#4539=VECTOR('',#4538,5.E-1); -#4540=CARTESIAN_POINT('',(9.01446E1,7.34822E1,5.311724784545E0)); -#4541=LINE('',#4540,#4539); -#4542=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4543=VECTOR('',#4542,8.128E-1); -#4544=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.811724784545E0)); -#4545=LINE('',#4544,#4543); -#4546=DIRECTION('',(0.E0,0.E0,1.E0)); -#4547=VECTOR('',#4546,5.E-1); -#4548=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.311724784545E0)); -#4549=LINE('',#4548,#4547); -#4550=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4551=VECTOR('',#4550,8.128E-1); -#4552=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.311724784545E0)); -#4553=LINE('',#4552,#4551); -#4554=DIRECTION('',(0.E0,0.E0,1.E0)); -#4555=VECTOR('',#4554,5.E-1); -#4556=CARTESIAN_POINT('',(9.01446E1,7.22122E1,5.311724784545E0)); -#4557=LINE('',#4556,#4555); -#4558=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4559=VECTOR('',#4558,8.128E-1); -#4560=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.811724784545E0)); -#4561=LINE('',#4560,#4559); -#4562=DIRECTION('',(0.E0,0.E0,1.E0)); -#4563=VECTOR('',#4562,5.E-1); -#4564=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.311724784545E0)); -#4565=LINE('',#4564,#4563); -#4566=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4567=VECTOR('',#4566,5.08E0); -#4568=CARTESIAN_POINT('',(9.52246E1,7.69366E1,6.311724784545E0)); -#4569=LINE('',#4568,#4567); -#4570=DIRECTION('',(0.E0,1.E0,0.E0)); -#4571=VECTOR('',#4570,4.826E0); -#4572=CARTESIAN_POINT('',(9.52246E1,7.21106E1,6.311724784545E0)); -#4573=LINE('',#4572,#4571); -#4574=DIRECTION('',(1.E0,0.E0,0.E0)); -#4575=VECTOR('',#4574,5.08E0); -#4576=CARTESIAN_POINT('',(9.01446E1,7.21106E1,6.311724784545E0)); -#4577=LINE('',#4576,#4575); -#4578=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4579=VECTOR('',#4578,4.826E0); -#4580=CARTESIAN_POINT('',(9.01446E1,7.69366E1,6.311724784545E0)); -#4581=LINE('',#4580,#4579); -#4582=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4583=VECTOR('',#4582,4.826E-1); -#4584=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.311724784545E0)); -#4585=LINE('',#4584,#4583); -#4586=DIRECTION('',(1.E0,0.E0,0.E0)); -#4587=VECTOR('',#4586,4.826E-1); -#4588=CARTESIAN_POINT('',(8.9662E1,7.60222E1,5.311724784545E0)); -#4589=LINE('',#4588,#4587); -#4590=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4591=VECTOR('',#4590,4.826E-1); -#4592=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.311724784545E0)); -#4593=LINE('',#4592,#4591); -#4594=DIRECTION('',(1.E0,0.E0,0.E0)); -#4595=VECTOR('',#4594,4.826E-1); -#4596=CARTESIAN_POINT('',(8.9662E1,7.47522E1,5.311724784545E0)); -#4597=LINE('',#4596,#4595); -#4598=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4599=VECTOR('',#4598,4.825999999999E-1); -#4600=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.311724784545E0)); -#4601=LINE('',#4600,#4599); -#4602=DIRECTION('',(1.E0,0.E0,0.E0)); -#4603=VECTOR('',#4602,4.826E-1); -#4604=CARTESIAN_POINT('',(8.9662E1,7.34822E1,5.311724784545E0)); -#4605=LINE('',#4604,#4603); -#4606=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4607=VECTOR('',#4606,4.826E-1); -#4608=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.311724784545E0)); -#4609=LINE('',#4608,#4607); -#4610=DIRECTION('',(1.E0,0.E0,0.E0)); -#4611=VECTOR('',#4610,4.826E-1); -#4612=CARTESIAN_POINT('',(8.9662E1,7.22122E1,5.311724784545E0)); -#4613=LINE('',#4612,#4611); -#4614=DIRECTION('',(1.E0,0.E0,0.E0)); -#4615=VECTOR('',#4614,4.826E-1); -#4616=CARTESIAN_POINT('',(9.52246E1,7.60222E1,5.311724784545E0)); -#4617=LINE('',#4616,#4615); -#4618=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4619=VECTOR('',#4618,4.826E-1); -#4620=CARTESIAN_POINT('',(9.57072E1,7.6835E1,5.311724784545E0)); -#4621=LINE('',#4620,#4619); -#4622=DIRECTION('',(1.E0,0.E0,0.E0)); -#4623=VECTOR('',#4622,4.826E-1); -#4624=CARTESIAN_POINT('',(9.52246E1,7.47522E1,5.311724784545E0)); -#4625=LINE('',#4624,#4623); -#4626=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4627=VECTOR('',#4626,4.826E-1); -#4628=CARTESIAN_POINT('',(9.57072E1,7.5565E1,5.311724784545E0)); -#4629=LINE('',#4628,#4627); -#4630=DIRECTION('',(1.E0,0.E0,0.E0)); -#4631=VECTOR('',#4630,4.826E-1); -#4632=CARTESIAN_POINT('',(9.52246E1,7.34822E1,5.311724784545E0)); -#4633=LINE('',#4632,#4631); -#4634=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4635=VECTOR('',#4634,4.826E-1); -#4636=CARTESIAN_POINT('',(9.57072E1,7.4295E1,5.311724784545E0)); -#4637=LINE('',#4636,#4635); -#4638=DIRECTION('',(1.E0,0.E0,0.E0)); -#4639=VECTOR('',#4638,4.826E-1); -#4640=CARTESIAN_POINT('',(9.52246E1,7.22122E1,5.311724784545E0)); -#4641=LINE('',#4640,#4639); -#4642=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4643=VECTOR('',#4642,4.826E-1); -#4644=CARTESIAN_POINT('',(9.57072E1,7.3025E1,5.311724784545E0)); -#4645=LINE('',#4644,#4643); -#4646=DIRECTION('',(1.E0,0.E0,0.E0)); -#4647=VECTOR('',#4646,1.6764E0); -#4648=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.311724784545E0)); -#4649=LINE('',#4648,#4647); -#4650=DIRECTION('',(0.E0,0.E0,1.E0)); -#4651=VECTOR('',#4650,1.E0); -#4652=CARTESIAN_POINT('',(8.9662E1,7.6835E1,4.311724784545E0)); -#4653=LINE('',#4652,#4651); -#4654=DIRECTION('',(0.E0,0.E0,1.E0)); -#4655=VECTOR('',#4654,1.E0); -#4656=CARTESIAN_POINT('',(9.61136E1,7.6835E1,4.811724784545E0)); -#4657=LINE('',#4656,#4655); -#4658=DIRECTION('',(1.E0,0.E0,0.E0)); -#4659=VECTOR('',#4658,1.6764E0); -#4660=CARTESIAN_POINT('',(9.57072E1,7.6835E1,4.311724784545E0)); -#4661=LINE('',#4660,#4659); -#4662=DIRECTION('',(0.E0,0.E0,1.E0)); -#4663=VECTOR('',#4662,5.E-1); -#4664=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.311724784545E0)); -#4665=LINE('',#4664,#4663); -#4666=DIRECTION('',(0.E0,0.E0,1.E0)); -#4667=VECTOR('',#4666,1.E0); -#4668=CARTESIAN_POINT('',(8.92556E1,7.6835E1,4.811724784545E0)); -#4669=LINE('',#4668,#4667); -#4670=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4671=VECTOR('',#4670,1.E0); -#4672=CARTESIAN_POINT('',(8.92556E1,7.60222E1,5.811724784545E0)); -#4673=LINE('',#4672,#4671); -#4674=DIRECTION('',(1.E0,0.E0,0.E0)); -#4675=VECTOR('',#4674,8.89E-1); -#4676=CARTESIAN_POINT('',(8.92556E1,7.60222E1,5.811724784545E0)); -#4677=LINE('',#4676,#4675); -#4678=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4679=VECTOR('',#4678,8.128E-1); -#4680=CARTESIAN_POINT('',(8.92556E1,7.6835E1,5.811724784545E0)); -#4681=LINE('',#4680,#4679); -#4682=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4683=VECTOR('',#4682,8.89E-1); -#4684=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.811724784545E0)); -#4685=LINE('',#4684,#4683); -#4686=DIRECTION('',(1.E0,0.E0,0.E0)); -#4687=VECTOR('',#4686,8.89E-1); -#4688=CARTESIAN_POINT('',(8.92556E1,7.47522E1,5.811724784545E0)); -#4689=LINE('',#4688,#4687); -#4690=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4691=VECTOR('',#4690,8.128E-1); -#4692=CARTESIAN_POINT('',(8.92556E1,7.5565E1,5.811724784545E0)); -#4693=LINE('',#4692,#4691); -#4694=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4695=VECTOR('',#4694,8.89E-1); -#4696=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.811724784545E0)); -#4697=LINE('',#4696,#4695); -#4698=DIRECTION('',(1.E0,0.E0,0.E0)); -#4699=VECTOR('',#4698,8.89E-1); -#4700=CARTESIAN_POINT('',(8.92556E1,7.34822E1,5.811724784545E0)); -#4701=LINE('',#4700,#4699); -#4702=DIRECTION('',(-1.748382715945E-14,-1.E0,0.E0)); -#4703=VECTOR('',#4702,8.128E-1); -#4704=CARTESIAN_POINT('',(8.92556E1,7.4295E1,5.811724784545E0)); -#4705=LINE('',#4704,#4703); -#4706=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4707=VECTOR('',#4706,8.89E-1); -#4708=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.811724784545E0)); -#4709=LINE('',#4708,#4707); -#4710=DIRECTION('',(1.E0,0.E0,0.E0)); -#4711=VECTOR('',#4710,8.89E-1); -#4712=CARTESIAN_POINT('',(8.92556E1,7.22122E1,5.811724784545E0)); -#4713=LINE('',#4712,#4711); -#4714=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4715=VECTOR('',#4714,8.128E-1); -#4716=CARTESIAN_POINT('',(8.92556E1,7.3025E1,5.811724784545E0)); -#4717=LINE('',#4716,#4715); -#4718=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4719=VECTOR('',#4718,8.89E-1); -#4720=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.811724784545E0)); -#4721=LINE('',#4720,#4719); -#4722=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4723=VECTOR('',#4722,8.89E-1); -#4724=CARTESIAN_POINT('',(9.61136E1,7.6835E1,5.811724784545E0)); -#4725=LINE('',#4724,#4723); -#4726=DIRECTION('',(0.E0,1.E0,0.E0)); -#4727=VECTOR('',#4726,8.128E-1); -#4728=CARTESIAN_POINT('',(9.61136E1,7.60222E1,5.811724784545E0)); -#4729=LINE('',#4728,#4727); -#4730=DIRECTION('',(1.E0,0.E0,0.E0)); -#4731=VECTOR('',#4730,8.89E-1); -#4732=CARTESIAN_POINT('',(9.52246E1,7.60222E1,5.811724784545E0)); -#4733=LINE('',#4732,#4731); -#4734=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4735=VECTOR('',#4734,8.89E-1); -#4736=CARTESIAN_POINT('',(9.61136E1,7.5565E1,5.811724784545E0)); -#4737=LINE('',#4736,#4735); -#4738=DIRECTION('',(0.E0,1.E0,0.E0)); -#4739=VECTOR('',#4738,8.128E-1); -#4740=CARTESIAN_POINT('',(9.61136E1,7.47522E1,5.811724784545E0)); -#4741=LINE('',#4740,#4739); -#4742=DIRECTION('',(1.E0,0.E0,0.E0)); -#4743=VECTOR('',#4742,8.89E-1); -#4744=CARTESIAN_POINT('',(9.52246E1,7.47522E1,5.811724784545E0)); -#4745=LINE('',#4744,#4743); -#4746=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4747=VECTOR('',#4746,8.89E-1); -#4748=CARTESIAN_POINT('',(9.61136E1,7.4295E1,5.811724784545E0)); -#4749=LINE('',#4748,#4747); -#4750=DIRECTION('',(0.E0,1.E0,0.E0)); -#4751=VECTOR('',#4750,8.128E-1); -#4752=CARTESIAN_POINT('',(9.61136E1,7.34822E1,5.811724784545E0)); -#4753=LINE('',#4752,#4751); -#4754=DIRECTION('',(1.E0,0.E0,0.E0)); -#4755=VECTOR('',#4754,8.89E-1); -#4756=CARTESIAN_POINT('',(9.52246E1,7.34822E1,5.811724784545E0)); -#4757=LINE('',#4756,#4755); -#4758=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4759=VECTOR('',#4758,8.89E-1); -#4760=CARTESIAN_POINT('',(9.61136E1,7.3025E1,5.811724784545E0)); -#4761=LINE('',#4760,#4759); -#4762=DIRECTION('',(0.E0,1.E0,0.E0)); -#4763=VECTOR('',#4762,8.128E-1); -#4764=CARTESIAN_POINT('',(9.61136E1,7.22122E1,5.811724784545E0)); -#4765=LINE('',#4764,#4763); -#4766=DIRECTION('',(1.E0,0.E0,0.E0)); -#4767=VECTOR('',#4766,8.89E-1); -#4768=CARTESIAN_POINT('',(9.52246E1,7.22122E1,5.811724784545E0)); -#4769=LINE('',#4768,#4767); -#4770=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4771=VECTOR('',#4770,1.6764E0); -#4772=CARTESIAN_POINT('',(8.9662E1,7.60222E1,4.311724784545E0)); -#4773=LINE('',#4772,#4771); -#4774=DIRECTION('',(0.E0,0.E0,1.E0)); -#4775=VECTOR('',#4774,5.E-1); -#4776=CARTESIAN_POINT('',(8.79856E1,7.60222E1,4.311724784545E0)); -#4777=LINE('',#4776,#4775); -#4778=DIRECTION('',(0.E0,0.E0,1.E0)); -#4779=VECTOR('',#4778,1.E0); -#4780=CARTESIAN_POINT('',(9.57072E1,7.60222E1,4.311724784545E0)); -#4781=LINE('',#4780,#4779); -#4782=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4783=VECTOR('',#4782,1.6764E0); -#4784=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.311724784545E0)); -#4785=LINE('',#4784,#4783); -#4786=DIRECTION('',(0.E0,1.E0,0.E0)); -#4787=VECTOR('',#4786,8.128E-1); -#4788=CARTESIAN_POINT('',(8.9662E1,7.60222E1,5.311724784545E0)); -#4789=LINE('',#4788,#4787); -#4790=DIRECTION('',(0.E0,0.E0,1.E0)); -#4791=VECTOR('',#4790,1.E0); -#4792=CARTESIAN_POINT('',(8.9662E1,7.60222E1,4.311724784545E0)); -#4793=LINE('',#4792,#4791); -#4794=DIRECTION('',(0.E0,0.E0,1.E0)); -#4795=VECTOR('',#4794,5.E-1); -#4796=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.311724784545E0)); -#4797=LINE('',#4796,#4795); -#4798=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4799=VECTOR('',#4798,8.128E-1); -#4800=CARTESIAN_POINT('',(8.92556E1,7.6835E1,4.811724784545E0)); -#4801=LINE('',#4800,#4799); -#4802=DIRECTION('',(1.E0,0.E0,0.E0)); -#4803=VECTOR('',#4802,1.27E0); -#4804=CARTESIAN_POINT('',(8.79856E1,7.60222E1,4.811724784545E0)); -#4805=LINE('',#4804,#4803); -#4806=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4807=VECTOR('',#4806,8.128E-1); -#4808=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.811724784545E0)); -#4809=LINE('',#4808,#4807); -#4810=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4811=VECTOR('',#4810,1.27E0); -#4812=CARTESIAN_POINT('',(8.92556E1,7.6835E1,4.811724784545E0)); -#4813=LINE('',#4812,#4811); -#4814=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4815=VECTOR('',#4814,8.128E-1); -#4816=CARTESIAN_POINT('',(8.92556E1,7.5565E1,4.811724784545E0)); -#4817=LINE('',#4816,#4815); -#4818=DIRECTION('',(1.E0,0.E0,0.E0)); -#4819=VECTOR('',#4818,1.27E0); -#4820=CARTESIAN_POINT('',(8.79856E1,7.47522E1,4.811724784545E0)); -#4821=LINE('',#4820,#4819); -#4822=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4823=VECTOR('',#4822,8.128E-1); -#4824=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.811724784545E0)); -#4825=LINE('',#4824,#4823); -#4826=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4827=VECTOR('',#4826,1.27E0); -#4828=CARTESIAN_POINT('',(8.92556E1,7.5565E1,4.811724784545E0)); -#4829=LINE('',#4828,#4827); -#4830=DIRECTION('',(-1.748382715945E-14,-1.E0,0.E0)); -#4831=VECTOR('',#4830,8.128E-1); -#4832=CARTESIAN_POINT('',(8.92556E1,7.4295E1,4.811724784545E0)); -#4833=LINE('',#4832,#4831); -#4834=DIRECTION('',(1.E0,0.E0,0.E0)); -#4835=VECTOR('',#4834,1.27E0); -#4836=CARTESIAN_POINT('',(8.79856E1,7.34822E1,4.811724784545E0)); -#4837=LINE('',#4836,#4835); -#4838=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4839=VECTOR('',#4838,8.128E-1); -#4840=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.811724784545E0)); -#4841=LINE('',#4840,#4839); -#4842=DIRECTION('',(-1.E0,-1.118964938205E-14,0.E0)); -#4843=VECTOR('',#4842,1.27E0); -#4844=CARTESIAN_POINT('',(8.92556E1,7.4295E1,4.811724784545E0)); -#4845=LINE('',#4844,#4843); -#4846=DIRECTION('',(0.E0,1.E0,0.E0)); -#4847=VECTOR('',#4846,8.128E-1); -#4848=CARTESIAN_POINT('',(8.92556E1,7.22122E1,4.811724784545E0)); -#4849=LINE('',#4848,#4847); -#4850=DIRECTION('',(1.E0,0.E0,0.E0)); -#4851=VECTOR('',#4850,1.27E0); -#4852=CARTESIAN_POINT('',(8.79856E1,7.22122E1,4.811724784545E0)); -#4853=LINE('',#4852,#4851); -#4854=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4855=VECTOR('',#4854,8.128E-1); -#4856=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.811724784545E0)); -#4857=LINE('',#4856,#4855); -#4858=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4859=VECTOR('',#4858,1.27E0); -#4860=CARTESIAN_POINT('',(8.92556E1,7.3025E1,4.811724784545E0)); -#4861=LINE('',#4860,#4859); -#4862=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4863=VECTOR('',#4862,8.128E-1); -#4864=CARTESIAN_POINT('',(9.61136E1,7.6835E1,4.811724784545E0)); -#4865=LINE('',#4864,#4863); -#4866=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4867=VECTOR('',#4866,1.27E0); -#4868=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.811724784545E0)); -#4869=LINE('',#4868,#4867); -#4870=DIRECTION('',(0.E0,1.E0,0.E0)); -#4871=VECTOR('',#4870,8.128E-1); -#4872=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.811724784545E0)); -#4873=LINE('',#4872,#4871); -#4874=DIRECTION('',(1.E0,0.E0,0.E0)); -#4875=VECTOR('',#4874,1.27E0); -#4876=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.811724784545E0)); -#4877=LINE('',#4876,#4875); -#4878=DIRECTION('',(0.E0,1.E0,0.E0)); -#4879=VECTOR('',#4878,8.128E-1); -#4880=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.811724784545E0)); -#4881=LINE('',#4880,#4879); -#4882=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4883=VECTOR('',#4882,1.27E0); -#4884=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.811724784545E0)); -#4885=LINE('',#4884,#4883); -#4886=DIRECTION('',(0.E0,1.E0,0.E0)); -#4887=VECTOR('',#4886,8.128E-1); -#4888=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.811724784545E0)); -#4889=LINE('',#4888,#4887); -#4890=DIRECTION('',(1.E0,0.E0,0.E0)); -#4891=VECTOR('',#4890,1.27E0); -#4892=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.811724784545E0)); -#4893=LINE('',#4892,#4891); -#4894=DIRECTION('',(0.E0,1.E0,0.E0)); -#4895=VECTOR('',#4894,8.128E-1); -#4896=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.811724784545E0)); -#4897=LINE('',#4896,#4895); -#4898=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4899=VECTOR('',#4898,1.27E0); -#4900=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.811724784545E0)); -#4901=LINE('',#4900,#4899); -#4902=DIRECTION('',(0.E0,1.E0,0.E0)); -#4903=VECTOR('',#4902,8.128E-1); -#4904=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.811724784545E0)); -#4905=LINE('',#4904,#4903); -#4906=DIRECTION('',(1.E0,0.E0,0.E0)); -#4907=VECTOR('',#4906,1.27E0); -#4908=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.811724784545E0)); -#4909=LINE('',#4908,#4907); -#4910=DIRECTION('',(0.E0,1.E0,0.E0)); -#4911=VECTOR('',#4910,8.128E-1); -#4912=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.811724784545E0)); -#4913=LINE('',#4912,#4911); -#4914=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4915=VECTOR('',#4914,1.27E0); -#4916=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.811724784545E0)); -#4917=LINE('',#4916,#4915); -#4918=DIRECTION('',(0.E0,1.E0,0.E0)); -#4919=VECTOR('',#4918,8.128E-1); -#4920=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.811724784545E0)); -#4921=LINE('',#4920,#4919); -#4922=DIRECTION('',(1.E0,0.E0,0.E0)); -#4923=VECTOR('',#4922,1.27E0); -#4924=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.811724784545E0)); -#4925=LINE('',#4924,#4923); -#4926=DIRECTION('',(0.E0,0.E0,1.E0)); -#4927=VECTOR('',#4926,1.E0); -#4928=CARTESIAN_POINT('',(8.92556E1,7.5565E1,4.811724784545E0)); -#4929=LINE('',#4928,#4927); -#4930=DIRECTION('',(1.E0,0.E0,0.E0)); -#4931=VECTOR('',#4930,1.6764E0); -#4932=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.311724784545E0)); -#4933=LINE('',#4932,#4931); -#4934=DIRECTION('',(0.E0,0.E0,1.E0)); -#4935=VECTOR('',#4934,1.E0); -#4936=CARTESIAN_POINT('',(8.9662E1,7.5565E1,4.311724784545E0)); -#4937=LINE('',#4936,#4935); -#4938=DIRECTION('',(0.E0,0.E0,1.E0)); -#4939=VECTOR('',#4938,1.E0); -#4940=CARTESIAN_POINT('',(9.61136E1,7.5565E1,4.811724784545E0)); -#4941=LINE('',#4940,#4939); -#4942=DIRECTION('',(1.E0,0.E0,0.E0)); -#4943=VECTOR('',#4942,1.6764E0); -#4944=CARTESIAN_POINT('',(9.57072E1,7.5565E1,4.311724784545E0)); -#4945=LINE('',#4944,#4943); -#4946=DIRECTION('',(0.E0,0.E0,1.E0)); -#4947=VECTOR('',#4946,5.E-1); -#4948=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.311724784545E0)); -#4949=LINE('',#4948,#4947); -#4950=DIRECTION('',(0.E0,0.E0,1.E0)); -#4951=VECTOR('',#4950,5.E-1); -#4952=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.311724784545E0)); -#4953=LINE('',#4952,#4951); -#4954=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4955=VECTOR('',#4954,1.6764E0); -#4956=CARTESIAN_POINT('',(8.9662E1,7.47522E1,4.311724784545E0)); -#4957=LINE('',#4956,#4955); -#4958=DIRECTION('',(0.E0,0.E0,1.E0)); -#4959=VECTOR('',#4958,5.E-1); -#4960=CARTESIAN_POINT('',(8.79856E1,7.47522E1,4.311724784545E0)); -#4961=LINE('',#4960,#4959); -#4962=DIRECTION('',(0.E0,0.E0,1.E0)); -#4963=VECTOR('',#4962,1.E0); -#4964=CARTESIAN_POINT('',(8.92556E1,7.47522E1,4.811724784545E0)); -#4965=LINE('',#4964,#4963); -#4966=DIRECTION('',(0.E0,1.421085471520E-14,1.E0)); -#4967=VECTOR('',#4966,1.E0); -#4968=CARTESIAN_POINT('',(9.57072E1,7.47522E1,4.311724784545E0)); -#4969=LINE('',#4968,#4967); -#4970=DIRECTION('',(0.E0,0.E0,-1.E0)); -#4971=VECTOR('',#4970,1.E0); -#4972=CARTESIAN_POINT('',(9.61136E1,7.47522E1,5.811724784545E0)); -#4973=LINE('',#4972,#4971); -#4974=DIRECTION('',(-1.E0,0.E0,0.E0)); -#4975=VECTOR('',#4974,1.6764E0); -#4976=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.311724784545E0)); -#4977=LINE('',#4976,#4975); -#4978=DIRECTION('',(0.E0,1.E0,0.E0)); -#4979=VECTOR('',#4978,8.128E-1); -#4980=CARTESIAN_POINT('',(8.9662E1,7.47522E1,5.311724784545E0)); -#4981=LINE('',#4980,#4979); -#4982=DIRECTION('',(0.E0,0.E0,1.E0)); -#4983=VECTOR('',#4982,1.E0); -#4984=CARTESIAN_POINT('',(8.9662E1,7.47522E1,4.311724784545E0)); -#4985=LINE('',#4984,#4983); -#4986=DIRECTION('',(0.E0,-1.E0,0.E0)); -#4987=VECTOR('',#4986,8.128E-1); -#4988=CARTESIAN_POINT('',(9.57072E1,7.5565E1,5.311724784545E0)); -#4989=LINE('',#4988,#4987); -#4990=DIRECTION('',(0.E0,0.E0,1.E0)); -#4991=VECTOR('',#4990,1.E0); -#4992=CARTESIAN_POINT('',(9.57072E1,7.5565E1,4.311724784545E0)); -#4993=LINE('',#4992,#4991); -#4994=DIRECTION('',(0.E0,0.E0,1.E0)); -#4995=VECTOR('',#4994,5.E-1); -#4996=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.311724784545E0)); -#4997=LINE('',#4996,#4995); -#4998=DIRECTION('',(0.E0,4.263256414561E-14,1.E0)); -#4999=VECTOR('',#4998,1.E0); -#5000=CARTESIAN_POINT('',(8.92556E1,7.4295E1,4.811724784545E0)); -#5001=LINE('',#5000,#4999); -#5002=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5003=VECTOR('',#5002,1.E0); -#5004=CARTESIAN_POINT('',(8.92556E1,7.34822E1,5.811724784545E0)); -#5005=LINE('',#5004,#5003); -#5006=DIRECTION('',(1.E0,0.E0,0.E0)); -#5007=VECTOR('',#5006,1.6764E0); -#5008=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.311724784545E0)); -#5009=LINE('',#5008,#5007); -#5010=DIRECTION('',(0.E0,1.421085471520E-14,1.E0)); -#5011=VECTOR('',#5010,1.E0); -#5012=CARTESIAN_POINT('',(8.9662E1,7.4295E1,4.311724784545E0)); -#5013=LINE('',#5012,#5011); -#5014=DIRECTION('',(0.E0,0.E0,1.E0)); -#5015=VECTOR('',#5014,1.E0); -#5016=CARTESIAN_POINT('',(9.61136E1,7.4295E1,4.811724784545E0)); -#5017=LINE('',#5016,#5015); -#5018=DIRECTION('',(1.E0,0.E0,0.E0)); -#5019=VECTOR('',#5018,1.6764E0); -#5020=CARTESIAN_POINT('',(9.57072E1,7.4295E1,4.311724784545E0)); -#5021=LINE('',#5020,#5019); -#5022=DIRECTION('',(0.E0,0.E0,1.E0)); -#5023=VECTOR('',#5022,5.E-1); -#5024=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.311724784545E0)); -#5025=LINE('',#5024,#5023); -#5026=DIRECTION('',(0.E0,2.842170943040E-14,1.E0)); -#5027=VECTOR('',#5026,5.E-1); -#5028=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.311724784545E0)); -#5029=LINE('',#5028,#5027); -#5030=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5031=VECTOR('',#5030,1.6764E0); -#5032=CARTESIAN_POINT('',(8.9662E1,7.34822E1,4.311724784545E0)); -#5033=LINE('',#5032,#5031); -#5034=DIRECTION('',(0.E0,0.E0,1.E0)); -#5035=VECTOR('',#5034,5.E-1); -#5036=CARTESIAN_POINT('',(8.79856E1,7.34822E1,4.311724784545E0)); -#5037=LINE('',#5036,#5035); -#5038=DIRECTION('',(0.E0,0.E0,1.E0)); -#5039=VECTOR('',#5038,1.E0); -#5040=CARTESIAN_POINT('',(9.57072E1,7.34822E1,4.311724784545E0)); -#5041=LINE('',#5040,#5039); -#5042=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5043=VECTOR('',#5042,1.6764E0); -#5044=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.311724784545E0)); -#5045=LINE('',#5044,#5043); -#5046=DIRECTION('',(3.496765431890E-14,1.E0,0.E0)); -#5047=VECTOR('',#5046,8.128E-1); -#5048=CARTESIAN_POINT('',(8.9662E1,7.34822E1,5.311724784545E0)); -#5049=LINE('',#5048,#5047); -#5050=DIRECTION('',(0.E0,0.E0,1.E0)); -#5051=VECTOR('',#5050,1.E0); -#5052=CARTESIAN_POINT('',(8.9662E1,7.34822E1,4.311724784545E0)); -#5053=LINE('',#5052,#5051); -#5054=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5055=VECTOR('',#5054,8.128E-1); -#5056=CARTESIAN_POINT('',(9.57072E1,7.4295E1,5.311724784545E0)); -#5057=LINE('',#5056,#5055); -#5058=DIRECTION('',(0.E0,0.E0,1.E0)); -#5059=VECTOR('',#5058,1.E0); -#5060=CARTESIAN_POINT('',(9.57072E1,7.4295E1,4.311724784545E0)); -#5061=LINE('',#5060,#5059); -#5062=DIRECTION('',(0.E0,0.E0,1.E0)); -#5063=VECTOR('',#5062,1.E0); -#5064=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.811724784545E0)); -#5065=LINE('',#5064,#5063); -#5066=DIRECTION('',(0.E0,0.E0,1.E0)); -#5067=VECTOR('',#5066,5.E-1); -#5068=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.311724784545E0)); -#5069=LINE('',#5068,#5067); -#5070=DIRECTION('',(0.E0,1.421085471520E-14,1.E0)); -#5071=VECTOR('',#5070,1.E0); -#5072=CARTESIAN_POINT('',(8.92556E1,7.3025E1,4.811724784545E0)); -#5073=LINE('',#5072,#5071); -#5074=DIRECTION('',(1.E0,0.E0,0.E0)); -#5075=VECTOR('',#5074,1.6764E0); -#5076=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.311724784545E0)); -#5077=LINE('',#5076,#5075); -#5078=DIRECTION('',(0.E0,1.421085471520E-14,1.E0)); -#5079=VECTOR('',#5078,1.E0); -#5080=CARTESIAN_POINT('',(8.9662E1,7.3025E1,4.311724784545E0)); -#5081=LINE('',#5080,#5079); -#5082=DIRECTION('',(0.E0,0.E0,1.E0)); -#5083=VECTOR('',#5082,1.E0); -#5084=CARTESIAN_POINT('',(9.61136E1,7.3025E1,4.811724784545E0)); -#5085=LINE('',#5084,#5083); -#5086=DIRECTION('',(1.E0,0.E0,0.E0)); -#5087=VECTOR('',#5086,1.6764E0); -#5088=CARTESIAN_POINT('',(9.57072E1,7.3025E1,4.311724784545E0)); -#5089=LINE('',#5088,#5087); -#5090=DIRECTION('',(0.E0,0.E0,1.E0)); -#5091=VECTOR('',#5090,5.E-1); -#5092=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.311724784545E0)); -#5093=LINE('',#5092,#5091); -#5094=DIRECTION('',(0.E0,0.E0,1.E0)); -#5095=VECTOR('',#5094,5.E-1); -#5096=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.311724784545E0)); -#5097=LINE('',#5096,#5095); -#5098=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5099=VECTOR('',#5098,1.6764E0); -#5100=CARTESIAN_POINT('',(8.9662E1,7.22122E1,4.311724784545E0)); -#5101=LINE('',#5100,#5099); -#5102=DIRECTION('',(0.E0,0.E0,1.E0)); -#5103=VECTOR('',#5102,5.E-1); -#5104=CARTESIAN_POINT('',(8.79856E1,7.22122E1,4.311724784545E0)); -#5105=LINE('',#5104,#5103); -#5106=DIRECTION('',(0.E0,0.E0,1.E0)); -#5107=VECTOR('',#5106,1.E0); -#5108=CARTESIAN_POINT('',(8.92556E1,7.22122E1,4.811724784545E0)); -#5109=LINE('',#5108,#5107); -#5110=DIRECTION('',(0.E0,0.E0,1.E0)); -#5111=VECTOR('',#5110,1.E0); -#5112=CARTESIAN_POINT('',(9.57072E1,7.22122E1,4.311724784545E0)); -#5113=LINE('',#5112,#5111); -#5114=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5115=VECTOR('',#5114,1.E0); -#5116=CARTESIAN_POINT('',(9.61136E1,7.22122E1,5.811724784545E0)); -#5117=LINE('',#5116,#5115); -#5118=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5119=VECTOR('',#5118,1.6764E0); -#5120=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.311724784545E0)); -#5121=LINE('',#5120,#5119); -#5122=DIRECTION('',(0.E0,1.E0,0.E0)); -#5123=VECTOR('',#5122,8.128E-1); -#5124=CARTESIAN_POINT('',(8.9662E1,7.22122E1,5.311724784545E0)); -#5125=LINE('',#5124,#5123); -#5126=DIRECTION('',(0.E0,0.E0,1.E0)); -#5127=VECTOR('',#5126,1.E0); -#5128=CARTESIAN_POINT('',(8.9662E1,7.22122E1,4.311724784545E0)); -#5129=LINE('',#5128,#5127); -#5130=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5131=VECTOR('',#5130,8.128E-1); -#5132=CARTESIAN_POINT('',(9.57072E1,7.3025E1,5.311724784545E0)); -#5133=LINE('',#5132,#5131); -#5134=DIRECTION('',(0.E0,0.E0,1.E0)); -#5135=VECTOR('',#5134,1.E0); -#5136=CARTESIAN_POINT('',(9.57072E1,7.3025E1,4.311724784545E0)); -#5137=LINE('',#5136,#5135); -#5138=DIRECTION('',(0.E0,0.E0,1.E0)); -#5139=VECTOR('',#5138,5.E-1); -#5140=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.311724784545E0)); -#5141=LINE('',#5140,#5139); -#5142=DIRECTION('',(0.E0,0.E0,1.E0)); -#5143=VECTOR('',#5142,1.E0); -#5144=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.811724784545E0)); -#5145=LINE('',#5144,#5143); -#5146=DIRECTION('',(0.E0,0.E0,1.E0)); -#5147=VECTOR('',#5146,5.E-1); -#5148=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.311724784545E0)); -#5149=LINE('',#5148,#5147); -#5150=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5151=VECTOR('',#5150,8.128E-1); -#5152=CARTESIAN_POINT('',(9.57072E1,7.6835E1,5.311724784545E0)); -#5153=LINE('',#5152,#5151); -#5154=DIRECTION('',(0.E0,0.E0,1.E0)); -#5155=VECTOR('',#5154,1.E0); -#5156=CARTESIAN_POINT('',(9.57072E1,7.6835E1,4.311724784545E0)); -#5157=LINE('',#5156,#5155); -#5158=DIRECTION('',(0.E0,0.E0,1.E0)); -#5159=VECTOR('',#5158,2.E-1); -#5160=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.311724784545E0)); -#5161=LINE('',#5160,#5159); -#5162=DIRECTION('',(0.E0,0.E0,1.E0)); -#5163=VECTOR('',#5162,2.E-1); -#5164=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.311724784545E0)); -#5165=LINE('',#5164,#5163); -#5166=DIRECTION('',(0.E0,1.E0,0.E0)); -#5167=VECTOR('',#5166,5.603189E0); -#5168=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.511724784545E0)); -#5169=LINE('',#5168,#5167); -#5170=DIRECTION('',(0.E0,0.E0,1.E0)); -#5171=VECTOR('',#5170,2.E-1); -#5172=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.311724784545E0)); -#5173=LINE('',#5172,#5171); -#5174=DIRECTION('',(0.E0,0.E0,1.E0)); -#5175=VECTOR('',#5174,8.E-1); -#5176=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,4.311724784545E0)); -#5177=LINE('',#5176,#5175); -#5178=DIRECTION('',(0.E0,0.E0,1.E0)); -#5179=VECTOR('',#5178,2.E-1); -#5180=CARTESIAN_POINT('',(9.6946695E1,9.3530318E1,4.311724784545E0)); -#5181=LINE('',#5180,#5179); -#5182=DIRECTION('',(1.E0,0.E0,0.E0)); -#5183=VECTOR('',#5182,2.65392E-1); -#5184=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.511724784545E0)); -#5185=LINE('',#5184,#5183); -#5186=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5187=VECTOR('',#5186,5.603189E0); -#5188=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.511724784545E0)); -#5189=LINE('',#5188,#5187); -#5190=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5191=VECTOR('',#5190,2.65392E-1); -#5192=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.511724784545E0)); -#5193=LINE('',#5192,#5191); -#5194=DIRECTION('',(0.E0,1.E0,0.E0)); -#5195=VECTOR('',#5194,2.65392E-1); -#5196=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.511724784545E0)); -#5197=LINE('',#5196,#5195); -#5198=DIRECTION('',(1.E0,0.E0,0.E0)); -#5199=VECTOR('',#5198,5.603189E0); -#5200=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.511724784545E0)); -#5201=LINE('',#5200,#5199); -#5202=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5203=VECTOR('',#5202,2.65392E-1); -#5204=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.511724784545E0)); -#5205=LINE('',#5204,#5203); -#5206=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5207=VECTOR('',#5206,2.65391E-1); -#5208=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.511724784545E0)); -#5209=LINE('',#5208,#5207); -#5210=DIRECTION('',(0.E0,1.E0,0.E0)); -#5211=VECTOR('',#5210,5.603189E0); -#5212=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.511724784545E0)); -#5213=LINE('',#5212,#5211); -#5214=DIRECTION('',(1.E0,0.E0,0.E0)); -#5215=VECTOR('',#5214,2.65391E-1); -#5216=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.511724784545E0)); -#5217=LINE('',#5216,#5215); -#5218=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5219=VECTOR('',#5218,2.65392E-1); -#5220=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.511724784545E0)); -#5221=LINE('',#5220,#5219); -#5222=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5223=VECTOR('',#5222,5.603189E0); -#5224=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.511724784545E0)); -#5225=LINE('',#5224,#5223); -#5226=DIRECTION('',(0.E0,1.E0,0.E0)); -#5227=VECTOR('',#5226,2.65392E-1); -#5228=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.511724784545E0)); -#5229=LINE('',#5228,#5227); -#5230=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5231=VECTOR('',#5230,5.603189E0); -#5232=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.511724784545E0)); -#5233=LINE('',#5232,#5231); -#5234=DIRECTION('',(0.E0,0.E0,1.E0)); -#5235=VECTOR('',#5234,2.E-1); -#5236=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.311724784545E0)); -#5237=LINE('',#5236,#5235); -#5238=DIRECTION('',(0.E0,0.E0,1.E0)); -#5239=VECTOR('',#5238,8.E-1); -#5240=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,4.311724784545E0)); -#5241=LINE('',#5240,#5239); -#5242=DIRECTION('',(0.E0,0.E0,1.E0)); -#5243=VECTOR('',#5242,2.E-1); -#5244=CARTESIAN_POINT('',(8.9794258E1,8.7927129E1,4.311724784545E0)); -#5245=LINE('',#5244,#5243); -#5246=DIRECTION('',(0.E0,0.E0,1.E0)); -#5247=VECTOR('',#5246,2.E-1); -#5248=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.311724784545E0)); -#5249=LINE('',#5248,#5247); -#5250=DIRECTION('',(0.E0,0.E0,1.E0)); -#5251=VECTOR('',#5250,2.E-1); -#5252=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.311724784545E0)); -#5253=LINE('',#5252,#5251); -#5254=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5255=VECTOR('',#5254,5.603189E0); -#5256=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.511724784545E0)); -#5257=LINE('',#5256,#5255); -#5258=DIRECTION('',(0.E0,0.E0,1.E0)); -#5259=VECTOR('',#5258,2.E-1); -#5260=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.311724784545E0)); -#5261=LINE('',#5260,#5259); -#5262=DIRECTION('',(0.E0,0.E0,1.E0)); -#5263=VECTOR('',#5262,8.E-1); -#5264=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,4.311724784545E0)); -#5265=LINE('',#5264,#5263); -#5266=DIRECTION('',(0.E0,0.E0,1.E0)); -#5267=VECTOR('',#5266,2.E-1); -#5268=CARTESIAN_POINT('',(9.0568882E1,9.4304942E1,4.311724784545E0)); -#5269=LINE('',#5268,#5267); -#5270=DIRECTION('',(0.E0,0.E0,1.E0)); -#5271=VECTOR('',#5270,2.E-1); -#5272=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.311724784545E0)); -#5273=LINE('',#5272,#5271); -#5274=DIRECTION('',(0.E0,0.E0,1.E0)); -#5275=VECTOR('',#5274,2.E-1); -#5276=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.311724784545E0)); -#5277=LINE('',#5276,#5275); -#5278=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5279=VECTOR('',#5278,7.152437E0); -#5280=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,5.111724784545E0)); -#5281=LINE('',#5280,#5279); -#5282=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5283=VECTOR('',#5282,7.152437E0); -#5284=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,5.111724784545E0)); -#5285=LINE('',#5284,#5283); -#5286=DIRECTION('',(0.E0,1.E0,0.E0)); -#5287=VECTOR('',#5286,7.152437E0); -#5288=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,5.111724784545E0)); -#5289=LINE('',#5288,#5287); -#5290=DIRECTION('',(1.E0,0.E0,0.E0)); -#5291=VECTOR('',#5290,7.152437E0); -#5292=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,5.111724784545E0)); -#5293=LINE('',#5292,#5291); -#5294=DIRECTION('',(1.E0,0.E0,0.E0)); -#5295=VECTOR('',#5294,5.603189E0); -#5296=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.511724784545E0)); -#5297=LINE('',#5296,#5295); -#5298=DIRECTION('',(0.E0,0.E0,1.E0)); -#5299=VECTOR('',#5298,2.E-1); -#5300=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.311724784545E0)); -#5301=LINE('',#5300,#5299); -#5302=DIRECTION('',(0.E0,0.E0,1.E0)); -#5303=VECTOR('',#5302,8.E-1); -#5304=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,4.311724784545E0)); -#5305=LINE('',#5304,#5303); -#5306=DIRECTION('',(0.E0,0.E0,1.E0)); -#5307=VECTOR('',#5306,2.E-1); -#5308=CARTESIAN_POINT('',(9.6172071E1,8.7152505E1,4.311724784545E0)); -#5309=LINE('',#5308,#5307); -#5310=DIRECTION('',(0.E0,0.E0,1.E0)); -#5311=VECTOR('',#5310,2.E-1); -#5312=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.311724784545E0)); -#5313=LINE('',#5312,#5311); -#5314=DIRECTION('',(0.E0,0.E0,1.E0)); -#5315=VECTOR('',#5314,2.E-1); -#5316=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.311724784545E0)); -#5317=LINE('',#5316,#5315); -#5318=DIRECTION('',(0.E0,0.E0,1.E0)); -#5319=VECTOR('',#5318,2.E-1); -#5320=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.311724784545E0)); -#5321=LINE('',#5320,#5319); -#5322=DIRECTION('',(0.E0,0.E0,1.E0)); -#5323=VECTOR('',#5322,2.E-1); -#5324=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.311724784545E0)); -#5325=LINE('',#5324,#5323); -#5326=DIRECTION('',(0.E0,0.E0,1.E0)); -#5327=VECTOR('',#5326,2.E-1); -#5328=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.311724784545E0)); -#5329=LINE('',#5328,#5327); -#5330=DIRECTION('',(0.E0,0.E0,1.E0)); -#5331=VECTOR('',#5330,2.E-1); -#5332=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.311724784545E0)); -#5333=LINE('',#5332,#5331); -#5334=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5335=VECTOR('',#5334,2.27914E-1); -#5336=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.511724784545E0)); -#5337=LINE('',#5336,#5335); -#5338=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5339=VECTOR('',#5338,1.983232E0); -#5340=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.511724784545E0)); -#5341=LINE('',#5340,#5339); -#5342=DIRECTION('',(0.E0,1.E0,0.E0)); -#5343=VECTOR('',#5342,2.27914E-1); -#5344=CARTESIAN_POINT('',(9.6902016E1,8.2076798E1,4.511724784545E0)); -#5345=LINE('',#5344,#5343); -#5346=DIRECTION('',(0.E0,1.E0,0.E0)); -#5347=VECTOR('',#5346,2.27914E-1); -#5348=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.511724784545E0)); -#5349=LINE('',#5348,#5347); -#5350=DIRECTION('',(1.E0,0.E0,0.E0)); -#5351=VECTOR('',#5350,1.983232E0); -#5352=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.511724784545E0)); -#5353=LINE('',#5352,#5351); -#5354=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5355=VECTOR('',#5354,2.27914E-1); -#5356=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.511724784545E0)); -#5357=LINE('',#5356,#5355); -#5358=DIRECTION('',(1.E0,0.E0,0.E0)); -#5359=VECTOR('',#5358,1.983232E0); -#5360=CARTESIAN_POINT('',(9.4918784E1,8.2076798E1,4.511724784545E0)); -#5361=LINE('',#5360,#5359); -#5362=DIRECTION('',(0.E0,0.E0,1.E0)); -#5363=VECTOR('',#5362,2.E-1); -#5364=CARTESIAN_POINT('',(9.6902016E1,8.2076798E1,4.311724784545E0)); -#5365=LINE('',#5364,#5363); -#5366=DIRECTION('',(0.E0,0.E0,1.E0)); -#5367=VECTOR('',#5366,8.E-1); -#5368=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,4.311724784545E0)); -#5369=LINE('',#5368,#5367); -#5370=DIRECTION('',(0.E0,0.E0,1.E0)); -#5371=VECTOR('',#5370,2.E-1); -#5372=CARTESIAN_POINT('',(9.4918784E1,8.2076798E1,4.311724784545E0)); -#5373=LINE('',#5372,#5371); -#5374=DIRECTION('',(0.E0,0.E0,1.E0)); -#5375=VECTOR('',#5374,8.E-1); -#5376=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,4.311724784545E0)); -#5377=LINE('',#5376,#5375); -#5378=DIRECTION('',(1.E0,0.E0,0.E0)); -#5379=VECTOR('',#5378,1.983232E0); -#5380=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.511724784545E0)); -#5381=LINE('',#5380,#5379); -#5382=DIRECTION('',(0.E0,0.E0,1.E0)); -#5383=VECTOR('',#5382,2.E-1); -#5384=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.311724784545E0)); -#5385=LINE('',#5384,#5383); -#5386=DIRECTION('',(0.E0,0.E0,1.E0)); -#5387=VECTOR('',#5386,8.E-1); -#5388=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,4.311724784545E0)); -#5389=LINE('',#5388,#5387); -#5390=DIRECTION('',(0.E0,0.E0,1.E0)); -#5391=VECTOR('',#5390,2.E-1); -#5392=CARTESIAN_POINT('',(9.6902016E1,7.9924402E1,4.311724784545E0)); -#5393=LINE('',#5392,#5391); -#5394=DIRECTION('',(0.E0,0.E0,1.E0)); -#5395=VECTOR('',#5394,8.E-1); -#5396=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,4.311724784545E0)); -#5397=LINE('',#5396,#5395); -#5398=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5399=VECTOR('',#5398,2.152396E0); -#5400=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,5.111724784545E0)); -#5401=LINE('',#5400,#5399); -#5402=DIRECTION('',(0.E0,1.E0,0.E0)); -#5403=VECTOR('',#5402,2.152396E0); -#5404=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,5.111724784545E0)); -#5405=LINE('',#5404,#5403); -#5406=DIRECTION('',(1.E0,0.E0,0.E0)); -#5407=VECTOR('',#5406,2.152396E0); -#5408=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,5.111724784545E0)); -#5409=LINE('',#5408,#5407); -#5410=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5411=VECTOR('',#5410,2.152396E0); -#5412=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,5.111724784545E0)); -#5413=LINE('',#5412,#5411); -#5414=DIRECTION('',(0.E0,0.E0,1.E0)); -#5415=VECTOR('',#5414,5.E-1); -#5416=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.311724784545E0)); -#5417=LINE('',#5416,#5415); -#5418=DIRECTION('',(0.E0,0.E0,1.E0)); -#5419=VECTOR('',#5418,5.E-1); -#5420=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.311724784545E0)); -#5421=LINE('',#5420,#5419); -#5422=DIRECTION('',(0.E0,0.E0,1.E0)); -#5423=VECTOR('',#5422,5.E-1); -#5424=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.311724784545E0)); -#5425=LINE('',#5424,#5423); -#5426=DIRECTION('',(0.E0,0.E0,1.E0)); -#5427=VECTOR('',#5426,5.E-1); -#5428=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.311724784545E0)); -#5429=LINE('',#5428,#5427); -#5430=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5431=VECTOR('',#5430,3.269998E0); -#5432=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.811724784545E0)); -#5433=LINE('',#5432,#5431); -#5434=DIRECTION('',(0.E0,1.E0,0.E0)); -#5435=VECTOR('',#5434,3.820002E0); -#5436=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.811724784545E0)); -#5437=LINE('',#5436,#5435); -#5438=DIRECTION('',(1.E0,0.E0,0.E0)); -#5439=VECTOR('',#5438,3.269998E0); -#5440=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.811724784545E0)); -#5441=LINE('',#5440,#5439); -#5442=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5443=VECTOR('',#5442,3.820002E0); -#5444=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.811724784545E0)); -#5445=LINE('',#5444,#5443); -#5446=DIRECTION('',(1.E0,0.E0,0.E0)); -#5447=VECTOR('',#5446,1.662328E0); -#5448=CARTESIAN_POINT('',(1.00471072E2,1.02177639E2,4.511724784545E0)); -#5449=LINE('',#5448,#5447); -#5450=DIRECTION('',(0.E0,0.E0,1.E0)); -#5451=VECTOR('',#5450,2.E-1); -#5452=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.311724784545E0)); -#5453=LINE('',#5452,#5451); -#5454=DIRECTION('',(0.E0,0.E0,1.E0)); -#5455=VECTOR('',#5454,3.E-1); -#5456=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.311724784545E0)); -#5457=LINE('',#5456,#5455); -#5458=DIRECTION('',(0.E0,0.E0,1.E0)); -#5459=VECTOR('',#5458,2.E-1); -#5460=CARTESIAN_POINT('',(1.00471072E2,1.02177639E2,4.311724784545E0)); -#5461=LINE('',#5460,#5459); -#5462=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5463=VECTOR('',#5462,1.589614029687E-1); -#5464=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.511724784545E0)); -#5465=LINE('',#5464,#5463); -#5466=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5467=VECTOR('',#5466,1.662328E0); -#5468=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.511724784545E0)); -#5469=LINE('',#5468,#5467); -#5470=DIRECTION('',(0.E0,1.E0,0.E0)); -#5471=VECTOR('',#5470,1.589614029687E-1); -#5472=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.511724784545E0)); -#5473=LINE('',#5472,#5471); -#5474=DIRECTION('',(1.E0,0.E0,0.E0)); -#5475=VECTOR('',#5474,5.71518E-1); -#5476=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.511724784545E0)); -#5477=LINE('',#5476,#5475); -#5478=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5479=VECTOR('',#5478,1.003199E0); -#5480=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.511724784545E0)); -#5481=LINE('',#5480,#5479); -#5482=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5483=VECTOR('',#5482,5.71518E-1); -#5484=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.511724784545E0)); -#5485=LINE('',#5484,#5483); -#5486=DIRECTION('',(0.E0,1.E0,0.E0)); -#5487=VECTOR('',#5486,1.31044E-1); -#5488=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.511724784545E0)); -#5489=LINE('',#5488,#5487); -#5490=DIRECTION('',(1.E0,0.E0,0.E0)); -#5491=VECTOR('',#5490,1.484528E0); -#5492=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.511724784545E0)); -#5493=LINE('',#5492,#5491); -#5494=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5495=VECTOR('',#5494,1.31044E-1); -#5496=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.511724784545E0)); -#5497=LINE('',#5496,#5495); -#5498=DIRECTION('',(0.E0,0.E0,1.E0)); -#5499=VECTOR('',#5498,2.E-1); -#5500=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.311724784545E0)); -#5501=LINE('',#5500,#5499); -#5502=DIRECTION('',(0.E0,0.E0,1.E0)); -#5503=VECTOR('',#5502,2.E-1); -#5504=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.311724784545E0)); -#5505=LINE('',#5504,#5503); -#5506=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5507=VECTOR('',#5506,1.003199E0); -#5508=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.511724784545E0)); -#5509=LINE('',#5508,#5507); -#5510=DIRECTION('',(0.E0,0.E0,1.E0)); -#5511=VECTOR('',#5510,2.E-1); -#5512=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.311724784545E0)); -#5513=LINE('',#5512,#5511); -#5514=DIRECTION('',(0.E0,0.E0,1.E0)); -#5515=VECTOR('',#5514,3.E-1); -#5516=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.311724784545E0)); -#5517=LINE('',#5516,#5515); -#5518=DIRECTION('',(0.E0,0.E0,1.E0)); -#5519=VECTOR('',#5518,2.E-1); -#5520=CARTESIAN_POINT('',(9.9912592E1,9.9936038E1,4.311724784545E0)); -#5521=LINE('',#5520,#5519); -#5522=DIRECTION('',(0.E0,0.E0,1.E0)); -#5523=VECTOR('',#5522,2.E-1); -#5524=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.311724784545E0)); -#5525=LINE('',#5524,#5523); -#5526=DIRECTION('',(0.E0,0.E0,1.E0)); -#5527=VECTOR('',#5526,2.E-1); -#5528=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.311724784545E0)); -#5529=LINE('',#5528,#5527); -#5530=DIRECTION('',(-7.470663144326E-4,9.999997209459E-1,0.E0)); -#5531=VECTOR('',#5530,1.779996996715E0); -#5532=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.611724784545E0)); -#5533=LINE('',#5532,#5531); -#5534=DIRECTION('',(1.E0,0.E0,0.E0)); -#5535=VECTOR('',#5534,2.565397103184E0); -#5536=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.611724784545E0)); -#5537=LINE('',#5536,#5535); -#5538=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5539=VECTOR('',#5538,3.519998E0); -#5540=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.611724784545E0)); -#5541=LINE('',#5540,#5539); -#5542=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5543=VECTOR('',#5542,2.565397103184E0); -#5544=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.611724784545E0)); -#5545=LINE('',#5544,#5543); -#5546=DIRECTION('',(7.642380812332E-4,9.999997079700E-1,0.E0)); -#5547=VECTOR('',#5546,1.740002008133E0); -#5548=CARTESIAN_POINT('',(1.024766593274E2,1.004376375E2,4.611724784545E0)); -#5549=LINE('',#5548,#5547); -#5550=DIRECTION('',(0.E0,0.E0,1.E0)); -#5551=VECTOR('',#5550,3.E-1); -#5552=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.311724784545E0)); -#5553=LINE('',#5552,#5551); -#5554=DIRECTION('',(1.E0,0.E0,0.E0)); -#5555=VECTOR('',#5554,1.484528E0); -#5556=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.511724784545E0)); -#5557=LINE('',#5556,#5555); -#5558=DIRECTION('',(0.E0,0.E0,1.E0)); -#5559=VECTOR('',#5558,2.E-1); -#5560=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.311724784545E0)); -#5561=LINE('',#5560,#5559); -#5562=DIRECTION('',(0.E0,0.E0,1.E0)); -#5563=VECTOR('',#5562,3.E-1); -#5564=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.311724784545E0)); -#5565=LINE('',#5564,#5563); -#5566=DIRECTION('',(0.E0,0.E0,1.E0)); -#5567=VECTOR('',#5566,2.E-1); -#5568=CARTESIAN_POINT('',(1.019556E2,9.8657641E1,4.311724784545E0)); -#5569=LINE('',#5568,#5567); -#5570=DIRECTION('',(0.E0,0.E0,1.E0)); -#5571=VECTOR('',#5570,2.E-1); -#5572=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.311724784545E0)); -#5573=LINE('',#5572,#5571); -#5574=DIRECTION('',(0.E0,0.E0,1.E0)); -#5575=VECTOR('',#5574,2.E-1); -#5576=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.311724784545E0)); -#5577=LINE('',#5576,#5575); -#5578=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.611724784545E0)); -#5579=DIRECTION('',(0.E0,0.E0,1.E0)); -#5580=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5581=AXIS2_PLACEMENT_3D('',#5578,#5579,#5580); -#5583=DIRECTION('',(0.E0,0.E0,1.E0)); -#5584=VECTOR('',#5583,9.E-1); -#5585=CARTESIAN_POINT('',(1.0147267E2,9.94376375E1,4.611724784545E0)); -#5586=LINE('',#5585,#5584); -#5587=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.611724784545E0)); -#5588=DIRECTION('',(0.E0,0.E0,1.E0)); -#5589=DIRECTION('',(1.E0,0.E0,0.E0)); -#5590=AXIS2_PLACEMENT_3D('',#5587,#5588,#5589); -#5592=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.611724784545E0)); -#5593=DIRECTION('',(0.E0,0.E0,1.E0)); -#5594=DIRECTION('',(0.E0,1.E0,0.E0)); -#5595=AXIS2_PLACEMENT_3D('',#5592,#5593,#5594); -#5597=DIRECTION('',(0.E0,0.E0,1.E0)); -#5598=VECTOR('',#5597,9.E-1); -#5599=CARTESIAN_POINT('',(1.0147267E2,1.014376375E2,4.611724784545E0)); -#5600=LINE('',#5599,#5598); -#5601=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); -#5602=DIRECTION('',(0.E0,0.E0,1.E0)); -#5603=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5604=AXIS2_PLACEMENT_3D('',#5601,#5602,#5603); -#5606=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); -#5607=DIRECTION('',(0.E0,0.E0,1.E0)); -#5608=DIRECTION('',(0.E0,1.E0,0.E0)); -#5609=AXIS2_PLACEMENT_3D('',#5606,#5607,#5608); -#5611=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); -#5612=DIRECTION('',(0.E0,0.E0,1.E0)); -#5613=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5614=AXIS2_PLACEMENT_3D('',#5611,#5612,#5613); -#5616=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); -#5617=DIRECTION('',(0.E0,0.E0,1.E0)); -#5618=DIRECTION('',(0.E0,1.E0,0.E0)); -#5619=AXIS2_PLACEMENT_3D('',#5616,#5617,#5618); -#5621=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); -#5622=DIRECTION('',(0.E0,0.E0,1.E0)); -#5623=DIRECTION('',(0.E0,1.E0,0.E0)); -#5624=AXIS2_PLACEMENT_3D('',#5621,#5622,#5623); -#5626=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); -#5627=DIRECTION('',(0.E0,0.E0,1.E0)); -#5628=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5629=AXIS2_PLACEMENT_3D('',#5626,#5627,#5628); -#5631=DIRECTION('',(0.E0,0.E0,1.E0)); -#5632=VECTOR('',#5631,1.2E0); -#5633=CARTESIAN_POINT('',(1.0147267E2,9.96376375E1,4.311724784545E0)); -#5634=LINE('',#5633,#5632); -#5635=DIRECTION('',(0.E0,0.E0,1.E0)); -#5636=VECTOR('',#5635,1.2E0); -#5637=CARTESIAN_POINT('',(1.0147267E2,1.012376375E2,4.311724784545E0)); -#5638=LINE('',#5637,#5636); -#5639=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); -#5640=DIRECTION('',(0.E0,0.E0,1.E0)); -#5641=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5642=AXIS2_PLACEMENT_3D('',#5639,#5640,#5641); -#5644=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); -#5645=DIRECTION('',(0.E0,0.E0,1.E0)); -#5646=DIRECTION('',(0.E0,1.E0,0.E0)); -#5647=AXIS2_PLACEMENT_3D('',#5644,#5645,#5646); -#5649=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); -#5650=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5651=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5652=AXIS2_PLACEMENT_3D('',#5649,#5650,#5651); -#5654=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); -#5655=DIRECTION('',(0.E0,0.E0,-1.E0)); -#5656=DIRECTION('',(0.E0,1.E0,0.E0)); -#5657=AXIS2_PLACEMENT_3D('',#5654,#5655,#5656); -#5659=DIRECTION('',(0.E0,0.E0,1.E0)); -#5660=VECTOR('',#5659,1.2E0); -#5661=CARTESIAN_POINT('',(1.0147267E2,1.001876375E2,4.311724784545E0)); -#5662=LINE('',#5661,#5660); -#5663=DIRECTION('',(0.E0,0.E0,1.E0)); -#5664=VECTOR('',#5663,1.2E0); -#5665=CARTESIAN_POINT('',(1.0147267E2,1.006876375E2,4.311724784545E0)); -#5666=LINE('',#5665,#5664); -#5667=DIRECTION('',(0.E0,0.E0,1.E0)); -#5668=VECTOR('',#5667,5.E-1); -#5669=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.311724784545E0)); -#5670=LINE('',#5669,#5668); -#5671=DIRECTION('',(0.E0,0.E0,1.E0)); -#5672=VECTOR('',#5671,5.E-1); -#5673=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.311724784545E0)); -#5674=LINE('',#5673,#5672); -#5675=DIRECTION('',(0.E0,0.E0,1.E0)); -#5676=VECTOR('',#5675,5.E-1); -#5677=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.311724784545E0)); -#5678=LINE('',#5677,#5676); -#5679=DIRECTION('',(0.E0,0.E0,1.E0)); -#5680=VECTOR('',#5679,5.E-1); -#5681=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.311724784545E0)); -#5682=LINE('',#5681,#5680); -#5683=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5684=VECTOR('',#5683,1.5516E0); -#5685=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.811724784545E0)); -#5686=LINE('',#5685,#5684); -#5687=DIRECTION('',(0.E0,1.E0,0.E0)); -#5688=VECTOR('',#5687,7.51602E-1); -#5689=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.811724784545E0)); -#5690=LINE('',#5689,#5688); -#5691=DIRECTION('',(1.E0,0.E0,0.E0)); -#5692=VECTOR('',#5691,1.5516E0); -#5693=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.811724784545E0)); -#5694=LINE('',#5693,#5692); -#5695=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5696=VECTOR('',#5695,7.51602E-1); -#5697=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.811724784545E0)); -#5698=LINE('',#5697,#5696); -#5699=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5700=VECTOR('',#5699,1.5516E0); -#5701=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.811724784545E0)); -#5702=LINE('',#5701,#5700); -#5703=DIRECTION('',(0.E0,1.E0,0.E0)); -#5704=VECTOR('',#5703,7.51602E-1); -#5705=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.811724784545E0)); -#5706=LINE('',#5705,#5704); -#5707=DIRECTION('',(1.E0,0.E0,0.E0)); -#5708=VECTOR('',#5707,1.5516E0); -#5709=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.811724784545E0)); -#5710=LINE('',#5709,#5708); -#5711=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5712=VECTOR('',#5711,7.51602E-1); -#5713=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.811724784545E0)); -#5714=LINE('',#5713,#5712); -#5715=DIRECTION('',(0.E0,0.E0,1.E0)); -#5716=VECTOR('',#5715,5.E-1); -#5717=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.311724784545E0)); -#5718=LINE('',#5717,#5716); -#5719=DIRECTION('',(0.E0,0.E0,1.E0)); -#5720=VECTOR('',#5719,5.E-1); -#5721=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.311724784545E0)); -#5722=LINE('',#5721,#5720); -#5723=DIRECTION('',(0.E0,0.E0,1.E0)); -#5724=VECTOR('',#5723,5.E-1); -#5725=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.311724784545E0)); -#5726=LINE('',#5725,#5724); -#5727=DIRECTION('',(0.E0,0.E0,1.E0)); -#5728=VECTOR('',#5727,5.E-1); -#5729=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.311724784545E0)); -#5730=LINE('',#5729,#5728); -#5731=DIRECTION('',(0.E0,0.E0,1.E0)); -#5732=VECTOR('',#5731,4.E-1); -#5733=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.311724784545E0)); -#5734=LINE('',#5733,#5732); -#5735=DIRECTION('',(0.E0,0.E0,1.E0)); -#5736=VECTOR('',#5735,4.E-1); -#5737=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.311724784545E0)); -#5738=LINE('',#5737,#5736); -#5739=DIRECTION('',(0.E0,0.E0,1.E0)); -#5740=VECTOR('',#5739,4.E-1); -#5741=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.311724784545E0)); -#5742=LINE('',#5741,#5740); -#5743=DIRECTION('',(0.E0,0.E0,1.E0)); -#5744=VECTOR('',#5743,4.E-1); -#5745=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.311724784545E0)); -#5746=LINE('',#5745,#5744); -#5747=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5748=VECTOR('',#5747,1.5516E0); -#5749=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.711724784545E0)); -#5750=LINE('',#5749,#5748); -#5751=DIRECTION('',(0.E0,1.E0,0.E0)); -#5752=VECTOR('',#5751,7.51602E-1); -#5753=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.711724784545E0)); -#5754=LINE('',#5753,#5752); -#5755=DIRECTION('',(1.E0,0.E0,0.E0)); -#5756=VECTOR('',#5755,1.5516E0); -#5757=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.711724784545E0)); -#5758=LINE('',#5757,#5756); -#5759=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5760=VECTOR('',#5759,7.51602E-1); -#5761=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.711724784545E0)); -#5762=LINE('',#5761,#5760); -#5763=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5764=VECTOR('',#5763,7.51602E-1); -#5765=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.711724784545E0)); -#5766=LINE('',#5765,#5764); -#5767=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5768=VECTOR('',#5767,1.5516E0); -#5769=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.711724784545E0)); -#5770=LINE('',#5769,#5768); -#5771=DIRECTION('',(0.E0,1.E0,0.E0)); -#5772=VECTOR('',#5771,7.51602E-1); -#5773=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.711724784545E0)); -#5774=LINE('',#5773,#5772); -#5775=DIRECTION('',(1.E0,0.E0,0.E0)); -#5776=VECTOR('',#5775,1.5516E0); -#5777=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.711724784545E0)); -#5778=LINE('',#5777,#5776); -#5779=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5780=VECTOR('',#5779,1.5516E0); -#5781=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.711724784545E0)); -#5782=LINE('',#5781,#5780); -#5783=DIRECTION('',(0.E0,1.E0,0.E0)); -#5784=VECTOR('',#5783,7.51602E-1); -#5785=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.711724784545E0)); -#5786=LINE('',#5785,#5784); -#5787=DIRECTION('',(1.E0,0.E0,0.E0)); -#5788=VECTOR('',#5787,1.5516E0); -#5789=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.711724784545E0)); -#5790=LINE('',#5789,#5788); -#5791=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5792=VECTOR('',#5791,7.51602E-1); -#5793=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.711724784545E0)); -#5794=LINE('',#5793,#5792); -#5795=DIRECTION('',(0.E0,1.E0,0.E0)); -#5796=VECTOR('',#5795,7.51602E-1); -#5797=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.711724784545E0)); -#5798=LINE('',#5797,#5796); -#5799=DIRECTION('',(1.E0,0.E0,0.E0)); -#5800=VECTOR('',#5799,1.5516E0); -#5801=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.711724784545E0)); -#5802=LINE('',#5801,#5800); -#5803=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5804=VECTOR('',#5803,7.51602E-1); -#5805=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.711724784545E0)); -#5806=LINE('',#5805,#5804); -#5807=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5808=VECTOR('',#5807,1.5516E0); -#5809=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.711724784545E0)); -#5810=LINE('',#5809,#5808); -#5811=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5812=VECTOR('',#5811,1.5516E0); -#5813=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.711724784545E0)); -#5814=LINE('',#5813,#5812); -#5815=DIRECTION('',(0.E0,1.E0,0.E0)); -#5816=VECTOR('',#5815,7.51602E-1); -#5817=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.711724784545E0)); -#5818=LINE('',#5817,#5816); -#5819=DIRECTION('',(1.E0,0.E0,0.E0)); -#5820=VECTOR('',#5819,1.5516E0); -#5821=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.711724784545E0)); -#5822=LINE('',#5821,#5820); -#5823=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5824=VECTOR('',#5823,7.51602E-1); -#5825=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.711724784545E0)); -#5826=LINE('',#5825,#5824); -#5827=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5828=VECTOR('',#5827,1.5516E0); -#5829=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.711724784545E0)); -#5830=LINE('',#5829,#5828); -#5831=DIRECTION('',(0.E0,1.E0,0.E0)); -#5832=VECTOR('',#5831,7.51602E-1); -#5833=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.711724784545E0)); -#5834=LINE('',#5833,#5832); -#5835=DIRECTION('',(1.E0,0.E0,0.E0)); -#5836=VECTOR('',#5835,1.5516E0); -#5837=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.711724784545E0)); -#5838=LINE('',#5837,#5836); -#5839=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5840=VECTOR('',#5839,7.51602E-1); -#5841=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.711724784545E0)); -#5842=LINE('',#5841,#5840); -#5843=DIRECTION('',(1.E0,0.E0,0.E0)); -#5844=VECTOR('',#5843,1.5516E0); -#5845=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.711724784545E0)); -#5846=LINE('',#5845,#5844); -#5847=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5848=VECTOR('',#5847,7.51602E-1); -#5849=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.711724784545E0)); -#5850=LINE('',#5849,#5848); -#5851=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5852=VECTOR('',#5851,1.5516E0); -#5853=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.711724784545E0)); -#5854=LINE('',#5853,#5852); -#5855=DIRECTION('',(0.E0,1.E0,0.E0)); -#5856=VECTOR('',#5855,7.51602E-1); -#5857=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.711724784545E0)); -#5858=LINE('',#5857,#5856); -#5859=DIRECTION('',(1.E0,0.E0,0.E0)); -#5860=VECTOR('',#5859,7.51601E-1); -#5861=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.711724784545E0)); -#5862=LINE('',#5861,#5860); -#5863=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5864=VECTOR('',#5863,1.551599E0); -#5865=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.711724784545E0)); -#5866=LINE('',#5865,#5864); -#5867=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5868=VECTOR('',#5867,7.51601E-1); -#5869=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.711724784545E0)); -#5870=LINE('',#5869,#5868); -#5871=DIRECTION('',(0.E0,1.E0,0.E0)); -#5872=VECTOR('',#5871,1.551599E0); -#5873=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.711724784545E0)); -#5874=LINE('',#5873,#5872); -#5875=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5876=VECTOR('',#5875,7.51601E-1); -#5877=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.711724784545E0)); -#5878=LINE('',#5877,#5876); -#5879=DIRECTION('',(0.E0,1.E0,0.E0)); -#5880=VECTOR('',#5879,1.551599E0); -#5881=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.711724784545E0)); -#5882=LINE('',#5881,#5880); -#5883=DIRECTION('',(1.E0,0.E0,0.E0)); -#5884=VECTOR('',#5883,7.51601E-1); -#5885=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.711724784545E0)); -#5886=LINE('',#5885,#5884); -#5887=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5888=VECTOR('',#5887,1.551599E0); -#5889=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.711724784545E0)); -#5890=LINE('',#5889,#5888); -#5891=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5892=VECTOR('',#5891,7.51601E-1); -#5893=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.711724784545E0)); -#5894=LINE('',#5893,#5892); -#5895=DIRECTION('',(0.E0,1.E0,0.E0)); -#5896=VECTOR('',#5895,1.551599E0); -#5897=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.711724784545E0)); -#5898=LINE('',#5897,#5896); -#5899=DIRECTION('',(1.E0,0.E0,0.E0)); -#5900=VECTOR('',#5899,7.51601E-1); -#5901=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.711724784545E0)); -#5902=LINE('',#5901,#5900); -#5903=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5904=VECTOR('',#5903,1.551599E0); -#5905=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.711724784545E0)); -#5906=LINE('',#5905,#5904); -#5907=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5908=VECTOR('',#5907,1.5516E0); -#5909=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.711724784545E0)); -#5910=LINE('',#5909,#5908); -#5911=DIRECTION('',(0.E0,1.E0,0.E0)); -#5912=VECTOR('',#5911,7.51602E-1); -#5913=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.711724784545E0)); -#5914=LINE('',#5913,#5912); -#5915=DIRECTION('',(1.E0,0.E0,0.E0)); -#5916=VECTOR('',#5915,1.5516E0); -#5917=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.711724784545E0)); -#5918=LINE('',#5917,#5916); -#5919=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5920=VECTOR('',#5919,7.51602E-1); -#5921=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.711724784545E0)); -#5922=LINE('',#5921,#5920); -#5923=DIRECTION('',(0.E0,1.E0,0.E0)); -#5924=VECTOR('',#5923,7.51602E-1); -#5925=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.711724784545E0)); -#5926=LINE('',#5925,#5924); -#5927=DIRECTION('',(1.E0,0.E0,0.E0)); -#5928=VECTOR('',#5927,1.5516E0); -#5929=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.711724784545E0)); -#5930=LINE('',#5929,#5928); -#5931=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5932=VECTOR('',#5931,7.51602E-1); -#5933=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.711724784545E0)); -#5934=LINE('',#5933,#5932); -#5935=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5936=VECTOR('',#5935,1.5516E0); -#5937=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.711724784545E0)); -#5938=LINE('',#5937,#5936); -#5939=DIRECTION('',(1.E0,0.E0,0.E0)); -#5940=VECTOR('',#5939,1.5516E0); -#5941=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.711724784545E0)); -#5942=LINE('',#5941,#5940); -#5943=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5944=VECTOR('',#5943,7.51602E-1); -#5945=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.711724784545E0)); -#5946=LINE('',#5945,#5944); -#5947=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5948=VECTOR('',#5947,1.5516E0); -#5949=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.711724784545E0)); -#5950=LINE('',#5949,#5948); -#5951=DIRECTION('',(0.E0,1.E0,0.E0)); -#5952=VECTOR('',#5951,7.51602E-1); -#5953=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.711724784545E0)); -#5954=LINE('',#5953,#5952); -#5955=DIRECTION('',(0.E0,1.E0,0.E0)); -#5956=VECTOR('',#5955,7.51602E-1); -#5957=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.711724784545E0)); -#5958=LINE('',#5957,#5956); -#5959=DIRECTION('',(1.E0,0.E0,0.E0)); -#5960=VECTOR('',#5959,1.5516E0); -#5961=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.711724784545E0)); -#5962=LINE('',#5961,#5960); -#5963=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5964=VECTOR('',#5963,7.51602E-1); -#5965=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.711724784545E0)); -#5966=LINE('',#5965,#5964); -#5967=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5968=VECTOR('',#5967,1.5516E0); -#5969=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.711724784545E0)); -#5970=LINE('',#5969,#5968); -#5971=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5972=VECTOR('',#5971,1.5516E0); -#5973=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.711724784545E0)); -#5974=LINE('',#5973,#5972); -#5975=DIRECTION('',(0.E0,1.E0,0.E0)); -#5976=VECTOR('',#5975,7.51602E-1); -#5977=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.711724784545E0)); -#5978=LINE('',#5977,#5976); -#5979=DIRECTION('',(1.E0,0.E0,0.E0)); -#5980=VECTOR('',#5979,1.5516E0); -#5981=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.711724784545E0)); -#5982=LINE('',#5981,#5980); -#5983=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5984=VECTOR('',#5983,7.51602E-1); -#5985=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.711724784545E0)); -#5986=LINE('',#5985,#5984); -#5987=DIRECTION('',(0.E0,-1.E0,0.E0)); -#5988=VECTOR('',#5987,7.51602E-1); -#5989=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.711724784545E0)); -#5990=LINE('',#5989,#5988); -#5991=DIRECTION('',(-1.E0,0.E0,0.E0)); -#5992=VECTOR('',#5991,1.5516E0); -#5993=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.711724784545E0)); -#5994=LINE('',#5993,#5992); -#5995=DIRECTION('',(0.E0,1.E0,0.E0)); -#5996=VECTOR('',#5995,7.51602E-1); -#5997=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.711724784545E0)); -#5998=LINE('',#5997,#5996); -#5999=DIRECTION('',(1.E0,0.E0,0.E0)); -#6000=VECTOR('',#5999,1.5516E0); -#6001=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.711724784545E0)); -#6002=LINE('',#6001,#6000); -#6003=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6004=VECTOR('',#6003,1.5516E0); -#6005=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.711724784545E0)); -#6006=LINE('',#6005,#6004); -#6007=DIRECTION('',(0.E0,1.E0,0.E0)); -#6008=VECTOR('',#6007,7.51602E-1); -#6009=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.711724784545E0)); -#6010=LINE('',#6009,#6008); -#6011=DIRECTION('',(1.E0,0.E0,0.E0)); -#6012=VECTOR('',#6011,1.5516E0); -#6013=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.711724784545E0)); -#6014=LINE('',#6013,#6012); -#6015=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6016=VECTOR('',#6015,7.51602E-1); -#6017=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.711724784545E0)); -#6018=LINE('',#6017,#6016); -#6019=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6020=VECTOR('',#6019,7.51602E-1); -#6021=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.711724784545E0)); -#6022=LINE('',#6021,#6020); -#6023=DIRECTION('',(0.E0,1.E0,0.E0)); -#6024=VECTOR('',#6023,1.551599E0); -#6025=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.711724784545E0)); -#6026=LINE('',#6025,#6024); -#6027=DIRECTION('',(1.E0,0.E0,0.E0)); -#6028=VECTOR('',#6027,7.51602E-1); -#6029=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.711724784545E0)); -#6030=LINE('',#6029,#6028); -#6031=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6032=VECTOR('',#6031,1.551599E0); -#6033=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.711724784545E0)); -#6034=LINE('',#6033,#6032); -#6035=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6036=VECTOR('',#6035,7.01598E-1); -#6037=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.711724784545E0)); -#6038=LINE('',#6037,#6036); -#6039=DIRECTION('',(0.E0,1.E0,0.E0)); -#6040=VECTOR('',#6039,7.51602E-1); -#6041=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.711724784545E0)); -#6042=LINE('',#6041,#6040); -#6043=DIRECTION('',(1.E0,0.E0,0.E0)); -#6044=VECTOR('',#6043,7.01598E-1); -#6045=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.711724784545E0)); -#6046=LINE('',#6045,#6044); -#6047=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6048=VECTOR('',#6047,7.51602E-1); -#6049=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.711724784545E0)); -#6050=LINE('',#6049,#6048); -#6051=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6052=VECTOR('',#6051,1.5516E0); -#6053=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.711724784545E0)); -#6054=LINE('',#6053,#6052); -#6055=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6056=VECTOR('',#6055,7.51602E-1); -#6057=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.711724784545E0)); -#6058=LINE('',#6057,#6056); -#6059=DIRECTION('',(0.E0,1.E0,0.E0)); -#6060=VECTOR('',#6059,1.5516E0); -#6061=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.711724784545E0)); -#6062=LINE('',#6061,#6060); -#6063=DIRECTION('',(1.E0,0.E0,0.E0)); -#6064=VECTOR('',#6063,7.51602E-1); -#6065=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.711724784545E0)); -#6066=LINE('',#6065,#6064); -#6067=DIRECTION('',(0.E0,0.E0,1.E0)); -#6068=VECTOR('',#6067,4.E-1); -#6069=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.311724784545E0)); -#6070=LINE('',#6069,#6068); -#6071=DIRECTION('',(0.E0,0.E0,1.E0)); -#6072=VECTOR('',#6071,4.E-1); -#6073=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.311724784545E0)); -#6074=LINE('',#6073,#6072); -#6075=DIRECTION('',(0.E0,0.E0,1.E0)); -#6076=VECTOR('',#6075,4.E-1); -#6077=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.311724784545E0)); -#6078=LINE('',#6077,#6076); -#6079=DIRECTION('',(0.E0,0.E0,1.E0)); -#6080=VECTOR('',#6079,4.E-1); -#6081=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.311724784545E0)); -#6082=LINE('',#6081,#6080); -#6083=DIRECTION('',(0.E0,0.E0,1.E0)); -#6084=VECTOR('',#6083,4.E-1); -#6085=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.311724784545E0)); -#6086=LINE('',#6085,#6084); -#6087=DIRECTION('',(0.E0,0.E0,1.E0)); -#6088=VECTOR('',#6087,4.E-1); -#6089=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.311724784545E0)); -#6090=LINE('',#6089,#6088); -#6091=DIRECTION('',(0.E0,0.E0,1.E0)); -#6092=VECTOR('',#6091,4.E-1); -#6093=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.311724784545E0)); -#6094=LINE('',#6093,#6092); -#6095=DIRECTION('',(0.E0,0.E0,1.E0)); -#6096=VECTOR('',#6095,4.E-1); -#6097=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.311724784545E0)); -#6098=LINE('',#6097,#6096); -#6099=DIRECTION('',(0.E0,0.E0,1.E0)); -#6100=VECTOR('',#6099,4.E-1); -#6101=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.311724784545E0)); -#6102=LINE('',#6101,#6100); -#6103=DIRECTION('',(0.E0,0.E0,1.E0)); -#6104=VECTOR('',#6103,4.E-1); -#6105=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.311724784545E0)); -#6106=LINE('',#6105,#6104); -#6107=DIRECTION('',(0.E0,0.E0,1.E0)); -#6108=VECTOR('',#6107,4.E-1); -#6109=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.311724784545E0)); -#6110=LINE('',#6109,#6108); -#6111=DIRECTION('',(0.E0,0.E0,1.E0)); -#6112=VECTOR('',#6111,4.E-1); -#6113=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.311724784545E0)); -#6114=LINE('',#6113,#6112); -#6115=DIRECTION('',(0.E0,0.E0,1.E0)); -#6116=VECTOR('',#6115,4.E-1); -#6117=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.311724784545E0)); -#6118=LINE('',#6117,#6116); -#6119=DIRECTION('',(0.E0,0.E0,1.E0)); -#6120=VECTOR('',#6119,4.E-1); -#6121=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.311724784545E0)); -#6122=LINE('',#6121,#6120); -#6123=DIRECTION('',(0.E0,0.E0,1.E0)); -#6124=VECTOR('',#6123,4.E-1); -#6125=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.311724784545E0)); -#6126=LINE('',#6125,#6124); -#6127=DIRECTION('',(0.E0,0.E0,1.E0)); -#6128=VECTOR('',#6127,4.E-1); -#6129=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.311724784545E0)); -#6130=LINE('',#6129,#6128); -#6131=DIRECTION('',(0.E0,0.E0,1.E0)); -#6132=VECTOR('',#6131,4.E-1); -#6133=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.311724784545E0)); -#6134=LINE('',#6133,#6132); -#6135=DIRECTION('',(0.E0,0.E0,1.E0)); -#6136=VECTOR('',#6135,4.E-1); -#6137=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.311724784545E0)); -#6138=LINE('',#6137,#6136); -#6139=DIRECTION('',(0.E0,0.E0,1.E0)); -#6140=VECTOR('',#6139,4.E-1); -#6141=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.311724784545E0)); -#6142=LINE('',#6141,#6140); -#6143=DIRECTION('',(0.E0,0.E0,1.E0)); -#6144=VECTOR('',#6143,4.E-1); -#6145=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.311724784545E0)); -#6146=LINE('',#6145,#6144); -#6147=DIRECTION('',(0.E0,0.E0,1.E0)); -#6148=VECTOR('',#6147,4.E-1); -#6149=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.311724784545E0)); -#6150=LINE('',#6149,#6148); -#6151=DIRECTION('',(0.E0,0.E0,1.E0)); -#6152=VECTOR('',#6151,4.E-1); -#6153=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.311724784545E0)); -#6154=LINE('',#6153,#6152); -#6155=DIRECTION('',(0.E0,0.E0,1.E0)); -#6156=VECTOR('',#6155,4.E-1); -#6157=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.311724784545E0)); -#6158=LINE('',#6157,#6156); -#6159=DIRECTION('',(0.E0,0.E0,1.E0)); -#6160=VECTOR('',#6159,4.E-1); -#6161=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.311724784545E0)); -#6162=LINE('',#6161,#6160); -#6163=DIRECTION('',(0.E0,0.E0,1.E0)); -#6164=VECTOR('',#6163,4.E-1); -#6165=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.311724784545E0)); -#6166=LINE('',#6165,#6164); -#6167=DIRECTION('',(0.E0,0.E0,1.E0)); -#6168=VECTOR('',#6167,4.E-1); -#6169=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.311724784545E0)); -#6170=LINE('',#6169,#6168); -#6171=DIRECTION('',(0.E0,0.E0,1.E0)); -#6172=VECTOR('',#6171,4.E-1); -#6173=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.311724784545E0)); -#6174=LINE('',#6173,#6172); -#6175=DIRECTION('',(0.E0,0.E0,1.E0)); -#6176=VECTOR('',#6175,4.E-1); -#6177=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.311724784545E0)); -#6178=LINE('',#6177,#6176); -#6179=DIRECTION('',(0.E0,0.E0,1.E0)); -#6180=VECTOR('',#6179,4.E-1); -#6181=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.311724784545E0)); -#6182=LINE('',#6181,#6180); -#6183=DIRECTION('',(0.E0,0.E0,1.E0)); -#6184=VECTOR('',#6183,4.E-1); -#6185=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.311724784545E0)); -#6186=LINE('',#6185,#6184); -#6187=DIRECTION('',(0.E0,0.E0,1.E0)); -#6188=VECTOR('',#6187,4.E-1); -#6189=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.311724784545E0)); -#6190=LINE('',#6189,#6188); -#6191=DIRECTION('',(0.E0,0.E0,1.E0)); -#6192=VECTOR('',#6191,4.E-1); -#6193=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.311724784545E0)); -#6194=LINE('',#6193,#6192); -#6195=DIRECTION('',(0.E0,0.E0,1.E0)); -#6196=VECTOR('',#6195,4.E-1); -#6197=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.311724784545E0)); -#6198=LINE('',#6197,#6196); -#6199=DIRECTION('',(0.E0,0.E0,1.E0)); -#6200=VECTOR('',#6199,4.E-1); -#6201=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.311724784545E0)); -#6202=LINE('',#6201,#6200); -#6203=DIRECTION('',(0.E0,0.E0,1.E0)); -#6204=VECTOR('',#6203,4.E-1); -#6205=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.311724784545E0)); -#6206=LINE('',#6205,#6204); -#6207=DIRECTION('',(0.E0,0.E0,1.E0)); -#6208=VECTOR('',#6207,4.E-1); -#6209=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.311724784545E0)); -#6210=LINE('',#6209,#6208); -#6211=DIRECTION('',(0.E0,0.E0,1.E0)); -#6212=VECTOR('',#6211,4.E-1); -#6213=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.311724784545E0)); -#6214=LINE('',#6213,#6212); -#6215=DIRECTION('',(0.E0,0.E0,1.E0)); -#6216=VECTOR('',#6215,4.E-1); -#6217=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.311724784545E0)); -#6218=LINE('',#6217,#6216); -#6219=DIRECTION('',(0.E0,0.E0,1.E0)); -#6220=VECTOR('',#6219,4.E-1); -#6221=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.311724784545E0)); -#6222=LINE('',#6221,#6220); -#6223=DIRECTION('',(0.E0,0.E0,1.E0)); -#6224=VECTOR('',#6223,4.E-1); -#6225=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.311724784545E0)); -#6226=LINE('',#6225,#6224); -#6227=DIRECTION('',(0.E0,0.E0,1.E0)); -#6228=VECTOR('',#6227,4.E-1); -#6229=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.311724784545E0)); -#6230=LINE('',#6229,#6228); -#6231=DIRECTION('',(0.E0,0.E0,1.E0)); -#6232=VECTOR('',#6231,4.E-1); -#6233=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.311724784545E0)); -#6234=LINE('',#6233,#6232); -#6235=DIRECTION('',(0.E0,0.E0,1.E0)); -#6236=VECTOR('',#6235,4.E-1); -#6237=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.311724784545E0)); -#6238=LINE('',#6237,#6236); -#6239=DIRECTION('',(0.E0,0.E0,1.E0)); -#6240=VECTOR('',#6239,4.E-1); -#6241=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.311724784545E0)); -#6242=LINE('',#6241,#6240); -#6243=DIRECTION('',(0.E0,0.E0,1.E0)); -#6244=VECTOR('',#6243,4.E-1); -#6245=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.311724784545E0)); -#6246=LINE('',#6245,#6244); -#6247=DIRECTION('',(0.E0,0.E0,1.E0)); -#6248=VECTOR('',#6247,4.E-1); -#6249=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.311724784545E0)); -#6250=LINE('',#6249,#6248); -#6251=DIRECTION('',(0.E0,0.E0,1.E0)); -#6252=VECTOR('',#6251,4.E-1); -#6253=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.311724784545E0)); -#6254=LINE('',#6253,#6252); -#6255=DIRECTION('',(0.E0,0.E0,1.E0)); -#6256=VECTOR('',#6255,4.E-1); -#6257=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.311724784545E0)); -#6258=LINE('',#6257,#6256); -#6259=DIRECTION('',(0.E0,0.E0,1.E0)); -#6260=VECTOR('',#6259,4.E-1); -#6261=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.311724784545E0)); -#6262=LINE('',#6261,#6260); -#6263=DIRECTION('',(0.E0,0.E0,1.E0)); -#6264=VECTOR('',#6263,4.E-1); -#6265=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.311724784545E0)); -#6266=LINE('',#6265,#6264); -#6267=DIRECTION('',(0.E0,0.E0,1.E0)); -#6268=VECTOR('',#6267,4.E-1); -#6269=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.311724784545E0)); -#6270=LINE('',#6269,#6268); -#6271=DIRECTION('',(0.E0,0.E0,1.E0)); -#6272=VECTOR('',#6271,4.E-1); -#6273=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.311724784545E0)); -#6274=LINE('',#6273,#6272); -#6275=DIRECTION('',(0.E0,0.E0,1.E0)); -#6276=VECTOR('',#6275,4.E-1); -#6277=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.311724784545E0)); -#6278=LINE('',#6277,#6276); -#6279=DIRECTION('',(0.E0,0.E0,1.E0)); -#6280=VECTOR('',#6279,4.E-1); -#6281=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.311724784545E0)); -#6282=LINE('',#6281,#6280); -#6283=DIRECTION('',(0.E0,0.E0,1.E0)); -#6284=VECTOR('',#6283,4.E-1); -#6285=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.311724784545E0)); -#6286=LINE('',#6285,#6284); -#6287=DIRECTION('',(0.E0,0.E0,1.E0)); -#6288=VECTOR('',#6287,4.E-1); -#6289=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.311724784545E0)); -#6290=LINE('',#6289,#6288); -#6291=DIRECTION('',(0.E0,0.E0,1.E0)); -#6292=VECTOR('',#6291,4.E-1); -#6293=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.311724784545E0)); -#6294=LINE('',#6293,#6292); -#6295=DIRECTION('',(0.E0,0.E0,1.E0)); -#6296=VECTOR('',#6295,4.E-1); -#6297=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.311724784545E0)); -#6298=LINE('',#6297,#6296); -#6299=DIRECTION('',(0.E0,0.E0,1.E0)); -#6300=VECTOR('',#6299,4.E-1); -#6301=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.311724784545E0)); -#6302=LINE('',#6301,#6300); -#6303=DIRECTION('',(0.E0,0.E0,1.E0)); -#6304=VECTOR('',#6303,4.E-1); -#6305=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.311724784545E0)); -#6306=LINE('',#6305,#6304); -#6307=DIRECTION('',(0.E0,0.E0,1.E0)); -#6308=VECTOR('',#6307,4.E-1); -#6309=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.311724784545E0)); -#6310=LINE('',#6309,#6308); -#6311=DIRECTION('',(0.E0,0.E0,1.E0)); -#6312=VECTOR('',#6311,4.E-1); -#6313=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.311724784545E0)); -#6314=LINE('',#6313,#6312); -#6315=DIRECTION('',(0.E0,0.E0,1.E0)); -#6316=VECTOR('',#6315,4.E-1); -#6317=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.311724784545E0)); -#6318=LINE('',#6317,#6316); -#6319=DIRECTION('',(0.E0,0.E0,1.E0)); -#6320=VECTOR('',#6319,4.E-1); -#6321=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.311724784545E0)); -#6322=LINE('',#6321,#6320); -#6323=DIRECTION('',(0.E0,0.E0,1.E0)); -#6324=VECTOR('',#6323,4.E-1); -#6325=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.311724784545E0)); -#6326=LINE('',#6325,#6324); -#6327=DIRECTION('',(0.E0,0.E0,1.E0)); -#6328=VECTOR('',#6327,4.E-1); -#6329=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.311724784545E0)); -#6330=LINE('',#6329,#6328); -#6331=DIRECTION('',(0.E0,0.E0,1.E0)); -#6332=VECTOR('',#6331,4.E-1); -#6333=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.311724784545E0)); -#6334=LINE('',#6333,#6332); -#6335=DIRECTION('',(0.E0,0.E0,1.E0)); -#6336=VECTOR('',#6335,4.E-1); -#6337=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.311724784545E0)); -#6338=LINE('',#6337,#6336); -#6339=DIRECTION('',(0.E0,0.E0,1.E0)); -#6340=VECTOR('',#6339,4.E-1); -#6341=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.311724784545E0)); -#6342=LINE('',#6341,#6340); -#6343=DIRECTION('',(0.E0,0.E0,1.E0)); -#6344=VECTOR('',#6343,4.E-1); -#6345=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.311724784545E0)); -#6346=LINE('',#6345,#6344); -#6347=DIRECTION('',(0.E0,0.E0,1.E0)); -#6348=VECTOR('',#6347,4.E-1); -#6349=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.311724784545E0)); -#6350=LINE('',#6349,#6348); -#6351=DIRECTION('',(0.E0,0.E0,1.E0)); -#6352=VECTOR('',#6351,4.E-1); -#6353=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.311724784545E0)); -#6354=LINE('',#6353,#6352); -#6355=DIRECTION('',(0.E0,0.E0,1.E0)); -#6356=VECTOR('',#6355,4.E-1); -#6357=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.311724784545E0)); -#6358=LINE('',#6357,#6356); -#6359=DIRECTION('',(0.E0,0.E0,1.E0)); -#6360=VECTOR('',#6359,4.E-1); -#6361=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.311724784545E0)); -#6362=LINE('',#6361,#6360); -#6363=DIRECTION('',(0.E0,0.E0,1.E0)); -#6364=VECTOR('',#6363,4.E-1); -#6365=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.311724784545E0)); -#6366=LINE('',#6365,#6364); -#6367=DIRECTION('',(0.E0,0.E0,1.E0)); -#6368=VECTOR('',#6367,4.E-1); -#6369=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.311724784545E0)); -#6370=LINE('',#6369,#6368); -#6371=DIRECTION('',(0.E0,0.E0,1.E0)); -#6372=VECTOR('',#6371,5.E-1); -#6373=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.311724784545E0)); -#6374=LINE('',#6373,#6372); -#6375=DIRECTION('',(0.E0,0.E0,1.E0)); -#6376=VECTOR('',#6375,5.E-1); -#6377=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.311724784545E0)); -#6378=LINE('',#6377,#6376); -#6379=DIRECTION('',(0.E0,0.E0,1.E0)); -#6380=VECTOR('',#6379,5.E-1); -#6381=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.311724784545E0)); -#6382=LINE('',#6381,#6380); -#6383=DIRECTION('',(0.E0,0.E0,1.E0)); -#6384=VECTOR('',#6383,5.E-1); -#6385=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.311724784545E0)); -#6386=LINE('',#6385,#6384); -#6387=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6388=VECTOR('',#6387,7.51601E-1); -#6389=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.811724784545E0)); -#6390=LINE('',#6389,#6388); -#6391=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6392=VECTOR('',#6391,1.551599E0); -#6393=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.811724784545E0)); -#6394=LINE('',#6393,#6392); -#6395=DIRECTION('',(0.E0,1.E0,0.E0)); -#6396=VECTOR('',#6395,7.51601E-1); -#6397=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.811724784545E0)); -#6398=LINE('',#6397,#6396); -#6399=DIRECTION('',(1.E0,0.E0,0.E0)); -#6400=VECTOR('',#6399,1.551599E0); -#6401=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.811724784545E0)); -#6402=LINE('',#6401,#6400); -#6403=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6404=VECTOR('',#6403,1.551599E0); -#6405=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.811724784545E0)); -#6406=LINE('',#6405,#6404); -#6407=DIRECTION('',(0.E0,1.E0,0.E0)); -#6408=VECTOR('',#6407,7.51601E-1); -#6409=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.811724784545E0)); -#6410=LINE('',#6409,#6408); -#6411=DIRECTION('',(1.E0,0.E0,0.E0)); -#6412=VECTOR('',#6411,1.551599E0); -#6413=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.811724784545E0)); -#6414=LINE('',#6413,#6412); -#6415=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6416=VECTOR('',#6415,7.51601E-1); -#6417=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.811724784545E0)); -#6418=LINE('',#6417,#6416); -#6419=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6420=VECTOR('',#6419,1.551599E0); -#6421=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.811724784545E0)); -#6422=LINE('',#6421,#6420); -#6423=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6424=VECTOR('',#6423,7.51601E-1); -#6425=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.811724784545E0)); -#6426=LINE('',#6425,#6424); -#6427=DIRECTION('',(0.E0,1.E0,0.E0)); -#6428=VECTOR('',#6427,1.551599E0); -#6429=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.811724784545E0)); -#6430=LINE('',#6429,#6428); -#6431=DIRECTION('',(1.E0,0.E0,0.E0)); -#6432=VECTOR('',#6431,7.51601E-1); -#6433=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.811724784545E0)); -#6434=LINE('',#6433,#6432); -#6435=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6436=VECTOR('',#6435,7.51601E-1); -#6437=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.811724784545E0)); -#6438=LINE('',#6437,#6436); -#6439=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6440=VECTOR('',#6439,1.551599E0); -#6441=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.811724784545E0)); -#6442=LINE('',#6441,#6440); -#6443=DIRECTION('',(0.E0,1.E0,0.E0)); -#6444=VECTOR('',#6443,7.51601E-1); -#6445=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.811724784545E0)); -#6446=LINE('',#6445,#6444); -#6447=DIRECTION('',(1.E0,0.E0,0.E0)); -#6448=VECTOR('',#6447,1.551599E0); -#6449=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.811724784545E0)); -#6450=LINE('',#6449,#6448); -#6451=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6452=VECTOR('',#6451,1.551599E0); -#6453=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.811724784545E0)); -#6454=LINE('',#6453,#6452); -#6455=DIRECTION('',(0.E0,1.E0,0.E0)); -#6456=VECTOR('',#6455,7.51601E-1); -#6457=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.811724784545E0)); -#6458=LINE('',#6457,#6456); -#6459=DIRECTION('',(1.E0,0.E0,0.E0)); -#6460=VECTOR('',#6459,1.551599E0); -#6461=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.811724784545E0)); -#6462=LINE('',#6461,#6460); -#6463=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6464=VECTOR('',#6463,7.51601E-1); -#6465=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.811724784545E0)); -#6466=LINE('',#6465,#6464); -#6467=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6468=VECTOR('',#6467,7.51601E-1); -#6469=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.811724784545E0)); -#6470=LINE('',#6469,#6468); -#6471=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6472=VECTOR('',#6471,1.551599E0); -#6473=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.811724784545E0)); -#6474=LINE('',#6473,#6472); -#6475=DIRECTION('',(0.E0,1.E0,0.E0)); -#6476=VECTOR('',#6475,7.51601E-1); -#6477=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.811724784545E0)); -#6478=LINE('',#6477,#6476); -#6479=DIRECTION('',(1.E0,0.E0,0.E0)); -#6480=VECTOR('',#6479,1.551599E0); -#6481=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.811724784545E0)); -#6482=LINE('',#6481,#6480); -#6483=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6484=VECTOR('',#6483,1.5516E0); -#6485=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.811724784545E0)); -#6486=LINE('',#6485,#6484); -#6487=DIRECTION('',(0.E0,1.E0,0.E0)); -#6488=VECTOR('',#6487,7.51601E-1); -#6489=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.811724784545E0)); -#6490=LINE('',#6489,#6488); -#6491=DIRECTION('',(1.E0,0.E0,0.E0)); -#6492=VECTOR('',#6491,1.5516E0); -#6493=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.811724784545E0)); -#6494=LINE('',#6493,#6492); -#6495=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6496=VECTOR('',#6495,7.51601E-1); -#6497=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.811724784545E0)); -#6498=LINE('',#6497,#6496); -#6499=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6500=VECTOR('',#6499,1.5516E0); -#6501=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.811724784545E0)); -#6502=LINE('',#6501,#6500); -#6503=DIRECTION('',(0.E0,1.E0,0.E0)); -#6504=VECTOR('',#6503,7.51601E-1); -#6505=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.811724784545E0)); -#6506=LINE('',#6505,#6504); -#6507=DIRECTION('',(1.E0,0.E0,0.E0)); -#6508=VECTOR('',#6507,1.5516E0); -#6509=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.811724784545E0)); -#6510=LINE('',#6509,#6508); -#6511=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6512=VECTOR('',#6511,7.51601E-1); -#6513=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.811724784545E0)); -#6514=LINE('',#6513,#6512); -#6515=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6516=VECTOR('',#6515,7.51601E-1); -#6517=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.811724784545E0)); -#6518=LINE('',#6517,#6516); -#6519=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6520=VECTOR('',#6519,1.5516E0); -#6521=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.811724784545E0)); -#6522=LINE('',#6521,#6520); -#6523=DIRECTION('',(0.E0,1.E0,0.E0)); -#6524=VECTOR('',#6523,7.51601E-1); -#6525=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.811724784545E0)); -#6526=LINE('',#6525,#6524); -#6527=DIRECTION('',(1.E0,0.E0,0.E0)); -#6528=VECTOR('',#6527,1.5516E0); -#6529=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.811724784545E0)); -#6530=LINE('',#6529,#6528); -#6531=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6532=VECTOR('',#6531,7.51601E-1); -#6533=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.811724784545E0)); -#6534=LINE('',#6533,#6532); -#6535=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6536=VECTOR('',#6535,1.5516E0); -#6537=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.811724784545E0)); -#6538=LINE('',#6537,#6536); -#6539=DIRECTION('',(0.E0,1.E0,0.E0)); -#6540=VECTOR('',#6539,7.51601E-1); -#6541=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.811724784545E0)); -#6542=LINE('',#6541,#6540); -#6543=DIRECTION('',(1.E0,0.E0,0.E0)); -#6544=VECTOR('',#6543,1.5516E0); -#6545=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.811724784545E0)); -#6546=LINE('',#6545,#6544); -#6547=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6548=VECTOR('',#6547,1.5516E0); -#6549=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.811724784545E0)); -#6550=LINE('',#6549,#6548); -#6551=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6552=VECTOR('',#6551,7.51601E-1); -#6553=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.811724784545E0)); -#6554=LINE('',#6553,#6552); -#6555=DIRECTION('',(0.E0,1.E0,0.E0)); -#6556=VECTOR('',#6555,1.5516E0); -#6557=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.811724784545E0)); -#6558=LINE('',#6557,#6556); -#6559=DIRECTION('',(1.E0,0.E0,0.E0)); -#6560=VECTOR('',#6559,7.51601E-1); -#6561=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.811724784545E0)); -#6562=LINE('',#6561,#6560); -#6563=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6564=VECTOR('',#6563,7.51601E-1); -#6565=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.811724784545E0)); -#6566=LINE('',#6565,#6564); -#6567=DIRECTION('',(0.E0,1.E0,0.E0)); -#6568=VECTOR('',#6567,1.5516E0); -#6569=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.811724784545E0)); -#6570=LINE('',#6569,#6568); -#6571=DIRECTION('',(1.E0,0.E0,0.E0)); -#6572=VECTOR('',#6571,7.51601E-1); -#6573=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.811724784545E0)); -#6574=LINE('',#6573,#6572); -#6575=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6576=VECTOR('',#6575,1.5516E0); -#6577=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.811724784545E0)); -#6578=LINE('',#6577,#6576); -#6579=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6580=VECTOR('',#6579,1.551599E0); -#6581=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.811724784545E0)); -#6582=LINE('',#6581,#6580); -#6583=DIRECTION('',(0.E0,1.E0,0.E0)); -#6584=VECTOR('',#6583,7.51601E-1); -#6585=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.811724784545E0)); -#6586=LINE('',#6585,#6584); -#6587=DIRECTION('',(1.E0,0.E0,0.E0)); -#6588=VECTOR('',#6587,1.551599E0); -#6589=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.811724784545E0)); -#6590=LINE('',#6589,#6588); -#6591=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6592=VECTOR('',#6591,7.51601E-1); -#6593=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.811724784545E0)); -#6594=LINE('',#6593,#6592); -#6595=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6596=VECTOR('',#6595,7.51601E-1); -#6597=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.811724784545E0)); -#6598=LINE('',#6597,#6596); -#6599=DIRECTION('',(0.E0,1.E0,0.E0)); -#6600=VECTOR('',#6599,1.5516E0); -#6601=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.811724784545E0)); -#6602=LINE('',#6601,#6600); -#6603=DIRECTION('',(1.E0,0.E0,0.E0)); -#6604=VECTOR('',#6603,7.51601E-1); -#6605=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.811724784545E0)); -#6606=LINE('',#6605,#6604); -#6607=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6608=VECTOR('',#6607,1.5516E0); -#6609=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.811724784545E0)); -#6610=LINE('',#6609,#6608); -#6611=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6612=VECTOR('',#6611,7.51601E-1); -#6613=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.811724784545E0)); -#6614=LINE('',#6613,#6612); -#6615=DIRECTION('',(0.E0,1.E0,0.E0)); -#6616=VECTOR('',#6615,1.5516E0); -#6617=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.811724784545E0)); -#6618=LINE('',#6617,#6616); -#6619=DIRECTION('',(1.E0,0.E0,0.E0)); -#6620=VECTOR('',#6619,7.51601E-1); -#6621=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.811724784545E0)); -#6622=LINE('',#6621,#6620); -#6623=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6624=VECTOR('',#6623,1.5516E0); -#6625=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.811724784545E0)); -#6626=LINE('',#6625,#6624); -#6627=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6628=VECTOR('',#6627,7.51601E-1); -#6629=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.811724784545E0)); -#6630=LINE('',#6629,#6628); -#6631=DIRECTION('',(0.E0,1.E0,0.E0)); -#6632=VECTOR('',#6631,1.5516E0); -#6633=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.811724784545E0)); -#6634=LINE('',#6633,#6632); -#6635=DIRECTION('',(1.E0,0.E0,0.E0)); -#6636=VECTOR('',#6635,7.51601E-1); -#6637=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.811724784545E0)); -#6638=LINE('',#6637,#6636); -#6639=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6640=VECTOR('',#6639,1.5516E0); -#6641=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.811724784545E0)); -#6642=LINE('',#6641,#6640); -#6643=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6644=VECTOR('',#6643,1.5516E0); -#6645=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.811724784545E0)); -#6646=LINE('',#6645,#6644); -#6647=DIRECTION('',(0.E0,1.E0,0.E0)); -#6648=VECTOR('',#6647,7.51601E-1); -#6649=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.811724784545E0)); -#6650=LINE('',#6649,#6648); -#6651=DIRECTION('',(1.E0,0.E0,0.E0)); -#6652=VECTOR('',#6651,1.5516E0); -#6653=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.811724784545E0)); -#6654=LINE('',#6653,#6652); -#6655=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6656=VECTOR('',#6655,7.51601E-1); -#6657=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.811724784545E0)); -#6658=LINE('',#6657,#6656); -#6659=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6660=VECTOR('',#6659,7.51601E-1); -#6661=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.811724784545E0)); -#6662=LINE('',#6661,#6660); -#6663=DIRECTION('',(0.E0,1.E0,0.E0)); -#6664=VECTOR('',#6663,1.5516E0); -#6665=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.811724784545E0)); -#6666=LINE('',#6665,#6664); -#6667=DIRECTION('',(1.E0,0.E0,0.E0)); -#6668=VECTOR('',#6667,7.51601E-1); -#6669=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.811724784545E0)); -#6670=LINE('',#6669,#6668); -#6671=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6672=VECTOR('',#6671,1.5516E0); -#6673=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.811724784545E0)); -#6674=LINE('',#6673,#6672); -#6675=DIRECTION('',(-1.E0,0.E0,0.E0)); -#6676=VECTOR('',#6675,1.5516E0); -#6677=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.811724784545E0)); -#6678=LINE('',#6677,#6676); -#6679=DIRECTION('',(0.E0,1.E0,0.E0)); -#6680=VECTOR('',#6679,7.45203E-1); -#6681=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.811724784545E0)); -#6682=LINE('',#6681,#6680); -#6683=DIRECTION('',(1.E0,0.E0,0.E0)); -#6684=VECTOR('',#6683,1.5516E0); -#6685=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.811724784545E0)); -#6686=LINE('',#6685,#6684); -#6687=DIRECTION('',(0.E0,-1.E0,0.E0)); -#6688=VECTOR('',#6687,7.45203E-1); -#6689=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.811724784545E0)); -#6690=LINE('',#6689,#6688); -#6691=DIRECTION('',(0.E0,0.E0,1.E0)); -#6692=VECTOR('',#6691,5.E-1); -#6693=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.311724784545E0)); -#6694=LINE('',#6693,#6692); -#6695=DIRECTION('',(0.E0,0.E0,1.E0)); -#6696=VECTOR('',#6695,5.E-1); -#6697=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.311724784545E0)); -#6698=LINE('',#6697,#6696); -#6699=DIRECTION('',(0.E0,0.E0,1.E0)); -#6700=VECTOR('',#6699,5.E-1); -#6701=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.311724784545E0)); -#6702=LINE('',#6701,#6700); -#6703=DIRECTION('',(0.E0,0.E0,1.E0)); -#6704=VECTOR('',#6703,5.E-1); -#6705=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.311724784545E0)); -#6706=LINE('',#6705,#6704); -#6707=DIRECTION('',(0.E0,0.E0,1.E0)); -#6708=VECTOR('',#6707,5.E-1); -#6709=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.311724784545E0)); -#6710=LINE('',#6709,#6708); -#6711=DIRECTION('',(0.E0,0.E0,1.E0)); -#6712=VECTOR('',#6711,5.E-1); -#6713=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.311724784545E0)); -#6714=LINE('',#6713,#6712); -#6715=DIRECTION('',(0.E0,0.E0,1.E0)); -#6716=VECTOR('',#6715,5.E-1); -#6717=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.311724784545E0)); -#6718=LINE('',#6717,#6716); -#6719=DIRECTION('',(0.E0,0.E0,1.E0)); -#6720=VECTOR('',#6719,5.E-1); -#6721=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.311724784545E0)); -#6722=LINE('',#6721,#6720); -#6723=DIRECTION('',(0.E0,0.E0,1.E0)); -#6724=VECTOR('',#6723,5.E-1); -#6725=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.311724784545E0)); -#6726=LINE('',#6725,#6724); -#6727=DIRECTION('',(0.E0,0.E0,1.E0)); -#6728=VECTOR('',#6727,5.E-1); -#6729=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.311724784545E0)); -#6730=LINE('',#6729,#6728); -#6731=DIRECTION('',(0.E0,0.E0,1.E0)); -#6732=VECTOR('',#6731,5.E-1); -#6733=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.311724784545E0)); -#6734=LINE('',#6733,#6732); -#6735=DIRECTION('',(0.E0,0.E0,1.E0)); -#6736=VECTOR('',#6735,5.E-1); -#6737=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.311724784545E0)); -#6738=LINE('',#6737,#6736); -#6739=DIRECTION('',(0.E0,0.E0,1.E0)); -#6740=VECTOR('',#6739,5.E-1); -#6741=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.311724784545E0)); -#6742=LINE('',#6741,#6740); -#6743=DIRECTION('',(0.E0,0.E0,1.E0)); -#6744=VECTOR('',#6743,5.E-1); -#6745=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.311724784545E0)); -#6746=LINE('',#6745,#6744); -#6747=DIRECTION('',(0.E0,0.E0,1.E0)); -#6748=VECTOR('',#6747,5.E-1); -#6749=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.311724784545E0)); -#6750=LINE('',#6749,#6748); -#6751=DIRECTION('',(0.E0,0.E0,1.E0)); -#6752=VECTOR('',#6751,5.E-1); -#6753=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.311724784545E0)); -#6754=LINE('',#6753,#6752); -#6755=DIRECTION('',(0.E0,0.E0,1.E0)); -#6756=VECTOR('',#6755,5.E-1); -#6757=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.311724784545E0)); -#6758=LINE('',#6757,#6756); -#6759=DIRECTION('',(0.E0,0.E0,1.E0)); -#6760=VECTOR('',#6759,5.E-1); -#6761=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.311724784545E0)); -#6762=LINE('',#6761,#6760); -#6763=DIRECTION('',(0.E0,0.E0,1.E0)); -#6764=VECTOR('',#6763,5.E-1); -#6765=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.311724784545E0)); -#6766=LINE('',#6765,#6764); -#6767=DIRECTION('',(0.E0,0.E0,1.E0)); -#6768=VECTOR('',#6767,5.E-1); -#6769=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.311724784545E0)); -#6770=LINE('',#6769,#6768); -#6771=DIRECTION('',(0.E0,0.E0,1.E0)); -#6772=VECTOR('',#6771,5.E-1); -#6773=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.311724784545E0)); -#6774=LINE('',#6773,#6772); -#6775=DIRECTION('',(0.E0,0.E0,1.E0)); -#6776=VECTOR('',#6775,5.E-1); -#6777=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.311724784545E0)); -#6778=LINE('',#6777,#6776); -#6779=DIRECTION('',(0.E0,0.E0,1.E0)); -#6780=VECTOR('',#6779,5.E-1); -#6781=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.311724784545E0)); -#6782=LINE('',#6781,#6780); -#6783=DIRECTION('',(0.E0,0.E0,1.E0)); -#6784=VECTOR('',#6783,5.E-1); -#6785=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.311724784545E0)); -#6786=LINE('',#6785,#6784); -#6787=DIRECTION('',(0.E0,0.E0,1.E0)); -#6788=VECTOR('',#6787,5.E-1); -#6789=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.311724784545E0)); -#6790=LINE('',#6789,#6788); -#6791=DIRECTION('',(0.E0,0.E0,1.E0)); -#6792=VECTOR('',#6791,5.E-1); -#6793=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.311724784545E0)); -#6794=LINE('',#6793,#6792); -#6795=DIRECTION('',(0.E0,0.E0,1.E0)); -#6796=VECTOR('',#6795,5.E-1); -#6797=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.311724784545E0)); -#6798=LINE('',#6797,#6796); -#6799=DIRECTION('',(0.E0,0.E0,1.E0)); -#6800=VECTOR('',#6799,5.E-1); -#6801=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.311724784545E0)); -#6802=LINE('',#6801,#6800); -#6803=DIRECTION('',(0.E0,0.E0,1.E0)); -#6804=VECTOR('',#6803,5.E-1); -#6805=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.311724784545E0)); -#6806=LINE('',#6805,#6804); -#6807=DIRECTION('',(0.E0,0.E0,1.E0)); -#6808=VECTOR('',#6807,5.E-1); -#6809=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.311724784545E0)); -#6810=LINE('',#6809,#6808); -#6811=DIRECTION('',(0.E0,0.E0,1.E0)); -#6812=VECTOR('',#6811,5.E-1); -#6813=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.311724784545E0)); -#6814=LINE('',#6813,#6812); -#6815=DIRECTION('',(0.E0,0.E0,1.E0)); -#6816=VECTOR('',#6815,5.E-1); -#6817=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.311724784545E0)); -#6818=LINE('',#6817,#6816); -#6819=DIRECTION('',(0.E0,0.E0,1.E0)); -#6820=VECTOR('',#6819,5.E-1); -#6821=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.311724784545E0)); -#6822=LINE('',#6821,#6820); -#6823=DIRECTION('',(0.E0,0.E0,1.E0)); -#6824=VECTOR('',#6823,5.E-1); -#6825=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.311724784545E0)); -#6826=LINE('',#6825,#6824); -#6827=DIRECTION('',(0.E0,0.E0,1.E0)); -#6828=VECTOR('',#6827,5.E-1); -#6829=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.311724784545E0)); -#6830=LINE('',#6829,#6828); -#6831=DIRECTION('',(0.E0,0.E0,1.E0)); -#6832=VECTOR('',#6831,5.E-1); -#6833=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.311724784545E0)); -#6834=LINE('',#6833,#6832); -#6835=DIRECTION('',(0.E0,0.E0,1.E0)); -#6836=VECTOR('',#6835,5.E-1); -#6837=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.311724784545E0)); -#6838=LINE('',#6837,#6836); -#6839=DIRECTION('',(0.E0,0.E0,1.E0)); -#6840=VECTOR('',#6839,5.E-1); -#6841=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.311724784545E0)); -#6842=LINE('',#6841,#6840); -#6843=DIRECTION('',(0.E0,0.E0,1.E0)); -#6844=VECTOR('',#6843,5.E-1); -#6845=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.311724784545E0)); -#6846=LINE('',#6845,#6844); -#6847=DIRECTION('',(0.E0,0.E0,1.E0)); -#6848=VECTOR('',#6847,5.E-1); -#6849=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.311724784545E0)); -#6850=LINE('',#6849,#6848); -#6851=DIRECTION('',(0.E0,0.E0,1.E0)); -#6852=VECTOR('',#6851,5.E-1); -#6853=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.311724784545E0)); -#6854=LINE('',#6853,#6852); -#6855=DIRECTION('',(0.E0,0.E0,1.E0)); -#6856=VECTOR('',#6855,5.E-1); -#6857=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.311724784545E0)); -#6858=LINE('',#6857,#6856); -#6859=DIRECTION('',(0.E0,0.E0,1.E0)); -#6860=VECTOR('',#6859,5.E-1); -#6861=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.311724784545E0)); -#6862=LINE('',#6861,#6860); -#6863=DIRECTION('',(0.E0,0.E0,1.E0)); -#6864=VECTOR('',#6863,5.E-1); -#6865=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.311724784545E0)); -#6866=LINE('',#6865,#6864); -#6867=DIRECTION('',(0.E0,0.E0,1.E0)); -#6868=VECTOR('',#6867,5.E-1); -#6869=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.311724784545E0)); -#6870=LINE('',#6869,#6868); -#6871=DIRECTION('',(0.E0,0.E0,1.E0)); -#6872=VECTOR('',#6871,5.E-1); -#6873=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.311724784545E0)); -#6874=LINE('',#6873,#6872); -#6875=DIRECTION('',(0.E0,0.E0,1.E0)); -#6876=VECTOR('',#6875,5.E-1); -#6877=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.311724784545E0)); -#6878=LINE('',#6877,#6876); -#6879=DIRECTION('',(0.E0,0.E0,1.E0)); -#6880=VECTOR('',#6879,5.E-1); -#6881=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.311724784545E0)); -#6882=LINE('',#6881,#6880); -#6883=DIRECTION('',(0.E0,0.E0,1.E0)); -#6884=VECTOR('',#6883,5.E-1); -#6885=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.311724784545E0)); -#6886=LINE('',#6885,#6884); -#6887=DIRECTION('',(0.E0,0.E0,1.E0)); -#6888=VECTOR('',#6887,5.E-1); -#6889=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.311724784545E0)); -#6890=LINE('',#6889,#6888); -#6891=DIRECTION('',(0.E0,0.E0,1.E0)); -#6892=VECTOR('',#6891,5.E-1); -#6893=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.311724784545E0)); -#6894=LINE('',#6893,#6892); -#6895=DIRECTION('',(0.E0,0.E0,1.E0)); -#6896=VECTOR('',#6895,5.E-1); -#6897=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.311724784545E0)); -#6898=LINE('',#6897,#6896); -#6899=DIRECTION('',(0.E0,0.E0,1.E0)); -#6900=VECTOR('',#6899,5.E-1); -#6901=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.311724784545E0)); -#6902=LINE('',#6901,#6900); -#6903=DIRECTION('',(0.E0,0.E0,1.E0)); -#6904=VECTOR('',#6903,5.E-1); -#6905=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.311724784545E0)); -#6906=LINE('',#6905,#6904); -#6907=DIRECTION('',(0.E0,0.E0,1.E0)); -#6908=VECTOR('',#6907,5.E-1); -#6909=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.311724784545E0)); -#6910=LINE('',#6909,#6908); -#6911=DIRECTION('',(0.E0,0.E0,1.E0)); -#6912=VECTOR('',#6911,5.E-1); -#6913=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.311724784545E0)); -#6914=LINE('',#6913,#6912); -#6915=DIRECTION('',(0.E0,0.E0,1.E0)); -#6916=VECTOR('',#6915,5.E-1); -#6917=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.311724784545E0)); -#6918=LINE('',#6917,#6916); -#6919=DIRECTION('',(0.E0,0.E0,1.E0)); -#6920=VECTOR('',#6919,5.E-1); -#6921=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.311724784545E0)); -#6922=LINE('',#6921,#6920); -#6923=DIRECTION('',(0.E0,0.E0,1.E0)); -#6924=VECTOR('',#6923,5.E-1); -#6925=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.311724784545E0)); -#6926=LINE('',#6925,#6924); -#6927=DIRECTION('',(0.E0,0.E0,1.E0)); -#6928=VECTOR('',#6927,5.E-1); -#6929=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.311724784545E0)); -#6930=LINE('',#6929,#6928); -#6931=DIRECTION('',(0.E0,0.E0,1.E0)); -#6932=VECTOR('',#6931,5.E-1); -#6933=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.311724784545E0)); -#6934=LINE('',#6933,#6932); -#6935=DIRECTION('',(0.E0,0.E0,1.E0)); -#6936=VECTOR('',#6935,5.E-1); -#6937=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.311724784545E0)); -#6938=LINE('',#6937,#6936); -#6939=DIRECTION('',(0.E0,0.E0,1.E0)); -#6940=VECTOR('',#6939,5.E-1); -#6941=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.311724784545E0)); -#6942=LINE('',#6941,#6940); -#6943=DIRECTION('',(0.E0,0.E0,1.E0)); -#6944=VECTOR('',#6943,5.E-1); -#6945=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.311724784545E0)); -#6946=LINE('',#6945,#6944); -#6947=DIRECTION('',(0.E0,0.E0,1.E0)); -#6948=VECTOR('',#6947,5.E-1); -#6949=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.311724784545E0)); -#6950=LINE('',#6949,#6948); -#6951=DIRECTION('',(0.E0,0.E0,1.E0)); -#6952=VECTOR('',#6951,5.E-1); -#6953=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.311724784545E0)); -#6954=LINE('',#6953,#6952); -#6955=DIRECTION('',(0.E0,0.E0,1.E0)); -#6956=VECTOR('',#6955,5.E-1); -#6957=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.311724784545E0)); -#6958=LINE('',#6957,#6956); -#6959=DIRECTION('',(0.E0,0.E0,1.E0)); -#6960=VECTOR('',#6959,5.E-1); -#6961=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.311724784545E0)); -#6962=LINE('',#6961,#6960); -#6963=DIRECTION('',(0.E0,0.E0,1.E0)); -#6964=VECTOR('',#6963,5.E-1); -#6965=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.311724784545E0)); -#6966=LINE('',#6965,#6964); -#6967=DIRECTION('',(0.E0,0.E0,1.E0)); -#6968=VECTOR('',#6967,5.E-1); -#6969=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.311724784545E0)); -#6970=LINE('',#6969,#6968); -#6971=DIRECTION('',(0.E0,0.E0,1.E0)); -#6972=VECTOR('',#6971,5.E-1); -#6973=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.311724784545E0)); -#6974=LINE('',#6973,#6972); -#6975=DIRECTION('',(0.E0,0.E0,1.E0)); -#6976=VECTOR('',#6975,5.E-1); -#6977=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.311724784545E0)); -#6978=LINE('',#6977,#6976); -#6979=DIRECTION('',(0.E0,0.E0,1.E0)); -#6980=VECTOR('',#6979,8.E-1); -#6981=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,4.311724784545E0)); -#6982=LINE('',#6981,#6980); -#6983=DIRECTION('',(0.E0,0.E0,1.E0)); -#6984=VECTOR('',#6983,8.E-1); -#6985=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,4.311724784545E0)); -#6986=LINE('',#6985,#6984); -#6987=DIRECTION('',(0.E0,0.E0,1.E0)); -#6988=VECTOR('',#6987,8.E-1); -#6989=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,4.311724784545E0)); -#6990=LINE('',#6989,#6988); -#6991=DIRECTION('',(0.E0,0.E0,1.E0)); -#6992=VECTOR('',#6991,8.E-1); -#6993=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,4.311724784545E0)); -#6994=LINE('',#6993,#6992); -#6995=DIRECTION('',(0.E0,1.E0,0.E0)); -#6996=VECTOR('',#6995,1.183198E0); -#6997=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,5.111724784545E0)); -#6998=LINE('',#6997,#6996); -#6999=DIRECTION('',(1.E0,0.E0,0.E0)); -#7000=VECTOR('',#6999,2.673202E0); -#7001=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,5.111724784545E0)); -#7002=LINE('',#7001,#7000); -#7003=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7004=VECTOR('',#7003,1.183198E0); -#7005=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,5.111724784545E0)); -#7006=LINE('',#7005,#7004); -#7007=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7008=VECTOR('',#7007,2.673202E0); -#7009=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,5.111724784545E0)); -#7010=LINE('',#7009,#7008); -#7011=DIRECTION('',(1.E0,0.E0,0.E0)); -#7012=VECTOR('',#7011,1.183198E0); -#7013=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,5.111724784545E0)); -#7014=LINE('',#7013,#7012); -#7015=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7016=VECTOR('',#7015,2.673203E0); -#7017=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,5.111724784545E0)); -#7018=LINE('',#7017,#7016); -#7019=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7020=VECTOR('',#7019,1.183198E0); -#7021=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,5.111724784545E0)); -#7022=LINE('',#7021,#7020); -#7023=DIRECTION('',(0.E0,1.E0,0.E0)); -#7024=VECTOR('',#7023,2.673203E0); -#7025=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,5.111724784545E0)); -#7026=LINE('',#7025,#7024); -#7027=DIRECTION('',(0.E0,1.E0,0.E0)); -#7028=VECTOR('',#7027,2.673203E0); -#7029=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,5.111724784545E0)); -#7030=LINE('',#7029,#7028); -#7031=DIRECTION('',(1.E0,0.E0,0.E0)); -#7032=VECTOR('',#7031,1.183198E0); -#7033=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,5.111724784545E0)); -#7034=LINE('',#7033,#7032); -#7035=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7036=VECTOR('',#7035,2.673203E0); -#7037=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,5.111724784545E0)); -#7038=LINE('',#7037,#7036); -#7039=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7040=VECTOR('',#7039,1.183198E0); -#7041=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,5.111724784545E0)); -#7042=LINE('',#7041,#7040); -#7043=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7044=VECTOR('',#7043,1.183198E0); -#7045=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,5.111724784545E0)); -#7046=LINE('',#7045,#7044); -#7047=DIRECTION('',(0.E0,1.E0,0.E0)); -#7048=VECTOR('',#7047,2.673203E0); -#7049=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,5.111724784545E0)); -#7050=LINE('',#7049,#7048); -#7051=DIRECTION('',(1.E0,0.E0,0.E0)); -#7052=VECTOR('',#7051,1.183198E0); -#7053=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,5.111724784545E0)); -#7054=LINE('',#7053,#7052); -#7055=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7056=VECTOR('',#7055,2.673203E0); -#7057=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,5.111724784545E0)); -#7058=LINE('',#7057,#7056); -#7059=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7060=VECTOR('',#7059,2.673203E0); -#7061=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,5.111724784545E0)); -#7062=LINE('',#7061,#7060); -#7063=DIRECTION('',(0.E0,1.E0,0.E0)); -#7064=VECTOR('',#7063,1.183198E0); -#7065=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,5.111724784545E0)); -#7066=LINE('',#7065,#7064); -#7067=DIRECTION('',(1.E0,0.E0,0.E0)); -#7068=VECTOR('',#7067,2.673203E0); -#7069=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,5.111724784545E0)); -#7070=LINE('',#7069,#7068); -#7071=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7072=VECTOR('',#7071,1.183198E0); -#7073=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,5.111724784545E0)); -#7074=LINE('',#7073,#7072); -#7075=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7076=VECTOR('',#7075,2.673203E0); -#7077=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,5.111724784545E0)); -#7078=LINE('',#7077,#7076); -#7079=DIRECTION('',(0.E0,1.E0,0.E0)); -#7080=VECTOR('',#7079,1.183198E0); -#7081=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,5.111724784545E0)); -#7082=LINE('',#7081,#7080); -#7083=DIRECTION('',(1.E0,0.E0,0.E0)); -#7084=VECTOR('',#7083,2.673203E0); -#7085=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,5.111724784545E0)); -#7086=LINE('',#7085,#7084); -#7087=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7088=VECTOR('',#7087,1.183198E0); -#7089=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,5.111724784545E0)); -#7090=LINE('',#7089,#7088); -#7091=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7092=VECTOR('',#7091,1.183198E0); -#7093=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,5.111724784545E0)); -#7094=LINE('',#7093,#7092); -#7095=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7096=VECTOR('',#7095,2.673203E0); -#7097=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,5.111724784545E0)); -#7098=LINE('',#7097,#7096); -#7099=DIRECTION('',(0.E0,1.E0,0.E0)); -#7100=VECTOR('',#7099,1.183198E0); -#7101=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,5.111724784545E0)); -#7102=LINE('',#7101,#7100); -#7103=DIRECTION('',(1.E0,0.E0,0.E0)); -#7104=VECTOR('',#7103,2.673203E0); -#7105=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,5.111724784545E0)); -#7106=LINE('',#7105,#7104); -#7107=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7108=VECTOR('',#7107,1.183198E0); -#7109=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,5.111724784545E0)); -#7110=LINE('',#7109,#7108); -#7111=DIRECTION('',(0.E0,1.E0,0.E0)); -#7112=VECTOR('',#7111,2.673203E0); -#7113=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,5.111724784545E0)); -#7114=LINE('',#7113,#7112); -#7115=DIRECTION('',(1.E0,0.E0,0.E0)); -#7116=VECTOR('',#7115,1.183198E0); -#7117=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,5.111724784545E0)); -#7118=LINE('',#7117,#7116); -#7119=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7120=VECTOR('',#7119,2.673203E0); -#7121=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,5.111724784545E0)); -#7122=LINE('',#7121,#7120); -#7123=DIRECTION('',(0.E0,0.E0,1.E0)); -#7124=VECTOR('',#7123,8.E-1); -#7125=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,4.311724784545E0)); -#7126=LINE('',#7125,#7124); -#7127=DIRECTION('',(0.E0,0.E0,1.E0)); -#7128=VECTOR('',#7127,8.E-1); -#7129=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,4.311724784545E0)); -#7130=LINE('',#7129,#7128); -#7131=DIRECTION('',(0.E0,0.E0,1.E0)); -#7132=VECTOR('',#7131,8.E-1); -#7133=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,4.311724784545E0)); -#7134=LINE('',#7133,#7132); -#7135=DIRECTION('',(0.E0,0.E0,1.E0)); -#7136=VECTOR('',#7135,8.E-1); -#7137=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,4.311724784545E0)); -#7138=LINE('',#7137,#7136); -#7139=DIRECTION('',(0.E0,0.E0,1.E0)); -#7140=VECTOR('',#7139,8.E-1); -#7141=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,4.311724784545E0)); -#7142=LINE('',#7141,#7140); -#7143=DIRECTION('',(0.E0,0.E0,1.E0)); -#7144=VECTOR('',#7143,8.E-1); -#7145=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,4.311724784545E0)); -#7146=LINE('',#7145,#7144); -#7147=DIRECTION('',(0.E0,0.E0,1.E0)); -#7148=VECTOR('',#7147,8.E-1); -#7149=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,4.311724784545E0)); -#7150=LINE('',#7149,#7148); -#7151=DIRECTION('',(0.E0,0.E0,1.E0)); -#7152=VECTOR('',#7151,8.E-1); -#7153=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,4.311724784545E0)); -#7154=LINE('',#7153,#7152); -#7155=DIRECTION('',(0.E0,0.E0,1.E0)); -#7156=VECTOR('',#7155,8.E-1); -#7157=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,4.311724784545E0)); -#7158=LINE('',#7157,#7156); -#7159=DIRECTION('',(0.E0,0.E0,1.E0)); -#7160=VECTOR('',#7159,8.E-1); -#7161=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,4.311724784545E0)); -#7162=LINE('',#7161,#7160); -#7163=DIRECTION('',(0.E0,0.E0,1.E0)); -#7164=VECTOR('',#7163,8.E-1); -#7165=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,4.311724784545E0)); -#7166=LINE('',#7165,#7164); -#7167=DIRECTION('',(0.E0,0.E0,1.E0)); -#7168=VECTOR('',#7167,8.E-1); -#7169=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,4.311724784545E0)); -#7170=LINE('',#7169,#7168); -#7171=DIRECTION('',(0.E0,0.E0,1.E0)); -#7172=VECTOR('',#7171,8.E-1); -#7173=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,4.311724784545E0)); -#7174=LINE('',#7173,#7172); -#7175=DIRECTION('',(0.E0,0.E0,1.E0)); -#7176=VECTOR('',#7175,8.E-1); -#7177=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,4.311724784545E0)); -#7178=LINE('',#7177,#7176); -#7179=DIRECTION('',(0.E0,0.E0,1.E0)); -#7180=VECTOR('',#7179,8.E-1); -#7181=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,4.311724784545E0)); -#7182=LINE('',#7181,#7180); -#7183=DIRECTION('',(0.E0,0.E0,1.E0)); -#7184=VECTOR('',#7183,8.E-1); -#7185=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,4.311724784545E0)); -#7186=LINE('',#7185,#7184); -#7187=DIRECTION('',(0.E0,0.E0,1.E0)); -#7188=VECTOR('',#7187,8.E-1); -#7189=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,4.311724784545E0)); -#7190=LINE('',#7189,#7188); -#7191=DIRECTION('',(0.E0,0.E0,1.E0)); -#7192=VECTOR('',#7191,8.E-1); -#7193=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,4.311724784545E0)); -#7194=LINE('',#7193,#7192); -#7195=DIRECTION('',(0.E0,0.E0,1.E0)); -#7196=VECTOR('',#7195,8.E-1); -#7197=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,4.311724784545E0)); -#7198=LINE('',#7197,#7196); -#7199=DIRECTION('',(0.E0,0.E0,1.E0)); -#7200=VECTOR('',#7199,8.E-1); -#7201=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,4.311724784545E0)); -#7202=LINE('',#7201,#7200); -#7203=DIRECTION('',(0.E0,0.E0,1.E0)); -#7204=VECTOR('',#7203,8.E-1); -#7205=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,4.311724784545E0)); -#7206=LINE('',#7205,#7204); -#7207=DIRECTION('',(0.E0,0.E0,1.E0)); -#7208=VECTOR('',#7207,8.E-1); -#7209=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,4.311724784545E0)); -#7210=LINE('',#7209,#7208); -#7211=DIRECTION('',(0.E0,0.E0,1.E0)); -#7212=VECTOR('',#7211,8.E-1); -#7213=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,4.311724784545E0)); -#7214=LINE('',#7213,#7212); -#7215=DIRECTION('',(0.E0,0.E0,1.E0)); -#7216=VECTOR('',#7215,8.E-1); -#7217=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,4.311724784545E0)); -#7218=LINE('',#7217,#7216); -#7219=DIRECTION('',(0.E0,0.E0,1.E0)); -#7220=VECTOR('',#7219,8.E-1); -#7221=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,4.311724784545E0)); -#7222=LINE('',#7221,#7220); -#7223=DIRECTION('',(0.E0,0.E0,1.E0)); -#7224=VECTOR('',#7223,8.E-1); -#7225=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,4.311724784545E0)); -#7226=LINE('',#7225,#7224); -#7227=DIRECTION('',(0.E0,0.E0,1.E0)); -#7228=VECTOR('',#7227,8.E-1); -#7229=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,4.311724784545E0)); -#7230=LINE('',#7229,#7228); -#7231=DIRECTION('',(0.E0,0.E0,1.E0)); -#7232=VECTOR('',#7231,8.E-1); -#7233=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,4.311724784545E0)); -#7234=LINE('',#7233,#7232); -#7235=DIRECTION('',(0.E0,0.E0,1.E0)); -#7236=VECTOR('',#7235,1.2E0); -#7237=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,4.311724784545E0)); -#7238=LINE('',#7237,#7236); -#7239=DIRECTION('',(0.E0,0.E0,1.E0)); -#7240=VECTOR('',#7239,1.2E0); -#7241=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,4.311724784545E0)); -#7242=LINE('',#7241,#7240); -#7243=DIRECTION('',(0.E0,0.E0,1.E0)); -#7244=VECTOR('',#7243,1.2E0); -#7245=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,4.311724784545E0)); -#7246=LINE('',#7245,#7244); -#7247=DIRECTION('',(0.E0,0.E0,1.E0)); -#7248=VECTOR('',#7247,1.2E0); -#7249=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,4.311724784545E0)); -#7250=LINE('',#7249,#7248); -#7251=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7252=VECTOR('',#7251,1.6732E0); -#7253=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,5.511724784545E0)); -#7254=LINE('',#7253,#7252); -#7255=DIRECTION('',(0.E0,1.E0,0.E0)); -#7256=VECTOR('',#7255,3.143199E0); -#7257=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,5.511724784545E0)); -#7258=LINE('',#7257,#7256); -#7259=DIRECTION('',(1.E0,0.E0,0.E0)); -#7260=VECTOR('',#7259,1.6732E0); -#7261=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,5.511724784545E0)); -#7262=LINE('',#7261,#7260); -#7263=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7264=VECTOR('',#7263,3.143199E0); -#7265=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,5.511724784545E0)); -#7266=LINE('',#7265,#7264); -#7267=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7268=VECTOR('',#7267,1.6732E0); -#7269=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,5.511724784545E0)); -#7270=LINE('',#7269,#7268); -#7271=DIRECTION('',(0.E0,1.E0,0.E0)); -#7272=VECTOR('',#7271,3.143199E0); -#7273=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,5.511724784545E0)); -#7274=LINE('',#7273,#7272); -#7275=DIRECTION('',(1.E0,0.E0,0.E0)); -#7276=VECTOR('',#7275,1.6732E0); -#7277=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,5.511724784545E0)); -#7278=LINE('',#7277,#7276); -#7279=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7280=VECTOR('',#7279,3.143199E0); -#7281=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,5.511724784545E0)); -#7282=LINE('',#7281,#7280); -#7283=DIRECTION('',(0.E0,1.E0,0.E0)); -#7284=VECTOR('',#7283,1.6732E0); -#7285=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,5.511724784545E0)); -#7286=LINE('',#7285,#7284); -#7287=DIRECTION('',(1.E0,0.E0,0.E0)); -#7288=VECTOR('',#7287,3.1432E0); -#7289=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,5.511724784545E0)); -#7290=LINE('',#7289,#7288); -#7291=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7292=VECTOR('',#7291,1.6732E0); -#7293=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,5.511724784545E0)); -#7294=LINE('',#7293,#7292); -#7295=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7296=VECTOR('',#7295,3.1432E0); -#7297=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,5.511724784545E0)); -#7298=LINE('',#7297,#7296); -#7299=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7300=VECTOR('',#7299,1.673199E0); -#7301=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,5.511724784545E0)); -#7302=LINE('',#7301,#7300); -#7303=DIRECTION('',(0.E0,1.E0,0.E0)); -#7304=VECTOR('',#7303,3.143199E0); -#7305=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,5.511724784545E0)); -#7306=LINE('',#7305,#7304); -#7307=DIRECTION('',(1.E0,0.E0,0.E0)); -#7308=VECTOR('',#7307,1.673199E0); -#7309=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,5.511724784545E0)); -#7310=LINE('',#7309,#7308); -#7311=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7312=VECTOR('',#7311,3.143199E0); -#7313=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,5.511724784545E0)); -#7314=LINE('',#7313,#7312); -#7315=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7316=VECTOR('',#7315,3.143199E0); -#7317=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,5.511724784545E0)); -#7318=LINE('',#7317,#7316); -#7319=DIRECTION('',(0.E0,1.E0,0.E0)); -#7320=VECTOR('',#7319,1.6732E0); -#7321=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,5.511724784545E0)); -#7322=LINE('',#7321,#7320); -#7323=DIRECTION('',(1.E0,0.E0,0.E0)); -#7324=VECTOR('',#7323,3.143199E0); -#7325=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,5.511724784545E0)); -#7326=LINE('',#7325,#7324); -#7327=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7328=VECTOR('',#7327,1.6732E0); -#7329=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,5.511724784545E0)); -#7330=LINE('',#7329,#7328); -#7331=DIRECTION('',(0.E0,0.E0,1.E0)); -#7332=VECTOR('',#7331,1.2E0); -#7333=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,4.311724784545E0)); -#7334=LINE('',#7333,#7332); -#7335=DIRECTION('',(0.E0,0.E0,1.E0)); -#7336=VECTOR('',#7335,1.2E0); -#7337=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,4.311724784545E0)); -#7338=LINE('',#7337,#7336); -#7339=DIRECTION('',(0.E0,0.E0,1.E0)); -#7340=VECTOR('',#7339,1.2E0); -#7341=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,4.311724784545E0)); -#7342=LINE('',#7341,#7340); -#7343=DIRECTION('',(0.E0,0.E0,1.E0)); -#7344=VECTOR('',#7343,1.2E0); -#7345=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,4.311724784545E0)); -#7346=LINE('',#7345,#7344); -#7347=DIRECTION('',(0.E0,0.E0,1.E0)); -#7348=VECTOR('',#7347,1.2E0); -#7349=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,4.311724784545E0)); -#7350=LINE('',#7349,#7348); -#7351=DIRECTION('',(0.E0,0.E0,1.E0)); -#7352=VECTOR('',#7351,1.2E0); -#7353=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,4.311724784545E0)); -#7354=LINE('',#7353,#7352); -#7355=DIRECTION('',(0.E0,0.E0,1.E0)); -#7356=VECTOR('',#7355,1.2E0); -#7357=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,4.311724784545E0)); -#7358=LINE('',#7357,#7356); -#7359=DIRECTION('',(0.E0,0.E0,1.E0)); -#7360=VECTOR('',#7359,1.2E0); -#7361=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,4.311724784545E0)); -#7362=LINE('',#7361,#7360); -#7363=DIRECTION('',(0.E0,0.E0,1.E0)); -#7364=VECTOR('',#7363,1.2E0); -#7365=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,4.311724784545E0)); -#7366=LINE('',#7365,#7364); -#7367=DIRECTION('',(0.E0,0.E0,1.E0)); -#7368=VECTOR('',#7367,1.2E0); -#7369=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,4.311724784545E0)); -#7370=LINE('',#7369,#7368); -#7371=DIRECTION('',(0.E0,0.E0,1.E0)); -#7372=VECTOR('',#7371,1.2E0); -#7373=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,4.311724784545E0)); -#7374=LINE('',#7373,#7372); -#7375=DIRECTION('',(0.E0,0.E0,1.E0)); -#7376=VECTOR('',#7375,1.2E0); -#7377=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,4.311724784545E0)); -#7378=LINE('',#7377,#7376); -#7379=DIRECTION('',(0.E0,0.E0,1.E0)); -#7380=VECTOR('',#7379,1.2E0); -#7381=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,4.311724784545E0)); -#7382=LINE('',#7381,#7380); -#7383=DIRECTION('',(0.E0,0.E0,1.E0)); -#7384=VECTOR('',#7383,1.2E0); -#7385=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,4.311724784545E0)); -#7386=LINE('',#7385,#7384); -#7387=DIRECTION('',(0.E0,0.E0,1.E0)); -#7388=VECTOR('',#7387,1.2E0); -#7389=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,4.311724784545E0)); -#7390=LINE('',#7389,#7388); -#7391=DIRECTION('',(0.E0,0.E0,1.E0)); -#7392=VECTOR('',#7391,1.2E0); -#7393=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,4.311724784545E0)); -#7394=LINE('',#7393,#7392); -#7395=DIRECTION('',(0.E0,0.E0,1.E0)); -#7396=VECTOR('',#7395,1.5E0); -#7397=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,4.311724784545E0)); -#7398=LINE('',#7397,#7396); -#7399=DIRECTION('',(0.E0,0.E0,1.E0)); -#7400=VECTOR('',#7399,1.5E0); -#7401=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,4.311724784545E0)); -#7402=LINE('',#7401,#7400); -#7403=DIRECTION('',(0.E0,0.E0,1.E0)); -#7404=VECTOR('',#7403,1.5E0); -#7405=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,4.311724784545E0)); -#7406=LINE('',#7405,#7404); -#7407=DIRECTION('',(0.E0,0.E0,1.E0)); -#7408=VECTOR('',#7407,1.5E0); -#7409=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,4.311724784545E0)); -#7410=LINE('',#7409,#7408); -#7411=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7412=VECTOR('',#7411,4.313199E0); -#7413=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,5.811724784545E0)); -#7414=LINE('',#7413,#7412); -#7415=DIRECTION('',(0.E0,1.E0,0.E0)); -#7416=VECTOR('',#7415,2.003197E0); -#7417=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,5.811724784545E0)); -#7418=LINE('',#7417,#7416); -#7419=DIRECTION('',(1.E0,0.E0,0.E0)); -#7420=VECTOR('',#7419,4.313199E0); -#7421=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,5.811724784545E0)); -#7422=LINE('',#7421,#7420); -#7423=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7424=VECTOR('',#7423,2.003197E0); -#7425=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,5.811724784545E0)); -#7426=LINE('',#7425,#7424); -#7427=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7428=VECTOR('',#7427,4.3132E0); -#7429=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,5.811724784545E0)); -#7430=LINE('',#7429,#7428); -#7431=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7432=VECTOR('',#7431,2.003196E0); -#7433=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,5.811724784545E0)); -#7434=LINE('',#7433,#7432); -#7435=DIRECTION('',(0.E0,1.E0,0.E0)); -#7436=VECTOR('',#7435,4.3132E0); -#7437=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,5.811724784545E0)); -#7438=LINE('',#7437,#7436); -#7439=DIRECTION('',(1.E0,0.E0,0.E0)); -#7440=VECTOR('',#7439,2.003196E0); -#7441=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,5.811724784545E0)); -#7442=LINE('',#7441,#7440); -#7443=DIRECTION('',(0.E0,0.E0,1.E0)); -#7444=VECTOR('',#7443,1.5E0); -#7445=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,4.311724784545E0)); -#7446=LINE('',#7445,#7444); -#7447=DIRECTION('',(0.E0,0.E0,1.E0)); -#7448=VECTOR('',#7447,1.5E0); -#7449=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,4.311724784545E0)); -#7450=LINE('',#7449,#7448); -#7451=DIRECTION('',(0.E0,0.E0,1.E0)); -#7452=VECTOR('',#7451,1.5E0); -#7453=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,4.311724784545E0)); -#7454=LINE('',#7453,#7452); -#7455=DIRECTION('',(0.E0,0.E0,1.E0)); -#7456=VECTOR('',#7455,1.5E0); -#7457=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,4.311724784545E0)); -#7458=LINE('',#7457,#7456); -#7459=DIRECTION('',(0.E0,0.E0,1.E0)); -#7460=VECTOR('',#7459,5.E-1); -#7461=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.311724784545E0)); -#7462=LINE('',#7461,#7460); -#7463=DIRECTION('',(0.E0,0.E0,1.E0)); -#7464=VECTOR('',#7463,5.E-1); -#7465=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.311724784545E0)); -#7466=LINE('',#7465,#7464); -#7467=DIRECTION('',(0.E0,0.E0,1.E0)); -#7468=VECTOR('',#7467,5.E-1); -#7469=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.311724784545E0)); -#7470=LINE('',#7469,#7468); -#7471=DIRECTION('',(0.E0,0.E0,1.E0)); -#7472=VECTOR('',#7471,5.E-1); -#7473=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.311724784545E0)); -#7474=LINE('',#7473,#7472); -#7475=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7476=VECTOR('',#7475,9.31598E-1); -#7477=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.811724784545E0)); -#7478=LINE('',#7477,#7476); -#7479=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7480=VECTOR('',#7479,1.033196E0); -#7481=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.811724784545E0)); -#7482=LINE('',#7481,#7480); -#7483=DIRECTION('',(0.E0,1.E0,0.E0)); -#7484=VECTOR('',#7483,9.31598E-1); -#7485=CARTESIAN_POINT('',(1.0294379E2,6.8718801E1,4.811724784545E0)); -#7486=LINE('',#7485,#7484); -#7487=DIRECTION('',(0.E0,1.E0,0.E0)); -#7488=VECTOR('',#7487,9.31598E-1); -#7489=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.811724784545E0)); -#7490=LINE('',#7489,#7488); -#7491=DIRECTION('',(1.E0,0.E0,0.E0)); -#7492=VECTOR('',#7491,1.033196E0); -#7493=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.811724784545E0)); -#7494=LINE('',#7493,#7492); -#7495=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7496=VECTOR('',#7495,9.31598E-1); -#7497=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.811724784545E0)); -#7498=LINE('',#7497,#7496); -#7499=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7500=VECTOR('',#7499,9.31598E-1); -#7501=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.811724784545E0)); -#7502=LINE('',#7501,#7500); -#7503=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7504=VECTOR('',#7503,1.033206E0); -#7505=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.811724784545E0)); -#7506=LINE('',#7505,#7504); -#7507=DIRECTION('',(0.E0,1.E0,0.E0)); -#7508=VECTOR('',#7507,9.31598E-1); -#7509=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.811724784545E0)); -#7510=LINE('',#7509,#7508); -#7511=DIRECTION('',(0.E0,1.E0,0.E0)); -#7512=VECTOR('',#7511,9.31598E-1); -#7513=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.811724784545E0)); -#7514=LINE('',#7513,#7512); -#7515=DIRECTION('',(1.E0,0.E0,0.E0)); -#7516=VECTOR('',#7515,1.033206E0); -#7517=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.811724784545E0)); -#7518=LINE('',#7517,#7516); -#7519=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7520=VECTOR('',#7519,9.31598E-1); -#7521=CARTESIAN_POINT('',(1.00335789E2,6.7018799E1,4.811724784545E0)); -#7522=LINE('',#7521,#7520); -#7523=DIRECTION('',(1.E0,0.E0,0.E0)); -#7524=VECTOR('',#7523,1.033196E0); -#7525=CARTESIAN_POINT('',(1.01910594E2,6.8718801E1,4.811724784545E0)); -#7526=LINE('',#7525,#7524); -#7527=DIRECTION('',(0.E0,0.E0,1.E0)); -#7528=VECTOR('',#7527,5.E-1); -#7529=CARTESIAN_POINT('',(1.0294379E2,6.8718801E1,4.311724784545E0)); -#7530=LINE('',#7529,#7528); -#7531=DIRECTION('',(0.E0,0.E0,1.E0)); -#7532=VECTOR('',#7531,8.E-1); -#7533=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,4.311724784545E0)); -#7534=LINE('',#7533,#7532); -#7535=DIRECTION('',(0.E0,0.E0,1.E0)); -#7536=VECTOR('',#7535,5.E-1); -#7537=CARTESIAN_POINT('',(1.01910594E2,6.8718801E1,4.311724784545E0)); -#7538=LINE('',#7537,#7536); -#7539=DIRECTION('',(0.E0,0.E0,1.E0)); -#7540=VECTOR('',#7539,8.E-1); -#7541=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,4.311724784545E0)); -#7542=LINE('',#7541,#7540); -#7543=DIRECTION('',(1.E0,0.E0,0.E0)); -#7544=VECTOR('',#7543,1.033196E0); -#7545=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.811724784545E0)); -#7546=LINE('',#7545,#7544); -#7547=DIRECTION('',(0.E0,0.E0,1.E0)); -#7548=VECTOR('',#7547,5.E-1); -#7549=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.311724784545E0)); -#7550=LINE('',#7549,#7548); -#7551=DIRECTION('',(0.E0,0.E0,1.E0)); -#7552=VECTOR('',#7551,8.E-1); -#7553=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,4.311724784545E0)); -#7554=LINE('',#7553,#7552); -#7555=DIRECTION('',(0.E0,0.E0,1.E0)); -#7556=VECTOR('',#7555,5.E-1); -#7557=CARTESIAN_POINT('',(1.0294379E2,6.7018799E1,4.311724784545E0)); -#7558=LINE('',#7557,#7556); -#7559=DIRECTION('',(0.E0,0.E0,1.E0)); -#7560=VECTOR('',#7559,8.E-1); -#7561=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,4.311724784545E0)); -#7562=LINE('',#7561,#7560); -#7563=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7564=VECTOR('',#7563,1.249995E0); -#7565=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,5.111724784545E0)); -#7566=LINE('',#7565,#7564); -#7567=DIRECTION('',(0.E0,1.E0,0.E0)); -#7568=VECTOR('',#7567,1.700002E0); -#7569=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,5.111724784545E0)); -#7570=LINE('',#7569,#7568); -#7571=DIRECTION('',(1.E0,0.E0,0.E0)); -#7572=VECTOR('',#7571,1.249995E0); -#7573=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,5.111724784545E0)); -#7574=LINE('',#7573,#7572); -#7575=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7576=VECTOR('',#7575,1.700002E0); -#7577=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,5.111724784545E0)); -#7578=LINE('',#7577,#7576); -#7579=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7580=VECTOR('',#7579,1.249995E0); -#7581=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,5.111724784545E0)); -#7582=LINE('',#7581,#7580); -#7583=DIRECTION('',(0.E0,1.E0,0.E0)); -#7584=VECTOR('',#7583,1.700002E0); -#7585=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,5.111724784545E0)); -#7586=LINE('',#7585,#7584); -#7587=DIRECTION('',(1.E0,0.E0,0.E0)); -#7588=VECTOR('',#7587,1.249995E0); -#7589=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,5.111724784545E0)); -#7590=LINE('',#7589,#7588); -#7591=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7592=VECTOR('',#7591,1.700002E0); -#7593=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,5.111724784545E0)); -#7594=LINE('',#7593,#7592); -#7595=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7596=VECTOR('',#7595,1.033206E0); -#7597=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.811724784545E0)); -#7598=LINE('',#7597,#7596); -#7599=DIRECTION('',(0.E0,0.E0,1.E0)); -#7600=VECTOR('',#7599,5.E-1); -#7601=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.311724784545E0)); -#7602=LINE('',#7601,#7600); -#7603=DIRECTION('',(0.E0,0.E0,1.E0)); -#7604=VECTOR('',#7603,8.E-1); -#7605=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,4.311724784545E0)); -#7606=LINE('',#7605,#7604); -#7607=DIRECTION('',(0.E0,0.E0,1.E0)); -#7608=VECTOR('',#7607,5.E-1); -#7609=CARTESIAN_POINT('',(1.00335789E2,6.8718801E1,4.311724784545E0)); -#7610=LINE('',#7609,#7608); -#7611=DIRECTION('',(0.E0,0.E0,1.E0)); -#7612=VECTOR('',#7611,5.E-1); -#7613=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.311724784545E0)); -#7614=LINE('',#7613,#7612); -#7615=DIRECTION('',(0.E0,0.E0,1.E0)); -#7616=VECTOR('',#7615,5.E-1); -#7617=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.311724784545E0)); -#7618=LINE('',#7617,#7616); -#7619=DIRECTION('',(0.E0,0.E0,1.E0)); -#7620=VECTOR('',#7619,5.E-1); -#7621=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.311724784545E0)); -#7622=LINE('',#7621,#7620); -#7623=DIRECTION('',(0.E0,0.E0,1.E0)); -#7624=VECTOR('',#7623,5.E-1); -#7625=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.311724784545E0)); -#7626=LINE('',#7625,#7624); -#7627=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7628=VECTOR('',#7627,1.033206E0); -#7629=CARTESIAN_POINT('',(1.01368995E2,6.7018799E1,4.811724784545E0)); -#7630=LINE('',#7629,#7628); -#7631=DIRECTION('',(0.E0,0.E0,1.E0)); -#7632=VECTOR('',#7631,5.E-1); -#7633=CARTESIAN_POINT('',(1.00335789E2,6.7018799E1,4.311724784545E0)); -#7634=LINE('',#7633,#7632); -#7635=DIRECTION('',(0.E0,0.E0,1.E0)); -#7636=VECTOR('',#7635,8.E-1); -#7637=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,4.311724784545E0)); -#7638=LINE('',#7637,#7636); -#7639=DIRECTION('',(0.E0,0.E0,1.E0)); -#7640=VECTOR('',#7639,5.E-1); -#7641=CARTESIAN_POINT('',(1.01368995E2,6.7018799E1,4.311724784545E0)); -#7642=LINE('',#7641,#7640); -#7643=DIRECTION('',(0.E0,0.E0,1.E0)); -#7644=VECTOR('',#7643,8.E-1); -#7645=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,4.311724784545E0)); -#7646=LINE('',#7645,#7644); -#7647=DIRECTION('',(0.E0,0.E0,1.E0)); -#7648=VECTOR('',#7647,8.E-1); -#7649=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,4.311724784545E0)); -#7650=LINE('',#7649,#7648); -#7651=DIRECTION('',(0.E0,0.E0,1.E0)); -#7652=VECTOR('',#7651,5.E-1); -#7653=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.311724784545E0)); -#7654=LINE('',#7653,#7652); -#7655=DIRECTION('',(0.E0,1.E0,0.E0)); -#7656=VECTOR('',#7655,8.50001E-1); -#7657=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.811724784545E0)); -#7658=LINE('',#7657,#7656); -#7659=DIRECTION('',(0.E0,0.E0,1.E0)); -#7660=VECTOR('',#7659,5.E-1); -#7661=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.311724784545E0)); -#7662=LINE('',#7661,#7660); -#7663=DIRECTION('',(0.E0,0.E0,1.E0)); -#7664=VECTOR('',#7663,1.2E0); -#7665=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,4.311724784545E0)); -#7666=LINE('',#7665,#7664); -#7667=DIRECTION('',(0.E0,0.E0,1.E0)); -#7668=VECTOR('',#7667,5.E-1); -#7669=CARTESIAN_POINT('',(9.6515791E1,6.9072811E1,4.311724784545E0)); -#7670=LINE('',#7669,#7668); -#7671=DIRECTION('',(0.E0,1.E0,0.E0)); -#7672=VECTOR('',#7671,9.144E-1); -#7673=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.811724784545E0)); -#7674=LINE('',#7673,#7672); -#7675=DIRECTION('',(0.E0,0.E0,1.E0)); -#7676=VECTOR('',#7675,5.E-1); -#7677=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.311724784545E0)); -#7678=LINE('',#7677,#7676); -#7679=DIRECTION('',(0.E0,0.E0,1.E0)); -#7680=VECTOR('',#7679,5.E-1); -#7681=CARTESIAN_POINT('',(9.6515791E1,6.714059E1,4.311724784545E0)); -#7682=LINE('',#7681,#7680); -#7683=DIRECTION('',(1.E0,0.E0,0.E0)); -#7684=VECTOR('',#7683,9.144E-1); -#7685=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.811724784545E0)); -#7686=LINE('',#7685,#7684); -#7687=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7688=VECTOR('',#7687,9.144E-1); -#7689=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.811724784545E0)); -#7690=LINE('',#7689,#7688); -#7691=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7692=VECTOR('',#7691,9.144E-1); -#7693=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.811724784545E0)); -#7694=LINE('',#7693,#7692); -#7695=DIRECTION('',(1.E0,0.E0,0.E0)); -#7696=VECTOR('',#7695,9.144E-1); -#7697=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.811724784545E0)); -#7698=LINE('',#7697,#7696); -#7699=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7700=VECTOR('',#7699,9.144E-1); -#7701=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.811724784545E0)); -#7702=LINE('',#7701,#7700); -#7703=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7704=VECTOR('',#7703,9.144E-1); -#7705=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.811724784545E0)); -#7706=LINE('',#7705,#7704); -#7707=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7708=VECTOR('',#7707,9.24799E-1); -#7709=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.811724784545E0)); -#7710=LINE('',#7709,#7708); -#7711=DIRECTION('',(0.E0,1.E0,0.E0)); -#7712=VECTOR('',#7711,9.144E-1); -#7713=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.811724784545E0)); -#7714=LINE('',#7713,#7712); -#7715=DIRECTION('',(1.E0,0.E0,0.E0)); -#7716=VECTOR('',#7715,9.24799E-1); -#7717=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.811724784545E0)); -#7718=LINE('',#7717,#7716); -#7719=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7720=VECTOR('',#7719,9.24799E-1); -#7721=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.811724784545E0)); -#7722=LINE('',#7721,#7720); -#7723=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7724=VECTOR('',#7723,9.144E-1); -#7725=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.811724784545E0)); -#7726=LINE('',#7725,#7724); -#7727=DIRECTION('',(0.E0,1.E0,0.E0)); -#7728=VECTOR('',#7727,9.24799E-1); -#7729=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.811724784545E0)); -#7730=LINE('',#7729,#7728); -#7731=DIRECTION('',(0.E0,1.E0,0.E0)); -#7732=VECTOR('',#7731,9.144E-1); -#7733=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.811724784545E0)); -#7734=LINE('',#7733,#7732); -#7735=DIRECTION('',(1.E0,0.E0,0.E0)); -#7736=VECTOR('',#7735,9.144E-1); -#7737=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.811724784545E0)); -#7738=LINE('',#7737,#7736); -#7739=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7740=VECTOR('',#7739,9.144E-1); -#7741=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.811724784545E0)); -#7742=LINE('',#7741,#7740); -#7743=DIRECTION('',(0.E0,1.E0,0.E0)); -#7744=VECTOR('',#7743,9.144E-1); -#7745=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.811724784545E0)); -#7746=LINE('',#7745,#7744); -#7747=DIRECTION('',(1.E0,0.E0,0.E0)); -#7748=VECTOR('',#7747,9.144E-1); -#7749=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.811724784545E0)); -#7750=LINE('',#7749,#7748); -#7751=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7752=VECTOR('',#7751,9.144E-1); -#7753=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.811724784545E0)); -#7754=LINE('',#7753,#7752); -#7755=DIRECTION('',(1.E0,0.E0,0.E0)); -#7756=VECTOR('',#7755,9.06998E-1); -#7757=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.811724784545E0)); -#7758=LINE('',#7757,#7756); -#7759=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7760=VECTOR('',#7759,8.5E-1); -#7761=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.811724784545E0)); -#7762=LINE('',#7761,#7760); -#7763=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7764=VECTOR('',#7763,9.06998E-1); -#7765=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.811724784545E0)); -#7766=LINE('',#7765,#7764); -#7767=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7768=VECTOR('',#7767,8.50001E-1); -#7769=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.811724784545E0)); -#7770=LINE('',#7769,#7768); -#7771=DIRECTION('',(0.E0,1.E0,0.E0)); -#7772=VECTOR('',#7771,8.50001E-1); -#7773=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.811724784545E0)); -#7774=LINE('',#7773,#7772); -#7775=DIRECTION('',(1.E0,0.E0,0.E0)); -#7776=VECTOR('',#7775,8.50001E-1); -#7777=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.811724784545E0)); -#7778=LINE('',#7777,#7776); -#7779=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7780=VECTOR('',#7779,8.50001E-1); -#7781=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.811724784545E0)); -#7782=LINE('',#7781,#7780); -#7783=DIRECTION('',(0.E0,1.E0,0.E0)); -#7784=VECTOR('',#7783,9.144E-1); -#7785=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.811724784545E0)); -#7786=LINE('',#7785,#7784); -#7787=DIRECTION('',(1.E0,0.E0,0.E0)); -#7788=VECTOR('',#7787,8.50001E-1); -#7789=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.811724784545E0)); -#7790=LINE('',#7789,#7788); -#7791=DIRECTION('',(1.E0,0.E0,0.E0)); -#7792=VECTOR('',#7791,9.24799E-1); -#7793=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.811724784545E0)); -#7794=LINE('',#7793,#7792); -#7795=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7796=VECTOR('',#7795,9.144E-1); -#7797=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.811724784545E0)); -#7798=LINE('',#7797,#7796); -#7799=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7800=VECTOR('',#7799,9.24799E-1); -#7801=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.811724784545E0)); -#7802=LINE('',#7801,#7800); -#7803=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7804=VECTOR('',#7803,9.144E-1); -#7805=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.811724784545E0)); -#7806=LINE('',#7805,#7804); -#7807=DIRECTION('',(0.E0,1.E0,0.E0)); -#7808=VECTOR('',#7807,9.144E-1); -#7809=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.811724784545E0)); -#7810=LINE('',#7809,#7808); -#7811=DIRECTION('',(1.E0,0.E0,0.E0)); -#7812=VECTOR('',#7811,9.144E-1); -#7813=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.811724784545E0)); -#7814=LINE('',#7813,#7812); -#7815=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7816=VECTOR('',#7815,9.144E-1); -#7817=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.811724784545E0)); -#7818=LINE('',#7817,#7816); -#7819=DIRECTION('',(0.E0,1.E0,0.E0)); -#7820=VECTOR('',#7819,9.144E-1); -#7821=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.811724784545E0)); -#7822=LINE('',#7821,#7820); -#7823=DIRECTION('',(1.E0,0.E0,0.E0)); -#7824=VECTOR('',#7823,9.144E-1); -#7825=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.811724784545E0)); -#7826=LINE('',#7825,#7824); -#7827=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7828=VECTOR('',#7827,9.144E-1); -#7829=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.811724784545E0)); -#7830=LINE('',#7829,#7828); -#7831=DIRECTION('',(0.E0,0.E0,1.E0)); -#7832=VECTOR('',#7831,5.E-1); -#7833=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.311724784545E0)); -#7834=LINE('',#7833,#7832); -#7835=DIRECTION('',(0.E0,0.E0,1.E0)); -#7836=VECTOR('',#7835,1.2E0); -#7837=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,4.311724784545E0)); -#7838=LINE('',#7837,#7836); -#7839=DIRECTION('',(0.E0,0.E0,1.E0)); -#7840=VECTOR('',#7839,5.E-1); -#7841=CARTESIAN_POINT('',(8.9650992E1,6.1203789E1,4.311724784545E0)); -#7842=LINE('',#7841,#7840); -#7843=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7844=VECTOR('',#7843,9.144E-1); -#7845=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.811724784545E0)); -#7846=LINE('',#7845,#7844); -#7847=DIRECTION('',(0.E0,0.E0,1.E0)); -#7848=VECTOR('',#7847,5.E-1); -#7849=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.311724784545E0)); -#7850=LINE('',#7849,#7848); -#7851=DIRECTION('',(0.E0,0.E0,1.E0)); -#7852=VECTOR('',#7851,5.E-1); -#7853=CARTESIAN_POINT('',(8.9650992E1,6.3103811E1,4.311724784545E0)); -#7854=LINE('',#7853,#7852); -#7855=DIRECTION('',(0.E0,0.E0,1.E0)); -#7856=VECTOR('',#7855,5.E-1); -#7857=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.311724784545E0)); -#7858=LINE('',#7857,#7856); -#7859=DIRECTION('',(0.E0,0.E0,1.E0)); -#7860=VECTOR('',#7859,5.E-1); -#7861=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.311724784545E0)); -#7862=LINE('',#7861,#7860); -#7863=DIRECTION('',(0.E0,0.E0,1.E0)); -#7864=VECTOR('',#7863,1.2E0); -#7865=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,4.311724784545E0)); -#7866=LINE('',#7865,#7864); -#7867=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7868=VECTOR('',#7867,9.144E-1); -#7869=CARTESIAN_POINT('',(9.0850992E1,6.30682E1,4.811724784545E0)); -#7870=LINE('',#7869,#7868); -#7871=DIRECTION('',(0.E0,0.E0,1.E0)); -#7872=VECTOR('',#7871,5.E-1); -#7873=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.311724784545E0)); -#7874=LINE('',#7873,#7872); -#7875=DIRECTION('',(0.E0,0.E0,1.E0)); -#7876=VECTOR('',#7875,1.2E0); -#7877=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,4.311724784545E0)); -#7878=LINE('',#7877,#7876); -#7879=DIRECTION('',(0.E0,0.E0,1.E0)); -#7880=VECTOR('',#7879,5.E-1); -#7881=CARTESIAN_POINT('',(9.0850992E1,6.30682E1,4.311724784545E0)); -#7882=LINE('',#7881,#7880); -#7883=DIRECTION('',(0.E0,0.E0,1.E0)); -#7884=VECTOR('',#7883,5.E-1); -#7885=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.311724784545E0)); -#7886=LINE('',#7885,#7884); -#7887=DIRECTION('',(0.E0,0.E0,1.E0)); -#7888=VECTOR('',#7887,5.E-1); -#7889=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.311724784545E0)); -#7890=LINE('',#7889,#7888); -#7891=DIRECTION('',(0.E0,0.E0,1.E0)); -#7892=VECTOR('',#7891,1.2E0); -#7893=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,4.311724784545E0)); -#7894=LINE('',#7893,#7892); -#7895=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7896=VECTOR('',#7895,3.017622E0); -#7897=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,5.511724784545E0)); -#7898=LINE('',#7897,#7896); -#7899=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7900=VECTOR('',#7899,1.2E0); -#7901=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,5.511724784545E0)); -#7902=LINE('',#7901,#7900); -#7903=DIRECTION('',(0.E0,1.E0,0.E0)); -#7904=VECTOR('',#7903,3.017622E0); -#7905=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,5.511724784545E0)); -#7906=LINE('',#7905,#7904); -#7907=DIRECTION('',(1.E0,0.E0,0.E0)); -#7908=VECTOR('',#7907,1.2E0); -#7909=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,5.511724784545E0)); -#7910=LINE('',#7909,#7908); -#7911=DIRECTION('',(0.E0,1.E0,0.E0)); -#7912=VECTOR('',#7911,1.2E0); -#7913=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,5.511724784545E0)); -#7914=LINE('',#7913,#7912); -#7915=DIRECTION('',(1.E0,0.E0,0.E0)); -#7916=VECTOR('',#7915,3.017621E0); -#7917=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,5.511724784545E0)); -#7918=LINE('',#7917,#7916); -#7919=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7920=VECTOR('',#7919,1.2E0); -#7921=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,5.511724784545E0)); -#7922=LINE('',#7921,#7920); -#7923=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7924=VECTOR('',#7923,3.017621E0); -#7925=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,5.511724784545E0)); -#7926=LINE('',#7925,#7924); -#7927=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7928=VECTOR('',#7927,1.2E0); -#7929=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,5.511724784545E0)); -#7930=LINE('',#7929,#7928); -#7931=DIRECTION('',(0.E0,1.E0,0.E0)); -#7932=VECTOR('',#7931,2.985422E0); -#7933=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,5.511724784545E0)); -#7934=LINE('',#7933,#7932); -#7935=DIRECTION('',(1.E0,0.E0,0.E0)); -#7936=VECTOR('',#7935,1.2E0); -#7937=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,5.511724784545E0)); -#7938=LINE('',#7937,#7936); -#7939=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7940=VECTOR('',#7939,2.985422E0); -#7941=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,5.511724784545E0)); -#7942=LINE('',#7941,#7940); -#7943=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7944=VECTOR('',#7943,1.2E0); -#7945=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,5.511724784545E0)); -#7946=LINE('',#7945,#7944); -#7947=DIRECTION('',(0.E0,1.E0,0.E0)); -#7948=VECTOR('',#7947,3.017622E0); -#7949=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,5.511724784545E0)); -#7950=LINE('',#7949,#7948); -#7951=DIRECTION('',(1.E0,0.E0,0.E0)); -#7952=VECTOR('',#7951,1.2E0); -#7953=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,5.511724784545E0)); -#7954=LINE('',#7953,#7952); -#7955=DIRECTION('',(0.E0,-1.E0,0.E0)); -#7956=VECTOR('',#7955,3.017622E0); -#7957=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,5.511724784545E0)); -#7958=LINE('',#7957,#7956); -#7959=DIRECTION('',(0.E0,0.E0,1.E0)); -#7960=VECTOR('',#7959,1.2E0); -#7961=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,4.311724784545E0)); -#7962=LINE('',#7961,#7960); -#7963=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7964=VECTOR('',#7963,9.144E-1); -#7965=CARTESIAN_POINT('',(8.9864402E1,6.58114E1,4.811724784545E0)); -#7966=LINE('',#7965,#7964); -#7967=DIRECTION('',(0.E0,0.E0,1.E0)); -#7968=VECTOR('',#7967,5.E-1); -#7969=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.311724784545E0)); -#7970=LINE('',#7969,#7968); -#7971=DIRECTION('',(0.E0,0.E0,1.E0)); -#7972=VECTOR('',#7971,5.E-1); -#7973=CARTESIAN_POINT('',(8.7964381E1,6.58114E1,4.311724784545E0)); -#7974=LINE('',#7973,#7972); -#7975=DIRECTION('',(-1.E0,0.E0,0.E0)); -#7976=VECTOR('',#7975,9.144E-1); -#7977=CARTESIAN_POINT('',(8.7964381E1,6.58114E1,4.811724784545E0)); -#7978=LINE('',#7977,#7976); -#7979=DIRECTION('',(0.E0,0.E0,1.E0)); -#7980=VECTOR('',#7979,5.E-1); -#7981=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.311724784545E0)); -#7982=LINE('',#7981,#7980); -#7983=DIRECTION('',(0.E0,0.E0,1.E0)); -#7984=VECTOR('',#7983,1.2E0); -#7985=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,4.311724784545E0)); -#7986=LINE('',#7985,#7984); -#7987=DIRECTION('',(0.E0,0.E0,1.E0)); -#7988=VECTOR('',#7987,5.E-1); -#7989=CARTESIAN_POINT('',(8.9864402E1,6.58114E1,4.311724784545E0)); -#7990=LINE('',#7989,#7988); -#7991=DIRECTION('',(0.E0,0.E0,1.E0)); -#7992=VECTOR('',#7991,5.E-1); -#7993=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.311724784545E0)); -#7994=LINE('',#7993,#7992); -#7995=DIRECTION('',(0.E0,0.E0,1.E0)); -#7996=VECTOR('',#7995,5.E-1); -#7997=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.311724784545E0)); -#7998=LINE('',#7997,#7996); -#7999=DIRECTION('',(0.E0,0.E0,1.E0)); -#8000=VECTOR('',#7999,5.E-1); -#8001=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.311724784545E0)); -#8002=LINE('',#8001,#8000); -#8003=DIRECTION('',(0.E0,0.E0,1.E0)); -#8004=VECTOR('',#8003,5.E-1); -#8005=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.311724784545E0)); -#8006=LINE('',#8005,#8004); -#8007=DIRECTION('',(0.E0,0.E0,1.E0)); -#8008=VECTOR('',#8007,1.2E0); -#8009=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,4.311724784545E0)); -#8010=LINE('',#8009,#8008); -#8011=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8012=VECTOR('',#8011,9.144E-1); -#8013=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.811724784545E0)); -#8014=LINE('',#8013,#8012); -#8015=DIRECTION('',(0.E0,0.E0,1.E0)); -#8016=VECTOR('',#8015,5.E-1); -#8017=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.311724784545E0)); -#8018=LINE('',#8017,#8016); -#8019=DIRECTION('',(0.E0,0.E0,1.E0)); -#8020=VECTOR('',#8019,1.2E0); -#8021=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,4.311724784545E0)); -#8022=LINE('',#8021,#8020); -#8023=DIRECTION('',(0.E0,0.E0,1.E0)); -#8024=VECTOR('',#8023,5.E-1); -#8025=CARTESIAN_POINT('',(8.7999992E1,6.70114E1,4.311724784545E0)); -#8026=LINE('',#8025,#8024); -#8027=DIRECTION('',(0.E0,0.E0,1.E0)); -#8028=VECTOR('',#8027,5.E-1); -#8029=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.311724784545E0)); -#8030=LINE('',#8029,#8028); -#8031=DIRECTION('',(0.E0,0.E0,1.E0)); -#8032=VECTOR('',#8031,5.E-1); -#8033=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.311724784545E0)); -#8034=LINE('',#8033,#8032); -#8035=DIRECTION('',(0.E0,0.E0,1.E0)); -#8036=VECTOR('',#8035,1.2E0); -#8037=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,4.311724784545E0)); -#8038=LINE('',#8037,#8036); -#8039=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8040=VECTOR('',#8039,8.5E-1); -#8041=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.811724784545E0)); -#8042=LINE('',#8041,#8040); -#8043=DIRECTION('',(0.E0,0.E0,1.E0)); -#8044=VECTOR('',#8043,5.E-1); -#8045=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.311724784545E0)); -#8046=LINE('',#8045,#8044); -#8047=DIRECTION('',(0.E0,0.E0,1.E0)); -#8048=VECTOR('',#8047,1.2E0); -#8049=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,4.311724784545E0)); -#8050=LINE('',#8049,#8048); -#8051=DIRECTION('',(0.E0,0.E0,1.E0)); -#8052=VECTOR('',#8051,5.E-1); -#8053=CARTESIAN_POINT('',(9.5315791E1,6.72406E1,4.311724784545E0)); -#8054=LINE('',#8053,#8052); -#8055=DIRECTION('',(0.E0,0.E0,1.E0)); -#8056=VECTOR('',#8055,5.E-1); -#8057=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.311724784545E0)); -#8058=LINE('',#8057,#8056); -#8059=DIRECTION('',(0.E0,0.E0,1.E0)); -#8060=VECTOR('',#8059,5.E-1); -#8061=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.311724784545E0)); -#8062=LINE('',#8061,#8060); -#8063=DIRECTION('',(0.E0,0.E0,1.E0)); -#8064=VECTOR('',#8063,1.2E0); -#8065=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,4.311724784545E0)); -#8066=LINE('',#8065,#8064); -#8067=DIRECTION('',(0.E0,0.E0,1.E0)); -#8068=VECTOR('',#8067,1.2E0); -#8069=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,4.311724784545E0)); -#8070=LINE('',#8069,#8068); -#8071=DIRECTION('',(0.E0,1.E0,0.E0)); -#8072=VECTOR('',#8071,9.144E-1); -#8073=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.811724784545E0)); -#8074=LINE('',#8073,#8072); -#8075=DIRECTION('',(0.E0,0.E0,1.E0)); -#8076=VECTOR('',#8075,5.E-1); -#8077=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.311724784545E0)); -#8078=LINE('',#8077,#8076); -#8079=DIRECTION('',(0.E0,0.E0,1.E0)); -#8080=VECTOR('',#8079,1.2E0); -#8081=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,4.311724784545E0)); -#8082=LINE('',#8081,#8080); -#8083=DIRECTION('',(0.E0,0.E0,1.E0)); -#8084=VECTOR('',#8083,5.E-1); -#8085=CARTESIAN_POINT('',(9.0641592E1,7.1409611E1,4.311724784545E0)); -#8086=LINE('',#8085,#8084); -#8087=DIRECTION('',(0.E0,1.E0,0.E0)); -#8088=VECTOR('',#8087,9.144E-1); -#8089=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.811724784545E0)); -#8090=LINE('',#8089,#8088); -#8091=DIRECTION('',(0.E0,0.E0,1.E0)); -#8092=VECTOR('',#8091,5.E-1); -#8093=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.311724784545E0)); -#8094=LINE('',#8093,#8092); -#8095=DIRECTION('',(0.E0,0.E0,1.E0)); -#8096=VECTOR('',#8095,5.E-1); -#8097=CARTESIAN_POINT('',(9.0641592E1,6.9509589E1,4.311724784545E0)); -#8098=LINE('',#8097,#8096); -#8099=DIRECTION('',(0.E0,0.E0,1.E0)); -#8100=VECTOR('',#8099,5.E-1); -#8101=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.311724784545E0)); -#8102=LINE('',#8101,#8100); -#8103=DIRECTION('',(0.E0,0.E0,1.E0)); -#8104=VECTOR('',#8103,5.E-1); -#8105=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.311724784545E0)); -#8106=LINE('',#8105,#8104); -#8107=DIRECTION('',(0.E0,0.E0,1.E0)); -#8108=VECTOR('',#8107,1.2E0); -#8109=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,4.311724784545E0)); -#8110=LINE('',#8109,#8108); -#8111=DIRECTION('',(0.E0,1.E0,0.E0)); -#8112=VECTOR('',#8111,9.144E-1); -#8113=CARTESIAN_POINT('',(8.9441592E1,6.95452E1,4.811724784545E0)); -#8114=LINE('',#8113,#8112); -#8115=DIRECTION('',(0.E0,0.E0,1.E0)); -#8116=VECTOR('',#8115,5.E-1); -#8117=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.311724784545E0)); -#8118=LINE('',#8117,#8116); -#8119=DIRECTION('',(0.E0,0.E0,1.E0)); -#8120=VECTOR('',#8119,1.2E0); -#8121=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,4.311724784545E0)); -#8122=LINE('',#8121,#8120); -#8123=DIRECTION('',(0.E0,0.E0,1.E0)); -#8124=VECTOR('',#8123,5.E-1); -#8125=CARTESIAN_POINT('',(8.9441592E1,6.95452E1,4.311724784545E0)); -#8126=LINE('',#8125,#8124); -#8127=DIRECTION('',(0.E0,0.E0,1.E0)); -#8128=VECTOR('',#8127,5.E-1); -#8129=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.311724784545E0)); -#8130=LINE('',#8129,#8128); -#8131=DIRECTION('',(0.E0,0.E0,1.E0)); -#8132=VECTOR('',#8131,5.E-1); -#8133=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.311724784545E0)); -#8134=LINE('',#8133,#8132); -#8135=DIRECTION('',(0.E0,0.E0,1.E0)); -#8136=VECTOR('',#8135,5.E-1); -#8137=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.311724784545E0)); -#8138=LINE('',#8137,#8136); -#8139=DIRECTION('',(0.E0,0.E0,1.E0)); -#8140=VECTOR('',#8139,5.E-1); -#8141=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.311724784545E0)); -#8142=LINE('',#8141,#8140); -#8143=DIRECTION('',(0.E0,0.E0,1.E0)); -#8144=VECTOR('',#8143,5.E-1); -#8145=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.311724784545E0)); -#8146=LINE('',#8145,#8144); -#8147=DIRECTION('',(0.E0,0.E0,1.E0)); -#8148=VECTOR('',#8147,5.E-1); -#8149=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.311724784545E0)); -#8150=LINE('',#8149,#8148); -#8151=DIRECTION('',(0.E0,0.E0,1.E0)); -#8152=VECTOR('',#8151,5.E-1); -#8153=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.311724784545E0)); -#8154=LINE('',#8153,#8152); -#8155=DIRECTION('',(0.E0,0.E0,1.E0)); -#8156=VECTOR('',#8155,5.E-1); -#8157=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.311724784545E0)); -#8158=LINE('',#8157,#8156); -#8159=DIRECTION('',(0.E0,0.E0,1.E0)); -#8160=VECTOR('',#8159,5.E-1); -#8161=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.311724784545E0)); -#8162=LINE('',#8161,#8160); -#8163=DIRECTION('',(0.E0,0.E0,1.E0)); -#8164=VECTOR('',#8163,5.E-1); -#8165=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.311724784545E0)); -#8166=LINE('',#8165,#8164); -#8167=DIRECTION('',(0.E0,0.E0,1.E0)); -#8168=VECTOR('',#8167,5.E-1); -#8169=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.311724784545E0)); -#8170=LINE('',#8169,#8168); -#8171=DIRECTION('',(0.E0,0.E0,1.E0)); -#8172=VECTOR('',#8171,5.E-1); -#8173=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.311724784545E0)); -#8174=LINE('',#8173,#8172); -#8175=DIRECTION('',(0.E0,0.E0,1.E0)); -#8176=VECTOR('',#8175,5.E-1); -#8177=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.311724784545E0)); -#8178=LINE('',#8177,#8176); -#8179=DIRECTION('',(1.E0,0.E0,0.E0)); -#8180=VECTOR('',#8179,9.49998E-1); -#8181=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.811724784545E0)); -#8182=LINE('',#8181,#8180); -#8183=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8184=VECTOR('',#8183,1.399998E0); -#8185=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.811724784545E0)); -#8186=LINE('',#8185,#8184); -#8187=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8188=VECTOR('',#8187,9.49998E-1); -#8189=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.811724784545E0)); -#8190=LINE('',#8189,#8188); -#8191=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8192=VECTOR('',#8191,9.49998E-1); -#8193=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.811724784545E0)); -#8194=LINE('',#8193,#8192); -#8195=DIRECTION('',(0.E0,1.E0,0.E0)); -#8196=VECTOR('',#8195,1.399998E0); -#8197=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.811724784545E0)); -#8198=LINE('',#8197,#8196); -#8199=DIRECTION('',(1.E0,0.E0,0.E0)); -#8200=VECTOR('',#8199,9.49998E-1); -#8201=CARTESIAN_POINT('',(9.2295591E1,5.9066201E1,4.811724784545E0)); -#8202=LINE('',#8201,#8200); -#8203=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8204=VECTOR('',#8203,1.399998E0); -#8205=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.811724784545E0)); -#8206=LINE('',#8205,#8204); -#8207=DIRECTION('',(0.E0,0.E0,1.E0)); -#8208=VECTOR('',#8207,5.E-1); -#8209=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.311724784545E0)); -#8210=LINE('',#8209,#8208); -#8211=DIRECTION('',(0.E0,0.E0,1.E0)); -#8212=VECTOR('',#8211,1.2E0); -#8213=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,4.311724784545E0)); -#8214=LINE('',#8213,#8212); -#8215=DIRECTION('',(0.E0,0.E0,1.E0)); -#8216=VECTOR('',#8215,5.E-1); -#8217=CARTESIAN_POINT('',(8.9495592E1,5.9066201E1,4.311724784545E0)); -#8218=LINE('',#8217,#8216); -#8219=DIRECTION('',(0.E0,0.E0,1.E0)); -#8220=VECTOR('',#8219,1.2E0); -#8221=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,4.311724784545E0)); -#8222=LINE('',#8221,#8220); -#8223=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8224=VECTOR('',#8223,1.399998E0); -#8225=CARTESIAN_POINT('',(9.2295591E1,6.0466199E1,4.811724784545E0)); -#8226=LINE('',#8225,#8224); -#8227=DIRECTION('',(0.E0,0.E0,1.E0)); -#8228=VECTOR('',#8227,5.E-1); -#8229=CARTESIAN_POINT('',(9.2295591E1,5.9066201E1,4.311724784545E0)); -#8230=LINE('',#8229,#8228); -#8231=DIRECTION('',(0.E0,0.E0,1.E0)); -#8232=VECTOR('',#8231,1.2E0); -#8233=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,4.311724784545E0)); -#8234=LINE('',#8233,#8232); -#8235=DIRECTION('',(0.E0,0.E0,1.E0)); -#8236=VECTOR('',#8235,5.E-1); -#8237=CARTESIAN_POINT('',(9.2295591E1,6.0466199E1,4.311724784545E0)); -#8238=LINE('',#8237,#8236); -#8239=DIRECTION('',(0.E0,0.E0,1.E0)); -#8240=VECTOR('',#8239,1.2E0); -#8241=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,4.311724784545E0)); -#8242=LINE('',#8241,#8240); -#8243=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8244=VECTOR('',#8243,2.799999E0); -#8245=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,5.511724784545E0)); -#8246=LINE('',#8245,#8244); -#8247=DIRECTION('',(0.E0,1.E0,0.E0)); -#8248=VECTOR('',#8247,1.800002E0); -#8249=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,5.511724784545E0)); -#8250=LINE('',#8249,#8248); -#8251=DIRECTION('',(1.E0,0.E0,0.E0)); -#8252=VECTOR('',#8251,2.799999E0); -#8253=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,5.511724784545E0)); -#8254=LINE('',#8253,#8252); -#8255=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8256=VECTOR('',#8255,1.800002E0); -#8257=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,5.511724784545E0)); -#8258=LINE('',#8257,#8256); -#8259=DIRECTION('',(0.E0,0.E0,1.E0)); -#8260=VECTOR('',#8259,5.E-1); -#8261=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.311724784545E0)); -#8262=LINE('',#8261,#8260); -#8263=DIRECTION('',(0.E0,0.E0,1.E0)); -#8264=VECTOR('',#8263,5.E-1); -#8265=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.311724784545E0)); -#8266=LINE('',#8265,#8264); -#8267=DIRECTION('',(0.E0,0.E0,1.E0)); -#8268=VECTOR('',#8267,5.E-1); -#8269=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.311724784545E0)); -#8270=LINE('',#8269,#8268); -#8271=DIRECTION('',(0.E0,0.E0,1.E0)); -#8272=VECTOR('',#8271,5.E-1); -#8273=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.311724784545E0)); -#8274=LINE('',#8273,#8272); -#8275=DIRECTION('',(1.E0,0.E0,0.E0)); -#8276=VECTOR('',#8275,1.049998E0); -#8277=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.811724784545E0)); -#8278=LINE('',#8277,#8276); -#8279=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8280=VECTOR('',#8279,5.99998E-1); -#8281=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.811724784545E0)); -#8282=LINE('',#8281,#8280); -#8283=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8284=VECTOR('',#8283,1.049998E0); -#8285=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.811724784545E0)); -#8286=LINE('',#8285,#8284); -#8287=DIRECTION('',(1.E0,0.E0,0.E0)); -#8288=VECTOR('',#8287,1.049998E0); -#8289=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.811724784545E0)); -#8290=LINE('',#8289,#8288); -#8291=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8292=VECTOR('',#8291,5.99999E-1); -#8293=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.811724784545E0)); -#8294=LINE('',#8293,#8292); -#8295=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8296=VECTOR('',#8295,1.049998E0); -#8297=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.811724784545E0)); -#8298=LINE('',#8297,#8296); -#8299=DIRECTION('',(1.E0,0.E0,0.E0)); -#8300=VECTOR('',#8299,1.049998E0); -#8301=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.811724784545E0)); -#8302=LINE('',#8301,#8300); -#8303=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8304=VECTOR('',#8303,5.99999E-1); -#8305=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.811724784545E0)); -#8306=LINE('',#8305,#8304); -#8307=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8308=VECTOR('',#8307,1.049998E0); -#8309=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.811724784545E0)); -#8310=LINE('',#8309,#8308); -#8311=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8312=VECTOR('',#8311,1.049998E0); -#8313=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.811724784545E0)); -#8314=LINE('',#8313,#8312); -#8315=DIRECTION('',(0.E0,1.E0,0.E0)); -#8316=VECTOR('',#8315,5.99998E-1); -#8317=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.811724784545E0)); -#8318=LINE('',#8317,#8316); -#8319=DIRECTION('',(1.E0,0.E0,0.E0)); -#8320=VECTOR('',#8319,1.049998E0); -#8321=CARTESIAN_POINT('',(9.839959E1,6.4022999E1,4.811724784545E0)); -#8322=LINE('',#8321,#8320); -#8323=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8324=VECTOR('',#8323,1.049998E0); -#8325=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.811724784545E0)); -#8326=LINE('',#8325,#8324); -#8327=DIRECTION('',(0.E0,1.E0,0.E0)); -#8328=VECTOR('',#8327,5.99999E-1); -#8329=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.811724784545E0)); -#8330=LINE('',#8329,#8328); -#8331=DIRECTION('',(1.E0,0.E0,0.E0)); -#8332=VECTOR('',#8331,1.049998E0); -#8333=CARTESIAN_POINT('',(9.839959E1,6.2123E1,4.811724784545E0)); -#8334=LINE('',#8333,#8332); -#8335=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8336=VECTOR('',#8335,5.99998E-1); -#8337=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.811724784545E0)); -#8338=LINE('',#8337,#8336); -#8339=DIRECTION('',(0.E0,0.E0,1.E0)); -#8340=VECTOR('',#8339,5.E-1); -#8341=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.311724784545E0)); -#8342=LINE('',#8341,#8340); -#8343=DIRECTION('',(0.E0,0.E0,1.E0)); -#8344=VECTOR('',#8343,1.5E0); -#8345=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,4.311724784545E0)); -#8346=LINE('',#8345,#8344); -#8347=DIRECTION('',(0.E0,0.E0,1.E0)); -#8348=VECTOR('',#8347,5.E-1); -#8349=CARTESIAN_POINT('',(9.6599588E1,6.2123E1,4.311724784545E0)); -#8350=LINE('',#8349,#8348); -#8351=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8352=VECTOR('',#8351,5.99999E-1); -#8353=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.811724784545E0)); -#8354=LINE('',#8353,#8352); -#8355=DIRECTION('',(0.E0,0.E0,1.E0)); -#8356=VECTOR('',#8355,5.E-1); -#8357=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.311724784545E0)); -#8358=LINE('',#8357,#8356); -#8359=DIRECTION('',(0.E0,0.E0,1.E0)); -#8360=VECTOR('',#8359,5.E-1); -#8361=CARTESIAN_POINT('',(9.6599588E1,6.3072998E1,4.311724784545E0)); -#8362=LINE('',#8361,#8360); -#8363=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8364=VECTOR('',#8363,5.99999E-1); -#8365=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.811724784545E0)); -#8366=LINE('',#8365,#8364); -#8367=DIRECTION('',(0.E0,0.E0,1.E0)); -#8368=VECTOR('',#8367,5.E-1); -#8369=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.311724784545E0)); -#8370=LINE('',#8369,#8368); -#8371=DIRECTION('',(0.E0,0.E0,1.E0)); -#8372=VECTOR('',#8371,5.E-1); -#8373=CARTESIAN_POINT('',(9.6599588E1,6.4022999E1,4.311724784545E0)); -#8374=LINE('',#8373,#8372); -#8375=DIRECTION('',(0.E0,0.E0,1.E0)); -#8376=VECTOR('',#8375,1.5E0); -#8377=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,4.311724784545E0)); -#8378=LINE('',#8377,#8376); -#8379=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8380=VECTOR('',#8379,5.99998E-1); -#8381=CARTESIAN_POINT('',(9.839959E1,6.4622997E1,4.811724784545E0)); -#8382=LINE('',#8381,#8380); -#8383=DIRECTION('',(0.E0,0.E0,1.E0)); -#8384=VECTOR('',#8383,5.E-1); -#8385=CARTESIAN_POINT('',(9.839959E1,6.4022999E1,4.311724784545E0)); -#8386=LINE('',#8385,#8384); -#8387=DIRECTION('',(0.E0,0.E0,1.E0)); -#8388=VECTOR('',#8387,5.E-1); -#8389=CARTESIAN_POINT('',(9.839959E1,6.2722999E1,4.311724784545E0)); -#8390=LINE('',#8389,#8388); -#8391=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8392=VECTOR('',#8391,5.99999E-1); -#8393=CARTESIAN_POINT('',(9.839959E1,6.2722999E1,4.811724784545E0)); -#8394=LINE('',#8393,#8392); -#8395=DIRECTION('',(0.E0,0.E0,1.E0)); -#8396=VECTOR('',#8395,5.E-1); -#8397=CARTESIAN_POINT('',(9.839959E1,6.2123E1,4.311724784545E0)); -#8398=LINE('',#8397,#8396); -#8399=DIRECTION('',(0.E0,0.E0,1.E0)); -#8400=VECTOR('',#8399,1.5E0); -#8401=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,4.311724784545E0)); -#8402=LINE('',#8401,#8400); -#8403=DIRECTION('',(0.E0,0.E0,1.E0)); -#8404=VECTOR('',#8403,5.E-1); -#8405=CARTESIAN_POINT('',(9.839959E1,6.4622997E1,4.311724784545E0)); -#8406=LINE('',#8405,#8404); -#8407=DIRECTION('',(0.E0,0.E0,1.E0)); -#8408=VECTOR('',#8407,5.E-1); -#8409=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.311724784545E0)); -#8410=LINE('',#8409,#8408); -#8411=DIRECTION('',(0.E0,0.E0,1.E0)); -#8412=VECTOR('',#8411,5.E-1); -#8413=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.311724784545E0)); -#8414=LINE('',#8413,#8412); -#8415=DIRECTION('',(0.E0,0.E0,1.E0)); -#8416=VECTOR('',#8415,5.E-1); -#8417=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.311724784545E0)); -#8418=LINE('',#8417,#8416); -#8419=DIRECTION('',(0.E0,0.E0,1.E0)); -#8420=VECTOR('',#8419,5.E-1); -#8421=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.311724784545E0)); -#8422=LINE('',#8421,#8420); -#8423=DIRECTION('',(0.E0,0.E0,1.E0)); -#8424=VECTOR('',#8423,1.5E0); -#8425=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,4.311724784545E0)); -#8426=LINE('',#8425,#8424); -#8427=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8428=VECTOR('',#8427,1.800002E0); -#8429=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,5.811724784545E0)); -#8430=LINE('',#8429,#8428); -#8431=DIRECTION('',(0.E0,1.E0,0.E0)); -#8432=VECTOR('',#8431,3.099999E0); -#8433=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,5.811724784545E0)); -#8434=LINE('',#8433,#8432); -#8435=DIRECTION('',(1.E0,0.E0,0.E0)); -#8436=VECTOR('',#8435,1.800002E0); -#8437=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,5.811724784545E0)); -#8438=LINE('',#8437,#8436); -#8439=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8440=VECTOR('',#8439,3.099999E0); -#8441=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,5.811724784545E0)); -#8442=LINE('',#8441,#8440); -#8443=DIRECTION('',(0.E0,0.E0,1.E0)); -#8444=VECTOR('',#8443,5.E-1); -#8445=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.311724784545E0)); -#8446=LINE('',#8445,#8444); -#8447=DIRECTION('',(0.E0,0.E0,1.E0)); -#8448=VECTOR('',#8447,5.E-1); -#8449=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.311724784545E0)); -#8450=LINE('',#8449,#8448); -#8451=DIRECTION('',(0.E0,0.E0,1.E0)); -#8452=VECTOR('',#8451,5.E-1); -#8453=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.311724784545E0)); -#8454=LINE('',#8453,#8452); -#8455=DIRECTION('',(0.E0,0.E0,1.E0)); -#8456=VECTOR('',#8455,5.E-1); -#8457=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.311724784545E0)); -#8458=LINE('',#8457,#8456); -#8459=DIRECTION('',(0.E0,0.E0,1.E0)); -#8460=VECTOR('',#8459,5.E-1); -#8461=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.311724784545E0)); -#8462=LINE('',#8461,#8460); -#8463=DIRECTION('',(0.E0,0.E0,1.E0)); -#8464=VECTOR('',#8463,5.E-1); -#8465=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.311724784545E0)); -#8466=LINE('',#8465,#8464); -#8467=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8468=VECTOR('',#8467,1.5516E0); -#8469=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.811724784545E0)); -#8470=LINE('',#8469,#8468); -#8471=DIRECTION('',(0.E0,1.E0,0.E0)); -#8472=VECTOR('',#8471,7.51602E-1); -#8473=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.811724784545E0)); -#8474=LINE('',#8473,#8472); -#8475=DIRECTION('',(1.E0,0.E0,0.E0)); -#8476=VECTOR('',#8475,1.5516E0); -#8477=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.811724784545E0)); -#8478=LINE('',#8477,#8476); -#8479=DIRECTION('',(-3.781484007547E-14,-1.E0,0.E0)); -#8480=VECTOR('',#8479,7.51602E-1); -#8481=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.811724784545E0)); -#8482=LINE('',#8481,#8480); -#8483=DIRECTION('',(0.E0,0.E0,1.E0)); -#8484=VECTOR('',#8483,8.E-1); -#8485=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,4.311724784545E0)); -#8486=LINE('',#8485,#8484); -#8487=DIRECTION('',(0.E0,0.E0,1.E0)); -#8488=VECTOR('',#8487,8.E-1); -#8489=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,4.311724784545E0)); -#8490=LINE('',#8489,#8488); -#8491=DIRECTION('',(0.E0,0.E0,1.E0)); -#8492=VECTOR('',#8491,8.E-1); -#8493=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,4.311724784545E0)); -#8494=LINE('',#8493,#8492); -#8495=DIRECTION('',(0.E0,0.E0,1.E0)); -#8496=VECTOR('',#8495,8.E-1); -#8497=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,4.311724784545E0)); -#8498=LINE('',#8497,#8496); -#8499=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8500=VECTOR('',#8499,1.183198E0); -#8501=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,5.111724784545E0)); -#8502=LINE('',#8501,#8500); -#8503=DIRECTION('',(0.E0,1.E0,0.E0)); -#8504=VECTOR('',#8503,2.673202E0); -#8505=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,5.111724784545E0)); -#8506=LINE('',#8505,#8504); -#8507=DIRECTION('',(1.E0,0.E0,0.E0)); -#8508=VECTOR('',#8507,1.183198E0); -#8509=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,5.111724784545E0)); -#8510=LINE('',#8509,#8508); -#8511=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8512=VECTOR('',#8511,2.673202E0); -#8513=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,5.111724784545E0)); -#8514=LINE('',#8513,#8512); -#8515=DIRECTION('',(0.E0,0.E0,1.E0)); -#8516=VECTOR('',#8515,5.E-1); -#8517=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.311724784545E0)); -#8518=LINE('',#8517,#8516); -#8519=DIRECTION('',(0.E0,0.E0,1.E0)); -#8520=VECTOR('',#8519,5.E-1); -#8521=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.311724784545E0)); -#8522=LINE('',#8521,#8520); -#8523=DIRECTION('',(0.E0,0.E0,1.E0)); -#8524=VECTOR('',#8523,5.E-1); -#8525=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.311724784545E0)); -#8526=LINE('',#8525,#8524); -#8527=DIRECTION('',(0.E0,0.E0,1.E0)); -#8528=VECTOR('',#8527,5.E-1); -#8529=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.311724784545E0)); -#8530=LINE('',#8529,#8528); -#8531=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8532=VECTOR('',#8531,4.99999E-1); -#8533=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.811724784545E0)); -#8534=LINE('',#8533,#8532); -#8535=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8536=VECTOR('',#8535,5.99999E-1); -#8537=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.811724784545E0)); -#8538=LINE('',#8537,#8536); -#8539=DIRECTION('',(0.E0,1.E0,0.E0)); -#8540=VECTOR('',#8539,4.99999E-1); -#8541=CARTESIAN_POINT('',(8.3438393E1,6.4222198E1,4.811724784545E0)); -#8542=LINE('',#8541,#8540); -#8543=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8544=VECTOR('',#8543,4.99999E-1); -#8545=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.811724784545E0)); -#8546=LINE('',#8545,#8544); -#8547=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8548=VECTOR('',#8547,5.99999E-1); -#8549=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.811724784545E0)); -#8550=LINE('',#8549,#8548); -#8551=DIRECTION('',(0.E0,1.E0,0.E0)); -#8552=VECTOR('',#8551,4.99999E-1); -#8553=CARTESIAN_POINT('',(8.5338392E1,6.4222198E1,4.811724784545E0)); -#8554=LINE('',#8553,#8552); -#8555=DIRECTION('',(0.E0,1.E0,0.E0)); -#8556=VECTOR('',#8555,4.99999E-1); -#8557=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.811724784545E0)); -#8558=LINE('',#8557,#8556); -#8559=DIRECTION('',(1.E0,0.E0,0.E0)); -#8560=VECTOR('',#8559,5.99999E-1); -#8561=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.811724784545E0)); -#8562=LINE('',#8561,#8560); -#8563=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8564=VECTOR('',#8563,4.99999E-1); -#8565=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.811724784545E0)); -#8566=LINE('',#8565,#8564); -#8567=DIRECTION('',(0.E0,1.E0,0.E0)); -#8568=VECTOR('',#8567,4.99999E-1); -#8569=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.811724784545E0)); -#8570=LINE('',#8569,#8568); -#8571=DIRECTION('',(1.E0,0.E0,0.E0)); -#8572=VECTOR('',#8571,5.99999E-1); -#8573=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.811724784545E0)); -#8574=LINE('',#8573,#8572); -#8575=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8576=VECTOR('',#8575,4.99999E-1); -#8577=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.811724784545E0)); -#8578=LINE('',#8577,#8576); -#8579=DIRECTION('',(0.E0,1.E0,0.E0)); -#8580=VECTOR('',#8579,4.99999E-1); -#8581=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.811724784545E0)); -#8582=LINE('',#8581,#8580); -#8583=DIRECTION('',(1.E0,0.E0,0.E0)); -#8584=VECTOR('',#8583,5.99999E-1); -#8585=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.811724784545E0)); -#8586=LINE('',#8585,#8584); -#8587=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8588=VECTOR('',#8587,4.99999E-1); -#8589=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.811724784545E0)); -#8590=LINE('',#8589,#8588); -#8591=DIRECTION('',(1.E0,0.E0,0.E0)); -#8592=VECTOR('',#8591,5.99999E-1); -#8593=CARTESIAN_POINT('',(8.2838394E1,6.4222198E1,4.811724784545E0)); -#8594=LINE('',#8593,#8592); -#8595=DIRECTION('',(0.E0,0.E0,1.E0)); -#8596=VECTOR('',#8595,5.E-1); -#8597=CARTESIAN_POINT('',(8.3438393E1,6.4222198E1,4.311724784545E0)); -#8598=LINE('',#8597,#8596); -#8599=DIRECTION('',(0.E0,0.E0,1.E0)); -#8600=VECTOR('',#8599,5.E-1); -#8601=CARTESIAN_POINT('',(8.4738393E1,6.4222198E1,4.311724784545E0)); -#8602=LINE('',#8601,#8600); -#8603=DIRECTION('',(1.E0,0.E0,0.E0)); -#8604=VECTOR('',#8603,5.99999E-1); -#8605=CARTESIAN_POINT('',(8.4738393E1,6.4222198E1,4.811724784545E0)); -#8606=LINE('',#8605,#8604); -#8607=DIRECTION('',(0.E0,0.E0,1.E0)); -#8608=VECTOR('',#8607,5.E-1); -#8609=CARTESIAN_POINT('',(8.5338392E1,6.4222198E1,4.311724784545E0)); -#8610=LINE('',#8609,#8608); -#8611=DIRECTION('',(0.E0,0.E0,1.E0)); -#8612=VECTOR('',#8611,8.E-1); -#8613=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,4.311724784545E0)); -#8614=LINE('',#8613,#8612); -#8615=DIRECTION('',(0.E0,0.E0,1.E0)); -#8616=VECTOR('',#8615,5.E-1); -#8617=CARTESIAN_POINT('',(8.2838394E1,6.4222198E1,4.311724784545E0)); -#8618=LINE('',#8617,#8616); -#8619=DIRECTION('',(0.E0,0.E0,1.E0)); -#8620=VECTOR('',#8619,5.E-1); -#8621=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.311724784545E0)); -#8622=LINE('',#8621,#8620); -#8623=DIRECTION('',(0.E0,0.E0,1.E0)); -#8624=VECTOR('',#8623,5.E-1); -#8625=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.311724784545E0)); -#8626=LINE('',#8625,#8624); -#8627=DIRECTION('',(0.E0,0.E0,1.E0)); -#8628=VECTOR('',#8627,5.E-1); -#8629=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.311724784545E0)); -#8630=LINE('',#8629,#8628); -#8631=DIRECTION('',(0.E0,0.E0,1.E0)); -#8632=VECTOR('',#8631,5.E-1); -#8633=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.311724784545E0)); -#8634=LINE('',#8633,#8632); -#8635=DIRECTION('',(1.E0,0.E0,0.E0)); -#8636=VECTOR('',#8635,5.99999E-1); -#8637=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.811724784545E0)); -#8638=LINE('',#8637,#8636); -#8639=DIRECTION('',(0.E0,0.E0,1.E0)); -#8640=VECTOR('',#8639,5.E-1); -#8641=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.311724784545E0)); -#8642=LINE('',#8641,#8640); -#8643=DIRECTION('',(0.E0,0.E0,1.E0)); -#8644=VECTOR('',#8643,8.E-1); -#8645=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,4.311724784545E0)); -#8646=LINE('',#8645,#8644); -#8647=DIRECTION('',(0.E0,0.E0,1.E0)); -#8648=VECTOR('',#8647,5.E-1); -#8649=CARTESIAN_POINT('',(8.5338392E1,6.2422197E1,4.311724784545E0)); -#8650=LINE('',#8649,#8648); -#8651=DIRECTION('',(1.E0,0.E0,0.E0)); -#8652=VECTOR('',#8651,5.99999E-1); -#8653=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.811724784545E0)); -#8654=LINE('',#8653,#8652); -#8655=DIRECTION('',(0.E0,0.E0,1.E0)); -#8656=VECTOR('',#8655,5.E-1); -#8657=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.311724784545E0)); -#8658=LINE('',#8657,#8656); -#8659=DIRECTION('',(0.E0,0.E0,1.E0)); -#8660=VECTOR('',#8659,5.E-1); -#8661=CARTESIAN_POINT('',(8.4388394E1,6.2422197E1,4.311724784545E0)); -#8662=LINE('',#8661,#8660); -#8663=DIRECTION('',(1.E0,0.E0,0.E0)); -#8664=VECTOR('',#8663,5.99999E-1); -#8665=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.811724784545E0)); -#8666=LINE('',#8665,#8664); -#8667=DIRECTION('',(0.E0,0.E0,1.E0)); -#8668=VECTOR('',#8667,5.E-1); -#8669=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.311724784545E0)); -#8670=LINE('',#8669,#8668); -#8671=DIRECTION('',(0.E0,0.E0,1.E0)); -#8672=VECTOR('',#8671,5.E-1); -#8673=CARTESIAN_POINT('',(8.3438393E1,6.2422197E1,4.311724784545E0)); -#8674=LINE('',#8673,#8672); -#8675=DIRECTION('',(0.E0,0.E0,1.E0)); -#8676=VECTOR('',#8675,8.E-1); -#8677=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,4.311724784545E0)); -#8678=LINE('',#8677,#8676); -#8679=DIRECTION('',(-1.E0,0.E0,0.E0)); -#8680=VECTOR('',#8679,3.099999E0); -#8681=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,5.111724784545E0)); -#8682=LINE('',#8681,#8680); -#8683=DIRECTION('',(0.E0,1.E0,0.E0)); -#8684=VECTOR('',#8683,1.800001E0); -#8685=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,5.111724784545E0)); -#8686=LINE('',#8685,#8684); -#8687=DIRECTION('',(1.E0,0.E0,0.E0)); -#8688=VECTOR('',#8687,3.099999E0); -#8689=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,5.111724784545E0)); -#8690=LINE('',#8689,#8688); -#8691=DIRECTION('',(0.E0,-1.E0,0.E0)); -#8692=VECTOR('',#8691,1.800001E0); -#8693=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,5.111724784545E0)); -#8694=LINE('',#8693,#8692); -#8695=DIRECTION('',(0.E0,0.E0,1.E0)); -#8696=VECTOR('',#8695,8.E-1); -#8697=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,4.311724784545E0)); -#8698=LINE('',#8697,#8696); -#8699=DIRECTION('',(0.E0,0.E0,1.E0)); -#8700=VECTOR('',#8699,5.E-1); -#8701=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.311724784545E0)); -#8702=LINE('',#8701,#8700); -#8703=DIRECTION('',(0.E0,0.E0,1.E0)); -#8704=VECTOR('',#8703,5.E-1); -#8705=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.311724784545E0)); -#8706=LINE('',#8705,#8704); -#8707=CARTESIAN_POINT('',(7.998266041947E1,1.085497988574E2,3.111724784545E0)); -#8708=CARTESIAN_POINT('',(8.098266033901E1,1.095502E2,3.111724784545E0)); -#8709=VERTEX_POINT('',#8707); -#8710=VERTEX_POINT('',#8708); -#8711=CARTESIAN_POINT('',(8.098266033901E1,1.095502E2,4.311724784545E0)); -#8712=VERTEX_POINT('',#8711); -#8713=CARTESIAN_POINT('',(7.998266041947E1,1.085497988574E2,4.311724784545E0)); -#8714=VERTEX_POINT('',#8713); -#8715=CARTESIAN_POINT('',(1.044878625206E2,1.095502E2,3.111724784545E0)); -#8716=CARTESIAN_POINT('',(1.054878624972E2,1.085499835486E2,3.111724784545E0)); -#8717=VERTEX_POINT('',#8715); -#8718=VERTEX_POINT('',#8716); -#8719=CARTESIAN_POINT('',(1.054878624972E2,1.085499835486E2,4.311724784545E0)); -#8720=VERTEX_POINT('',#8719); -#8721=CARTESIAN_POINT('',(1.044878625206E2,1.095502E2,4.311724784545E0)); -#8722=VERTEX_POINT('',#8721); -#8723=CARTESIAN_POINT('',(1.054751390074E2,4.976777554863E1,3.111724784545E0)); -#8724=CARTESIAN_POINT('',(1.044751390308E2,4.8767992E1,3.111724784545E0)); -#8725=VERTEX_POINT('',#8723); -#8726=VERTEX_POINT('',#8724); -#8727=CARTESIAN_POINT('',(1.044751390308E2,4.8767992E1,4.311724784545E0)); -#8728=VERTEX_POINT('',#8727); -#8729=CARTESIAN_POINT('',(1.054751390074E2,4.976777554863E1,4.311724784545E0)); -#8730=VERTEX_POINT('',#8729); -#8731=CARTESIAN_POINT('',(8.100624038897E1,4.8767992E1,3.111724784545E0)); -#8732=CARTESIAN_POINT('',(8.000624046943E1,4.976759085739E1,3.111724784545E0)); -#8733=VERTEX_POINT('',#8731); -#8734=VERTEX_POINT('',#8732); -#8735=CARTESIAN_POINT('',(8.000624046943E1,4.976759085739E1,4.311724784545E0)); -#8736=VERTEX_POINT('',#8735); -#8737=CARTESIAN_POINT('',(8.100624038897E1,4.8767992E1,4.311724784545E0)); -#8738=VERTEX_POINT('',#8737); -#8739=CARTESIAN_POINT('',(8.3619282E1,1.06429602E2,4.311724784545E0)); -#8740=CARTESIAN_POINT('',(8.1669583E1,1.06429602E2,4.311724784545E0)); -#8741=VERTEX_POINT('',#8739); -#8742=VERTEX_POINT('',#8740); -#8743=CARTESIAN_POINT('',(1.03596963E2,1.06426003E2,4.311724784545E0)); -#8744=CARTESIAN_POINT('',(1.01714615E2,1.06426003E2,4.311724784545E0)); -#8745=VERTEX_POINT('',#8743); -#8746=VERTEX_POINT('',#8744); -#8747=CARTESIAN_POINT('',(8.3619282E1,1.06429602E2,3.111724784545E0)); -#8748=CARTESIAN_POINT('',(8.1669583E1,1.06429602E2,3.111724784545E0)); -#8749=VERTEX_POINT('',#8747); -#8750=VERTEX_POINT('',#8748); -#8751=CARTESIAN_POINT('',(1.03596963E2,1.06426003E2,3.111724784545E0)); -#8752=CARTESIAN_POINT('',(1.01714615E2,1.06426003E2,3.111724784545E0)); -#8753=VERTEX_POINT('',#8751); -#8754=VERTEX_POINT('',#8752); -#8755=CARTESIAN_POINT('',(1.034531915E2,1.00711E2,4.311724784545E0)); -#8756=CARTESIAN_POINT('',(1.048563895E2,1.00711E2,4.311724784545E0)); -#8757=VERTEX_POINT('',#8755); -#8758=VERTEX_POINT('',#8756); -#8759=CARTESIAN_POINT('',(1.034531915E2,9.8171E1,4.311724784545E0)); -#8760=CARTESIAN_POINT('',(1.048563895E2,9.8171E1,4.311724784545E0)); -#8761=VERTEX_POINT('',#8759); -#8762=VERTEX_POINT('',#8760); -#8763=CARTESIAN_POINT('',(1.034531915E2,9.5631E1,4.311724784545E0)); -#8764=CARTESIAN_POINT('',(1.048563895E2,9.5631E1,4.311724784545E0)); -#8765=VERTEX_POINT('',#8763); -#8766=VERTEX_POINT('',#8764); -#8767=CARTESIAN_POINT('',(1.034531915E2,9.3091E1,4.311724784545E0)); -#8768=CARTESIAN_POINT('',(1.048563895E2,9.3091E1,4.311724784545E0)); -#8769=VERTEX_POINT('',#8767); -#8770=VERTEX_POINT('',#8768); -#8771=CARTESIAN_POINT('',(1.034531915E2,9.0551E1,4.311724784545E0)); -#8772=CARTESIAN_POINT('',(1.048563895E2,9.0551E1,4.311724784545E0)); -#8773=VERTEX_POINT('',#8771); -#8774=VERTEX_POINT('',#8772); -#8775=CARTESIAN_POINT('',(1.034531915E2,8.8011E1,4.311724784545E0)); -#8776=CARTESIAN_POINT('',(1.048563895E2,8.8011E1,4.311724784545E0)); -#8777=VERTEX_POINT('',#8775); -#8778=VERTEX_POINT('',#8776); -#8779=CARTESIAN_POINT('',(1.033531915E2,8.5471E1,4.311724784545E0)); -#8780=CARTESIAN_POINT('',(1.049563895E2,8.5471E1,4.311724784545E0)); -#8781=VERTEX_POINT('',#8779); -#8782=VERTEX_POINT('',#8780); -#8783=CARTESIAN_POINT('',(1.033531915E2,8.2931E1,4.311724784545E0)); -#8784=CARTESIAN_POINT('',(1.049563895E2,8.2931E1,4.311724784545E0)); -#8785=VERTEX_POINT('',#8783); -#8786=VERTEX_POINT('',#8784); -#8787=CARTESIAN_POINT('',(1.033531915E2,8.0391E1,4.311724784545E0)); -#8788=CARTESIAN_POINT('',(1.049563895E2,8.0391E1,4.311724784545E0)); -#8789=VERTEX_POINT('',#8787); -#8790=VERTEX_POINT('',#8788); -#8791=CARTESIAN_POINT('',(1.033531915E2,7.7851E1,4.311724784545E0)); -#8792=CARTESIAN_POINT('',(1.049563895E2,7.7851E1,4.311724784545E0)); -#8793=VERTEX_POINT('',#8791); -#8794=VERTEX_POINT('',#8792); -#8795=CARTESIAN_POINT('',(1.033531915E2,7.5311E1,4.311724784545E0)); -#8796=CARTESIAN_POINT('',(1.049563895E2,7.5311E1,4.311724784545E0)); -#8797=VERTEX_POINT('',#8795); -#8798=VERTEX_POINT('',#8796); -#8799=CARTESIAN_POINT('',(1.033531915E2,7.2771E1,4.311724784545E0)); -#8800=CARTESIAN_POINT('',(1.049563895E2,7.2771E1,4.311724784545E0)); -#8801=VERTEX_POINT('',#8799); -#8802=VERTEX_POINT('',#8800); -#8803=CARTESIAN_POINT('',(1.034531915E2,1.00711E2,3.111724784545E0)); -#8804=CARTESIAN_POINT('',(1.048563895E2,1.00711E2,3.111724784545E0)); -#8805=VERTEX_POINT('',#8803); -#8806=VERTEX_POINT('',#8804); -#8807=CARTESIAN_POINT('',(1.034531915E2,9.8171E1,3.111724784545E0)); -#8808=CARTESIAN_POINT('',(1.048563895E2,9.8171E1,3.111724784545E0)); -#8809=VERTEX_POINT('',#8807); -#8810=VERTEX_POINT('',#8808); -#8811=CARTESIAN_POINT('',(1.034531915E2,9.5631E1,3.111724784545E0)); -#8812=CARTESIAN_POINT('',(1.048563895E2,9.5631E1,3.111724784545E0)); -#8813=VERTEX_POINT('',#8811); -#8814=VERTEX_POINT('',#8812); -#8815=CARTESIAN_POINT('',(1.034531915E2,9.3091E1,3.111724784545E0)); -#8816=CARTESIAN_POINT('',(1.048563895E2,9.3091E1,3.111724784545E0)); -#8817=VERTEX_POINT('',#8815); -#8818=VERTEX_POINT('',#8816); -#8819=CARTESIAN_POINT('',(1.034531915E2,9.0551E1,3.111724784545E0)); -#8820=CARTESIAN_POINT('',(1.048563895E2,9.0551E1,3.111724784545E0)); -#8821=VERTEX_POINT('',#8819); -#8822=VERTEX_POINT('',#8820); -#8823=CARTESIAN_POINT('',(1.034531915E2,8.8011E1,3.111724784545E0)); -#8824=CARTESIAN_POINT('',(1.048563895E2,8.8011E1,3.111724784545E0)); -#8825=VERTEX_POINT('',#8823); -#8826=VERTEX_POINT('',#8824); -#8827=CARTESIAN_POINT('',(1.033531915E2,8.5471E1,3.111724784545E0)); -#8828=CARTESIAN_POINT('',(1.049563895E2,8.5471E1,3.111724784545E0)); -#8829=VERTEX_POINT('',#8827); -#8830=VERTEX_POINT('',#8828); -#8831=CARTESIAN_POINT('',(1.033531915E2,8.2931E1,3.111724784545E0)); -#8832=CARTESIAN_POINT('',(1.049563895E2,8.2931E1,3.111724784545E0)); -#8833=VERTEX_POINT('',#8831); -#8834=VERTEX_POINT('',#8832); -#8835=CARTESIAN_POINT('',(1.033531915E2,8.0391E1,3.111724784545E0)); -#8836=CARTESIAN_POINT('',(1.049563895E2,8.0391E1,3.111724784545E0)); -#8837=VERTEX_POINT('',#8835); -#8838=VERTEX_POINT('',#8836); -#8839=CARTESIAN_POINT('',(1.033531915E2,7.7851E1,3.111724784545E0)); -#8840=CARTESIAN_POINT('',(1.049563895E2,7.7851E1,3.111724784545E0)); -#8841=VERTEX_POINT('',#8839); -#8842=VERTEX_POINT('',#8840); -#8843=CARTESIAN_POINT('',(1.033531915E2,7.5311E1,3.111724784545E0)); -#8844=CARTESIAN_POINT('',(1.049563895E2,7.5311E1,3.111724784545E0)); -#8845=VERTEX_POINT('',#8843); -#8846=VERTEX_POINT('',#8844); -#8847=CARTESIAN_POINT('',(1.033531915E2,7.2771E1,3.111724784545E0)); -#8848=CARTESIAN_POINT('',(1.049563895E2,7.2771E1,3.111724784545E0)); -#8849=VERTEX_POINT('',#8847); -#8850=VERTEX_POINT('',#8848); -#8851=CARTESIAN_POINT('',(8.04931915E1,1.00711399E2,4.311724784545E0)); -#8852=CARTESIAN_POINT('',(8.20963895E1,1.00711399E2,4.311724784545E0)); -#8853=VERTEX_POINT('',#8851); -#8854=VERTEX_POINT('',#8852); -#8855=CARTESIAN_POINT('',(8.05931915E1,9.8171399E1,4.311724784545E0)); -#8856=CARTESIAN_POINT('',(8.19963895E1,9.8171399E1,4.311724784545E0)); -#8857=VERTEX_POINT('',#8855); -#8858=VERTEX_POINT('',#8856); -#8859=CARTESIAN_POINT('',(8.05931915E1,9.5631399E1,4.311724784545E0)); -#8860=CARTESIAN_POINT('',(8.19963895E1,9.5631399E1,4.311724784545E0)); -#8861=VERTEX_POINT('',#8859); -#8862=VERTEX_POINT('',#8860); -#8863=CARTESIAN_POINT('',(8.05931915E1,9.3091399E1,4.311724784545E0)); -#8864=CARTESIAN_POINT('',(8.19963895E1,9.3091399E1,4.311724784545E0)); -#8865=VERTEX_POINT('',#8863); -#8866=VERTEX_POINT('',#8864); -#8867=CARTESIAN_POINT('',(8.05931915E1,9.0551399E1,4.311724784545E0)); -#8868=CARTESIAN_POINT('',(8.19963895E1,9.0551399E1,4.311724784545E0)); -#8869=VERTEX_POINT('',#8867); -#8870=VERTEX_POINT('',#8868); -#8871=CARTESIAN_POINT('',(8.05931915E1,8.8011399E1,4.311724784545E0)); -#8872=CARTESIAN_POINT('',(8.19963895E1,8.8011399E1,4.311724784545E0)); -#8873=VERTEX_POINT('',#8871); -#8874=VERTEX_POINT('',#8872); -#8875=CARTESIAN_POINT('',(8.05931915E1,8.5471399E1,4.311724784545E0)); -#8876=CARTESIAN_POINT('',(8.19963895E1,8.5471399E1,4.311724784545E0)); -#8877=VERTEX_POINT('',#8875); -#8878=VERTEX_POINT('',#8876); -#8879=CARTESIAN_POINT('',(8.05931915E1,8.2931399E1,4.311724784545E0)); -#8880=CARTESIAN_POINT('',(8.19963895E1,8.2931399E1,4.311724784545E0)); -#8881=VERTEX_POINT('',#8879); -#8882=VERTEX_POINT('',#8880); -#8883=CARTESIAN_POINT('',(8.05931915E1,8.0391399E1,4.311724784545E0)); -#8884=CARTESIAN_POINT('',(8.19963895E1,8.0391399E1,4.311724784545E0)); -#8885=VERTEX_POINT('',#8883); -#8886=VERTEX_POINT('',#8884); -#8887=CARTESIAN_POINT('',(8.05931915E1,7.7851399E1,4.311724784545E0)); -#8888=CARTESIAN_POINT('',(8.19963895E1,7.7851399E1,4.311724784545E0)); -#8889=VERTEX_POINT('',#8887); -#8890=VERTEX_POINT('',#8888); -#8891=CARTESIAN_POINT('',(8.05931915E1,7.5311399E1,4.311724784545E0)); -#8892=CARTESIAN_POINT('',(8.19963895E1,7.5311399E1,4.311724784545E0)); -#8893=VERTEX_POINT('',#8891); -#8894=VERTEX_POINT('',#8892); -#8895=CARTESIAN_POINT('',(8.05931915E1,7.2771399E1,4.311724784545E0)); -#8896=CARTESIAN_POINT('',(8.19963895E1,7.2771399E1,4.311724784545E0)); -#8897=VERTEX_POINT('',#8895); -#8898=VERTEX_POINT('',#8896); -#8899=CARTESIAN_POINT('',(8.04931915E1,1.00711399E2,3.111724784545E0)); -#8900=CARTESIAN_POINT('',(8.20963895E1,1.00711399E2,3.111724784545E0)); -#8901=VERTEX_POINT('',#8899); -#8902=VERTEX_POINT('',#8900); -#8903=CARTESIAN_POINT('',(8.05931915E1,9.8171399E1,3.111724784545E0)); -#8904=CARTESIAN_POINT('',(8.19963895E1,9.8171399E1,3.111724784545E0)); -#8905=VERTEX_POINT('',#8903); -#8906=VERTEX_POINT('',#8904); -#8907=CARTESIAN_POINT('',(8.05931915E1,9.5631399E1,3.111724784545E0)); -#8908=CARTESIAN_POINT('',(8.19963895E1,9.5631399E1,3.111724784545E0)); -#8909=VERTEX_POINT('',#8907); -#8910=VERTEX_POINT('',#8908); -#8911=CARTESIAN_POINT('',(8.05931915E1,9.3091399E1,3.111724784545E0)); -#8912=CARTESIAN_POINT('',(8.19963895E1,9.3091399E1,3.111724784545E0)); -#8913=VERTEX_POINT('',#8911); -#8914=VERTEX_POINT('',#8912); -#8915=CARTESIAN_POINT('',(8.05931915E1,9.0551399E1,3.111724784545E0)); -#8916=CARTESIAN_POINT('',(8.19963895E1,9.0551399E1,3.111724784545E0)); -#8917=VERTEX_POINT('',#8915); -#8918=VERTEX_POINT('',#8916); -#8919=CARTESIAN_POINT('',(8.05931915E1,8.8011399E1,3.111724784545E0)); -#8920=CARTESIAN_POINT('',(8.19963895E1,8.8011399E1,3.111724784545E0)); -#8921=VERTEX_POINT('',#8919); -#8922=VERTEX_POINT('',#8920); -#8923=CARTESIAN_POINT('',(8.05931915E1,8.5471399E1,3.111724784545E0)); -#8924=CARTESIAN_POINT('',(8.19963895E1,8.5471399E1,3.111724784545E0)); -#8925=VERTEX_POINT('',#8923); -#8926=VERTEX_POINT('',#8924); -#8927=CARTESIAN_POINT('',(8.05931915E1,8.2931399E1,3.111724784545E0)); -#8928=CARTESIAN_POINT('',(8.19963895E1,8.2931399E1,3.111724784545E0)); -#8929=VERTEX_POINT('',#8927); -#8930=VERTEX_POINT('',#8928); -#8931=CARTESIAN_POINT('',(8.05931915E1,8.0391399E1,3.111724784545E0)); -#8932=CARTESIAN_POINT('',(8.19963895E1,8.0391399E1,3.111724784545E0)); -#8933=VERTEX_POINT('',#8931); -#8934=VERTEX_POINT('',#8932); -#8935=CARTESIAN_POINT('',(8.05931915E1,7.7851399E1,3.111724784545E0)); -#8936=CARTESIAN_POINT('',(8.19963895E1,7.7851399E1,3.111724784545E0)); -#8937=VERTEX_POINT('',#8935); -#8938=VERTEX_POINT('',#8936); -#8939=CARTESIAN_POINT('',(8.05931915E1,7.5311399E1,3.111724784545E0)); -#8940=CARTESIAN_POINT('',(8.19963895E1,7.5311399E1,3.111724784545E0)); -#8941=VERTEX_POINT('',#8939); -#8942=VERTEX_POINT('',#8940); -#8943=CARTESIAN_POINT('',(8.05931915E1,7.2771399E1,3.111724784545E0)); -#8944=CARTESIAN_POINT('',(8.19963895E1,7.2771399E1,3.111724784545E0)); -#8945=VERTEX_POINT('',#8943); -#8946=VERTEX_POINT('',#8944); -#8947=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,1.111724784545E0)); -#8948=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.111724784545E0)); -#8949=VERTEX_POINT('',#8947); -#8950=VERTEX_POINT('',#8948); -#8951=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,1.111724784545E0)); -#8952=VERTEX_POINT('',#8951); -#8953=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,1.111724784545E0)); -#8954=VERTEX_POINT('',#8953); -#8955=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,3.111724784545E0)); -#8956=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,3.111724784545E0)); -#8957=VERTEX_POINT('',#8955); -#8958=VERTEX_POINT('',#8956); -#8959=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,3.111724784545E0)); -#8960=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,3.111724784545E0)); -#8961=VERTEX_POINT('',#8959); -#8962=VERTEX_POINT('',#8960); -#8963=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,2.611724784545E0)); -#8964=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,2.611724784545E0)); -#8965=VERTEX_POINT('',#8963); -#8966=VERTEX_POINT('',#8964); -#8967=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,2.611724784545E0)); -#8968=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,2.611724784545E0)); -#8969=VERTEX_POINT('',#8967); -#8970=VERTEX_POINT('',#8968); -#8971=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,3.111724784545E0)); -#8972=CARTESIAN_POINT('',(8.348498713377E1,8.5605734E1,3.111724784545E0)); -#8973=VERTEX_POINT('',#8971); -#8974=VERTEX_POINT('',#8972); -#8975=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,3.111724784545E0)); -#8976=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,3.111724784545E0)); -#8977=VERTEX_POINT('',#8975); -#8978=VERTEX_POINT('',#8976); -#8979=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,3.111724784545E0)); -#8980=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,3.111724784545E0)); -#8981=VERTEX_POINT('',#8979); -#8982=VERTEX_POINT('',#8980); -#8983=CARTESIAN_POINT('',(1.019849871338E2,8.4002435E1,3.111724784545E0)); -#8984=VERTEX_POINT('',#8983); -#8985=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,3.111724784545E0)); -#8986=VERTEX_POINT('',#8985); -#8987=CARTESIAN_POINT('',(1.019849871338E2,8.4002435E1,2.611724784545E0)); -#8988=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,2.611724784545E0)); -#8989=VERTEX_POINT('',#8987); -#8990=VERTEX_POINT('',#8988); -#8991=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,2.611724784545E0)); -#8992=CARTESIAN_POINT('',(8.348498713377E1,8.5605734E1,2.611724784545E0)); -#8993=VERTEX_POINT('',#8991); -#8994=VERTEX_POINT('',#8992); -#8995=CARTESIAN_POINT('',(9.7072392E1,5.5067992E1,1.251724784545E0)); -#8996=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,-4.827521545509E-2)); -#8997=VERTEX_POINT('',#8995); -#8998=VERTEX_POINT('',#8996); -#8999=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,-4.827521545509E-2)); -#9000=CARTESIAN_POINT('',(8.8122392E1,5.5067992E1,1.251724784545E0)); -#9001=VERTEX_POINT('',#8999); -#9002=VERTEX_POINT('',#9000); -#9003=CARTESIAN_POINT('',(8.8122392E1,5.5067992E1,1.811724784545E0)); -#9004=VERTEX_POINT('',#9003); -#9005=CARTESIAN_POINT('',(9.7072392E1,5.5067992E1,1.811724784545E0)); -#9006=VERTEX_POINT('',#9005); -#9007=CARTESIAN_POINT('',(8.8122392E1,4.7567992E1,1.251724784545E0)); -#9008=CARTESIAN_POINT('',(8.8122392E1,4.7567992E1,1.811724784545E0)); -#9009=VERTEX_POINT('',#9007); -#9010=VERTEX_POINT('',#9008); -#9011=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,-4.827521545509E-2)); -#9012=VERTEX_POINT('',#9011); -#9013=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,3.111724784545E0)); -#9014=VERTEX_POINT('',#9013); -#9015=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,-4.827521545509E-2)); -#9016=VERTEX_POINT('',#9015); -#9017=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,3.111724784545E0)); -#9018=VERTEX_POINT('',#9017); -#9019=CARTESIAN_POINT('',(9.7072392E1,4.7567992E1,1.251724784545E0)); -#9020=VERTEX_POINT('',#9019); -#9021=CARTESIAN_POINT('',(9.7072392E1,4.7567992E1,1.811724784545E0)); -#9022=VERTEX_POINT('',#9021); -#9023=CARTESIAN_POINT('',(9.6772392E1,5.4767992E1,1.251724784545E0)); -#9024=CARTESIAN_POINT('',(9.5772392E1,5.4767992E1,2.517247845449E-1)); -#9025=VERTEX_POINT('',#9023); -#9026=VERTEX_POINT('',#9024); -#9027=CARTESIAN_POINT('',(9.5772392E1,5.4767992E1,2.811724784545E0)); -#9028=CARTESIAN_POINT('',(9.6772392E1,5.4767992E1,1.811724784545E0)); -#9029=VERTEX_POINT('',#9027); -#9030=VERTEX_POINT('',#9028); -#9031=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,2.517247845449E-1)); -#9032=CARTESIAN_POINT('',(8.8422392E1,5.4767992E1,1.251724784545E0)); -#9033=VERTEX_POINT('',#9031); -#9034=VERTEX_POINT('',#9032); -#9035=CARTESIAN_POINT('',(8.8422392E1,5.4767992E1,1.811724784545E0)); -#9036=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,2.811724784545E0)); -#9037=VERTEX_POINT('',#9035); -#9038=VERTEX_POINT('',#9036); -#9039=CARTESIAN_POINT('',(8.8422392E1,4.7567992E1,1.251724784545E0)); -#9040=CARTESIAN_POINT('',(8.8422392E1,4.7567992E1,1.811724784545E0)); -#9041=VERTEX_POINT('',#9039); -#9042=VERTEX_POINT('',#9040); -#9043=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,2.517247845449E-1)); -#9044=VERTEX_POINT('',#9043); -#9045=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,2.811724784545E0)); -#9046=VERTEX_POINT('',#9045); -#9047=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,2.517247845449E-1)); -#9048=VERTEX_POINT('',#9047); -#9049=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,2.811724784545E0)); -#9050=VERTEX_POINT('',#9049); -#9051=CARTESIAN_POINT('',(9.6772392E1,4.7567992E1,1.251724784545E0)); -#9052=VERTEX_POINT('',#9051); -#9053=CARTESIAN_POINT('',(9.6772392E1,4.7567992E1,1.811724784545E0)); -#9054=VERTEX_POINT('',#9053); -#9055=CARTESIAN_POINT('',(8.9422392E1,4.8767992E1,3.111724784545E0)); -#9056=CARTESIAN_POINT('',(9.5772392E1,4.8767992E1,3.111724784545E0)); -#9057=VERTEX_POINT('',#9055); -#9058=VERTEX_POINT('',#9056); -#9059=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,2.811724784545E0)); -#9060=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,2.811724784545E0)); -#9061=VERTEX_POINT('',#9059); -#9062=VERTEX_POINT('',#9060); -#9063=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,3.111724784545E0)); -#9064=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,3.111724784545E0)); -#9065=VERTEX_POINT('',#9063); -#9066=VERTEX_POINT('',#9064); -#9067=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.111724784545E0)); -#9068=VERTEX_POINT('',#9067); -#9069=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,3.111724784545E0)); -#9070=VERTEX_POINT('',#9069); -#9071=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,3.111724784545E0)); -#9072=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.111724784545E0)); -#9073=VERTEX_POINT('',#9071); -#9074=VERTEX_POINT('',#9072); -#9075=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,2.811724784545E0)); -#9076=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,2.811724784545E0)); -#9077=VERTEX_POINT('',#9075); -#9078=VERTEX_POINT('',#9076); -#9079=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.039736364295E0)); -#9080=VERTEX_POINT('',#9079); -#9081=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.039736016904E0)); -#9082=VERTEX_POINT('',#9081); -#9083=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,3.111724784545E0)); -#9084=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,3.111724784545E0)); -#9085=VERTEX_POINT('',#9083); -#9086=VERTEX_POINT('',#9084); -#9087=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,3.111724784545E0)); -#9088=VERTEX_POINT('',#9087); -#9089=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,3.111724784545E0)); -#9090=VERTEX_POINT('',#9089); -#9091=CARTESIAN_POINT('',(8.30967915E1,5.21372005E1,1.611724784545E0)); -#9092=CARTESIAN_POINT('',(8.30967915E1,5.00372005E1,1.611724784545E0)); -#9093=VERTEX_POINT('',#9091); -#9094=VERTEX_POINT('',#9092); -#9095=CARTESIAN_POINT('',(8.37967915E1,5.00372005E1,1.611724784545E0)); -#9096=VERTEX_POINT('',#9095); -#9097=CARTESIAN_POINT('',(8.37967915E1,5.21372005E1,1.611724784545E0)); -#9098=VERTEX_POINT('',#9097); -#9099=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,1.611724784545E0)); -#9100=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,1.611724784545E0)); -#9101=VERTEX_POINT('',#9099); -#9102=VERTEX_POINT('',#9100); -#9103=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,1.611724784545E0)); -#9104=VERTEX_POINT('',#9103); -#9105=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,1.611724784545E0)); -#9106=VERTEX_POINT('',#9105); -#9107=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,3.111724784545E0)); -#9108=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,3.111724784545E0)); -#9109=VERTEX_POINT('',#9107); -#9110=VERTEX_POINT('',#9108); -#9111=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,3.111724784545E0)); -#9112=VERTEX_POINT('',#9111); -#9113=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,3.111724784545E0)); -#9114=VERTEX_POINT('',#9113); -#9115=CARTESIAN_POINT('',(1.01194792E2,5.20199995E1,1.611724784545E0)); -#9116=CARTESIAN_POINT('',(1.01194792E2,4.99199995E1,1.611724784545E0)); -#9117=VERTEX_POINT('',#9115); -#9118=VERTEX_POINT('',#9116); -#9119=CARTESIAN_POINT('',(1.01894792E2,4.99199995E1,1.611724784545E0)); -#9120=VERTEX_POINT('',#9119); -#9121=CARTESIAN_POINT('',(1.01894792E2,5.20199995E1,1.611724784545E0)); -#9122=VERTEX_POINT('',#9121); -#9123=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,1.611724784545E0)); -#9124=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,1.611724784545E0)); -#9125=VERTEX_POINT('',#9123); -#9126=VERTEX_POINT('',#9124); -#9127=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,1.611724784545E0)); -#9128=VERTEX_POINT('',#9127); -#9129=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,1.611724784545E0)); -#9130=VERTEX_POINT('',#9129); -#9131=CARTESIAN_POINT('',(1.01894792E2,5.02199995E1,6.117247845449E-1)); -#9132=CARTESIAN_POINT('',(1.01194792E2,5.02199995E1,6.117247845449E-1)); -#9133=VERTEX_POINT('',#9131); -#9134=VERTEX_POINT('',#9132); -#9135=CARTESIAN_POINT('',(1.018947919997E2,4.99199995E1,9.117247845449E-1)); -#9136=CARTESIAN_POINT('',(1.011947920020E2,4.99199995E1,9.117247845449E-1)); -#9137=VERTEX_POINT('',#9135); -#9138=VERTEX_POINT('',#9136); -#9139=CARTESIAN_POINT('',(1.01194792E2,5.17199995E1,6.117247845449E-1)); -#9140=VERTEX_POINT('',#9139); -#9141=CARTESIAN_POINT('',(1.01194792E2,5.20199995E1,9.117247845449E-1)); -#9142=VERTEX_POINT('',#9141); -#9143=CARTESIAN_POINT('',(1.01894792E2,5.17199995E1,6.117247845449E-1)); -#9144=VERTEX_POINT('',#9143); -#9145=CARTESIAN_POINT('',(1.018947919980E2,5.20199995E1,9.117247845449E-1)); -#9146=VERTEX_POINT('',#9145); -#9147=CARTESIAN_POINT('',(8.37967915E1,5.03372005E1,6.117247845449E-1)); -#9148=CARTESIAN_POINT('',(8.30967915E1,5.03372005E1,6.117247845449E-1)); -#9149=VERTEX_POINT('',#9147); -#9150=VERTEX_POINT('',#9148); -#9151=CARTESIAN_POINT('',(8.379679149975E1,5.00372005E1,9.117247845449E-1)); -#9152=CARTESIAN_POINT('',(8.309679150201E1,5.00372005E1,9.117247845449E-1)); -#9153=VERTEX_POINT('',#9151); -#9154=VERTEX_POINT('',#9152); -#9155=CARTESIAN_POINT('',(8.30967915E1,5.18372005E1,6.117247845449E-1)); -#9156=VERTEX_POINT('',#9155); -#9157=CARTESIAN_POINT('',(8.30967915E1,5.21372005E1,9.117247845449E-1)); -#9158=VERTEX_POINT('',#9157); -#9159=CARTESIAN_POINT('',(8.37967915E1,5.18372005E1,6.117247845449E-1)); -#9160=VERTEX_POINT('',#9159); -#9161=CARTESIAN_POINT('',(8.379679149799E1,5.21372005E1,9.117247845449E-1)); -#9162=VERTEX_POINT('',#9161); -#9163=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,7.111724784545E0)); -#9164=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,7.111724784545E0)); -#9165=VERTEX_POINT('',#9163); -#9166=VERTEX_POINT('',#9164); -#9167=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,7.111724784545E0)); -#9168=VERTEX_POINT('',#9167); -#9169=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,7.111724784545E0)); -#9170=VERTEX_POINT('',#9169); -#9171=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,4.311724784545E0)); -#9172=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,4.311724784545E0)); -#9173=VERTEX_POINT('',#9171); -#9174=VERTEX_POINT('',#9172); -#9175=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,4.311724784545E0)); -#9176=VERTEX_POINT('',#9175); -#9177=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,4.311724784545E0)); -#9178=VERTEX_POINT('',#9177); -#9179=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.811724784545E0)); -#9180=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.811724784545E0)); -#9181=VERTEX_POINT('',#9179); -#9182=VERTEX_POINT('',#9180); -#9183=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.811724784545E0)); -#9184=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.811724784545E0)); -#9185=VERTEX_POINT('',#9183); -#9186=VERTEX_POINT('',#9184); -#9187=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.811724784545E0)); -#9188=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.811724784545E0)); -#9189=VERTEX_POINT('',#9187); -#9190=VERTEX_POINT('',#9188); -#9191=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.811724784545E0)); -#9192=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.811724784545E0)); -#9193=VERTEX_POINT('',#9191); -#9194=VERTEX_POINT('',#9192); -#9195=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.311724784545E0)); -#9196=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.311724784545E0)); -#9197=VERTEX_POINT('',#9195); -#9198=VERTEX_POINT('',#9196); -#9199=CARTESIAN_POINT('',(1.02432462E2,5.3689809E1,4.311724784545E0)); -#9200=VERTEX_POINT('',#9199); -#9201=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.311724784545E0)); -#9202=VERTEX_POINT('',#9201); -#9203=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.311724784545E0)); -#9204=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.311724784545E0)); -#9205=VERTEX_POINT('',#9203); -#9206=VERTEX_POINT('',#9204); -#9207=CARTESIAN_POINT('',(1.01432464E2,5.3689809E1,4.311724784545E0)); -#9208=VERTEX_POINT('',#9207); -#9209=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.311724784545E0)); -#9210=VERTEX_POINT('',#9209); -#9211=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.311724784545E0)); -#9212=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.311724784545E0)); -#9213=VERTEX_POINT('',#9211); -#9214=VERTEX_POINT('',#9212); -#9215=CARTESIAN_POINT('',(1.00432466E2,5.3689809E1,4.311724784545E0)); -#9216=VERTEX_POINT('',#9215); -#9217=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.311724784545E0)); -#9218=VERTEX_POINT('',#9217); -#9219=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.311724784545E0)); -#9220=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.311724784545E0)); -#9221=VERTEX_POINT('',#9219); -#9222=VERTEX_POINT('',#9220); -#9223=CARTESIAN_POINT('',(9.9432468E1,5.3689809E1,4.311724784545E0)); -#9224=VERTEX_POINT('',#9223); -#9225=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.311724784545E0)); -#9226=VERTEX_POINT('',#9225); -#9227=CARTESIAN_POINT('',(9.9432468E1,5.3689809E1,4.811724784545E0)); -#9228=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.811724784545E0)); -#9229=VERTEX_POINT('',#9227); -#9230=VERTEX_POINT('',#9228); -#9231=CARTESIAN_POINT('',(1.00432466E2,5.3689809E1,4.811724784545E0)); -#9232=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.811724784545E0)); -#9233=VERTEX_POINT('',#9231); -#9234=VERTEX_POINT('',#9232); -#9235=CARTESIAN_POINT('',(1.01432464E2,5.3689809E1,4.811724784545E0)); -#9236=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.811724784545E0)); -#9237=VERTEX_POINT('',#9235); -#9238=VERTEX_POINT('',#9236); -#9239=CARTESIAN_POINT('',(1.02432462E2,5.3689809E1,4.811724784545E0)); -#9240=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.811724784545E0)); -#9241=VERTEX_POINT('',#9239); -#9242=VERTEX_POINT('',#9240); -#9243=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,4.861724784545E0)); -#9244=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,4.861724784545E0)); -#9245=VERTEX_POINT('',#9243); -#9246=VERTEX_POINT('',#9244); -#9247=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,6.711724784545E0)); -#9248=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,6.711724784545E0)); -#9249=VERTEX_POINT('',#9247); -#9250=VERTEX_POINT('',#9248); -#9251=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,4.861724784545E0)); -#9252=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,4.861724784545E0)); -#9253=VERTEX_POINT('',#9251); -#9254=VERTEX_POINT('',#9252); -#9255=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.711724784545E0)); -#9256=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.711724784545E0)); -#9257=VERTEX_POINT('',#9255); -#9258=VERTEX_POINT('',#9256); -#9259=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,6.311724784545E0)); -#9260=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,5.811724784545E0)); -#9261=VERTEX_POINT('',#9259); -#9262=VERTEX_POINT('',#9260); -#9263=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,5.811724784545E0)); -#9264=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,6.311724784545E0)); -#9265=VERTEX_POINT('',#9263); -#9266=VERTEX_POINT('',#9264); -#9267=CARTESIAN_POINT('',(9.86219995E1,4.8990809E1,6.311724784545E0)); -#9268=CARTESIAN_POINT('',(9.86219995E1,4.8990809E1,5.811724784545E0)); -#9269=VERTEX_POINT('',#9267); -#9270=VERTEX_POINT('',#9268); -#9271=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,5.811724784545E0)); -#9272=VERTEX_POINT('',#9271); -#9273=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,5.811724784545E0)); -#9274=CARTESIAN_POINT('',(1.038219995E2,4.8990809E1,5.811724784545E0)); -#9275=VERTEX_POINT('',#9273); -#9276=VERTEX_POINT('',#9274); -#9277=CARTESIAN_POINT('',(1.038219995E2,4.8990809E1,6.311724784545E0)); -#9278=VERTEX_POINT('',#9277); -#9279=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.311724784545E0)); -#9280=VERTEX_POINT('',#9279); -#9281=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.311724784545E0)); -#9282=VERTEX_POINT('',#9281); -#9283=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,5.811724784545E0)); -#9284=VERTEX_POINT('',#9283); -#9285=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,6.311724784545E0)); -#9286=VERTEX_POINT('',#9285); -#9287=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,5.811724784545E0)); -#9288=VERTEX_POINT('',#9287); -#9289=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,6.311724784545E0)); -#9290=VERTEX_POINT('',#9289); -#9291=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,4.311724784545E0)); -#9292=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,4.311724784545E0)); -#9293=VERTEX_POINT('',#9291); -#9294=VERTEX_POINT('',#9292); -#9295=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,4.311724784545E0)); -#9296=VERTEX_POINT('',#9295); -#9297=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,4.311724784545E0)); -#9298=VERTEX_POINT('',#9297); -#9299=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,4.311724784545E0)); -#9300=VERTEX_POINT('',#9299); -#9301=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,4.311724784545E0)); -#9302=VERTEX_POINT('',#9301); -#9303=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,4.311724784545E0)); -#9304=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,4.311724784545E0)); -#9305=VERTEX_POINT('',#9303); -#9306=VERTEX_POINT('',#9304); -#9307=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,4.311724784545E0)); -#9308=VERTEX_POINT('',#9307); -#9309=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,4.311724784545E0)); -#9310=VERTEX_POINT('',#9309); -#9311=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.811724784545E0)); -#9312=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.811724784545E0)); -#9313=VERTEX_POINT('',#9311); -#9314=VERTEX_POINT('',#9312); -#9315=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.811724784545E0)); -#9316=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.811724784545E0)); -#9317=VERTEX_POINT('',#9315); -#9318=VERTEX_POINT('',#9316); -#9319=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.311724784545E0)); -#9320=CARTESIAN_POINT('',(9.3633191E1,4.991800209900E1,4.311724784545E0)); -#9321=VERTEX_POINT('',#9319); -#9322=VERTEX_POINT('',#9320); -#9323=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.311724784545E0)); -#9324=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.311724784545E0)); -#9325=VERTEX_POINT('',#9323); -#9326=VERTEX_POINT('',#9324); -#9327=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.311724784545E0)); -#9328=CARTESIAN_POINT('',(9.2363193E1,4.990807964741E1,4.311724784545E0)); -#9329=VERTEX_POINT('',#9327); -#9330=VERTEX_POINT('',#9328); -#9331=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.311724784545E0)); -#9332=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.311724784545E0)); -#9333=VERTEX_POINT('',#9331); -#9334=VERTEX_POINT('',#9332); -#9335=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.811724784545E0)); -#9336=CARTESIAN_POINT('',(9.2363193E1,4.990807964741E1,4.811724784545E0)); -#9337=VERTEX_POINT('',#9335); -#9338=VERTEX_POINT('',#9336); -#9339=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.811724784545E0)); -#9340=CARTESIAN_POINT('',(9.3633191E1,4.991800209900E1,4.811724784545E0)); -#9341=VERTEX_POINT('',#9339); -#9342=VERTEX_POINT('',#9340); -#9343=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.111724784545E0)); -#9344=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,7.111724784545E0)); -#9345=VERTEX_POINT('',#9343); -#9346=VERTEX_POINT('',#9344); -#9347=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,7.111724784545E0)); -#9348=VERTEX_POINT('',#9347); -#9349=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,7.111724784545E0)); -#9350=VERTEX_POINT('',#9349); -#9351=CARTESIAN_POINT('',(9.0183193E1,4.989104738086E1,7.111724784545E0)); -#9352=VERTEX_POINT('',#9351); -#9353=CARTESIAN_POINT('',(9.5083191E1,4.992933090015E1,7.111724784545E0)); -#9354=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,7.111724784545E0)); -#9355=VERTEX_POINT('',#9353); -#9356=VERTEX_POINT('',#9354); -#9357=CARTESIAN_POINT('',(9.5083191E1,4.992933090015E1,7.811724784545E0)); -#9358=VERTEX_POINT('',#9357); -#9359=CARTESIAN_POINT('',(9.0183193E1,4.989104738086E1,7.811724784545E0)); -#9360=VERTEX_POINT('',#9359); -#9361=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,7.111724784545E0)); -#9362=VERTEX_POINT('',#9361); -#9363=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,7.111724784545E0)); -#9364=VERTEX_POINT('',#9363); -#9365=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,7.111724784545E0)); -#9366=VERTEX_POINT('',#9365); -#9367=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.811724784545E0)); -#9368=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,7.811724784545E0)); -#9369=VERTEX_POINT('',#9367); -#9370=VERTEX_POINT('',#9368); -#9371=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,7.411724784545E0)); -#9372=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.411724784545E0)); -#9373=VERTEX_POINT('',#9371); -#9374=VERTEX_POINT('',#9372); -#9375=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,7.411724784545E0)); -#9376=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,7.411724784545E0)); -#9377=VERTEX_POINT('',#9375); -#9378=VERTEX_POINT('',#9376); -#9379=CARTESIAN_POINT('',(9.4683191E1,5.1534799E1,7.411724784545E0)); -#9380=CARTESIAN_POINT('',(9.4683191E1,5.1534799E1,4.711724784545E0)); -#9381=VERTEX_POINT('',#9379); -#9382=VERTEX_POINT('',#9380); -#9383=CARTESIAN_POINT('',(9.0583193E1,5.1534799E1,4.711724784545E0)); -#9384=VERTEX_POINT('',#9383); -#9385=CARTESIAN_POINT('',(9.0583193E1,5.1534799E1,7.411724784545E0)); -#9386=VERTEX_POINT('',#9385); -#9387=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,7.411724784545E0)); -#9388=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,4.711724784545E0)); -#9389=VERTEX_POINT('',#9387); -#9390=VERTEX_POINT('',#9388); -#9391=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,4.711724784545E0)); -#9392=VERTEX_POINT('',#9391); -#9393=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,7.411724784545E0)); -#9394=VERTEX_POINT('',#9393); -#9395=CARTESIAN_POINT('',(9.4683191E1,5.3041801E1,7.411724784545E0)); -#9396=VERTEX_POINT('',#9395); -#9397=CARTESIAN_POINT('',(9.0583193E1,5.3041801E1,7.411724784545E0)); -#9398=VERTEX_POINT('',#9397); -#9399=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,6.111724784545E0)); -#9400=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,6.111724784545E0)); -#9401=VERTEX_POINT('',#9399); -#9402=VERTEX_POINT('',#9400); -#9403=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,6.111724784545E0)); -#9404=VERTEX_POINT('',#9403); -#9405=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,6.111724784545E0)); -#9406=VERTEX_POINT('',#9405); -#9407=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,4.311724784545E0)); -#9408=VERTEX_POINT('',#9407); -#9409=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,4.311724784545E0)); -#9410=VERTEX_POINT('',#9409); -#9411=CARTESIAN_POINT('',(1.060727934022E2,5.815540148696E1,5.711724784545E0)); -#9412=CARTESIAN_POINT('',(1.060727934022E2,5.815540148696E1,4.911724784545E0)); -#9413=VERTEX_POINT('',#9411); -#9414=VERTEX_POINT('',#9412); -#9415=CARTESIAN_POINT('',(1.060727925978E2,6.000540148696E1,4.911724784545E0)); -#9416=VERTEX_POINT('',#9415); -#9417=CARTESIAN_POINT('',(1.060727925978E2,6.000540148696E1,5.711724784545E0)); -#9418=VERTEX_POINT('',#9417); -#9419=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,5.711724784545E0)); -#9420=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,4.911724784545E0)); -#9421=VERTEX_POINT('',#9419); -#9422=VERTEX_POINT('',#9420); -#9423=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,4.911724784545E0)); -#9424=VERTEX_POINT('',#9423); -#9425=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,5.711724784545E0)); -#9426=VERTEX_POINT('',#9425); -#9427=CARTESIAN_POINT('',(1.041634888141E2,5.678040265682E1,4.811724784545E0)); -#9428=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,4.811724784545E0)); -#9429=VERTEX_POINT('',#9427); -#9430=VERTEX_POINT('',#9428); -#9431=CARTESIAN_POINT('',(1.041634888141E2,5.678040265682E1,4.311724784545E0)); -#9432=VERTEX_POINT('',#9431); -#9433=CARTESIAN_POINT('',(1.049527939255E2,5.695185797116E1,4.811724784545E0)); -#9434=VERTEX_POINT('',#9433); -#9435=CARTESIAN_POINT('',(1.049527920745E2,6.120894096399E1,4.811724784545E0)); -#9436=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,4.811724784545E0)); -#9437=VERTEX_POINT('',#9435); -#9438=VERTEX_POINT('',#9436); -#9439=CARTESIAN_POINT('',(1.049527920745E2,6.120894096399E1,4.311724784545E0)); -#9440=VERTEX_POINT('',#9439); -#9441=CARTESIAN_POINT('',(1.049527939255E2,5.695185797116E1,4.311724784545E0)); -#9442=VERTEX_POINT('',#9441); -#9443=CARTESIAN_POINT('',(1.041634878745E2,6.138039865682E1,4.811724784545E0)); -#9444=VERTEX_POINT('',#9443); -#9445=CARTESIAN_POINT('',(1.041634878745E2,6.138039865682E1,4.311724784545E0)); -#9446=VERTEX_POINT('',#9445); -#9447=CARTESIAN_POINT('',(1.026527920471E2,6.127208825113E1,4.811724784545E0)); -#9448=CARTESIAN_POINT('',(1.026527924746E2,6.028870596627E1,4.811724784545E0)); -#9449=VERTEX_POINT('',#9447); -#9450=VERTEX_POINT('',#9448); -#9451=CARTESIAN_POINT('',(1.026527935254E2,5.787209333156E1,4.811724784545E0)); -#9452=CARTESIAN_POINT('',(1.026527939529E2,5.688870888584E1,4.811724784545E0)); -#9453=VERTEX_POINT('',#9451); -#9454=VERTEX_POINT('',#9452); -#9455=CARTESIAN_POINT('',(1.026527920471E2,6.127208825113E1,4.311724784545E0)); -#9456=VERTEX_POINT('',#9455); -#9457=CARTESIAN_POINT('',(1.026527924746E2,6.028870596627E1,4.311724784545E0)); -#9458=VERTEX_POINT('',#9457); -#9459=CARTESIAN_POINT('',(1.026527935254E2,5.787209333156E1,4.311724784545E0)); -#9460=VERTEX_POINT('',#9459); -#9461=CARTESIAN_POINT('',(1.026527939529E2,5.688870888584E1,4.311724784545E0)); -#9462=VERTEX_POINT('',#9461); -#9463=CARTESIAN_POINT('',(9.52246E1,7.69366E1,6.311724784545E0)); -#9464=CARTESIAN_POINT('',(9.01446E1,7.69366E1,6.311724784545E0)); -#9465=VERTEX_POINT('',#9463); -#9466=VERTEX_POINT('',#9464); -#9467=CARTESIAN_POINT('',(9.01446E1,7.21106E1,6.311724784545E0)); -#9468=VERTEX_POINT('',#9467); -#9469=CARTESIAN_POINT('',(9.52246E1,7.21106E1,6.311724784545E0)); -#9470=VERTEX_POINT('',#9469); -#9471=CARTESIAN_POINT('',(9.52246E1,7.69366E1,4.311724784545E0)); -#9472=CARTESIAN_POINT('',(9.01446E1,7.69366E1,4.311724784545E0)); -#9473=VERTEX_POINT('',#9471); -#9474=VERTEX_POINT('',#9472); -#9475=CARTESIAN_POINT('',(9.01446E1,7.21106E1,4.311724784545E0)); -#9476=VERTEX_POINT('',#9475); -#9477=CARTESIAN_POINT('',(9.52246E1,7.21106E1,4.311724784545E0)); -#9478=VERTEX_POINT('',#9477); -#9479=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.811724784545E0)); -#9480=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.811724784545E0)); -#9481=VERTEX_POINT('',#9479); -#9482=VERTEX_POINT('',#9480); -#9483=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.811724784545E0)); -#9484=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.811724784545E0)); -#9485=VERTEX_POINT('',#9483); -#9486=VERTEX_POINT('',#9484); -#9487=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.811724784545E0)); -#9488=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.811724784545E0)); -#9489=VERTEX_POINT('',#9487); -#9490=VERTEX_POINT('',#9488); -#9491=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.811724784545E0)); -#9492=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.811724784545E0)); -#9493=VERTEX_POINT('',#9491); -#9494=VERTEX_POINT('',#9492); -#9495=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.811724784545E0)); -#9496=CARTESIAN_POINT('',(8.79856E1,7.47522E1,4.811724784545E0)); -#9497=VERTEX_POINT('',#9495); -#9498=VERTEX_POINT('',#9496); -#9499=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.811724784545E0)); -#9500=CARTESIAN_POINT('',(8.79856E1,7.34822E1,4.811724784545E0)); -#9501=VERTEX_POINT('',#9499); -#9502=VERTEX_POINT('',#9500); -#9503=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.811724784545E0)); -#9504=CARTESIAN_POINT('',(8.79856E1,7.22122E1,4.811724784545E0)); -#9505=VERTEX_POINT('',#9503); -#9506=VERTEX_POINT('',#9504); -#9507=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.811724784545E0)); -#9508=CARTESIAN_POINT('',(8.79856E1,7.60222E1,4.811724784545E0)); -#9509=VERTEX_POINT('',#9507); -#9510=VERTEX_POINT('',#9508); -#9511=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.311724784545E0)); -#9512=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.311724784545E0)); -#9513=VERTEX_POINT('',#9511); -#9514=VERTEX_POINT('',#9512); -#9515=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.311724784545E0)); -#9516=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.311724784545E0)); -#9517=VERTEX_POINT('',#9515); -#9518=VERTEX_POINT('',#9516); -#9519=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.311724784545E0)); -#9520=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.311724784545E0)); -#9521=VERTEX_POINT('',#9519); -#9522=VERTEX_POINT('',#9520); -#9523=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.311724784545E0)); -#9524=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.311724784545E0)); -#9525=VERTEX_POINT('',#9523); -#9526=VERTEX_POINT('',#9524); -#9527=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.311724784545E0)); -#9528=CARTESIAN_POINT('',(8.79856E1,7.47522E1,4.311724784545E0)); -#9529=VERTEX_POINT('',#9527); -#9530=VERTEX_POINT('',#9528); -#9531=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.311724784545E0)); -#9532=CARTESIAN_POINT('',(8.79856E1,7.34822E1,4.311724784545E0)); -#9533=VERTEX_POINT('',#9531); -#9534=VERTEX_POINT('',#9532); -#9535=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.311724784545E0)); -#9536=CARTESIAN_POINT('',(8.79856E1,7.22122E1,4.311724784545E0)); -#9537=VERTEX_POINT('',#9535); -#9538=VERTEX_POINT('',#9536); -#9539=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.311724784545E0)); -#9540=CARTESIAN_POINT('',(8.79856E1,7.60222E1,4.311724784545E0)); -#9541=VERTEX_POINT('',#9539); -#9542=VERTEX_POINT('',#9540); -#9543=CARTESIAN_POINT('',(8.9662E1,7.60222E1,4.311724784545E0)); -#9544=CARTESIAN_POINT('',(8.9662E1,7.6835E1,4.311724784545E0)); -#9545=VERTEX_POINT('',#9543); -#9546=VERTEX_POINT('',#9544); -#9547=CARTESIAN_POINT('',(8.9662E1,7.47522E1,4.311724784545E0)); -#9548=CARTESIAN_POINT('',(8.9662E1,7.5565E1,4.311724784545E0)); -#9549=VERTEX_POINT('',#9547); -#9550=VERTEX_POINT('',#9548); -#9551=CARTESIAN_POINT('',(8.9662E1,7.34822E1,4.311724784545E0)); -#9552=CARTESIAN_POINT('',(8.9662E1,7.4295E1,4.311724784545E0)); -#9553=VERTEX_POINT('',#9551); -#9554=VERTEX_POINT('',#9552); -#9555=CARTESIAN_POINT('',(8.9662E1,7.22122E1,4.311724784545E0)); -#9556=CARTESIAN_POINT('',(8.9662E1,7.3025E1,4.311724784545E0)); -#9557=VERTEX_POINT('',#9555); -#9558=VERTEX_POINT('',#9556); -#9559=CARTESIAN_POINT('',(9.57072E1,7.6835E1,4.311724784545E0)); -#9560=CARTESIAN_POINT('',(9.57072E1,7.60222E1,4.311724784545E0)); -#9561=VERTEX_POINT('',#9559); -#9562=VERTEX_POINT('',#9560); -#9563=CARTESIAN_POINT('',(9.57072E1,7.5565E1,4.311724784545E0)); -#9564=CARTESIAN_POINT('',(9.57072E1,7.47522E1,4.311724784545E0)); -#9565=VERTEX_POINT('',#9563); -#9566=VERTEX_POINT('',#9564); -#9567=CARTESIAN_POINT('',(9.57072E1,7.4295E1,4.311724784545E0)); -#9568=CARTESIAN_POINT('',(9.57072E1,7.34822E1,4.311724784545E0)); -#9569=VERTEX_POINT('',#9567); -#9570=VERTEX_POINT('',#9568); -#9571=CARTESIAN_POINT('',(9.57072E1,7.3025E1,4.311724784545E0)); -#9572=CARTESIAN_POINT('',(9.57072E1,7.22122E1,4.311724784545E0)); -#9573=VERTEX_POINT('',#9571); -#9574=VERTEX_POINT('',#9572); -#9575=CARTESIAN_POINT('',(8.92556E1,7.6835E1,4.811724784545E0)); -#9576=CARTESIAN_POINT('',(8.92556E1,7.60222E1,4.811724784545E0)); -#9577=VERTEX_POINT('',#9575); -#9578=VERTEX_POINT('',#9576); -#9579=CARTESIAN_POINT('',(8.92556E1,7.5565E1,4.811724784545E0)); -#9580=CARTESIAN_POINT('',(8.92556E1,7.47522E1,4.811724784545E0)); -#9581=VERTEX_POINT('',#9579); -#9582=VERTEX_POINT('',#9580); -#9583=CARTESIAN_POINT('',(8.92556E1,7.4295E1,4.811724784545E0)); -#9584=CARTESIAN_POINT('',(8.92556E1,7.34822E1,4.811724784545E0)); -#9585=VERTEX_POINT('',#9583); -#9586=VERTEX_POINT('',#9584); -#9587=CARTESIAN_POINT('',(8.92556E1,7.22122E1,4.811724784545E0)); -#9588=CARTESIAN_POINT('',(8.92556E1,7.3025E1,4.811724784545E0)); -#9589=VERTEX_POINT('',#9587); -#9590=VERTEX_POINT('',#9588); -#9591=CARTESIAN_POINT('',(9.61136E1,7.6835E1,4.811724784545E0)); -#9592=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.811724784545E0)); -#9593=VERTEX_POINT('',#9591); -#9594=VERTEX_POINT('',#9592); -#9595=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.811724784545E0)); -#9596=CARTESIAN_POINT('',(9.61136E1,7.5565E1,4.811724784545E0)); -#9597=VERTEX_POINT('',#9595); -#9598=VERTEX_POINT('',#9596); -#9599=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.811724784545E0)); -#9600=CARTESIAN_POINT('',(9.61136E1,7.4295E1,4.811724784545E0)); -#9601=VERTEX_POINT('',#9599); -#9602=VERTEX_POINT('',#9600); -#9603=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.811724784545E0)); -#9604=CARTESIAN_POINT('',(9.61136E1,7.3025E1,4.811724784545E0)); -#9605=VERTEX_POINT('',#9603); -#9606=VERTEX_POINT('',#9604); -#9607=CARTESIAN_POINT('',(9.61136E1,7.22122E1,5.811724784545E0)); -#9608=CARTESIAN_POINT('',(9.61136E1,7.3025E1,5.811724784545E0)); -#9609=VERTEX_POINT('',#9607); -#9610=VERTEX_POINT('',#9608); -#9611=CARTESIAN_POINT('',(8.92556E1,7.3025E1,5.811724784545E0)); -#9612=CARTESIAN_POINT('',(8.92556E1,7.22122E1,5.811724784545E0)); -#9613=VERTEX_POINT('',#9611); -#9614=VERTEX_POINT('',#9612); -#9615=CARTESIAN_POINT('',(9.61136E1,7.34822E1,5.811724784545E0)); -#9616=CARTESIAN_POINT('',(9.61136E1,7.4295E1,5.811724784545E0)); -#9617=VERTEX_POINT('',#9615); -#9618=VERTEX_POINT('',#9616); -#9619=CARTESIAN_POINT('',(8.92556E1,7.4295E1,5.811724784545E0)); -#9620=CARTESIAN_POINT('',(8.92556E1,7.34822E1,5.811724784545E0)); -#9621=VERTEX_POINT('',#9619); -#9622=VERTEX_POINT('',#9620); -#9623=CARTESIAN_POINT('',(9.61136E1,7.47522E1,5.811724784545E0)); -#9624=CARTESIAN_POINT('',(9.61136E1,7.5565E1,5.811724784545E0)); -#9625=VERTEX_POINT('',#9623); -#9626=VERTEX_POINT('',#9624); -#9627=CARTESIAN_POINT('',(8.92556E1,7.5565E1,5.811724784545E0)); -#9628=CARTESIAN_POINT('',(8.92556E1,7.47522E1,5.811724784545E0)); -#9629=VERTEX_POINT('',#9627); -#9630=VERTEX_POINT('',#9628); -#9631=CARTESIAN_POINT('',(9.61136E1,7.60222E1,5.811724784545E0)); -#9632=CARTESIAN_POINT('',(9.61136E1,7.6835E1,5.811724784545E0)); -#9633=VERTEX_POINT('',#9631); -#9634=VERTEX_POINT('',#9632); -#9635=CARTESIAN_POINT('',(8.92556E1,7.6835E1,5.811724784545E0)); -#9636=CARTESIAN_POINT('',(8.92556E1,7.60222E1,5.811724784545E0)); -#9637=VERTEX_POINT('',#9635); -#9638=VERTEX_POINT('',#9636); -#9639=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.311724784545E0)); -#9640=CARTESIAN_POINT('',(9.01446E1,7.60222E1,5.311724784545E0)); -#9641=VERTEX_POINT('',#9639); -#9642=VERTEX_POINT('',#9640); -#9643=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.311724784545E0)); -#9644=CARTESIAN_POINT('',(9.01446E1,7.47522E1,5.311724784545E0)); -#9645=VERTEX_POINT('',#9643); -#9646=VERTEX_POINT('',#9644); -#9647=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.311724784545E0)); -#9648=CARTESIAN_POINT('',(9.01446E1,7.34822E1,5.311724784545E0)); -#9649=VERTEX_POINT('',#9647); -#9650=VERTEX_POINT('',#9648); -#9651=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.311724784545E0)); -#9652=CARTESIAN_POINT('',(9.01446E1,7.22122E1,5.311724784545E0)); -#9653=VERTEX_POINT('',#9651); -#9654=VERTEX_POINT('',#9652); -#9655=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.811724784545E0)); -#9656=CARTESIAN_POINT('',(9.01446E1,7.60222E1,5.811724784545E0)); -#9657=VERTEX_POINT('',#9655); -#9658=VERTEX_POINT('',#9656); -#9659=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.811724784545E0)); -#9660=CARTESIAN_POINT('',(9.01446E1,7.47522E1,5.811724784545E0)); -#9661=VERTEX_POINT('',#9659); -#9662=VERTEX_POINT('',#9660); -#9663=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.811724784545E0)); -#9664=CARTESIAN_POINT('',(9.01446E1,7.34822E1,5.811724784545E0)); -#9665=VERTEX_POINT('',#9663); -#9666=VERTEX_POINT('',#9664); -#9667=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.811724784545E0)); -#9668=CARTESIAN_POINT('',(9.01446E1,7.22122E1,5.811724784545E0)); -#9669=VERTEX_POINT('',#9667); -#9670=VERTEX_POINT('',#9668); -#9671=CARTESIAN_POINT('',(9.52246E1,7.6835E1,5.311724784545E0)); -#9672=CARTESIAN_POINT('',(9.52246E1,7.60222E1,5.311724784545E0)); -#9673=VERTEX_POINT('',#9671); -#9674=VERTEX_POINT('',#9672); -#9675=CARTESIAN_POINT('',(9.52246E1,7.5565E1,5.311724784545E0)); -#9676=CARTESIAN_POINT('',(9.52246E1,7.47522E1,5.311724784545E0)); -#9677=VERTEX_POINT('',#9675); -#9678=VERTEX_POINT('',#9676); -#9679=CARTESIAN_POINT('',(9.52246E1,7.4295E1,5.311724784545E0)); -#9680=CARTESIAN_POINT('',(9.52246E1,7.34822E1,5.311724784545E0)); -#9681=VERTEX_POINT('',#9679); -#9682=VERTEX_POINT('',#9680); -#9683=CARTESIAN_POINT('',(9.52246E1,7.3025E1,5.311724784545E0)); -#9684=CARTESIAN_POINT('',(9.52246E1,7.22122E1,5.311724784545E0)); -#9685=VERTEX_POINT('',#9683); -#9686=VERTEX_POINT('',#9684); -#9687=CARTESIAN_POINT('',(9.52246E1,7.6835E1,5.811724784545E0)); -#9688=CARTESIAN_POINT('',(9.52246E1,7.60222E1,5.811724784545E0)); -#9689=VERTEX_POINT('',#9687); -#9690=VERTEX_POINT('',#9688); -#9691=CARTESIAN_POINT('',(9.52246E1,7.5565E1,5.811724784545E0)); -#9692=CARTESIAN_POINT('',(9.52246E1,7.47522E1,5.811724784545E0)); -#9693=VERTEX_POINT('',#9691); -#9694=VERTEX_POINT('',#9692); -#9695=CARTESIAN_POINT('',(9.52246E1,7.4295E1,5.811724784545E0)); -#9696=CARTESIAN_POINT('',(9.52246E1,7.34822E1,5.811724784545E0)); -#9697=VERTEX_POINT('',#9695); -#9698=VERTEX_POINT('',#9696); -#9699=CARTESIAN_POINT('',(9.52246E1,7.3025E1,5.811724784545E0)); -#9700=CARTESIAN_POINT('',(9.52246E1,7.22122E1,5.811724784545E0)); -#9701=VERTEX_POINT('',#9699); -#9702=VERTEX_POINT('',#9700); -#9703=CARTESIAN_POINT('',(8.9662E1,7.60222E1,5.311724784545E0)); -#9704=CARTESIAN_POINT('',(8.9662E1,7.6835E1,5.311724784545E0)); -#9705=VERTEX_POINT('',#9703); -#9706=VERTEX_POINT('',#9704); -#9707=CARTESIAN_POINT('',(8.9662E1,7.47522E1,5.311724784545E0)); -#9708=CARTESIAN_POINT('',(8.9662E1,7.5565E1,5.311724784545E0)); -#9709=VERTEX_POINT('',#9707); -#9710=VERTEX_POINT('',#9708); -#9711=CARTESIAN_POINT('',(8.9662E1,7.34822E1,5.311724784545E0)); -#9712=CARTESIAN_POINT('',(8.9662E1,7.4295E1,5.311724784545E0)); -#9713=VERTEX_POINT('',#9711); -#9714=VERTEX_POINT('',#9712); -#9715=CARTESIAN_POINT('',(8.9662E1,7.22122E1,5.311724784545E0)); -#9716=CARTESIAN_POINT('',(8.9662E1,7.3025E1,5.311724784545E0)); -#9717=VERTEX_POINT('',#9715); -#9718=VERTEX_POINT('',#9716); -#9719=CARTESIAN_POINT('',(9.57072E1,7.6835E1,5.311724784545E0)); -#9720=CARTESIAN_POINT('',(9.57072E1,7.60222E1,5.311724784545E0)); -#9721=VERTEX_POINT('',#9719); -#9722=VERTEX_POINT('',#9720); -#9723=CARTESIAN_POINT('',(9.57072E1,7.5565E1,5.311724784545E0)); -#9724=CARTESIAN_POINT('',(9.57072E1,7.47522E1,5.311724784545E0)); -#9725=VERTEX_POINT('',#9723); -#9726=VERTEX_POINT('',#9724); -#9727=CARTESIAN_POINT('',(9.57072E1,7.4295E1,5.311724784545E0)); -#9728=CARTESIAN_POINT('',(9.57072E1,7.34822E1,5.311724784545E0)); -#9729=VERTEX_POINT('',#9727); -#9730=VERTEX_POINT('',#9728); -#9731=CARTESIAN_POINT('',(9.57072E1,7.3025E1,5.311724784545E0)); -#9732=CARTESIAN_POINT('',(9.57072E1,7.22122E1,5.311724784545E0)); -#9733=VERTEX_POINT('',#9731); -#9734=VERTEX_POINT('',#9732); -#9735=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,5.111724784545E0)); -#9736=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,5.111724784545E0)); -#9737=VERTEX_POINT('',#9735); -#9738=VERTEX_POINT('',#9736); -#9739=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,5.111724784545E0)); -#9740=VERTEX_POINT('',#9739); -#9741=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,5.111724784545E0)); -#9742=VERTEX_POINT('',#9741); -#9743=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,4.311724784545E0)); -#9744=VERTEX_POINT('',#9743); -#9745=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,4.311724784545E0)); -#9746=VERTEX_POINT('',#9745); -#9747=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,4.311724784545E0)); -#9748=VERTEX_POINT('',#9747); -#9749=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,4.311724784545E0)); -#9750=VERTEX_POINT('',#9749); -#9751=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.511724784545E0)); -#9752=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.511724784545E0)); -#9753=VERTEX_POINT('',#9751); -#9754=VERTEX_POINT('',#9752); -#9755=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.511724784545E0)); -#9756=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.511724784545E0)); -#9757=VERTEX_POINT('',#9755); -#9758=VERTEX_POINT('',#9756); -#9759=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.511724784545E0)); -#9760=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.511724784545E0)); -#9761=VERTEX_POINT('',#9759); -#9762=VERTEX_POINT('',#9760); -#9763=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.511724784545E0)); -#9764=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.511724784545E0)); -#9765=VERTEX_POINT('',#9763); -#9766=VERTEX_POINT('',#9764); -#9767=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.311724784545E0)); -#9768=CARTESIAN_POINT('',(9.6946695E1,9.3530318E1,4.311724784545E0)); -#9769=VERTEX_POINT('',#9767); -#9770=VERTEX_POINT('',#9768); -#9771=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.311724784545E0)); -#9772=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.311724784545E0)); -#9773=VERTEX_POINT('',#9771); -#9774=VERTEX_POINT('',#9772); -#9775=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.311724784545E0)); -#9776=CARTESIAN_POINT('',(9.6172071E1,8.7152505E1,4.311724784545E0)); -#9777=VERTEX_POINT('',#9775); -#9778=VERTEX_POINT('',#9776); -#9779=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.311724784545E0)); -#9780=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.311724784545E0)); -#9781=VERTEX_POINT('',#9779); -#9782=VERTEX_POINT('',#9780); -#9783=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.311724784545E0)); -#9784=CARTESIAN_POINT('',(8.9794258E1,8.7927129E1,4.311724784545E0)); -#9785=VERTEX_POINT('',#9783); -#9786=VERTEX_POINT('',#9784); -#9787=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.311724784545E0)); -#9788=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.311724784545E0)); -#9789=VERTEX_POINT('',#9787); -#9790=VERTEX_POINT('',#9788); -#9791=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.311724784545E0)); -#9792=CARTESIAN_POINT('',(9.0568882E1,9.4304942E1,4.311724784545E0)); -#9793=VERTEX_POINT('',#9791); -#9794=VERTEX_POINT('',#9792); -#9795=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.311724784545E0)); -#9796=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.311724784545E0)); -#9797=VERTEX_POINT('',#9795); -#9798=VERTEX_POINT('',#9796); -#9799=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.511724784545E0)); -#9800=CARTESIAN_POINT('',(8.9794258E1,8.7927129E1,4.511724784545E0)); -#9801=VERTEX_POINT('',#9799); -#9802=VERTEX_POINT('',#9800); -#9803=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.511724784545E0)); -#9804=CARTESIAN_POINT('',(9.6172071E1,8.7152505E1,4.511724784545E0)); -#9805=VERTEX_POINT('',#9803); -#9806=VERTEX_POINT('',#9804); -#9807=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.511724784545E0)); -#9808=CARTESIAN_POINT('',(9.6946695E1,9.3530318E1,4.511724784545E0)); -#9809=VERTEX_POINT('',#9807); -#9810=VERTEX_POINT('',#9808); -#9811=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.511724784545E0)); -#9812=CARTESIAN_POINT('',(9.0568882E1,9.4304942E1,4.511724784545E0)); -#9813=VERTEX_POINT('',#9811); -#9814=VERTEX_POINT('',#9812); -#9815=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,5.111724784545E0)); -#9816=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,5.111724784545E0)); -#9817=VERTEX_POINT('',#9815); -#9818=VERTEX_POINT('',#9816); -#9819=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,5.111724784545E0)); -#9820=VERTEX_POINT('',#9819); -#9821=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,5.111724784545E0)); -#9822=VERTEX_POINT('',#9821); -#9823=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,4.311724784545E0)); -#9824=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,4.311724784545E0)); -#9825=VERTEX_POINT('',#9823); -#9826=VERTEX_POINT('',#9824); -#9827=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,4.311724784545E0)); -#9828=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,4.311724784545E0)); -#9829=VERTEX_POINT('',#9827); -#9830=VERTEX_POINT('',#9828); -#9831=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.511724784545E0)); -#9832=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.511724784545E0)); -#9833=VERTEX_POINT('',#9831); -#9834=VERTEX_POINT('',#9832); -#9835=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.511724784545E0)); -#9836=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.511724784545E0)); -#9837=VERTEX_POINT('',#9835); -#9838=VERTEX_POINT('',#9836); -#9839=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.311724784545E0)); -#9840=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.311724784545E0)); -#9841=VERTEX_POINT('',#9839); -#9842=VERTEX_POINT('',#9840); -#9843=CARTESIAN_POINT('',(9.4918784E1,8.2076798E1,4.311724784545E0)); -#9844=VERTEX_POINT('',#9843); -#9845=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.311724784545E0)); -#9846=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.311724784545E0)); -#9847=VERTEX_POINT('',#9845); -#9848=VERTEX_POINT('',#9846); -#9849=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.311724784545E0)); -#9850=VERTEX_POINT('',#9849); -#9851=CARTESIAN_POINT('',(9.6902016E1,7.9924402E1,4.311724784545E0)); -#9852=VERTEX_POINT('',#9851); -#9853=CARTESIAN_POINT('',(9.6902016E1,8.2076798E1,4.311724784545E0)); -#9854=VERTEX_POINT('',#9853); -#9855=CARTESIAN_POINT('',(9.4918784E1,8.2076798E1,4.511724784545E0)); -#9856=CARTESIAN_POINT('',(9.6902016E1,8.2076798E1,4.511724784545E0)); -#9857=VERTEX_POINT('',#9855); -#9858=VERTEX_POINT('',#9856); -#9859=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.511724784545E0)); -#9860=CARTESIAN_POINT('',(9.6902016E1,7.9924402E1,4.511724784545E0)); -#9861=VERTEX_POINT('',#9859); -#9862=VERTEX_POINT('',#9860); -#9863=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.811724784545E0)); -#9864=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.811724784545E0)); -#9865=VERTEX_POINT('',#9863); -#9866=VERTEX_POINT('',#9864); -#9867=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.811724784545E0)); -#9868=VERTEX_POINT('',#9867); -#9869=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.811724784545E0)); -#9870=VERTEX_POINT('',#9869); -#9871=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.311724784545E0)); -#9872=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.311724784545E0)); -#9873=VERTEX_POINT('',#9871); -#9874=VERTEX_POINT('',#9872); -#9875=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.311724784545E0)); -#9876=VERTEX_POINT('',#9875); -#9877=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.311724784545E0)); -#9878=VERTEX_POINT('',#9877); -#9879=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.611724784545E0)); -#9880=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.611724784545E0)); -#9881=VERTEX_POINT('',#9879); -#9882=VERTEX_POINT('',#9880); -#9883=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.611724784545E0)); -#9884=VERTEX_POINT('',#9883); -#9885=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.611724784545E0)); -#9886=VERTEX_POINT('',#9885); -#9887=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.311724784545E0)); -#9888=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.311724784545E0)); -#9889=VERTEX_POINT('',#9887); -#9890=VERTEX_POINT('',#9888); -#9891=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.311724784545E0)); -#9892=VERTEX_POINT('',#9891); -#9893=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.311724784545E0)); -#9894=VERTEX_POINT('',#9893); -#9895=CARTESIAN_POINT('',(1.0147267E2,9.94376375E1,5.511724784545E0)); -#9896=CARTESIAN_POINT('',(1.0147267E2,1.014376375E2,5.511724784545E0)); -#9897=VERTEX_POINT('',#9895); -#9898=VERTEX_POINT('',#9896); -#9899=CARTESIAN_POINT('',(1.0147267E2,1.014376375E2,4.611724784545E0)); -#9900=CARTESIAN_POINT('',(1.0147267E2,9.94376375E1,4.611724784545E0)); -#9901=VERTEX_POINT('',#9899); -#9902=VERTEX_POINT('',#9900); -#9903=CARTESIAN_POINT('',(1.0247267E2,1.004376375E2,4.611724784545E0)); -#9904=VERTEX_POINT('',#9903); -#9905=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.511724784545E0)); -#9906=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.511724784545E0)); -#9907=VERTEX_POINT('',#9905); -#9908=VERTEX_POINT('',#9906); -#9909=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.511724784545E0)); -#9910=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.511724784545E0)); -#9911=VERTEX_POINT('',#9909); -#9912=VERTEX_POINT('',#9910); -#9913=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.511724784545E0)); -#9914=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.511724784545E0)); -#9915=VERTEX_POINT('',#9913); -#9916=VERTEX_POINT('',#9914); -#9917=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.311724784545E0)); -#9918=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.311724784545E0)); -#9919=VERTEX_POINT('',#9917); -#9920=VERTEX_POINT('',#9918); -#9921=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.311724784545E0)); -#9922=VERTEX_POINT('',#9921); -#9923=CARTESIAN_POINT('',(1.00471072E2,1.02177639E2,4.311724784545E0)); -#9924=VERTEX_POINT('',#9923); -#9925=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.311724784545E0)); -#9926=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.311724784545E0)); -#9927=VERTEX_POINT('',#9925); -#9928=VERTEX_POINT('',#9926); -#9929=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.311724784545E0)); -#9930=VERTEX_POINT('',#9929); -#9931=CARTESIAN_POINT('',(1.019556E2,9.8657641E1,4.311724784545E0)); -#9932=VERTEX_POINT('',#9931); -#9933=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.311724784545E0)); -#9934=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.311724784545E0)); -#9935=VERTEX_POINT('',#9933); -#9936=VERTEX_POINT('',#9934); -#9937=CARTESIAN_POINT('',(9.9912592E1,9.9936038E1,4.311724784545E0)); -#9938=VERTEX_POINT('',#9937); -#9939=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.311724784545E0)); -#9940=VERTEX_POINT('',#9939); -#9941=CARTESIAN_POINT('',(1.00471072E2,1.02177639E2,4.511724784545E0)); -#9942=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.511724784545E0)); -#9943=VERTEX_POINT('',#9941); -#9944=VERTEX_POINT('',#9942); -#9945=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.511724784545E0)); -#9946=CARTESIAN_POINT('',(9.9912592E1,9.9936038E1,4.511724784545E0)); -#9947=VERTEX_POINT('',#9945); -#9948=VERTEX_POINT('',#9946); -#9949=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.511724784545E0)); -#9950=CARTESIAN_POINT('',(1.019556E2,9.8657641E1,4.511724784545E0)); -#9951=VERTEX_POINT('',#9949); -#9952=VERTEX_POINT('',#9950); -#9953=CARTESIAN_POINT('',(1.0147267E2,9.96376375E1,4.311724784545E0)); -#9954=CARTESIAN_POINT('',(1.0147267E2,1.012376375E2,4.311724784545E0)); -#9955=VERTEX_POINT('',#9953); -#9956=VERTEX_POINT('',#9954); -#9957=CARTESIAN_POINT('',(1.0147267E2,1.001876375E2,4.311724784545E0)); -#9958=CARTESIAN_POINT('',(1.0147267E2,1.006876375E2,4.311724784545E0)); -#9959=VERTEX_POINT('',#9957); -#9960=VERTEX_POINT('',#9958); -#9961=CARTESIAN_POINT('',(1.0147267E2,9.96376375E1,5.511724784545E0)); -#9962=CARTESIAN_POINT('',(1.0147267E2,1.012376375E2,5.511724784545E0)); -#9963=VERTEX_POINT('',#9961); -#9964=VERTEX_POINT('',#9962); -#9965=CARTESIAN_POINT('',(1.0147267E2,1.006876375E2,5.511724784545E0)); -#9966=CARTESIAN_POINT('',(1.0147267E2,1.001876375E2,5.511724784545E0)); -#9967=VERTEX_POINT('',#9965); -#9968=VERTEX_POINT('',#9966); -#9969=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.811724784545E0)); -#9970=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.811724784545E0)); -#9971=VERTEX_POINT('',#9969); -#9972=VERTEX_POINT('',#9970); -#9973=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.811724784545E0)); -#9974=VERTEX_POINT('',#9973); -#9975=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.811724784545E0)); -#9976=VERTEX_POINT('',#9975); -#9977=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.811724784545E0)); -#9978=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.811724784545E0)); -#9979=VERTEX_POINT('',#9977); -#9980=VERTEX_POINT('',#9978); -#9981=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.811724784545E0)); -#9982=VERTEX_POINT('',#9981); -#9983=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.811724784545E0)); -#9984=VERTEX_POINT('',#9983); -#9985=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.311724784545E0)); -#9986=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.311724784545E0)); -#9987=VERTEX_POINT('',#9985); -#9988=VERTEX_POINT('',#9986); -#9989=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.311724784545E0)); -#9990=VERTEX_POINT('',#9989); -#9991=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.311724784545E0)); -#9992=VERTEX_POINT('',#9991); -#9993=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.311724784545E0)); -#9994=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.311724784545E0)); -#9995=VERTEX_POINT('',#9993); -#9996=VERTEX_POINT('',#9994); -#9997=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.311724784545E0)); -#9998=VERTEX_POINT('',#9997); -#9999=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.311724784545E0)); -#10000=VERTEX_POINT('',#9999); -#10001=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.711724784545E0)); -#10002=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.711724784545E0)); -#10003=VERTEX_POINT('',#10001); -#10004=VERTEX_POINT('',#10002); -#10005=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.711724784545E0)); -#10006=VERTEX_POINT('',#10005); -#10007=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.711724784545E0)); -#10008=VERTEX_POINT('',#10007); -#10009=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.711724784545E0)); -#10010=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.711724784545E0)); -#10011=VERTEX_POINT('',#10009); -#10012=VERTEX_POINT('',#10010); -#10013=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.711724784545E0)); -#10014=VERTEX_POINT('',#10013); -#10015=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.711724784545E0)); -#10016=VERTEX_POINT('',#10015); -#10017=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.711724784545E0)); -#10018=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.711724784545E0)); -#10019=VERTEX_POINT('',#10017); -#10020=VERTEX_POINT('',#10018); -#10021=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.711724784545E0)); -#10022=VERTEX_POINT('',#10021); -#10023=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.711724784545E0)); -#10024=VERTEX_POINT('',#10023); -#10025=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.711724784545E0)); -#10026=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.711724784545E0)); -#10027=VERTEX_POINT('',#10025); -#10028=VERTEX_POINT('',#10026); -#10029=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.711724784545E0)); -#10030=VERTEX_POINT('',#10029); -#10031=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.711724784545E0)); -#10032=VERTEX_POINT('',#10031); -#10033=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.711724784545E0)); -#10034=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.711724784545E0)); -#10035=VERTEX_POINT('',#10033); -#10036=VERTEX_POINT('',#10034); -#10037=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.711724784545E0)); -#10038=VERTEX_POINT('',#10037); -#10039=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.711724784545E0)); -#10040=VERTEX_POINT('',#10039); -#10041=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.711724784545E0)); -#10042=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.711724784545E0)); -#10043=VERTEX_POINT('',#10041); -#10044=VERTEX_POINT('',#10042); -#10045=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.711724784545E0)); -#10046=VERTEX_POINT('',#10045); -#10047=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.711724784545E0)); -#10048=VERTEX_POINT('',#10047); -#10049=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.711724784545E0)); -#10050=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.711724784545E0)); -#10051=VERTEX_POINT('',#10049); -#10052=VERTEX_POINT('',#10050); -#10053=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.711724784545E0)); -#10054=VERTEX_POINT('',#10053); -#10055=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.711724784545E0)); -#10056=VERTEX_POINT('',#10055); -#10057=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.711724784545E0)); -#10058=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.711724784545E0)); -#10059=VERTEX_POINT('',#10057); -#10060=VERTEX_POINT('',#10058); -#10061=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.711724784545E0)); -#10062=VERTEX_POINT('',#10061); -#10063=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.711724784545E0)); -#10064=VERTEX_POINT('',#10063); -#10065=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.711724784545E0)); -#10066=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.711724784545E0)); -#10067=VERTEX_POINT('',#10065); -#10068=VERTEX_POINT('',#10066); -#10069=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.711724784545E0)); -#10070=VERTEX_POINT('',#10069); -#10071=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.711724784545E0)); -#10072=VERTEX_POINT('',#10071); -#10073=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.711724784545E0)); -#10074=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.711724784545E0)); -#10075=VERTEX_POINT('',#10073); -#10076=VERTEX_POINT('',#10074); -#10077=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.711724784545E0)); -#10078=VERTEX_POINT('',#10077); -#10079=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.711724784545E0)); -#10080=VERTEX_POINT('',#10079); -#10081=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.711724784545E0)); -#10082=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.711724784545E0)); -#10083=VERTEX_POINT('',#10081); -#10084=VERTEX_POINT('',#10082); -#10085=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.711724784545E0)); -#10086=VERTEX_POINT('',#10085); -#10087=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.711724784545E0)); -#10088=VERTEX_POINT('',#10087); -#10089=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.711724784545E0)); -#10090=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.711724784545E0)); -#10091=VERTEX_POINT('',#10089); -#10092=VERTEX_POINT('',#10090); -#10093=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.711724784545E0)); -#10094=VERTEX_POINT('',#10093); -#10095=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.711724784545E0)); -#10096=VERTEX_POINT('',#10095); -#10097=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.711724784545E0)); -#10098=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.711724784545E0)); -#10099=VERTEX_POINT('',#10097); -#10100=VERTEX_POINT('',#10098); -#10101=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.711724784545E0)); -#10102=VERTEX_POINT('',#10101); -#10103=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.711724784545E0)); -#10104=VERTEX_POINT('',#10103); -#10105=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.711724784545E0)); -#10106=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.711724784545E0)); -#10107=VERTEX_POINT('',#10105); -#10108=VERTEX_POINT('',#10106); -#10109=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.711724784545E0)); -#10110=VERTEX_POINT('',#10109); -#10111=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.711724784545E0)); -#10112=VERTEX_POINT('',#10111); -#10113=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.711724784545E0)); -#10114=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.711724784545E0)); -#10115=VERTEX_POINT('',#10113); -#10116=VERTEX_POINT('',#10114); -#10117=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.711724784545E0)); -#10118=VERTEX_POINT('',#10117); -#10119=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.711724784545E0)); -#10120=VERTEX_POINT('',#10119); -#10121=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.711724784545E0)); -#10122=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.711724784545E0)); -#10123=VERTEX_POINT('',#10121); -#10124=VERTEX_POINT('',#10122); -#10125=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.711724784545E0)); -#10126=VERTEX_POINT('',#10125); -#10127=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.711724784545E0)); -#10128=VERTEX_POINT('',#10127); -#10129=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.711724784545E0)); -#10130=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.711724784545E0)); -#10131=VERTEX_POINT('',#10129); -#10132=VERTEX_POINT('',#10130); -#10133=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.711724784545E0)); -#10134=VERTEX_POINT('',#10133); -#10135=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.711724784545E0)); -#10136=VERTEX_POINT('',#10135); -#10137=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.711724784545E0)); -#10138=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.711724784545E0)); -#10139=VERTEX_POINT('',#10137); -#10140=VERTEX_POINT('',#10138); -#10141=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.711724784545E0)); -#10142=VERTEX_POINT('',#10141); -#10143=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.711724784545E0)); -#10144=VERTEX_POINT('',#10143); -#10145=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.711724784545E0)); -#10146=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.711724784545E0)); -#10147=VERTEX_POINT('',#10145); -#10148=VERTEX_POINT('',#10146); -#10149=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.711724784545E0)); -#10150=VERTEX_POINT('',#10149); -#10151=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.711724784545E0)); -#10152=VERTEX_POINT('',#10151); -#10153=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.711724784545E0)); -#10154=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.711724784545E0)); -#10155=VERTEX_POINT('',#10153); -#10156=VERTEX_POINT('',#10154); -#10157=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.711724784545E0)); -#10158=VERTEX_POINT('',#10157); -#10159=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.711724784545E0)); -#10160=VERTEX_POINT('',#10159); -#10161=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.311724784545E0)); -#10162=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.311724784545E0)); -#10163=VERTEX_POINT('',#10161); -#10164=VERTEX_POINT('',#10162); -#10165=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.311724784545E0)); -#10166=VERTEX_POINT('',#10165); -#10167=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.311724784545E0)); -#10168=VERTEX_POINT('',#10167); -#10169=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.311724784545E0)); -#10170=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.311724784545E0)); -#10171=VERTEX_POINT('',#10169); -#10172=VERTEX_POINT('',#10170); -#10173=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.311724784545E0)); -#10174=VERTEX_POINT('',#10173); -#10175=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.311724784545E0)); -#10176=VERTEX_POINT('',#10175); -#10177=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.311724784545E0)); -#10178=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.311724784545E0)); -#10179=VERTEX_POINT('',#10177); -#10180=VERTEX_POINT('',#10178); -#10181=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.311724784545E0)); -#10182=VERTEX_POINT('',#10181); -#10183=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.311724784545E0)); -#10184=VERTEX_POINT('',#10183); -#10185=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.311724784545E0)); -#10186=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.311724784545E0)); -#10187=VERTEX_POINT('',#10185); -#10188=VERTEX_POINT('',#10186); -#10189=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.311724784545E0)); -#10190=VERTEX_POINT('',#10189); -#10191=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.311724784545E0)); -#10192=VERTEX_POINT('',#10191); -#10193=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.311724784545E0)); -#10194=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.311724784545E0)); -#10195=VERTEX_POINT('',#10193); -#10196=VERTEX_POINT('',#10194); -#10197=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.311724784545E0)); -#10198=VERTEX_POINT('',#10197); -#10199=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.311724784545E0)); -#10200=VERTEX_POINT('',#10199); -#10201=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.311724784545E0)); -#10202=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.311724784545E0)); -#10203=VERTEX_POINT('',#10201); -#10204=VERTEX_POINT('',#10202); -#10205=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.311724784545E0)); -#10206=VERTEX_POINT('',#10205); -#10207=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.311724784545E0)); -#10208=VERTEX_POINT('',#10207); -#10209=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.311724784545E0)); -#10210=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.311724784545E0)); -#10211=VERTEX_POINT('',#10209); -#10212=VERTEX_POINT('',#10210); -#10213=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.311724784545E0)); -#10214=VERTEX_POINT('',#10213); -#10215=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.311724784545E0)); -#10216=VERTEX_POINT('',#10215); -#10217=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.311724784545E0)); -#10218=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.311724784545E0)); -#10219=VERTEX_POINT('',#10217); -#10220=VERTEX_POINT('',#10218); -#10221=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.311724784545E0)); -#10222=VERTEX_POINT('',#10221); -#10223=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.311724784545E0)); -#10224=VERTEX_POINT('',#10223); -#10225=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.311724784545E0)); -#10226=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.311724784545E0)); -#10227=VERTEX_POINT('',#10225); -#10228=VERTEX_POINT('',#10226); -#10229=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.311724784545E0)); -#10230=VERTEX_POINT('',#10229); -#10231=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.311724784545E0)); -#10232=VERTEX_POINT('',#10231); -#10233=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.311724784545E0)); -#10234=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.311724784545E0)); -#10235=VERTEX_POINT('',#10233); -#10236=VERTEX_POINT('',#10234); -#10237=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.311724784545E0)); -#10238=VERTEX_POINT('',#10237); -#10239=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.311724784545E0)); -#10240=VERTEX_POINT('',#10239); -#10241=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.311724784545E0)); -#10242=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.311724784545E0)); -#10243=VERTEX_POINT('',#10241); -#10244=VERTEX_POINT('',#10242); -#10245=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.311724784545E0)); -#10246=VERTEX_POINT('',#10245); -#10247=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.311724784545E0)); -#10248=VERTEX_POINT('',#10247); -#10249=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.311724784545E0)); -#10250=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.311724784545E0)); -#10251=VERTEX_POINT('',#10249); -#10252=VERTEX_POINT('',#10250); -#10253=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.311724784545E0)); -#10254=VERTEX_POINT('',#10253); -#10255=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.311724784545E0)); -#10256=VERTEX_POINT('',#10255); -#10257=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.311724784545E0)); -#10258=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.311724784545E0)); -#10259=VERTEX_POINT('',#10257); -#10260=VERTEX_POINT('',#10258); -#10261=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.311724784545E0)); -#10262=VERTEX_POINT('',#10261); -#10263=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.311724784545E0)); -#10264=VERTEX_POINT('',#10263); -#10265=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.311724784545E0)); -#10266=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.311724784545E0)); -#10267=VERTEX_POINT('',#10265); -#10268=VERTEX_POINT('',#10266); -#10269=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.311724784545E0)); -#10270=VERTEX_POINT('',#10269); -#10271=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.311724784545E0)); -#10272=VERTEX_POINT('',#10271); -#10273=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.311724784545E0)); -#10274=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.311724784545E0)); -#10275=VERTEX_POINT('',#10273); -#10276=VERTEX_POINT('',#10274); -#10277=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.311724784545E0)); -#10278=VERTEX_POINT('',#10277); -#10279=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.311724784545E0)); -#10280=VERTEX_POINT('',#10279); -#10281=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.311724784545E0)); -#10282=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.311724784545E0)); -#10283=VERTEX_POINT('',#10281); -#10284=VERTEX_POINT('',#10282); -#10285=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.311724784545E0)); -#10286=VERTEX_POINT('',#10285); -#10287=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.311724784545E0)); -#10288=VERTEX_POINT('',#10287); -#10289=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.311724784545E0)); -#10290=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.311724784545E0)); -#10291=VERTEX_POINT('',#10289); -#10292=VERTEX_POINT('',#10290); -#10293=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.311724784545E0)); -#10294=VERTEX_POINT('',#10293); -#10295=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.311724784545E0)); -#10296=VERTEX_POINT('',#10295); -#10297=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.311724784545E0)); -#10298=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.311724784545E0)); -#10299=VERTEX_POINT('',#10297); -#10300=VERTEX_POINT('',#10298); -#10301=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.311724784545E0)); -#10302=VERTEX_POINT('',#10301); -#10303=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.311724784545E0)); -#10304=VERTEX_POINT('',#10303); -#10305=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.311724784545E0)); -#10306=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.311724784545E0)); -#10307=VERTEX_POINT('',#10305); -#10308=VERTEX_POINT('',#10306); -#10309=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.311724784545E0)); -#10310=VERTEX_POINT('',#10309); -#10311=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.311724784545E0)); -#10312=VERTEX_POINT('',#10311); -#10313=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.311724784545E0)); -#10314=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.311724784545E0)); -#10315=VERTEX_POINT('',#10313); -#10316=VERTEX_POINT('',#10314); -#10317=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.311724784545E0)); -#10318=VERTEX_POINT('',#10317); -#10319=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.311724784545E0)); -#10320=VERTEX_POINT('',#10319); -#10321=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.811724784545E0)); -#10322=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.811724784545E0)); -#10323=VERTEX_POINT('',#10321); -#10324=VERTEX_POINT('',#10322); -#10325=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.811724784545E0)); -#10326=VERTEX_POINT('',#10325); -#10327=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.811724784545E0)); -#10328=VERTEX_POINT('',#10327); -#10329=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.811724784545E0)); -#10330=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.811724784545E0)); -#10331=VERTEX_POINT('',#10329); -#10332=VERTEX_POINT('',#10330); -#10333=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.811724784545E0)); -#10334=VERTEX_POINT('',#10333); -#10335=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.811724784545E0)); -#10336=VERTEX_POINT('',#10335); -#10337=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.811724784545E0)); -#10338=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.811724784545E0)); -#10339=VERTEX_POINT('',#10337); -#10340=VERTEX_POINT('',#10338); -#10341=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.811724784545E0)); -#10342=VERTEX_POINT('',#10341); -#10343=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.811724784545E0)); -#10344=VERTEX_POINT('',#10343); -#10345=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.811724784545E0)); -#10346=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.811724784545E0)); -#10347=VERTEX_POINT('',#10345); -#10348=VERTEX_POINT('',#10346); -#10349=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.811724784545E0)); -#10350=VERTEX_POINT('',#10349); -#10351=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.811724784545E0)); -#10352=VERTEX_POINT('',#10351); -#10353=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.811724784545E0)); -#10354=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.811724784545E0)); -#10355=VERTEX_POINT('',#10353); -#10356=VERTEX_POINT('',#10354); -#10357=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.811724784545E0)); -#10358=VERTEX_POINT('',#10357); -#10359=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.811724784545E0)); -#10360=VERTEX_POINT('',#10359); -#10361=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.811724784545E0)); -#10362=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.811724784545E0)); -#10363=VERTEX_POINT('',#10361); -#10364=VERTEX_POINT('',#10362); -#10365=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.811724784545E0)); -#10366=VERTEX_POINT('',#10365); -#10367=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.811724784545E0)); -#10368=VERTEX_POINT('',#10367); -#10369=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.811724784545E0)); -#10370=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.811724784545E0)); -#10371=VERTEX_POINT('',#10369); -#10372=VERTEX_POINT('',#10370); -#10373=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.811724784545E0)); -#10374=VERTEX_POINT('',#10373); -#10375=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.811724784545E0)); -#10376=VERTEX_POINT('',#10375); -#10377=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.811724784545E0)); -#10378=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.811724784545E0)); -#10379=VERTEX_POINT('',#10377); -#10380=VERTEX_POINT('',#10378); -#10381=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.811724784545E0)); -#10382=VERTEX_POINT('',#10381); -#10383=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.811724784545E0)); -#10384=VERTEX_POINT('',#10383); -#10385=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.811724784545E0)); -#10386=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.811724784545E0)); -#10387=VERTEX_POINT('',#10385); -#10388=VERTEX_POINT('',#10386); -#10389=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.811724784545E0)); -#10390=VERTEX_POINT('',#10389); -#10391=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.811724784545E0)); -#10392=VERTEX_POINT('',#10391); -#10393=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.811724784545E0)); -#10394=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.811724784545E0)); -#10395=VERTEX_POINT('',#10393); -#10396=VERTEX_POINT('',#10394); -#10397=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.811724784545E0)); -#10398=VERTEX_POINT('',#10397); -#10399=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.811724784545E0)); -#10400=VERTEX_POINT('',#10399); -#10401=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.811724784545E0)); -#10402=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.811724784545E0)); -#10403=VERTEX_POINT('',#10401); -#10404=VERTEX_POINT('',#10402); -#10405=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.811724784545E0)); -#10406=VERTEX_POINT('',#10405); -#10407=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.811724784545E0)); -#10408=VERTEX_POINT('',#10407); -#10409=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.811724784545E0)); -#10410=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.811724784545E0)); -#10411=VERTEX_POINT('',#10409); -#10412=VERTEX_POINT('',#10410); -#10413=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.811724784545E0)); -#10414=VERTEX_POINT('',#10413); -#10415=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.811724784545E0)); -#10416=VERTEX_POINT('',#10415); -#10417=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.811724784545E0)); -#10418=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.811724784545E0)); -#10419=VERTEX_POINT('',#10417); -#10420=VERTEX_POINT('',#10418); -#10421=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.811724784545E0)); -#10422=VERTEX_POINT('',#10421); -#10423=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.811724784545E0)); -#10424=VERTEX_POINT('',#10423); -#10425=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.811724784545E0)); -#10426=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.811724784545E0)); -#10427=VERTEX_POINT('',#10425); -#10428=VERTEX_POINT('',#10426); -#10429=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.811724784545E0)); -#10430=VERTEX_POINT('',#10429); -#10431=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.811724784545E0)); -#10432=VERTEX_POINT('',#10431); -#10433=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.811724784545E0)); -#10434=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.811724784545E0)); -#10435=VERTEX_POINT('',#10433); -#10436=VERTEX_POINT('',#10434); -#10437=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.811724784545E0)); -#10438=VERTEX_POINT('',#10437); -#10439=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.811724784545E0)); -#10440=VERTEX_POINT('',#10439); -#10441=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.811724784545E0)); -#10442=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.811724784545E0)); -#10443=VERTEX_POINT('',#10441); -#10444=VERTEX_POINT('',#10442); -#10445=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.811724784545E0)); -#10446=VERTEX_POINT('',#10445); -#10447=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.811724784545E0)); -#10448=VERTEX_POINT('',#10447); -#10449=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.811724784545E0)); -#10450=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.811724784545E0)); -#10451=VERTEX_POINT('',#10449); -#10452=VERTEX_POINT('',#10450); -#10453=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.811724784545E0)); -#10454=VERTEX_POINT('',#10453); -#10455=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.811724784545E0)); -#10456=VERTEX_POINT('',#10455); -#10457=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.811724784545E0)); -#10458=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.811724784545E0)); -#10459=VERTEX_POINT('',#10457); -#10460=VERTEX_POINT('',#10458); -#10461=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.811724784545E0)); -#10462=VERTEX_POINT('',#10461); -#10463=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.811724784545E0)); -#10464=VERTEX_POINT('',#10463); -#10465=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.311724784545E0)); -#10466=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.311724784545E0)); -#10467=VERTEX_POINT('',#10465); -#10468=VERTEX_POINT('',#10466); -#10469=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.311724784545E0)); -#10470=VERTEX_POINT('',#10469); -#10471=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.311724784545E0)); -#10472=VERTEX_POINT('',#10471); -#10473=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.311724784545E0)); -#10474=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.311724784545E0)); -#10475=VERTEX_POINT('',#10473); -#10476=VERTEX_POINT('',#10474); -#10477=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.311724784545E0)); -#10478=VERTEX_POINT('',#10477); -#10479=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.311724784545E0)); -#10480=VERTEX_POINT('',#10479); -#10481=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.311724784545E0)); -#10482=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.311724784545E0)); -#10483=VERTEX_POINT('',#10481); -#10484=VERTEX_POINT('',#10482); -#10485=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.311724784545E0)); -#10486=VERTEX_POINT('',#10485); -#10487=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.311724784545E0)); -#10488=VERTEX_POINT('',#10487); -#10489=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.311724784545E0)); -#10490=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.311724784545E0)); -#10491=VERTEX_POINT('',#10489); -#10492=VERTEX_POINT('',#10490); -#10493=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.311724784545E0)); -#10494=VERTEX_POINT('',#10493); -#10495=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.311724784545E0)); -#10496=VERTEX_POINT('',#10495); -#10497=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.311724784545E0)); -#10498=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.311724784545E0)); -#10499=VERTEX_POINT('',#10497); -#10500=VERTEX_POINT('',#10498); -#10501=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.311724784545E0)); -#10502=VERTEX_POINT('',#10501); -#10503=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.311724784545E0)); -#10504=VERTEX_POINT('',#10503); -#10505=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.311724784545E0)); -#10506=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.311724784545E0)); -#10507=VERTEX_POINT('',#10505); -#10508=VERTEX_POINT('',#10506); -#10509=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.311724784545E0)); -#10510=VERTEX_POINT('',#10509); -#10511=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.311724784545E0)); -#10512=VERTEX_POINT('',#10511); -#10513=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.311724784545E0)); -#10514=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.311724784545E0)); -#10515=VERTEX_POINT('',#10513); -#10516=VERTEX_POINT('',#10514); -#10517=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.311724784545E0)); -#10518=VERTEX_POINT('',#10517); -#10519=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.311724784545E0)); -#10520=VERTEX_POINT('',#10519); -#10521=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.311724784545E0)); -#10522=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.311724784545E0)); -#10523=VERTEX_POINT('',#10521); -#10524=VERTEX_POINT('',#10522); -#10525=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.311724784545E0)); -#10526=VERTEX_POINT('',#10525); -#10527=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.311724784545E0)); -#10528=VERTEX_POINT('',#10527); -#10529=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.311724784545E0)); -#10530=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.311724784545E0)); -#10531=VERTEX_POINT('',#10529); -#10532=VERTEX_POINT('',#10530); -#10533=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.311724784545E0)); -#10534=VERTEX_POINT('',#10533); -#10535=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.311724784545E0)); -#10536=VERTEX_POINT('',#10535); -#10537=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.311724784545E0)); -#10538=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.311724784545E0)); -#10539=VERTEX_POINT('',#10537); -#10540=VERTEX_POINT('',#10538); -#10541=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.311724784545E0)); -#10542=VERTEX_POINT('',#10541); -#10543=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.311724784545E0)); -#10544=VERTEX_POINT('',#10543); -#10545=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.311724784545E0)); -#10546=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.311724784545E0)); -#10547=VERTEX_POINT('',#10545); -#10548=VERTEX_POINT('',#10546); -#10549=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.311724784545E0)); -#10550=VERTEX_POINT('',#10549); -#10551=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.311724784545E0)); -#10552=VERTEX_POINT('',#10551); -#10553=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.311724784545E0)); -#10554=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.311724784545E0)); -#10555=VERTEX_POINT('',#10553); -#10556=VERTEX_POINT('',#10554); -#10557=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.311724784545E0)); -#10558=VERTEX_POINT('',#10557); -#10559=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.311724784545E0)); -#10560=VERTEX_POINT('',#10559); -#10561=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.311724784545E0)); -#10562=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.311724784545E0)); -#10563=VERTEX_POINT('',#10561); -#10564=VERTEX_POINT('',#10562); -#10565=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.311724784545E0)); -#10566=VERTEX_POINT('',#10565); -#10567=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.311724784545E0)); -#10568=VERTEX_POINT('',#10567); -#10569=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.311724784545E0)); -#10570=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.311724784545E0)); -#10571=VERTEX_POINT('',#10569); -#10572=VERTEX_POINT('',#10570); -#10573=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.311724784545E0)); -#10574=VERTEX_POINT('',#10573); -#10575=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.311724784545E0)); -#10576=VERTEX_POINT('',#10575); -#10577=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.311724784545E0)); -#10578=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.311724784545E0)); -#10579=VERTEX_POINT('',#10577); -#10580=VERTEX_POINT('',#10578); -#10581=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.311724784545E0)); -#10582=VERTEX_POINT('',#10581); -#10583=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.311724784545E0)); -#10584=VERTEX_POINT('',#10583); -#10585=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.311724784545E0)); -#10586=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.311724784545E0)); -#10587=VERTEX_POINT('',#10585); -#10588=VERTEX_POINT('',#10586); -#10589=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.311724784545E0)); -#10590=VERTEX_POINT('',#10589); -#10591=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.311724784545E0)); -#10592=VERTEX_POINT('',#10591); -#10593=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.311724784545E0)); -#10594=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.311724784545E0)); -#10595=VERTEX_POINT('',#10593); -#10596=VERTEX_POINT('',#10594); -#10597=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.311724784545E0)); -#10598=VERTEX_POINT('',#10597); -#10599=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.311724784545E0)); -#10600=VERTEX_POINT('',#10599); -#10601=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.311724784545E0)); -#10602=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.311724784545E0)); -#10603=VERTEX_POINT('',#10601); -#10604=VERTEX_POINT('',#10602); -#10605=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.311724784545E0)); -#10606=VERTEX_POINT('',#10605); -#10607=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.311724784545E0)); -#10608=VERTEX_POINT('',#10607); -#10609=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.811724784545E0)); -#10610=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.811724784545E0)); -#10611=VERTEX_POINT('',#10609); -#10612=VERTEX_POINT('',#10610); -#10613=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.811724784545E0)); -#10614=VERTEX_POINT('',#10613); -#10615=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.811724784545E0)); -#10616=VERTEX_POINT('',#10615); -#10617=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.311724784545E0)); -#10618=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.311724784545E0)); -#10619=VERTEX_POINT('',#10617); -#10620=VERTEX_POINT('',#10618); -#10621=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.311724784545E0)); -#10622=VERTEX_POINT('',#10621); -#10623=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.311724784545E0)); -#10624=VERTEX_POINT('',#10623); -#10625=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,5.111724784545E0)); -#10626=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,5.111724784545E0)); -#10627=VERTEX_POINT('',#10625); -#10628=VERTEX_POINT('',#10626); -#10629=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,5.111724784545E0)); -#10630=VERTEX_POINT('',#10629); -#10631=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,5.111724784545E0)); -#10632=VERTEX_POINT('',#10631); -#10633=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,5.111724784545E0)); -#10634=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,5.111724784545E0)); -#10635=VERTEX_POINT('',#10633); -#10636=VERTEX_POINT('',#10634); -#10637=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,5.111724784545E0)); -#10638=VERTEX_POINT('',#10637); -#10639=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,5.111724784545E0)); -#10640=VERTEX_POINT('',#10639); -#10641=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,5.111724784545E0)); -#10642=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,5.111724784545E0)); -#10643=VERTEX_POINT('',#10641); -#10644=VERTEX_POINT('',#10642); -#10645=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,5.111724784545E0)); -#10646=VERTEX_POINT('',#10645); -#10647=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,5.111724784545E0)); -#10648=VERTEX_POINT('',#10647); -#10649=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,5.111724784545E0)); -#10650=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,5.111724784545E0)); -#10651=VERTEX_POINT('',#10649); -#10652=VERTEX_POINT('',#10650); -#10653=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,5.111724784545E0)); -#10654=VERTEX_POINT('',#10653); -#10655=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,5.111724784545E0)); -#10656=VERTEX_POINT('',#10655); -#10657=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,5.111724784545E0)); -#10658=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,5.111724784545E0)); -#10659=VERTEX_POINT('',#10657); -#10660=VERTEX_POINT('',#10658); -#10661=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,5.111724784545E0)); -#10662=VERTEX_POINT('',#10661); -#10663=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,5.111724784545E0)); -#10664=VERTEX_POINT('',#10663); -#10665=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,5.111724784545E0)); -#10666=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,5.111724784545E0)); -#10667=VERTEX_POINT('',#10665); -#10668=VERTEX_POINT('',#10666); -#10669=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,5.111724784545E0)); -#10670=VERTEX_POINT('',#10669); -#10671=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,5.111724784545E0)); -#10672=VERTEX_POINT('',#10671); -#10673=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,5.111724784545E0)); -#10674=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,5.111724784545E0)); -#10675=VERTEX_POINT('',#10673); -#10676=VERTEX_POINT('',#10674); -#10677=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,5.111724784545E0)); -#10678=VERTEX_POINT('',#10677); -#10679=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,5.111724784545E0)); -#10680=VERTEX_POINT('',#10679); -#10681=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,5.111724784545E0)); -#10682=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,5.111724784545E0)); -#10683=VERTEX_POINT('',#10681); -#10684=VERTEX_POINT('',#10682); -#10685=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,5.111724784545E0)); -#10686=VERTEX_POINT('',#10685); -#10687=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,5.111724784545E0)); -#10688=VERTEX_POINT('',#10687); -#10689=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,4.311724784545E0)); -#10690=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,4.311724784545E0)); -#10691=VERTEX_POINT('',#10689); -#10692=VERTEX_POINT('',#10690); -#10693=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,4.311724784545E0)); -#10694=VERTEX_POINT('',#10693); -#10695=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,4.311724784545E0)); -#10696=VERTEX_POINT('',#10695); -#10697=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,4.311724784545E0)); -#10698=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,4.311724784545E0)); -#10699=VERTEX_POINT('',#10697); -#10700=VERTEX_POINT('',#10698); -#10701=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,4.311724784545E0)); -#10702=VERTEX_POINT('',#10701); -#10703=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,4.311724784545E0)); -#10704=VERTEX_POINT('',#10703); -#10705=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,4.311724784545E0)); -#10706=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,4.311724784545E0)); -#10707=VERTEX_POINT('',#10705); -#10708=VERTEX_POINT('',#10706); -#10709=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,4.311724784545E0)); -#10710=VERTEX_POINT('',#10709); -#10711=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,4.311724784545E0)); -#10712=VERTEX_POINT('',#10711); -#10713=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,4.311724784545E0)); -#10714=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,4.311724784545E0)); -#10715=VERTEX_POINT('',#10713); -#10716=VERTEX_POINT('',#10714); -#10717=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,4.311724784545E0)); -#10718=VERTEX_POINT('',#10717); -#10719=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,4.311724784545E0)); -#10720=VERTEX_POINT('',#10719); -#10721=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,4.311724784545E0)); -#10722=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,4.311724784545E0)); -#10723=VERTEX_POINT('',#10721); -#10724=VERTEX_POINT('',#10722); -#10725=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,4.311724784545E0)); -#10726=VERTEX_POINT('',#10725); -#10727=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,4.311724784545E0)); -#10728=VERTEX_POINT('',#10727); -#10729=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,4.311724784545E0)); -#10730=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,4.311724784545E0)); -#10731=VERTEX_POINT('',#10729); -#10732=VERTEX_POINT('',#10730); -#10733=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,4.311724784545E0)); -#10734=VERTEX_POINT('',#10733); -#10735=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,4.311724784545E0)); -#10736=VERTEX_POINT('',#10735); -#10737=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,4.311724784545E0)); -#10738=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,4.311724784545E0)); -#10739=VERTEX_POINT('',#10737); -#10740=VERTEX_POINT('',#10738); -#10741=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,4.311724784545E0)); -#10742=VERTEX_POINT('',#10741); -#10743=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,4.311724784545E0)); -#10744=VERTEX_POINT('',#10743); -#10745=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,4.311724784545E0)); -#10746=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,4.311724784545E0)); -#10747=VERTEX_POINT('',#10745); -#10748=VERTEX_POINT('',#10746); -#10749=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,4.311724784545E0)); -#10750=VERTEX_POINT('',#10749); -#10751=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,4.311724784545E0)); -#10752=VERTEX_POINT('',#10751); -#10753=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,5.511724784545E0)); -#10754=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,5.511724784545E0)); -#10755=VERTEX_POINT('',#10753); -#10756=VERTEX_POINT('',#10754); -#10757=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,5.511724784545E0)); -#10758=VERTEX_POINT('',#10757); -#10759=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,5.511724784545E0)); -#10760=VERTEX_POINT('',#10759); -#10761=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,5.511724784545E0)); -#10762=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,5.511724784545E0)); -#10763=VERTEX_POINT('',#10761); -#10764=VERTEX_POINT('',#10762); -#10765=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,5.511724784545E0)); -#10766=VERTEX_POINT('',#10765); -#10767=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,5.511724784545E0)); -#10768=VERTEX_POINT('',#10767); -#10769=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,5.511724784545E0)); -#10770=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,5.511724784545E0)); -#10771=VERTEX_POINT('',#10769); -#10772=VERTEX_POINT('',#10770); -#10773=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,5.511724784545E0)); -#10774=VERTEX_POINT('',#10773); -#10775=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,5.511724784545E0)); -#10776=VERTEX_POINT('',#10775); -#10777=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,5.511724784545E0)); -#10778=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,5.511724784545E0)); -#10779=VERTEX_POINT('',#10777); -#10780=VERTEX_POINT('',#10778); -#10781=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,5.511724784545E0)); -#10782=VERTEX_POINT('',#10781); -#10783=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,5.511724784545E0)); -#10784=VERTEX_POINT('',#10783); -#10785=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,5.511724784545E0)); -#10786=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,5.511724784545E0)); -#10787=VERTEX_POINT('',#10785); -#10788=VERTEX_POINT('',#10786); -#10789=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,5.511724784545E0)); -#10790=VERTEX_POINT('',#10789); -#10791=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,5.511724784545E0)); -#10792=VERTEX_POINT('',#10791); -#10793=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,4.311724784545E0)); -#10794=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,4.311724784545E0)); -#10795=VERTEX_POINT('',#10793); -#10796=VERTEX_POINT('',#10794); -#10797=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,4.311724784545E0)); -#10798=VERTEX_POINT('',#10797); -#10799=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,4.311724784545E0)); -#10800=VERTEX_POINT('',#10799); -#10801=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,4.311724784545E0)); -#10802=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,4.311724784545E0)); -#10803=VERTEX_POINT('',#10801); -#10804=VERTEX_POINT('',#10802); -#10805=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,4.311724784545E0)); -#10806=VERTEX_POINT('',#10805); -#10807=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,4.311724784545E0)); -#10808=VERTEX_POINT('',#10807); -#10809=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,4.311724784545E0)); -#10810=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,4.311724784545E0)); -#10811=VERTEX_POINT('',#10809); -#10812=VERTEX_POINT('',#10810); -#10813=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,4.311724784545E0)); -#10814=VERTEX_POINT('',#10813); -#10815=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,4.311724784545E0)); -#10816=VERTEX_POINT('',#10815); -#10817=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,4.311724784545E0)); -#10818=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,4.311724784545E0)); -#10819=VERTEX_POINT('',#10817); -#10820=VERTEX_POINT('',#10818); -#10821=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,4.311724784545E0)); -#10822=VERTEX_POINT('',#10821); -#10823=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,4.311724784545E0)); -#10824=VERTEX_POINT('',#10823); -#10825=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,4.311724784545E0)); -#10826=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,4.311724784545E0)); -#10827=VERTEX_POINT('',#10825); -#10828=VERTEX_POINT('',#10826); -#10829=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,4.311724784545E0)); -#10830=VERTEX_POINT('',#10829); -#10831=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,4.311724784545E0)); -#10832=VERTEX_POINT('',#10831); -#10833=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,5.811724784545E0)); -#10834=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,5.811724784545E0)); -#10835=VERTEX_POINT('',#10833); -#10836=VERTEX_POINT('',#10834); -#10837=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,5.811724784545E0)); -#10838=VERTEX_POINT('',#10837); -#10839=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,5.811724784545E0)); -#10840=VERTEX_POINT('',#10839); -#10841=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,5.811724784545E0)); -#10842=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,5.811724784545E0)); -#10843=VERTEX_POINT('',#10841); -#10844=VERTEX_POINT('',#10842); -#10845=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,5.811724784545E0)); -#10846=VERTEX_POINT('',#10845); -#10847=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,5.811724784545E0)); -#10848=VERTEX_POINT('',#10847); -#10849=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,4.311724784545E0)); -#10850=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,4.311724784545E0)); -#10851=VERTEX_POINT('',#10849); -#10852=VERTEX_POINT('',#10850); -#10853=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,4.311724784545E0)); -#10854=VERTEX_POINT('',#10853); -#10855=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,4.311724784545E0)); -#10856=VERTEX_POINT('',#10855); -#10857=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,4.311724784545E0)); -#10858=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,4.311724784545E0)); -#10859=VERTEX_POINT('',#10857); -#10860=VERTEX_POINT('',#10858); -#10861=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,4.311724784545E0)); -#10862=VERTEX_POINT('',#10861); -#10863=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,4.311724784545E0)); -#10864=VERTEX_POINT('',#10863); -#10865=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,5.111724784545E0)); -#10866=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,5.111724784545E0)); -#10867=VERTEX_POINT('',#10865); -#10868=VERTEX_POINT('',#10866); -#10869=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,5.111724784545E0)); -#10870=VERTEX_POINT('',#10869); -#10871=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,5.111724784545E0)); -#10872=VERTEX_POINT('',#10871); -#10873=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,5.111724784545E0)); -#10874=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,5.111724784545E0)); -#10875=VERTEX_POINT('',#10873); -#10876=VERTEX_POINT('',#10874); -#10877=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,5.111724784545E0)); -#10878=VERTEX_POINT('',#10877); -#10879=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,5.111724784545E0)); -#10880=VERTEX_POINT('',#10879); -#10881=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,4.311724784545E0)); -#10882=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,4.311724784545E0)); -#10883=VERTEX_POINT('',#10881); -#10884=VERTEX_POINT('',#10882); -#10885=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,4.311724784545E0)); -#10886=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,4.311724784545E0)); -#10887=VERTEX_POINT('',#10885); -#10888=VERTEX_POINT('',#10886); -#10889=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,4.311724784545E0)); -#10890=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,4.311724784545E0)); -#10891=VERTEX_POINT('',#10889); -#10892=VERTEX_POINT('',#10890); -#10893=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,4.311724784545E0)); -#10894=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,4.311724784545E0)); -#10895=VERTEX_POINT('',#10893); -#10896=VERTEX_POINT('',#10894); -#10897=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.811724784545E0)); -#10898=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.811724784545E0)); -#10899=VERTEX_POINT('',#10897); -#10900=VERTEX_POINT('',#10898); -#10901=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.811724784545E0)); -#10902=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.811724784545E0)); -#10903=VERTEX_POINT('',#10901); -#10904=VERTEX_POINT('',#10902); -#10905=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.811724784545E0)); -#10906=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.811724784545E0)); -#10907=VERTEX_POINT('',#10905); -#10908=VERTEX_POINT('',#10906); -#10909=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.811724784545E0)); -#10910=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.811724784545E0)); -#10911=VERTEX_POINT('',#10909); -#10912=VERTEX_POINT('',#10910); -#10913=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.311724784545E0)); -#10914=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.311724784545E0)); -#10915=VERTEX_POINT('',#10913); -#10916=VERTEX_POINT('',#10914); -#10917=CARTESIAN_POINT('',(1.01910594E2,6.8718801E1,4.311724784545E0)); -#10918=VERTEX_POINT('',#10917); -#10919=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.311724784545E0)); -#10920=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.311724784545E0)); -#10921=VERTEX_POINT('',#10919); -#10922=VERTEX_POINT('',#10920); -#10923=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.311724784545E0)); -#10924=VERTEX_POINT('',#10923); -#10925=CARTESIAN_POINT('',(1.0294379E2,6.7018799E1,4.311724784545E0)); -#10926=VERTEX_POINT('',#10925); -#10927=CARTESIAN_POINT('',(1.0294379E2,6.8718801E1,4.311724784545E0)); -#10928=VERTEX_POINT('',#10927); -#10929=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.311724784545E0)); -#10930=CARTESIAN_POINT('',(1.01368995E2,6.7018799E1,4.311724784545E0)); -#10931=VERTEX_POINT('',#10929); -#10932=VERTEX_POINT('',#10930); -#10933=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.311724784545E0)); -#10934=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.311724784545E0)); -#10935=VERTEX_POINT('',#10933); -#10936=VERTEX_POINT('',#10934); -#10937=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.311724784545E0)); -#10938=VERTEX_POINT('',#10937); -#10939=CARTESIAN_POINT('',(1.00335789E2,6.8718801E1,4.311724784545E0)); -#10940=VERTEX_POINT('',#10939); -#10941=CARTESIAN_POINT('',(1.00335789E2,6.7018799E1,4.311724784545E0)); -#10942=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.311724784545E0)); -#10943=VERTEX_POINT('',#10941); -#10944=VERTEX_POINT('',#10942); -#10945=CARTESIAN_POINT('',(1.01910594E2,6.8718801E1,4.811724784545E0)); -#10946=CARTESIAN_POINT('',(1.0294379E2,6.8718801E1,4.811724784545E0)); -#10947=VERTEX_POINT('',#10945); -#10948=VERTEX_POINT('',#10946); -#10949=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.811724784545E0)); -#10950=CARTESIAN_POINT('',(1.0294379E2,6.7018799E1,4.811724784545E0)); -#10951=VERTEX_POINT('',#10949); -#10952=VERTEX_POINT('',#10950); -#10953=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.811724784545E0)); -#10954=CARTESIAN_POINT('',(1.00335789E2,6.8718801E1,4.811724784545E0)); -#10955=VERTEX_POINT('',#10953); -#10956=VERTEX_POINT('',#10954); -#10957=CARTESIAN_POINT('',(1.01368995E2,6.7018799E1,4.811724784545E0)); -#10958=CARTESIAN_POINT('',(1.00335789E2,6.7018799E1,4.811724784545E0)); -#10959=VERTEX_POINT('',#10957); -#10960=VERTEX_POINT('',#10958); -#10961=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,5.511724784545E0)); -#10962=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,5.511724784545E0)); -#10963=VERTEX_POINT('',#10961); -#10964=VERTEX_POINT('',#10962); -#10965=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,5.511724784545E0)); -#10966=VERTEX_POINT('',#10965); -#10967=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,5.511724784545E0)); -#10968=VERTEX_POINT('',#10967); -#10969=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,5.511724784545E0)); -#10970=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,5.511724784545E0)); -#10971=VERTEX_POINT('',#10969); -#10972=VERTEX_POINT('',#10970); -#10973=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,5.511724784545E0)); -#10974=VERTEX_POINT('',#10973); -#10975=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,5.511724784545E0)); -#10976=VERTEX_POINT('',#10975); -#10977=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,5.511724784545E0)); -#10978=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,5.511724784545E0)); -#10979=VERTEX_POINT('',#10977); -#10980=VERTEX_POINT('',#10978); -#10981=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,5.511724784545E0)); -#10982=VERTEX_POINT('',#10981); -#10983=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,5.511724784545E0)); -#10984=VERTEX_POINT('',#10983); -#10985=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,5.511724784545E0)); -#10986=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,5.511724784545E0)); -#10987=VERTEX_POINT('',#10985); -#10988=VERTEX_POINT('',#10986); -#10989=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,5.511724784545E0)); -#10990=VERTEX_POINT('',#10989); -#10991=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,5.511724784545E0)); -#10992=VERTEX_POINT('',#10991); -#10993=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,4.311724784545E0)); -#10994=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,4.311724784545E0)); -#10995=VERTEX_POINT('',#10993); -#10996=VERTEX_POINT('',#10994); -#10997=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,4.311724784545E0)); -#10998=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,4.311724784545E0)); -#10999=VERTEX_POINT('',#10997); -#11000=VERTEX_POINT('',#10998); -#11001=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,4.311724784545E0)); -#11002=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,4.311724784545E0)); -#11003=VERTEX_POINT('',#11001); -#11004=VERTEX_POINT('',#11002); -#11005=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,4.311724784545E0)); -#11006=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,4.311724784545E0)); -#11007=VERTEX_POINT('',#11005); -#11008=VERTEX_POINT('',#11006); -#11009=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,4.311724784545E0)); -#11010=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,4.311724784545E0)); -#11011=VERTEX_POINT('',#11009); -#11012=VERTEX_POINT('',#11010); -#11013=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,4.311724784545E0)); -#11014=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,4.311724784545E0)); -#11015=VERTEX_POINT('',#11013); -#11016=VERTEX_POINT('',#11014); -#11017=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,4.311724784545E0)); -#11018=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,4.311724784545E0)); -#11019=VERTEX_POINT('',#11017); -#11020=VERTEX_POINT('',#11018); -#11021=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,4.311724784545E0)); -#11022=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,4.311724784545E0)); -#11023=VERTEX_POINT('',#11021); -#11024=VERTEX_POINT('',#11022); -#11025=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.811724784545E0)); -#11026=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.811724784545E0)); -#11027=VERTEX_POINT('',#11025); -#11028=VERTEX_POINT('',#11026); -#11029=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.811724784545E0)); -#11030=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.811724784545E0)); -#11031=VERTEX_POINT('',#11029); -#11032=VERTEX_POINT('',#11030); -#11033=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.811724784545E0)); -#11034=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.811724784545E0)); -#11035=VERTEX_POINT('',#11033); -#11036=VERTEX_POINT('',#11034); -#11037=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.811724784545E0)); -#11038=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.811724784545E0)); -#11039=VERTEX_POINT('',#11037); -#11040=VERTEX_POINT('',#11038); -#11041=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.811724784545E0)); -#11042=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.811724784545E0)); -#11043=VERTEX_POINT('',#11041); -#11044=VERTEX_POINT('',#11042); -#11045=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.811724784545E0)); -#11046=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.811724784545E0)); -#11047=VERTEX_POINT('',#11045); -#11048=VERTEX_POINT('',#11046); -#11049=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.811724784545E0)); -#11050=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.811724784545E0)); -#11051=VERTEX_POINT('',#11049); -#11052=VERTEX_POINT('',#11050); -#11053=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.811724784545E0)); -#11054=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.811724784545E0)); -#11055=VERTEX_POINT('',#11053); -#11056=VERTEX_POINT('',#11054); -#11057=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.811724784545E0)); -#11058=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.811724784545E0)); -#11059=VERTEX_POINT('',#11057); -#11060=VERTEX_POINT('',#11058); -#11061=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.811724784545E0)); -#11062=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.811724784545E0)); -#11063=VERTEX_POINT('',#11061); -#11064=VERTEX_POINT('',#11062); -#11065=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.811724784545E0)); -#11066=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.811724784545E0)); -#11067=VERTEX_POINT('',#11065); -#11068=VERTEX_POINT('',#11066); -#11069=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.811724784545E0)); -#11070=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.811724784545E0)); -#11071=VERTEX_POINT('',#11069); -#11072=VERTEX_POINT('',#11070); -#11073=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.311724784545E0)); -#11074=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.311724784545E0)); -#11075=VERTEX_POINT('',#11073); -#11076=VERTEX_POINT('',#11074); -#11077=CARTESIAN_POINT('',(9.6515791E1,6.9072811E1,4.311724784545E0)); -#11078=VERTEX_POINT('',#11077); -#11079=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.311724784545E0)); -#11080=VERTEX_POINT('',#11079); -#11081=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.311724784545E0)); -#11082=CARTESIAN_POINT('',(9.6515791E1,6.714059E1,4.311724784545E0)); -#11083=VERTEX_POINT('',#11081); -#11084=VERTEX_POINT('',#11082); -#11085=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.311724784545E0)); -#11086=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.311724784545E0)); -#11087=VERTEX_POINT('',#11085); -#11088=VERTEX_POINT('',#11086); -#11089=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.311724784545E0)); -#11090=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.311724784545E0)); -#11091=VERTEX_POINT('',#11089); -#11092=VERTEX_POINT('',#11090); -#11093=CARTESIAN_POINT('',(9.5315791E1,6.72406E1,4.311724784545E0)); -#11094=VERTEX_POINT('',#11093); -#11095=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.311724784545E0)); -#11096=VERTEX_POINT('',#11095); -#11097=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.311724784545E0)); -#11098=CARTESIAN_POINT('',(9.0641592E1,7.1409611E1,4.311724784545E0)); -#11099=VERTEX_POINT('',#11097); -#11100=VERTEX_POINT('',#11098); -#11101=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.311724784545E0)); -#11102=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.311724784545E0)); -#11103=VERTEX_POINT('',#11101); -#11104=VERTEX_POINT('',#11102); -#11105=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.311724784545E0)); -#11106=CARTESIAN_POINT('',(9.0641592E1,6.9509589E1,4.311724784545E0)); -#11107=VERTEX_POINT('',#11105); -#11108=VERTEX_POINT('',#11106); -#11109=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.311724784545E0)); -#11110=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.311724784545E0)); -#11111=VERTEX_POINT('',#11109); -#11112=VERTEX_POINT('',#11110); -#11113=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.311724784545E0)); -#11114=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.311724784545E0)); -#11115=VERTEX_POINT('',#11113); -#11116=VERTEX_POINT('',#11114); -#11117=CARTESIAN_POINT('',(8.9441592E1,6.95452E1,4.311724784545E0)); -#11118=VERTEX_POINT('',#11117); -#11119=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.311724784545E0)); -#11120=VERTEX_POINT('',#11119); -#11121=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.311724784545E0)); -#11122=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.311724784545E0)); -#11123=VERTEX_POINT('',#11121); -#11124=VERTEX_POINT('',#11122); -#11125=CARTESIAN_POINT('',(8.7999992E1,6.70114E1,4.311724784545E0)); -#11126=VERTEX_POINT('',#11125); -#11127=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.311724784545E0)); -#11128=VERTEX_POINT('',#11127); -#11129=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.311724784545E0)); -#11130=CARTESIAN_POINT('',(8.9864402E1,6.58114E1,4.311724784545E0)); -#11131=VERTEX_POINT('',#11129); -#11132=VERTEX_POINT('',#11130); -#11133=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.311724784545E0)); -#11134=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.311724784545E0)); -#11135=VERTEX_POINT('',#11133); -#11136=VERTEX_POINT('',#11134); -#11137=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.311724784545E0)); -#11138=CARTESIAN_POINT('',(8.7964381E1,6.58114E1,4.311724784545E0)); -#11139=VERTEX_POINT('',#11137); -#11140=VERTEX_POINT('',#11138); -#11141=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.311724784545E0)); -#11142=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.311724784545E0)); -#11143=VERTEX_POINT('',#11141); -#11144=VERTEX_POINT('',#11142); -#11145=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.311724784545E0)); -#11146=CARTESIAN_POINT('',(9.0850992E1,6.30682E1,4.311724784545E0)); -#11147=VERTEX_POINT('',#11145); -#11148=VERTEX_POINT('',#11146); -#11149=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.311724784545E0)); -#11150=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.311724784545E0)); -#11151=VERTEX_POINT('',#11149); -#11152=VERTEX_POINT('',#11150); -#11153=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.311724784545E0)); -#11154=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.311724784545E0)); -#11155=VERTEX_POINT('',#11153); -#11156=VERTEX_POINT('',#11154); -#11157=CARTESIAN_POINT('',(8.9650992E1,6.3103811E1,4.311724784545E0)); -#11158=VERTEX_POINT('',#11157); -#11159=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.311724784545E0)); -#11160=VERTEX_POINT('',#11159); -#11161=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.311724784545E0)); -#11162=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.311724784545E0)); -#11163=VERTEX_POINT('',#11161); -#11164=VERTEX_POINT('',#11162); -#11165=CARTESIAN_POINT('',(8.9650992E1,6.1203789E1,4.311724784545E0)); -#11166=VERTEX_POINT('',#11165); -#11167=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.311724784545E0)); -#11168=VERTEX_POINT('',#11167); -#11169=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.811724784545E0)); -#11170=CARTESIAN_POINT('',(8.9650992E1,6.3103811E1,4.811724784545E0)); -#11171=VERTEX_POINT('',#11169); -#11172=VERTEX_POINT('',#11170); -#11173=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.811724784545E0)); -#11174=CARTESIAN_POINT('',(8.9650992E1,6.1203789E1,4.811724784545E0)); -#11175=VERTEX_POINT('',#11173); -#11176=VERTEX_POINT('',#11174); -#11177=CARTESIAN_POINT('',(9.0850992E1,6.30682E1,4.811724784545E0)); -#11178=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.811724784545E0)); -#11179=VERTEX_POINT('',#11177); -#11180=VERTEX_POINT('',#11178); -#11181=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.811724784545E0)); -#11182=CARTESIAN_POINT('',(8.7999992E1,6.70114E1,4.811724784545E0)); -#11183=VERTEX_POINT('',#11181); -#11184=VERTEX_POINT('',#11182); -#11185=CARTESIAN_POINT('',(8.9864402E1,6.58114E1,4.811724784545E0)); -#11186=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.811724784545E0)); -#11187=VERTEX_POINT('',#11185); -#11188=VERTEX_POINT('',#11186); -#11189=CARTESIAN_POINT('',(8.7964381E1,6.58114E1,4.811724784545E0)); -#11190=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.811724784545E0)); -#11191=VERTEX_POINT('',#11189); -#11192=VERTEX_POINT('',#11190); -#11193=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.811724784545E0)); -#11194=CARTESIAN_POINT('',(9.5315791E1,6.72406E1,4.811724784545E0)); -#11195=VERTEX_POINT('',#11193); -#11196=VERTEX_POINT('',#11194); -#11197=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.811724784545E0)); -#11198=CARTESIAN_POINT('',(9.6515791E1,6.714059E1,4.811724784545E0)); -#11199=VERTEX_POINT('',#11197); -#11200=VERTEX_POINT('',#11198); -#11201=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.811724784545E0)); -#11202=CARTESIAN_POINT('',(9.6515791E1,6.9072811E1,4.811724784545E0)); -#11203=VERTEX_POINT('',#11201); -#11204=VERTEX_POINT('',#11202); -#11205=CARTESIAN_POINT('',(8.9441592E1,6.95452E1,4.811724784545E0)); -#11206=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.811724784545E0)); -#11207=VERTEX_POINT('',#11205); -#11208=VERTEX_POINT('',#11206); -#11209=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.811724784545E0)); -#11210=CARTESIAN_POINT('',(9.0641592E1,6.9509589E1,4.811724784545E0)); -#11211=VERTEX_POINT('',#11209); -#11212=VERTEX_POINT('',#11210); -#11213=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.811724784545E0)); -#11214=CARTESIAN_POINT('',(9.0641592E1,7.1409611E1,4.811724784545E0)); -#11215=VERTEX_POINT('',#11213); -#11216=VERTEX_POINT('',#11214); -#11217=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,5.511724784545E0)); -#11218=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,5.511724784545E0)); -#11219=VERTEX_POINT('',#11217); -#11220=VERTEX_POINT('',#11218); -#11221=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,5.511724784545E0)); -#11222=VERTEX_POINT('',#11221); -#11223=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,5.511724784545E0)); -#11224=VERTEX_POINT('',#11223); -#11225=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,4.311724784545E0)); -#11226=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,4.311724784545E0)); -#11227=VERTEX_POINT('',#11225); -#11228=VERTEX_POINT('',#11226); -#11229=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,4.311724784545E0)); -#11230=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,4.311724784545E0)); -#11231=VERTEX_POINT('',#11229); -#11232=VERTEX_POINT('',#11230); -#11233=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.811724784545E0)); -#11234=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.811724784545E0)); -#11235=VERTEX_POINT('',#11233); -#11236=VERTEX_POINT('',#11234); -#11237=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.811724784545E0)); -#11238=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.811724784545E0)); -#11239=VERTEX_POINT('',#11237); -#11240=VERTEX_POINT('',#11238); -#11241=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.311724784545E0)); -#11242=CARTESIAN_POINT('',(9.2295591E1,6.0466199E1,4.311724784545E0)); -#11243=VERTEX_POINT('',#11241); -#11244=VERTEX_POINT('',#11242); -#11245=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.311724784545E0)); -#11246=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.311724784545E0)); -#11247=VERTEX_POINT('',#11245); -#11248=VERTEX_POINT('',#11246); -#11249=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.311724784545E0)); -#11250=VERTEX_POINT('',#11249); -#11251=CARTESIAN_POINT('',(8.9495592E1,5.9066201E1,4.311724784545E0)); -#11252=VERTEX_POINT('',#11251); -#11253=CARTESIAN_POINT('',(9.2295591E1,5.9066201E1,4.311724784545E0)); -#11254=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.311724784545E0)); -#11255=VERTEX_POINT('',#11253); -#11256=VERTEX_POINT('',#11254); -#11257=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.811724784545E0)); -#11258=CARTESIAN_POINT('',(8.9495592E1,5.9066201E1,4.811724784545E0)); -#11259=VERTEX_POINT('',#11257); -#11260=VERTEX_POINT('',#11258); -#11261=CARTESIAN_POINT('',(9.2295591E1,6.0466199E1,4.811724784545E0)); -#11262=CARTESIAN_POINT('',(9.2295591E1,5.9066201E1,4.811724784545E0)); -#11263=VERTEX_POINT('',#11261); -#11264=VERTEX_POINT('',#11262); -#11265=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,5.811724784545E0)); -#11266=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,5.811724784545E0)); -#11267=VERTEX_POINT('',#11265); -#11268=VERTEX_POINT('',#11266); -#11269=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,5.811724784545E0)); -#11270=VERTEX_POINT('',#11269); -#11271=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,5.811724784545E0)); -#11272=VERTEX_POINT('',#11271); -#11273=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,4.311724784545E0)); -#11274=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,4.311724784545E0)); -#11275=VERTEX_POINT('',#11273); -#11276=VERTEX_POINT('',#11274); -#11277=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,4.311724784545E0)); -#11278=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,4.311724784545E0)); -#11279=VERTEX_POINT('',#11277); -#11280=VERTEX_POINT('',#11278); -#11281=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.811724784545E0)); -#11282=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.811724784545E0)); -#11283=VERTEX_POINT('',#11281); -#11284=VERTEX_POINT('',#11282); -#11285=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.811724784545E0)); -#11286=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.811724784545E0)); -#11287=VERTEX_POINT('',#11285); -#11288=VERTEX_POINT('',#11286); -#11289=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.811724784545E0)); -#11290=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.811724784545E0)); -#11291=VERTEX_POINT('',#11289); -#11292=VERTEX_POINT('',#11290); -#11293=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.811724784545E0)); -#11294=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.811724784545E0)); -#11295=VERTEX_POINT('',#11293); -#11296=VERTEX_POINT('',#11294); -#11297=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.811724784545E0)); -#11298=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.811724784545E0)); -#11299=VERTEX_POINT('',#11297); -#11300=VERTEX_POINT('',#11298); -#11301=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.311724784545E0)); -#11302=CARTESIAN_POINT('',(9.839959E1,6.4622997E1,4.311724784545E0)); -#11303=VERTEX_POINT('',#11301); -#11304=VERTEX_POINT('',#11302); -#11305=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.311724784545E0)); -#11306=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.311724784545E0)); -#11307=VERTEX_POINT('',#11305); -#11308=VERTEX_POINT('',#11306); -#11309=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.311724784545E0)); -#11310=VERTEX_POINT('',#11309); -#11311=CARTESIAN_POINT('',(9.6599588E1,6.4022999E1,4.311724784545E0)); -#11312=VERTEX_POINT('',#11311); -#11313=CARTESIAN_POINT('',(9.839959E1,6.4022999E1,4.311724784545E0)); -#11314=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.311724784545E0)); -#11315=VERTEX_POINT('',#11313); -#11316=VERTEX_POINT('',#11314); -#11317=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.311724784545E0)); -#11318=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.311724784545E0)); -#11319=VERTEX_POINT('',#11317); -#11320=VERTEX_POINT('',#11318); -#11321=CARTESIAN_POINT('',(9.6599588E1,6.3072998E1,4.311724784545E0)); -#11322=VERTEX_POINT('',#11321); -#11323=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.311724784545E0)); -#11324=VERTEX_POINT('',#11323); -#11325=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.311724784545E0)); -#11326=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.311724784545E0)); -#11327=VERTEX_POINT('',#11325); -#11328=VERTEX_POINT('',#11326); -#11329=CARTESIAN_POINT('',(9.6599588E1,6.2123E1,4.311724784545E0)); -#11330=VERTEX_POINT('',#11329); -#11331=CARTESIAN_POINT('',(9.839959E1,6.2123E1,4.311724784545E0)); -#11332=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.311724784545E0)); -#11333=VERTEX_POINT('',#11331); -#11334=VERTEX_POINT('',#11332); -#11335=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.311724784545E0)); -#11336=VERTEX_POINT('',#11335); -#11337=CARTESIAN_POINT('',(9.839959E1,6.2722999E1,4.311724784545E0)); -#11338=VERTEX_POINT('',#11337); -#11339=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.311724784545E0)); -#11340=VERTEX_POINT('',#11339); -#11341=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.811724784545E0)); -#11342=CARTESIAN_POINT('',(9.6599588E1,6.4022999E1,4.811724784545E0)); -#11343=VERTEX_POINT('',#11341); -#11344=VERTEX_POINT('',#11342); -#11345=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.811724784545E0)); -#11346=CARTESIAN_POINT('',(9.6599588E1,6.3072998E1,4.811724784545E0)); -#11347=VERTEX_POINT('',#11345); -#11348=VERTEX_POINT('',#11346); -#11349=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.811724784545E0)); -#11350=CARTESIAN_POINT('',(9.6599588E1,6.2123E1,4.811724784545E0)); -#11351=VERTEX_POINT('',#11349); -#11352=VERTEX_POINT('',#11350); -#11353=CARTESIAN_POINT('',(9.839959E1,6.4622997E1,4.811724784545E0)); -#11354=CARTESIAN_POINT('',(9.839959E1,6.4022999E1,4.811724784545E0)); -#11355=VERTEX_POINT('',#11353); -#11356=VERTEX_POINT('',#11354); -#11357=CARTESIAN_POINT('',(9.839959E1,6.2722999E1,4.811724784545E0)); -#11358=CARTESIAN_POINT('',(9.839959E1,6.2123E1,4.811724784545E0)); -#11359=VERTEX_POINT('',#11357); -#11360=VERTEX_POINT('',#11358); -#11361=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.811724784545E0)); -#11362=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.811724784545E0)); -#11363=VERTEX_POINT('',#11361); -#11364=VERTEX_POINT('',#11362); -#11365=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.811724784545E0)); -#11366=VERTEX_POINT('',#11365); -#11367=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.811724784545E0)); -#11368=VERTEX_POINT('',#11367); -#11369=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.311724784545E0)); -#11370=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.311724784545E0)); -#11371=VERTEX_POINT('',#11369); -#11372=VERTEX_POINT('',#11370); -#11373=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.311724784545E0)); -#11374=VERTEX_POINT('',#11373); -#11375=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.311724784545E0)); -#11376=VERTEX_POINT('',#11375); -#11377=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,5.111724784545E0)); -#11378=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,5.111724784545E0)); -#11379=VERTEX_POINT('',#11377); -#11380=VERTEX_POINT('',#11378); -#11381=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,5.111724784545E0)); -#11382=VERTEX_POINT('',#11381); -#11383=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,5.111724784545E0)); -#11384=VERTEX_POINT('',#11383); -#11385=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,4.311724784545E0)); -#11386=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,4.311724784545E0)); -#11387=VERTEX_POINT('',#11385); -#11388=VERTEX_POINT('',#11386); -#11389=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,4.311724784545E0)); -#11390=VERTEX_POINT('',#11389); -#11391=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,4.311724784545E0)); -#11392=VERTEX_POINT('',#11391); -#11393=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,5.111724784545E0)); -#11394=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,5.111724784545E0)); -#11395=VERTEX_POINT('',#11393); -#11396=VERTEX_POINT('',#11394); -#11397=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,5.111724784545E0)); -#11398=VERTEX_POINT('',#11397); -#11399=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,5.111724784545E0)); -#11400=VERTEX_POINT('',#11399); -#11401=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,4.311724784545E0)); -#11402=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,4.311724784545E0)); -#11403=VERTEX_POINT('',#11401); -#11404=VERTEX_POINT('',#11402); -#11405=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,4.311724784545E0)); -#11406=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,4.311724784545E0)); -#11407=VERTEX_POINT('',#11405); -#11408=VERTEX_POINT('',#11406); -#11409=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.811724784545E0)); -#11410=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.811724784545E0)); -#11411=VERTEX_POINT('',#11409); -#11412=VERTEX_POINT('',#11410); -#11413=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.811724784545E0)); -#11414=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.811724784545E0)); -#11415=VERTEX_POINT('',#11413); -#11416=VERTEX_POINT('',#11414); -#11417=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.811724784545E0)); -#11418=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.811724784545E0)); -#11419=VERTEX_POINT('',#11417); -#11420=VERTEX_POINT('',#11418); -#11421=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.811724784545E0)); -#11422=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.811724784545E0)); -#11423=VERTEX_POINT('',#11421); -#11424=VERTEX_POINT('',#11422); -#11425=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.811724784545E0)); -#11426=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.811724784545E0)); -#11427=VERTEX_POINT('',#11425); -#11428=VERTEX_POINT('',#11426); -#11429=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.311724784545E0)); -#11430=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.311724784545E0)); -#11431=VERTEX_POINT('',#11429); -#11432=VERTEX_POINT('',#11430); -#11433=CARTESIAN_POINT('',(8.4738393E1,6.4222198E1,4.311724784545E0)); -#11434=VERTEX_POINT('',#11433); -#11435=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.311724784545E0)); -#11436=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.311724784545E0)); -#11437=VERTEX_POINT('',#11435); -#11438=VERTEX_POINT('',#11436); -#11439=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.311724784545E0)); -#11440=VERTEX_POINT('',#11439); -#11441=CARTESIAN_POINT('',(8.5338392E1,6.2422197E1,4.311724784545E0)); -#11442=VERTEX_POINT('',#11441); -#11443=CARTESIAN_POINT('',(8.5338392E1,6.4222198E1,4.311724784545E0)); -#11444=VERTEX_POINT('',#11443); -#11445=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.311724784545E0)); -#11446=CARTESIAN_POINT('',(8.4388394E1,6.2422197E1,4.311724784545E0)); -#11447=VERTEX_POINT('',#11445); -#11448=VERTEX_POINT('',#11446); -#11449=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.311724784545E0)); -#11450=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.311724784545E0)); -#11451=VERTEX_POINT('',#11449); -#11452=VERTEX_POINT('',#11450); -#11453=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.311724784545E0)); -#11454=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.311724784545E0)); -#11455=VERTEX_POINT('',#11453); -#11456=VERTEX_POINT('',#11454); -#11457=CARTESIAN_POINT('',(8.2838394E1,6.4222198E1,4.311724784545E0)); -#11458=VERTEX_POINT('',#11457); -#11459=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.311724784545E0)); -#11460=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.311724784545E0)); -#11461=VERTEX_POINT('',#11459); -#11462=VERTEX_POINT('',#11460); -#11463=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.311724784545E0)); -#11464=VERTEX_POINT('',#11463); -#11465=CARTESIAN_POINT('',(8.3438393E1,6.2422197E1,4.311724784545E0)); -#11466=VERTEX_POINT('',#11465); -#11467=CARTESIAN_POINT('',(8.3438393E1,6.4222198E1,4.311724784545E0)); -#11468=VERTEX_POINT('',#11467); -#11469=CARTESIAN_POINT('',(8.2838394E1,6.4222198E1,4.811724784545E0)); -#11470=CARTESIAN_POINT('',(8.3438393E1,6.4222198E1,4.811724784545E0)); -#11471=VERTEX_POINT('',#11469); -#11472=VERTEX_POINT('',#11470); -#11473=CARTESIAN_POINT('',(8.4738393E1,6.4222198E1,4.811724784545E0)); -#11474=CARTESIAN_POINT('',(8.5338392E1,6.4222198E1,4.811724784545E0)); -#11475=VERTEX_POINT('',#11473); -#11476=VERTEX_POINT('',#11474); -#11477=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.811724784545E0)); -#11478=CARTESIAN_POINT('',(8.3438393E1,6.2422197E1,4.811724784545E0)); -#11479=VERTEX_POINT('',#11477); -#11480=VERTEX_POINT('',#11478); -#11481=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.811724784545E0)); -#11482=CARTESIAN_POINT('',(8.4388394E1,6.2422197E1,4.811724784545E0)); -#11483=VERTEX_POINT('',#11481); -#11484=VERTEX_POINT('',#11482); -#11485=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.811724784545E0)); -#11486=CARTESIAN_POINT('',(8.5338392E1,6.2422197E1,4.811724784545E0)); -#11487=VERTEX_POINT('',#11485); -#11488=VERTEX_POINT('',#11486); -#11489=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,2.111724784545E0)); -#11490=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,2.111724784545E0)); -#11491=VERTEX_POINT('',#11489); -#11492=VERTEX_POINT('',#11490); -#11493=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,2.111724784545E0)); -#11494=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,2.111724784545E0)); -#11495=VERTEX_POINT('',#11493); -#11496=VERTEX_POINT('',#11494); -#11497=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,1.511724784545E0)); -#11498=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,1.511724784545E0)); -#11499=VERTEX_POINT('',#11497); -#11500=VERTEX_POINT('',#11498); -#11501=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,1.511724784545E0)); -#11502=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,1.511724784545E0)); -#11503=VERTEX_POINT('',#11501); -#11504=VERTEX_POINT('',#11502); -#11505=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,2.111724784545E0)); -#11506=CARTESIAN_POINT('',(1.019849871338E2,8.3772858E1,2.111724784545E0)); -#11507=VERTEX_POINT('',#11505); -#11508=VERTEX_POINT('',#11506); -#11509=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.511724784545E0)); -#11510=CARTESIAN_POINT('',(1.019849871338E2,8.3772858E1,1.511724784545E0)); -#11511=VERTEX_POINT('',#11509); -#11512=VERTEX_POINT('',#11510); -#11513=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,2.111724784545E0)); -#11514=VERTEX_POINT('',#11513); -#11515=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,1.511724784545E0)); -#11516=VERTEX_POINT('',#11515); -#11517=CARTESIAN_POINT('',(8.348498713377E1,8.3772858E1,2.111724784545E0)); -#11518=VERTEX_POINT('',#11517); -#11519=CARTESIAN_POINT('',(8.348498713377E1,8.3772858E1,1.511724784545E0)); -#11520=VERTEX_POINT('',#11519); -#11521=CARTESIAN_POINT('',(0.E0,0.E0,4.311724784545E0)); -#11522=DIRECTION('',(0.E0,0.E0,1.E0)); -#11523=DIRECTION('',(1.E0,0.E0,0.E0)); -#11524=AXIS2_PLACEMENT_3D('',#11521,#11522,#11523); -#11525=PLANE('',#11524); -#11527=ORIENTED_EDGE('',*,*,#11526,.F.); -#11529=ORIENTED_EDGE('',*,*,#11528,.F.); -#11531=ORIENTED_EDGE('',*,*,#11530,.F.); -#11533=ORIENTED_EDGE('',*,*,#11532,.F.); -#11535=ORIENTED_EDGE('',*,*,#11534,.F.); -#11537=ORIENTED_EDGE('',*,*,#11536,.F.); -#11539=ORIENTED_EDGE('',*,*,#11538,.F.); -#11541=ORIENTED_EDGE('',*,*,#11540,.F.); -#11542=EDGE_LOOP('',(#11527,#11529,#11531,#11533,#11535,#11537,#11539,#11541)); -#11543=FACE_OUTER_BOUND('',#11542,.F.); -#11545=ORIENTED_EDGE('',*,*,#11544,.T.); -#11547=ORIENTED_EDGE('',*,*,#11546,.T.); -#11548=EDGE_LOOP('',(#11545,#11547)); -#11549=FACE_BOUND('',#11548,.F.); -#11551=ORIENTED_EDGE('',*,*,#11550,.T.); -#11553=ORIENTED_EDGE('',*,*,#11552,.T.); -#11554=EDGE_LOOP('',(#11551,#11553)); -#11555=FACE_BOUND('',#11554,.F.); -#11557=ORIENTED_EDGE('',*,*,#11556,.T.); -#11559=ORIENTED_EDGE('',*,*,#11558,.T.); -#11561=ORIENTED_EDGE('',*,*,#11560,.T.); -#11563=ORIENTED_EDGE('',*,*,#11562,.T.); -#11565=ORIENTED_EDGE('',*,*,#11564,.T.); -#11567=ORIENTED_EDGE('',*,*,#11566,.T.); -#11569=ORIENTED_EDGE('',*,*,#11568,.T.); -#11571=ORIENTED_EDGE('',*,*,#11570,.T.); -#11573=ORIENTED_EDGE('',*,*,#11572,.T.); -#11575=ORIENTED_EDGE('',*,*,#11574,.T.); -#11577=ORIENTED_EDGE('',*,*,#11576,.T.); -#11579=ORIENTED_EDGE('',*,*,#11578,.T.); -#11581=ORIENTED_EDGE('',*,*,#11580,.T.); -#11583=ORIENTED_EDGE('',*,*,#11582,.T.); -#11585=ORIENTED_EDGE('',*,*,#11584,.T.); -#11587=ORIENTED_EDGE('',*,*,#11586,.T.); -#11589=ORIENTED_EDGE('',*,*,#11588,.T.); -#11591=ORIENTED_EDGE('',*,*,#11590,.T.); -#11593=ORIENTED_EDGE('',*,*,#11592,.T.); -#11595=ORIENTED_EDGE('',*,*,#11594,.T.); -#11596=EDGE_LOOP('',(#11557,#11559,#11561,#11563,#11565,#11567,#11569,#11571, -#11573,#11575,#11577,#11579,#11581,#11583,#11585,#11587,#11589,#11591,#11593, -#11595)); -#11597=FACE_BOUND('',#11596,.F.); -#11599=ORIENTED_EDGE('',*,*,#11598,.T.); -#11601=ORIENTED_EDGE('',*,*,#11600,.T.); -#11603=ORIENTED_EDGE('',*,*,#11602,.T.); -#11605=ORIENTED_EDGE('',*,*,#11604,.T.); -#11607=ORIENTED_EDGE('',*,*,#11606,.T.); -#11609=ORIENTED_EDGE('',*,*,#11608,.T.); -#11611=ORIENTED_EDGE('',*,*,#11610,.T.); -#11613=ORIENTED_EDGE('',*,*,#11612,.T.); -#11615=ORIENTED_EDGE('',*,*,#11614,.T.); -#11617=ORIENTED_EDGE('',*,*,#11616,.T.); -#11619=ORIENTED_EDGE('',*,*,#11618,.T.); -#11621=ORIENTED_EDGE('',*,*,#11620,.T.); -#11623=ORIENTED_EDGE('',*,*,#11622,.T.); -#11625=ORIENTED_EDGE('',*,*,#11624,.T.); -#11627=ORIENTED_EDGE('',*,*,#11626,.T.); -#11629=ORIENTED_EDGE('',*,*,#11628,.T.); -#11631=ORIENTED_EDGE('',*,*,#11630,.T.); -#11633=ORIENTED_EDGE('',*,*,#11632,.T.); -#11634=EDGE_LOOP('',(#11599,#11601,#11603,#11605,#11607,#11609,#11611,#11613, -#11615,#11617,#11619,#11621,#11623,#11625,#11627,#11629,#11631,#11633)); -#11635=FACE_BOUND('',#11634,.F.); -#11637=ORIENTED_EDGE('',*,*,#11636,.F.); -#11639=ORIENTED_EDGE('',*,*,#11638,.T.); -#11641=ORIENTED_EDGE('',*,*,#11640,.T.); -#11643=ORIENTED_EDGE('',*,*,#11642,.F.); -#11645=ORIENTED_EDGE('',*,*,#11644,.T.); -#11647=ORIENTED_EDGE('',*,*,#11646,.F.); -#11649=ORIENTED_EDGE('',*,*,#11648,.T.); -#11651=ORIENTED_EDGE('',*,*,#11650,.T.); -#11653=ORIENTED_EDGE('',*,*,#11652,.F.); -#11655=ORIENTED_EDGE('',*,*,#11654,.T.); -#11656=EDGE_LOOP('',(#11637,#11639,#11641,#11643,#11645,#11647,#11649,#11651, -#11653,#11655)); -#11657=FACE_BOUND('',#11656,.F.); -#11659=ORIENTED_EDGE('',*,*,#11658,.T.); -#11661=ORIENTED_EDGE('',*,*,#11660,.T.); -#11663=ORIENTED_EDGE('',*,*,#11662,.T.); -#11665=ORIENTED_EDGE('',*,*,#11664,.T.); -#11666=EDGE_LOOP('',(#11659,#11661,#11663,#11665)); -#11667=FACE_BOUND('',#11666,.F.); -#11669=ORIENTED_EDGE('',*,*,#11668,.F.); -#11671=ORIENTED_EDGE('',*,*,#11670,.T.); -#11673=ORIENTED_EDGE('',*,*,#11672,.F.); -#11675=ORIENTED_EDGE('',*,*,#11674,.T.); -#11676=EDGE_LOOP('',(#11669,#11671,#11673,#11675)); -#11677=FACE_BOUND('',#11676,.F.); -#11679=ORIENTED_EDGE('',*,*,#11678,.F.); -#11681=ORIENTED_EDGE('',*,*,#11680,.T.); -#11683=ORIENTED_EDGE('',*,*,#11682,.F.); -#11685=ORIENTED_EDGE('',*,*,#11684,.T.); -#11686=EDGE_LOOP('',(#11679,#11681,#11683,#11685)); -#11687=FACE_BOUND('',#11686,.F.); -#11689=ORIENTED_EDGE('',*,*,#11688,.F.); -#11691=ORIENTED_EDGE('',*,*,#11690,.T.); -#11693=ORIENTED_EDGE('',*,*,#11692,.F.); -#11695=ORIENTED_EDGE('',*,*,#11694,.T.); -#11696=EDGE_LOOP('',(#11689,#11691,#11693,#11695)); -#11697=FACE_BOUND('',#11696,.F.); -#11699=ORIENTED_EDGE('',*,*,#11698,.F.); -#11701=ORIENTED_EDGE('',*,*,#11700,.T.); -#11703=ORIENTED_EDGE('',*,*,#11702,.F.); -#11705=ORIENTED_EDGE('',*,*,#11704,.T.); -#11706=EDGE_LOOP('',(#11699,#11701,#11703,#11705)); -#11707=FACE_BOUND('',#11706,.F.); -#11709=ORIENTED_EDGE('',*,*,#11708,.F.); -#11711=ORIENTED_EDGE('',*,*,#11710,.T.); -#11713=ORIENTED_EDGE('',*,*,#11712,.F.); -#11715=ORIENTED_EDGE('',*,*,#11714,.T.); -#11716=EDGE_LOOP('',(#11709,#11711,#11713,#11715)); -#11717=FACE_BOUND('',#11716,.F.); -#11719=ORIENTED_EDGE('',*,*,#11718,.F.); -#11721=ORIENTED_EDGE('',*,*,#11720,.T.); -#11723=ORIENTED_EDGE('',*,*,#11722,.F.); -#11725=ORIENTED_EDGE('',*,*,#11724,.T.); -#11726=EDGE_LOOP('',(#11719,#11721,#11723,#11725)); -#11727=FACE_BOUND('',#11726,.F.); -#11729=ORIENTED_EDGE('',*,*,#11728,.F.); -#11731=ORIENTED_EDGE('',*,*,#11730,.T.); -#11733=ORIENTED_EDGE('',*,*,#11732,.F.); -#11735=ORIENTED_EDGE('',*,*,#11734,.T.); -#11736=EDGE_LOOP('',(#11729,#11731,#11733,#11735)); -#11737=FACE_BOUND('',#11736,.F.); -#11739=ORIENTED_EDGE('',*,*,#11738,.F.); -#11741=ORIENTED_EDGE('',*,*,#11740,.T.); -#11743=ORIENTED_EDGE('',*,*,#11742,.F.); -#11745=ORIENTED_EDGE('',*,*,#11744,.T.); -#11746=EDGE_LOOP('',(#11739,#11741,#11743,#11745)); -#11747=FACE_BOUND('',#11746,.F.); -#11749=ORIENTED_EDGE('',*,*,#11748,.T.); -#11751=ORIENTED_EDGE('',*,*,#11750,.T.); -#11753=ORIENTED_EDGE('',*,*,#11752,.T.); -#11755=ORIENTED_EDGE('',*,*,#11754,.T.); -#11757=ORIENTED_EDGE('',*,*,#11756,.T.); -#11759=ORIENTED_EDGE('',*,*,#11758,.T.); -#11761=ORIENTED_EDGE('',*,*,#11760,.T.); -#11763=ORIENTED_EDGE('',*,*,#11762,.T.); -#11765=ORIENTED_EDGE('',*,*,#11764,.T.); -#11767=ORIENTED_EDGE('',*,*,#11766,.T.); -#11769=ORIENTED_EDGE('',*,*,#11768,.T.); -#11771=ORIENTED_EDGE('',*,*,#11770,.T.); -#11773=ORIENTED_EDGE('',*,*,#11772,.T.); -#11775=ORIENTED_EDGE('',*,*,#11774,.T.); -#11777=ORIENTED_EDGE('',*,*,#11776,.T.); -#11779=ORIENTED_EDGE('',*,*,#11778,.T.); -#11781=ORIENTED_EDGE('',*,*,#11780,.T.); -#11783=ORIENTED_EDGE('',*,*,#11782,.T.); -#11785=ORIENTED_EDGE('',*,*,#11784,.T.); -#11787=ORIENTED_EDGE('',*,*,#11786,.T.); -#11788=EDGE_LOOP('',(#11749,#11751,#11753,#11755,#11757,#11759,#11761,#11763, -#11765,#11767,#11769,#11771,#11773,#11775,#11777,#11779,#11781,#11783,#11785, -#11787)); -#11789=FACE_BOUND('',#11788,.F.); -#11791=ORIENTED_EDGE('',*,*,#11790,.T.); -#11793=ORIENTED_EDGE('',*,*,#11792,.T.); -#11795=ORIENTED_EDGE('',*,*,#11794,.T.); -#11797=ORIENTED_EDGE('',*,*,#11796,.T.); -#11799=ORIENTED_EDGE('',*,*,#11798,.T.); -#11801=ORIENTED_EDGE('',*,*,#11800,.T.); -#11803=ORIENTED_EDGE('',*,*,#11802,.T.); -#11805=ORIENTED_EDGE('',*,*,#11804,.T.); -#11807=ORIENTED_EDGE('',*,*,#11806,.T.); -#11809=ORIENTED_EDGE('',*,*,#11808,.T.); -#11811=ORIENTED_EDGE('',*,*,#11810,.T.); -#11813=ORIENTED_EDGE('',*,*,#11812,.T.); -#11814=EDGE_LOOP('',(#11791,#11793,#11795,#11797,#11799,#11801,#11803,#11805, -#11807,#11809,#11811,#11813)); -#11815=FACE_BOUND('',#11814,.F.); -#11817=ORIENTED_EDGE('',*,*,#11816,.T.); -#11819=ORIENTED_EDGE('',*,*,#11818,.T.); -#11821=ORIENTED_EDGE('',*,*,#11820,.T.); -#11823=ORIENTED_EDGE('',*,*,#11822,.T.); -#11824=EDGE_LOOP('',(#11817,#11819,#11821,#11823)); -#11825=FACE_BOUND('',#11824,.F.); -#11827=ORIENTED_EDGE('',*,*,#11826,.T.); -#11829=ORIENTED_EDGE('',*,*,#11828,.T.); -#11831=ORIENTED_EDGE('',*,*,#11830,.T.); -#11833=ORIENTED_EDGE('',*,*,#11832,.T.); -#11835=ORIENTED_EDGE('',*,*,#11834,.T.); -#11837=ORIENTED_EDGE('',*,*,#11836,.T.); -#11839=ORIENTED_EDGE('',*,*,#11838,.T.); -#11841=ORIENTED_EDGE('',*,*,#11840,.T.); -#11843=ORIENTED_EDGE('',*,*,#11842,.T.); -#11845=ORIENTED_EDGE('',*,*,#11844,.T.); -#11847=ORIENTED_EDGE('',*,*,#11846,.T.); -#11849=ORIENTED_EDGE('',*,*,#11848,.T.); -#11851=ORIENTED_EDGE('',*,*,#11850,.T.); -#11853=ORIENTED_EDGE('',*,*,#11852,.T.); -#11855=ORIENTED_EDGE('',*,*,#11854,.T.); -#11857=ORIENTED_EDGE('',*,*,#11856,.T.); -#11858=EDGE_LOOP('',(#11827,#11829,#11831,#11833,#11835,#11837,#11839,#11841, -#11843,#11845,#11847,#11849,#11851,#11853,#11855,#11857)); -#11859=FACE_BOUND('',#11858,.F.); -#11861=ORIENTED_EDGE('',*,*,#11860,.T.); -#11863=ORIENTED_EDGE('',*,*,#11862,.T.); -#11865=ORIENTED_EDGE('',*,*,#11864,.T.); -#11867=ORIENTED_EDGE('',*,*,#11866,.T.); -#11868=EDGE_LOOP('',(#11861,#11863,#11865,#11867)); -#11869=FACE_BOUND('',#11868,.F.); -#11871=ORIENTED_EDGE('',*,*,#11870,.T.); -#11873=ORIENTED_EDGE('',*,*,#11872,.T.); -#11875=ORIENTED_EDGE('',*,*,#11874,.T.); -#11877=ORIENTED_EDGE('',*,*,#11876,.T.); -#11878=EDGE_LOOP('',(#11871,#11873,#11875,#11877)); -#11879=FACE_BOUND('',#11878,.F.); -#11881=ORIENTED_EDGE('',*,*,#11880,.T.); -#11883=ORIENTED_EDGE('',*,*,#11882,.T.); -#11885=ORIENTED_EDGE('',*,*,#11884,.T.); -#11887=ORIENTED_EDGE('',*,*,#11886,.T.); -#11888=EDGE_LOOP('',(#11881,#11883,#11885,#11887)); -#11889=FACE_BOUND('',#11888,.F.); -#11891=ORIENTED_EDGE('',*,*,#11890,.T.); -#11893=ORIENTED_EDGE('',*,*,#11892,.T.); -#11895=ORIENTED_EDGE('',*,*,#11894,.T.); -#11897=ORIENTED_EDGE('',*,*,#11896,.T.); -#11898=EDGE_LOOP('',(#11891,#11893,#11895,#11897)); -#11899=FACE_BOUND('',#11898,.F.); -#11901=ORIENTED_EDGE('',*,*,#11900,.T.); -#11903=ORIENTED_EDGE('',*,*,#11902,.T.); -#11905=ORIENTED_EDGE('',*,*,#11904,.T.); -#11907=ORIENTED_EDGE('',*,*,#11906,.T.); -#11908=EDGE_LOOP('',(#11901,#11903,#11905,#11907)); -#11909=FACE_BOUND('',#11908,.F.); -#11911=ORIENTED_EDGE('',*,*,#11910,.T.); -#11913=ORIENTED_EDGE('',*,*,#11912,.T.); -#11915=ORIENTED_EDGE('',*,*,#11914,.T.); -#11917=ORIENTED_EDGE('',*,*,#11916,.T.); -#11918=EDGE_LOOP('',(#11911,#11913,#11915,#11917)); -#11919=FACE_BOUND('',#11918,.F.); -#11921=ORIENTED_EDGE('',*,*,#11920,.T.); -#11923=ORIENTED_EDGE('',*,*,#11922,.T.); -#11925=ORIENTED_EDGE('',*,*,#11924,.T.); -#11927=ORIENTED_EDGE('',*,*,#11926,.T.); -#11928=EDGE_LOOP('',(#11921,#11923,#11925,#11927)); -#11929=FACE_BOUND('',#11928,.F.); -#11931=ORIENTED_EDGE('',*,*,#11930,.T.); -#11933=ORIENTED_EDGE('',*,*,#11932,.T.); -#11935=ORIENTED_EDGE('',*,*,#11934,.T.); -#11937=ORIENTED_EDGE('',*,*,#11936,.T.); -#11938=EDGE_LOOP('',(#11931,#11933,#11935,#11937)); -#11939=FACE_BOUND('',#11938,.F.); -#11941=ORIENTED_EDGE('',*,*,#11940,.T.); -#11943=ORIENTED_EDGE('',*,*,#11942,.T.); -#11945=ORIENTED_EDGE('',*,*,#11944,.T.); -#11947=ORIENTED_EDGE('',*,*,#11946,.T.); -#11948=EDGE_LOOP('',(#11941,#11943,#11945,#11947)); -#11949=FACE_BOUND('',#11948,.F.); -#11951=ORIENTED_EDGE('',*,*,#11950,.T.); -#11953=ORIENTED_EDGE('',*,*,#11952,.T.); -#11955=ORIENTED_EDGE('',*,*,#11954,.T.); -#11957=ORIENTED_EDGE('',*,*,#11956,.T.); -#11958=EDGE_LOOP('',(#11951,#11953,#11955,#11957)); -#11959=FACE_BOUND('',#11958,.F.); -#11961=ORIENTED_EDGE('',*,*,#11960,.T.); -#11963=ORIENTED_EDGE('',*,*,#11962,.T.); -#11965=ORIENTED_EDGE('',*,*,#11964,.T.); -#11967=ORIENTED_EDGE('',*,*,#11966,.T.); -#11968=EDGE_LOOP('',(#11961,#11963,#11965,#11967)); -#11969=FACE_BOUND('',#11968,.F.); -#11971=ORIENTED_EDGE('',*,*,#11970,.T.); -#11973=ORIENTED_EDGE('',*,*,#11972,.T.); -#11975=ORIENTED_EDGE('',*,*,#11974,.T.); -#11977=ORIENTED_EDGE('',*,*,#11976,.T.); -#11978=EDGE_LOOP('',(#11971,#11973,#11975,#11977)); -#11979=FACE_BOUND('',#11978,.F.); -#11981=ORIENTED_EDGE('',*,*,#11980,.T.); -#11983=ORIENTED_EDGE('',*,*,#11982,.T.); -#11985=ORIENTED_EDGE('',*,*,#11984,.T.); -#11987=ORIENTED_EDGE('',*,*,#11986,.T.); -#11988=EDGE_LOOP('',(#11981,#11983,#11985,#11987)); -#11989=FACE_BOUND('',#11988,.F.); -#11991=ORIENTED_EDGE('',*,*,#11990,.T.); -#11993=ORIENTED_EDGE('',*,*,#11992,.T.); -#11995=ORIENTED_EDGE('',*,*,#11994,.T.); -#11997=ORIENTED_EDGE('',*,*,#11996,.T.); -#11998=EDGE_LOOP('',(#11991,#11993,#11995,#11997)); -#11999=FACE_BOUND('',#11998,.F.); -#12001=ORIENTED_EDGE('',*,*,#12000,.T.); -#12003=ORIENTED_EDGE('',*,*,#12002,.T.); -#12005=ORIENTED_EDGE('',*,*,#12004,.T.); -#12007=ORIENTED_EDGE('',*,*,#12006,.T.); -#12008=EDGE_LOOP('',(#12001,#12003,#12005,#12007)); -#12009=FACE_BOUND('',#12008,.F.); -#12011=ORIENTED_EDGE('',*,*,#12010,.T.); -#12013=ORIENTED_EDGE('',*,*,#12012,.T.); -#12015=ORIENTED_EDGE('',*,*,#12014,.T.); -#12017=ORIENTED_EDGE('',*,*,#12016,.T.); -#12018=EDGE_LOOP('',(#12011,#12013,#12015,#12017)); -#12019=FACE_BOUND('',#12018,.F.); -#12021=ORIENTED_EDGE('',*,*,#12020,.T.); -#12023=ORIENTED_EDGE('',*,*,#12022,.T.); -#12025=ORIENTED_EDGE('',*,*,#12024,.T.); -#12027=ORIENTED_EDGE('',*,*,#12026,.T.); -#12028=EDGE_LOOP('',(#12021,#12023,#12025,#12027)); -#12029=FACE_BOUND('',#12028,.F.); -#12031=ORIENTED_EDGE('',*,*,#12030,.T.); -#12033=ORIENTED_EDGE('',*,*,#12032,.T.); -#12035=ORIENTED_EDGE('',*,*,#12034,.T.); -#12037=ORIENTED_EDGE('',*,*,#12036,.T.); -#12038=EDGE_LOOP('',(#12031,#12033,#12035,#12037)); -#12039=FACE_BOUND('',#12038,.F.); -#12041=ORIENTED_EDGE('',*,*,#12040,.T.); -#12043=ORIENTED_EDGE('',*,*,#12042,.T.); -#12045=ORIENTED_EDGE('',*,*,#12044,.T.); -#12047=ORIENTED_EDGE('',*,*,#12046,.T.); -#12048=EDGE_LOOP('',(#12041,#12043,#12045,#12047)); -#12049=FACE_BOUND('',#12048,.F.); -#12051=ORIENTED_EDGE('',*,*,#12050,.T.); -#12053=ORIENTED_EDGE('',*,*,#12052,.T.); -#12055=ORIENTED_EDGE('',*,*,#12054,.T.); -#12057=ORIENTED_EDGE('',*,*,#12056,.T.); -#12058=EDGE_LOOP('',(#12051,#12053,#12055,#12057)); -#12059=FACE_BOUND('',#12058,.F.); -#12061=ORIENTED_EDGE('',*,*,#12060,.T.); -#12063=ORIENTED_EDGE('',*,*,#12062,.T.); -#12065=ORIENTED_EDGE('',*,*,#12064,.T.); -#12067=ORIENTED_EDGE('',*,*,#12066,.T.); -#12068=EDGE_LOOP('',(#12061,#12063,#12065,#12067)); -#12069=FACE_BOUND('',#12068,.F.); -#12071=ORIENTED_EDGE('',*,*,#12070,.T.); -#12073=ORIENTED_EDGE('',*,*,#12072,.T.); -#12075=ORIENTED_EDGE('',*,*,#12074,.T.); -#12077=ORIENTED_EDGE('',*,*,#12076,.T.); -#12078=EDGE_LOOP('',(#12071,#12073,#12075,#12077)); -#12079=FACE_BOUND('',#12078,.F.); -#12081=ORIENTED_EDGE('',*,*,#12080,.T.); -#12083=ORIENTED_EDGE('',*,*,#12082,.T.); -#12085=ORIENTED_EDGE('',*,*,#12084,.T.); -#12087=ORIENTED_EDGE('',*,*,#12086,.T.); -#12088=EDGE_LOOP('',(#12081,#12083,#12085,#12087)); -#12089=FACE_BOUND('',#12088,.F.); -#12091=ORIENTED_EDGE('',*,*,#12090,.T.); -#12093=ORIENTED_EDGE('',*,*,#12092,.T.); -#12095=ORIENTED_EDGE('',*,*,#12094,.T.); -#12097=ORIENTED_EDGE('',*,*,#12096,.T.); -#12098=EDGE_LOOP('',(#12091,#12093,#12095,#12097)); -#12099=FACE_BOUND('',#12098,.F.); -#12101=ORIENTED_EDGE('',*,*,#12100,.T.); -#12103=ORIENTED_EDGE('',*,*,#12102,.T.); -#12105=ORIENTED_EDGE('',*,*,#12104,.T.); -#12107=ORIENTED_EDGE('',*,*,#12106,.T.); -#12108=EDGE_LOOP('',(#12101,#12103,#12105,#12107)); -#12109=FACE_BOUND('',#12108,.F.); -#12111=ORIENTED_EDGE('',*,*,#12110,.T.); -#12113=ORIENTED_EDGE('',*,*,#12112,.T.); -#12115=ORIENTED_EDGE('',*,*,#12114,.T.); -#12117=ORIENTED_EDGE('',*,*,#12116,.T.); -#12118=EDGE_LOOP('',(#12111,#12113,#12115,#12117)); -#12119=FACE_BOUND('',#12118,.F.); -#12121=ORIENTED_EDGE('',*,*,#12120,.T.); -#12123=ORIENTED_EDGE('',*,*,#12122,.T.); -#12125=ORIENTED_EDGE('',*,*,#12124,.T.); -#12127=ORIENTED_EDGE('',*,*,#12126,.T.); -#12128=EDGE_LOOP('',(#12121,#12123,#12125,#12127)); -#12129=FACE_BOUND('',#12128,.F.); -#12131=ORIENTED_EDGE('',*,*,#12130,.T.); -#12133=ORIENTED_EDGE('',*,*,#12132,.T.); -#12135=ORIENTED_EDGE('',*,*,#12134,.T.); -#12137=ORIENTED_EDGE('',*,*,#12136,.T.); -#12138=EDGE_LOOP('',(#12131,#12133,#12135,#12137)); -#12139=FACE_BOUND('',#12138,.F.); -#12141=ORIENTED_EDGE('',*,*,#12140,.T.); -#12143=ORIENTED_EDGE('',*,*,#12142,.T.); -#12145=ORIENTED_EDGE('',*,*,#12144,.T.); -#12147=ORIENTED_EDGE('',*,*,#12146,.T.); -#12148=EDGE_LOOP('',(#12141,#12143,#12145,#12147)); -#12149=FACE_BOUND('',#12148,.F.); -#12151=ORIENTED_EDGE('',*,*,#12150,.T.); -#12153=ORIENTED_EDGE('',*,*,#12152,.T.); -#12155=ORIENTED_EDGE('',*,*,#12154,.T.); -#12157=ORIENTED_EDGE('',*,*,#12156,.T.); -#12158=EDGE_LOOP('',(#12151,#12153,#12155,#12157)); -#12159=FACE_BOUND('',#12158,.F.); -#12161=ORIENTED_EDGE('',*,*,#12160,.T.); -#12163=ORIENTED_EDGE('',*,*,#12162,.T.); -#12165=ORIENTED_EDGE('',*,*,#12164,.T.); -#12167=ORIENTED_EDGE('',*,*,#12166,.T.); -#12168=EDGE_LOOP('',(#12161,#12163,#12165,#12167)); -#12169=FACE_BOUND('',#12168,.F.); -#12171=ORIENTED_EDGE('',*,*,#12170,.T.); -#12173=ORIENTED_EDGE('',*,*,#12172,.T.); -#12175=ORIENTED_EDGE('',*,*,#12174,.T.); -#12177=ORIENTED_EDGE('',*,*,#12176,.T.); -#12178=EDGE_LOOP('',(#12171,#12173,#12175,#12177)); -#12179=FACE_BOUND('',#12178,.F.); -#12181=ORIENTED_EDGE('',*,*,#12180,.T.); -#12183=ORIENTED_EDGE('',*,*,#12182,.T.); -#12185=ORIENTED_EDGE('',*,*,#12184,.T.); -#12187=ORIENTED_EDGE('',*,*,#12186,.T.); -#12188=EDGE_LOOP('',(#12181,#12183,#12185,#12187)); -#12189=FACE_BOUND('',#12188,.F.); -#12191=ORIENTED_EDGE('',*,*,#12190,.T.); -#12193=ORIENTED_EDGE('',*,*,#12192,.T.); -#12195=ORIENTED_EDGE('',*,*,#12194,.T.); -#12197=ORIENTED_EDGE('',*,*,#12196,.T.); -#12198=EDGE_LOOP('',(#12191,#12193,#12195,#12197)); -#12199=FACE_BOUND('',#12198,.F.); -#12201=ORIENTED_EDGE('',*,*,#12200,.T.); -#12203=ORIENTED_EDGE('',*,*,#12202,.T.); -#12205=ORIENTED_EDGE('',*,*,#12204,.T.); -#12207=ORIENTED_EDGE('',*,*,#12206,.T.); -#12208=EDGE_LOOP('',(#12201,#12203,#12205,#12207)); -#12209=FACE_BOUND('',#12208,.F.); -#12211=ORIENTED_EDGE('',*,*,#12210,.T.); -#12213=ORIENTED_EDGE('',*,*,#12212,.T.); -#12215=ORIENTED_EDGE('',*,*,#12214,.T.); -#12217=ORIENTED_EDGE('',*,*,#12216,.T.); -#12218=EDGE_LOOP('',(#12211,#12213,#12215,#12217)); -#12219=FACE_BOUND('',#12218,.F.); -#12221=ORIENTED_EDGE('',*,*,#12220,.T.); -#12223=ORIENTED_EDGE('',*,*,#12222,.T.); -#12225=ORIENTED_EDGE('',*,*,#12224,.T.); -#12227=ORIENTED_EDGE('',*,*,#12226,.T.); -#12228=EDGE_LOOP('',(#12221,#12223,#12225,#12227)); -#12229=FACE_BOUND('',#12228,.F.); -#12231=ORIENTED_EDGE('',*,*,#12230,.T.); -#12233=ORIENTED_EDGE('',*,*,#12232,.T.); -#12235=ORIENTED_EDGE('',*,*,#12234,.T.); -#12237=ORIENTED_EDGE('',*,*,#12236,.T.); -#12238=EDGE_LOOP('',(#12231,#12233,#12235,#12237)); -#12239=FACE_BOUND('',#12238,.F.); -#12241=ORIENTED_EDGE('',*,*,#12240,.T.); -#12243=ORIENTED_EDGE('',*,*,#12242,.T.); -#12245=ORIENTED_EDGE('',*,*,#12244,.T.); -#12247=ORIENTED_EDGE('',*,*,#12246,.T.); -#12248=EDGE_LOOP('',(#12241,#12243,#12245,#12247)); -#12249=FACE_BOUND('',#12248,.F.); -#12251=ORIENTED_EDGE('',*,*,#12250,.T.); -#12253=ORIENTED_EDGE('',*,*,#12252,.T.); -#12255=ORIENTED_EDGE('',*,*,#12254,.T.); -#12257=ORIENTED_EDGE('',*,*,#12256,.T.); -#12258=EDGE_LOOP('',(#12251,#12253,#12255,#12257)); -#12259=FACE_BOUND('',#12258,.F.); -#12261=ORIENTED_EDGE('',*,*,#12260,.T.); -#12263=ORIENTED_EDGE('',*,*,#12262,.T.); -#12265=ORIENTED_EDGE('',*,*,#12264,.T.); -#12267=ORIENTED_EDGE('',*,*,#12266,.T.); -#12268=EDGE_LOOP('',(#12261,#12263,#12265,#12267)); -#12269=FACE_BOUND('',#12268,.F.); -#12271=ORIENTED_EDGE('',*,*,#12270,.T.); -#12273=ORIENTED_EDGE('',*,*,#12272,.T.); -#12275=ORIENTED_EDGE('',*,*,#12274,.T.); -#12277=ORIENTED_EDGE('',*,*,#12276,.T.); -#12278=EDGE_LOOP('',(#12271,#12273,#12275,#12277)); -#12279=FACE_BOUND('',#12278,.F.); -#12281=ORIENTED_EDGE('',*,*,#12280,.T.); -#12283=ORIENTED_EDGE('',*,*,#12282,.T.); -#12285=ORIENTED_EDGE('',*,*,#12284,.T.); -#12287=ORIENTED_EDGE('',*,*,#12286,.T.); -#12288=EDGE_LOOP('',(#12281,#12283,#12285,#12287)); -#12289=FACE_BOUND('',#12288,.F.); -#12291=ORIENTED_EDGE('',*,*,#12290,.T.); -#12293=ORIENTED_EDGE('',*,*,#12292,.T.); -#12295=ORIENTED_EDGE('',*,*,#12294,.T.); -#12297=ORIENTED_EDGE('',*,*,#12296,.T.); -#12298=EDGE_LOOP('',(#12291,#12293,#12295,#12297)); -#12299=FACE_BOUND('',#12298,.F.); -#12301=ORIENTED_EDGE('',*,*,#12300,.T.); -#12303=ORIENTED_EDGE('',*,*,#12302,.T.); -#12305=ORIENTED_EDGE('',*,*,#12304,.T.); -#12307=ORIENTED_EDGE('',*,*,#12306,.T.); -#12308=EDGE_LOOP('',(#12301,#12303,#12305,#12307)); -#12309=FACE_BOUND('',#12308,.F.); -#12311=ORIENTED_EDGE('',*,*,#12310,.T.); -#12313=ORIENTED_EDGE('',*,*,#12312,.T.); -#12315=ORIENTED_EDGE('',*,*,#12314,.T.); -#12317=ORIENTED_EDGE('',*,*,#12316,.T.); -#12318=EDGE_LOOP('',(#12311,#12313,#12315,#12317)); -#12319=FACE_BOUND('',#12318,.F.); -#12321=ORIENTED_EDGE('',*,*,#12320,.T.); -#12323=ORIENTED_EDGE('',*,*,#12322,.T.); -#12325=ORIENTED_EDGE('',*,*,#12324,.T.); -#12327=ORIENTED_EDGE('',*,*,#12326,.T.); -#12328=EDGE_LOOP('',(#12321,#12323,#12325,#12327)); -#12329=FACE_BOUND('',#12328,.F.); -#12331=ORIENTED_EDGE('',*,*,#12330,.T.); -#12333=ORIENTED_EDGE('',*,*,#12332,.T.); -#12335=ORIENTED_EDGE('',*,*,#12334,.T.); -#12337=ORIENTED_EDGE('',*,*,#12336,.T.); -#12338=EDGE_LOOP('',(#12331,#12333,#12335,#12337)); -#12339=FACE_BOUND('',#12338,.F.); -#12341=ORIENTED_EDGE('',*,*,#12340,.T.); -#12343=ORIENTED_EDGE('',*,*,#12342,.T.); -#12345=ORIENTED_EDGE('',*,*,#12344,.T.); -#12347=ORIENTED_EDGE('',*,*,#12346,.T.); -#12348=EDGE_LOOP('',(#12341,#12343,#12345,#12347)); -#12349=FACE_BOUND('',#12348,.F.); -#12351=ORIENTED_EDGE('',*,*,#12350,.T.); -#12353=ORIENTED_EDGE('',*,*,#12352,.T.); -#12355=ORIENTED_EDGE('',*,*,#12354,.T.); -#12357=ORIENTED_EDGE('',*,*,#12356,.T.); -#12358=EDGE_LOOP('',(#12351,#12353,#12355,#12357)); -#12359=FACE_BOUND('',#12358,.F.); -#12361=ORIENTED_EDGE('',*,*,#12360,.T.); -#12363=ORIENTED_EDGE('',*,*,#12362,.T.); -#12365=ORIENTED_EDGE('',*,*,#12364,.T.); -#12367=ORIENTED_EDGE('',*,*,#12366,.T.); -#12368=EDGE_LOOP('',(#12361,#12363,#12365,#12367)); -#12369=FACE_BOUND('',#12368,.F.); -#12371=ORIENTED_EDGE('',*,*,#12370,.T.); -#12373=ORIENTED_EDGE('',*,*,#12372,.T.); -#12375=ORIENTED_EDGE('',*,*,#12374,.T.); -#12377=ORIENTED_EDGE('',*,*,#12376,.T.); -#12378=EDGE_LOOP('',(#12371,#12373,#12375,#12377)); -#12379=FACE_BOUND('',#12378,.F.); -#12381=ORIENTED_EDGE('',*,*,#12380,.T.); -#12383=ORIENTED_EDGE('',*,*,#12382,.T.); -#12385=ORIENTED_EDGE('',*,*,#12384,.T.); -#12387=ORIENTED_EDGE('',*,*,#12386,.T.); -#12388=EDGE_LOOP('',(#12381,#12383,#12385,#12387)); -#12389=FACE_BOUND('',#12388,.F.); -#12391=ORIENTED_EDGE('',*,*,#12390,.T.); -#12393=ORIENTED_EDGE('',*,*,#12392,.T.); -#12395=ORIENTED_EDGE('',*,*,#12394,.T.); -#12397=ORIENTED_EDGE('',*,*,#12396,.T.); -#12398=EDGE_LOOP('',(#12391,#12393,#12395,#12397)); -#12399=FACE_BOUND('',#12398,.F.); -#12401=ORIENTED_EDGE('',*,*,#12400,.T.); -#12403=ORIENTED_EDGE('',*,*,#12402,.T.); -#12405=ORIENTED_EDGE('',*,*,#12404,.T.); -#12407=ORIENTED_EDGE('',*,*,#12406,.T.); -#12408=EDGE_LOOP('',(#12401,#12403,#12405,#12407)); -#12409=FACE_BOUND('',#12408,.F.); -#12411=ORIENTED_EDGE('',*,*,#12410,.T.); -#12413=ORIENTED_EDGE('',*,*,#12412,.T.); -#12415=ORIENTED_EDGE('',*,*,#12414,.T.); -#12417=ORIENTED_EDGE('',*,*,#12416,.T.); -#12418=EDGE_LOOP('',(#12411,#12413,#12415,#12417)); -#12419=FACE_BOUND('',#12418,.F.); -#12421=ORIENTED_EDGE('',*,*,#12420,.T.); -#12423=ORIENTED_EDGE('',*,*,#12422,.T.); -#12425=ORIENTED_EDGE('',*,*,#12424,.T.); -#12427=ORIENTED_EDGE('',*,*,#12426,.T.); -#12429=ORIENTED_EDGE('',*,*,#12428,.T.); -#12431=ORIENTED_EDGE('',*,*,#12430,.T.); -#12433=ORIENTED_EDGE('',*,*,#12432,.T.); -#12435=ORIENTED_EDGE('',*,*,#12434,.T.); -#12437=ORIENTED_EDGE('',*,*,#12436,.T.); -#12439=ORIENTED_EDGE('',*,*,#12438,.T.); -#12441=ORIENTED_EDGE('',*,*,#12440,.T.); -#12443=ORIENTED_EDGE('',*,*,#12442,.T.); -#12444=EDGE_LOOP('',(#12421,#12423,#12425,#12427,#12429,#12431,#12433,#12435, -#12437,#12439,#12441,#12443)); -#12445=FACE_BOUND('',#12444,.F.); -#12447=ORIENTED_EDGE('',*,*,#12446,.T.); -#12449=ORIENTED_EDGE('',*,*,#12448,.T.); -#12451=ORIENTED_EDGE('',*,*,#12450,.T.); -#12453=ORIENTED_EDGE('',*,*,#12452,.T.); -#12455=ORIENTED_EDGE('',*,*,#12454,.T.); -#12457=ORIENTED_EDGE('',*,*,#12456,.T.); -#12459=ORIENTED_EDGE('',*,*,#12458,.T.); -#12461=ORIENTED_EDGE('',*,*,#12460,.T.); -#12463=ORIENTED_EDGE('',*,*,#12462,.T.); -#12465=ORIENTED_EDGE('',*,*,#12464,.T.); -#12467=ORIENTED_EDGE('',*,*,#12466,.T.); -#12469=ORIENTED_EDGE('',*,*,#12468,.T.); -#12470=EDGE_LOOP('',(#12447,#12449,#12451,#12453,#12455,#12457,#12459,#12461, -#12463,#12465,#12467,#12469)); -#12471=FACE_BOUND('',#12470,.F.); -#12473=ORIENTED_EDGE('',*,*,#12472,.T.); -#12475=ORIENTED_EDGE('',*,*,#12474,.T.); -#12477=ORIENTED_EDGE('',*,*,#12476,.T.); -#12479=ORIENTED_EDGE('',*,*,#12478,.T.); -#12481=ORIENTED_EDGE('',*,*,#12480,.T.); -#12483=ORIENTED_EDGE('',*,*,#12482,.T.); -#12485=ORIENTED_EDGE('',*,*,#12484,.T.); -#12487=ORIENTED_EDGE('',*,*,#12486,.T.); -#12489=ORIENTED_EDGE('',*,*,#12488,.T.); -#12491=ORIENTED_EDGE('',*,*,#12490,.T.); -#12493=ORIENTED_EDGE('',*,*,#12492,.T.); -#12495=ORIENTED_EDGE('',*,*,#12494,.T.); -#12497=ORIENTED_EDGE('',*,*,#12496,.T.); -#12499=ORIENTED_EDGE('',*,*,#12498,.T.); -#12501=ORIENTED_EDGE('',*,*,#12500,.T.); -#12503=ORIENTED_EDGE('',*,*,#12502,.T.); -#12504=EDGE_LOOP('',(#12473,#12475,#12477,#12479,#12481,#12483,#12485,#12487, -#12489,#12491,#12493,#12495,#12497,#12499,#12501,#12503)); -#12505=FACE_BOUND('',#12504,.F.); -#12507=ORIENTED_EDGE('',*,*,#12506,.T.); -#12509=ORIENTED_EDGE('',*,*,#12508,.T.); -#12511=ORIENTED_EDGE('',*,*,#12510,.T.); -#12513=ORIENTED_EDGE('',*,*,#12512,.T.); -#12515=ORIENTED_EDGE('',*,*,#12514,.T.); -#12517=ORIENTED_EDGE('',*,*,#12516,.T.); -#12519=ORIENTED_EDGE('',*,*,#12518,.T.); -#12521=ORIENTED_EDGE('',*,*,#12520,.T.); -#12523=ORIENTED_EDGE('',*,*,#12522,.T.); -#12525=ORIENTED_EDGE('',*,*,#12524,.T.); -#12527=ORIENTED_EDGE('',*,*,#12526,.T.); -#12529=ORIENTED_EDGE('',*,*,#12528,.T.); -#12531=ORIENTED_EDGE('',*,*,#12530,.T.); -#12533=ORIENTED_EDGE('',*,*,#12532,.T.); -#12535=ORIENTED_EDGE('',*,*,#12534,.T.); -#12537=ORIENTED_EDGE('',*,*,#12536,.T.); -#12538=EDGE_LOOP('',(#12507,#12509,#12511,#12513,#12515,#12517,#12519,#12521, -#12523,#12525,#12527,#12529,#12531,#12533,#12535,#12537)); -#12539=FACE_BOUND('',#12538,.F.); -#12541=ORIENTED_EDGE('',*,*,#12540,.T.); -#12543=ORIENTED_EDGE('',*,*,#12542,.T.); -#12545=ORIENTED_EDGE('',*,*,#12544,.T.); -#12547=ORIENTED_EDGE('',*,*,#12546,.T.); -#12549=ORIENTED_EDGE('',*,*,#12548,.T.); -#12551=ORIENTED_EDGE('',*,*,#12550,.T.); -#12553=ORIENTED_EDGE('',*,*,#12552,.T.); -#12555=ORIENTED_EDGE('',*,*,#12554,.T.); -#12557=ORIENTED_EDGE('',*,*,#12556,.T.); -#12559=ORIENTED_EDGE('',*,*,#12558,.T.); -#12561=ORIENTED_EDGE('',*,*,#12560,.T.); -#12563=ORIENTED_EDGE('',*,*,#12562,.T.); -#12565=ORIENTED_EDGE('',*,*,#12564,.T.); -#12567=ORIENTED_EDGE('',*,*,#12566,.T.); -#12569=ORIENTED_EDGE('',*,*,#12568,.T.); -#12571=ORIENTED_EDGE('',*,*,#12570,.T.); -#12572=EDGE_LOOP('',(#12541,#12543,#12545,#12547,#12549,#12551,#12553,#12555, -#12557,#12559,#12561,#12563,#12565,#12567,#12569,#12571)); -#12573=FACE_BOUND('',#12572,.F.); -#12575=ORIENTED_EDGE('',*,*,#12574,.T.); -#12577=ORIENTED_EDGE('',*,*,#12576,.T.); -#12579=ORIENTED_EDGE('',*,*,#12578,.T.); -#12581=ORIENTED_EDGE('',*,*,#12580,.T.); -#12583=ORIENTED_EDGE('',*,*,#12582,.T.); -#12585=ORIENTED_EDGE('',*,*,#12584,.T.); -#12587=ORIENTED_EDGE('',*,*,#12586,.T.); -#12589=ORIENTED_EDGE('',*,*,#12588,.T.); -#12591=ORIENTED_EDGE('',*,*,#12590,.T.); -#12593=ORIENTED_EDGE('',*,*,#12592,.T.); -#12595=ORIENTED_EDGE('',*,*,#12594,.T.); -#12597=ORIENTED_EDGE('',*,*,#12596,.T.); -#12599=ORIENTED_EDGE('',*,*,#12598,.T.); -#12601=ORIENTED_EDGE('',*,*,#12600,.T.); -#12603=ORIENTED_EDGE('',*,*,#12602,.T.); -#12605=ORIENTED_EDGE('',*,*,#12604,.T.); -#12606=EDGE_LOOP('',(#12575,#12577,#12579,#12581,#12583,#12585,#12587,#12589, -#12591,#12593,#12595,#12597,#12599,#12601,#12603,#12605)); -#12607=FACE_BOUND('',#12606,.F.); -#12609=ORIENTED_EDGE('',*,*,#12608,.T.); -#12611=ORIENTED_EDGE('',*,*,#12610,.T.); -#12613=ORIENTED_EDGE('',*,*,#12612,.T.); -#12615=ORIENTED_EDGE('',*,*,#12614,.T.); -#12617=ORIENTED_EDGE('',*,*,#12616,.T.); -#12619=ORIENTED_EDGE('',*,*,#12618,.T.); -#12621=ORIENTED_EDGE('',*,*,#12620,.T.); -#12623=ORIENTED_EDGE('',*,*,#12622,.T.); -#12625=ORIENTED_EDGE('',*,*,#12624,.T.); -#12627=ORIENTED_EDGE('',*,*,#12626,.T.); -#12629=ORIENTED_EDGE('',*,*,#12628,.T.); -#12631=ORIENTED_EDGE('',*,*,#12630,.T.); -#12632=EDGE_LOOP('',(#12609,#12611,#12613,#12615,#12617,#12619,#12621,#12623, -#12625,#12627,#12629,#12631)); -#12633=FACE_BOUND('',#12632,.F.); -#12635=ORIENTED_EDGE('',*,*,#12634,.T.); -#12637=ORIENTED_EDGE('',*,*,#12636,.T.); -#12639=ORIENTED_EDGE('',*,*,#12638,.T.); -#12641=ORIENTED_EDGE('',*,*,#12640,.T.); -#12643=ORIENTED_EDGE('',*,*,#12642,.T.); -#12645=ORIENTED_EDGE('',*,*,#12644,.T.); -#12647=ORIENTED_EDGE('',*,*,#12646,.T.); -#12649=ORIENTED_EDGE('',*,*,#12648,.T.); -#12651=ORIENTED_EDGE('',*,*,#12650,.T.); -#12653=ORIENTED_EDGE('',*,*,#12652,.T.); -#12655=ORIENTED_EDGE('',*,*,#12654,.T.); -#12657=ORIENTED_EDGE('',*,*,#12656,.T.); -#12659=ORIENTED_EDGE('',*,*,#12658,.T.); -#12661=ORIENTED_EDGE('',*,*,#12660,.T.); -#12663=ORIENTED_EDGE('',*,*,#12662,.T.); -#12665=ORIENTED_EDGE('',*,*,#12664,.T.); -#12667=ORIENTED_EDGE('',*,*,#12666,.T.); -#12669=ORIENTED_EDGE('',*,*,#12668,.T.); -#12671=ORIENTED_EDGE('',*,*,#12670,.T.); -#12673=ORIENTED_EDGE('',*,*,#12672,.T.); -#12675=ORIENTED_EDGE('',*,*,#12674,.T.); -#12677=ORIENTED_EDGE('',*,*,#12676,.T.); -#12679=ORIENTED_EDGE('',*,*,#12678,.T.); -#12681=ORIENTED_EDGE('',*,*,#12680,.T.); -#12682=EDGE_LOOP('',(#12635,#12637,#12639,#12641,#12643,#12645,#12647,#12649, -#12651,#12653,#12655,#12657,#12659,#12661,#12663,#12665,#12667,#12669,#12671, -#12673,#12675,#12677,#12679,#12681)); -#12683=FACE_BOUND('',#12682,.F.); -#12685=ORIENTED_EDGE('',*,*,#12684,.T.); -#12687=ORIENTED_EDGE('',*,*,#12686,.T.); -#12689=ORIENTED_EDGE('',*,*,#12688,.T.); -#12691=ORIENTED_EDGE('',*,*,#12690,.T.); -#12692=EDGE_LOOP('',(#12685,#12687,#12689,#12691)); -#12693=FACE_BOUND('',#12692,.F.); -#12695=ORIENTED_EDGE('',*,*,#12694,.T.); -#12697=ORIENTED_EDGE('',*,*,#12696,.T.); -#12699=ORIENTED_EDGE('',*,*,#12698,.T.); -#12701=ORIENTED_EDGE('',*,*,#12700,.T.); -#12702=EDGE_LOOP('',(#12695,#12697,#12699,#12701)); -#12703=FACE_BOUND('',#12702,.F.); -#12705=ORIENTED_EDGE('',*,*,#12704,.T.); -#12707=ORIENTED_EDGE('',*,*,#12706,.T.); -#12709=ORIENTED_EDGE('',*,*,#12708,.T.); -#12711=ORIENTED_EDGE('',*,*,#12710,.T.); -#12713=ORIENTED_EDGE('',*,*,#12712,.T.); -#12715=ORIENTED_EDGE('',*,*,#12714,.T.); -#12717=ORIENTED_EDGE('',*,*,#12716,.T.); -#12719=ORIENTED_EDGE('',*,*,#12718,.T.); -#12721=ORIENTED_EDGE('',*,*,#12720,.T.); -#12723=ORIENTED_EDGE('',*,*,#12722,.T.); -#12725=ORIENTED_EDGE('',*,*,#12724,.T.); -#12727=ORIENTED_EDGE('',*,*,#12726,.T.); -#12729=ORIENTED_EDGE('',*,*,#12728,.T.); -#12731=ORIENTED_EDGE('',*,*,#12730,.T.); -#12733=ORIENTED_EDGE('',*,*,#12732,.T.); -#12735=ORIENTED_EDGE('',*,*,#12734,.T.); -#12737=ORIENTED_EDGE('',*,*,#12736,.T.); -#12739=ORIENTED_EDGE('',*,*,#12738,.T.); -#12741=ORIENTED_EDGE('',*,*,#12740,.T.); -#12743=ORIENTED_EDGE('',*,*,#12742,.T.); -#12745=ORIENTED_EDGE('',*,*,#12744,.T.); -#12747=ORIENTED_EDGE('',*,*,#12746,.T.); -#12749=ORIENTED_EDGE('',*,*,#12748,.T.); -#12751=ORIENTED_EDGE('',*,*,#12750,.T.); -#12752=EDGE_LOOP('',(#12705,#12707,#12709,#12711,#12713,#12715,#12717,#12719, -#12721,#12723,#12725,#12727,#12729,#12731,#12733,#12735,#12737,#12739,#12741, -#12743,#12745,#12747,#12749,#12751)); -#12753=FACE_BOUND('',#12752,.F.); -#12755=ORIENTED_EDGE('',*,*,#12754,.F.); -#12757=ORIENTED_EDGE('',*,*,#12756,.F.); -#12758=EDGE_LOOP('',(#12755,#12757)); -#12759=FACE_BOUND('',#12758,.F.); -#12761=ORIENTED_EDGE('',*,*,#12760,.F.); -#12763=ORIENTED_EDGE('',*,*,#12762,.F.); -#12764=EDGE_LOOP('',(#12761,#12763)); -#12765=FACE_BOUND('',#12764,.F.); -#12767=ORIENTED_EDGE('',*,*,#12766,.F.); -#12769=ORIENTED_EDGE('',*,*,#12768,.F.); -#12770=EDGE_LOOP('',(#12767,#12769)); -#12771=FACE_BOUND('',#12770,.F.); -#12773=ORIENTED_EDGE('',*,*,#12772,.F.); -#12775=ORIENTED_EDGE('',*,*,#12774,.F.); -#12776=EDGE_LOOP('',(#12773,#12775)); -#12777=FACE_BOUND('',#12776,.F.); -#12779=ORIENTED_EDGE('',*,*,#12778,.F.); -#12781=ORIENTED_EDGE('',*,*,#12780,.F.); -#12782=EDGE_LOOP('',(#12779,#12781)); -#12783=FACE_BOUND('',#12782,.F.); -#12785=ORIENTED_EDGE('',*,*,#12784,.F.); -#12787=ORIENTED_EDGE('',*,*,#12786,.F.); -#12788=EDGE_LOOP('',(#12785,#12787)); -#12789=FACE_BOUND('',#12788,.F.); -#12791=ORIENTED_EDGE('',*,*,#12790,.F.); -#12793=ORIENTED_EDGE('',*,*,#12792,.F.); -#12794=EDGE_LOOP('',(#12791,#12793)); -#12795=FACE_BOUND('',#12794,.F.); -#12797=ORIENTED_EDGE('',*,*,#12796,.F.); -#12799=ORIENTED_EDGE('',*,*,#12798,.F.); -#12800=EDGE_LOOP('',(#12797,#12799)); -#12801=FACE_BOUND('',#12800,.F.); -#12803=ORIENTED_EDGE('',*,*,#12802,.F.); -#12805=ORIENTED_EDGE('',*,*,#12804,.F.); -#12806=EDGE_LOOP('',(#12803,#12805)); -#12807=FACE_BOUND('',#12806,.F.); -#12809=ORIENTED_EDGE('',*,*,#12808,.F.); -#12811=ORIENTED_EDGE('',*,*,#12810,.F.); -#12812=EDGE_LOOP('',(#12809,#12811)); -#12813=FACE_BOUND('',#12812,.F.); -#12815=ORIENTED_EDGE('',*,*,#12814,.F.); -#12817=ORIENTED_EDGE('',*,*,#12816,.F.); -#12818=EDGE_LOOP('',(#12815,#12817)); -#12819=FACE_BOUND('',#12818,.F.); -#12821=ORIENTED_EDGE('',*,*,#12820,.F.); -#12823=ORIENTED_EDGE('',*,*,#12822,.F.); -#12824=EDGE_LOOP('',(#12821,#12823)); -#12825=FACE_BOUND('',#12824,.F.); -#12827=ORIENTED_EDGE('',*,*,#12826,.F.); -#12829=ORIENTED_EDGE('',*,*,#12828,.F.); -#12830=EDGE_LOOP('',(#12827,#12829)); -#12831=FACE_BOUND('',#12830,.F.); -#12833=ORIENTED_EDGE('',*,*,#12832,.F.); -#12835=ORIENTED_EDGE('',*,*,#12834,.F.); -#12836=EDGE_LOOP('',(#12833,#12835)); -#12837=FACE_BOUND('',#12836,.F.); -#12839=ORIENTED_EDGE('',*,*,#12838,.F.); -#12841=ORIENTED_EDGE('',*,*,#12840,.F.); -#12842=EDGE_LOOP('',(#12839,#12841)); -#12843=FACE_BOUND('',#12842,.F.); -#12845=ORIENTED_EDGE('',*,*,#12844,.F.); -#12847=ORIENTED_EDGE('',*,*,#12846,.F.); -#12848=EDGE_LOOP('',(#12845,#12847)); -#12849=FACE_BOUND('',#12848,.F.); -#12851=ORIENTED_EDGE('',*,*,#12850,.F.); -#12853=ORIENTED_EDGE('',*,*,#12852,.F.); -#12854=EDGE_LOOP('',(#12851,#12853)); -#12855=FACE_BOUND('',#12854,.F.); -#12857=ORIENTED_EDGE('',*,*,#12856,.F.); -#12859=ORIENTED_EDGE('',*,*,#12858,.F.); -#12860=EDGE_LOOP('',(#12857,#12859)); -#12861=FACE_BOUND('',#12860,.F.); -#12863=ORIENTED_EDGE('',*,*,#12862,.F.); -#12865=ORIENTED_EDGE('',*,*,#12864,.F.); -#12866=EDGE_LOOP('',(#12863,#12865)); -#12867=FACE_BOUND('',#12866,.F.); -#12869=ORIENTED_EDGE('',*,*,#12868,.F.); -#12871=ORIENTED_EDGE('',*,*,#12870,.F.); -#12872=EDGE_LOOP('',(#12869,#12871)); -#12873=FACE_BOUND('',#12872,.F.); -#12875=ORIENTED_EDGE('',*,*,#12874,.F.); -#12877=ORIENTED_EDGE('',*,*,#12876,.F.); -#12878=EDGE_LOOP('',(#12875,#12877)); -#12879=FACE_BOUND('',#12878,.F.); -#12881=ORIENTED_EDGE('',*,*,#12880,.F.); -#12883=ORIENTED_EDGE('',*,*,#12882,.F.); -#12884=EDGE_LOOP('',(#12881,#12883)); -#12885=FACE_BOUND('',#12884,.F.); -#12887=ORIENTED_EDGE('',*,*,#12886,.F.); -#12889=ORIENTED_EDGE('',*,*,#12888,.F.); -#12890=EDGE_LOOP('',(#12887,#12889)); -#12891=FACE_BOUND('',#12890,.F.); -#12893=ORIENTED_EDGE('',*,*,#12892,.F.); -#12895=ORIENTED_EDGE('',*,*,#12894,.F.); -#12896=EDGE_LOOP('',(#12893,#12895)); -#12897=FACE_BOUND('',#12896,.F.); -#12899=FILL_AREA_STYLE_COLOUR('',#5); -#12900=FILL_AREA_STYLE('',(#12899)); -#12901=SURFACE_STYLE_FILL_AREA(#12900); -#12902=SURFACE_SIDE_STYLE('',(#12901)); -#12903=SURFACE_STYLE_USAGE(.POSITIVE.,#12902); -#12904=PRESENTATION_STYLE_ASSIGNMENT((#12903)); -#12905=STYLED_ITEM('',(#12904),#12898); -#12906=CARTESIAN_POINT('',(8.098266033901E1,1.085502E2,5.876044784545E0)); -#12907=DIRECTION('',(0.E0,0.E0,-1.E0)); -#12908=DIRECTION('',(-9.999999195423E-1,-4.011426052273E-4,0.E0)); -#12909=AXIS2_PLACEMENT_3D('',#12906,#12907,#12908); -#12910=CYLINDRICAL_SURFACE('',#12909,1.E0); -#12912=ORIENTED_EDGE('',*,*,#12911,.T.); -#12914=ORIENTED_EDGE('',*,*,#12913,.F.); -#12915=ORIENTED_EDGE('',*,*,#11526,.T.); -#12917=ORIENTED_EDGE('',*,*,#12916,.T.); -#12918=EDGE_LOOP('',(#12912,#12914,#12915,#12917)); -#12919=FACE_OUTER_BOUND('',#12918,.F.); -#12921=FILL_AREA_STYLE_COLOUR('',#5); -#12922=FILL_AREA_STYLE('',(#12921)); -#12923=SURFACE_STYLE_FILL_AREA(#12922); -#12924=SURFACE_SIDE_STYLE('',(#12923)); -#12925=SURFACE_STYLE_USAGE(.POSITIVE.,#12924); -#12926=PRESENTATION_STYLE_ASSIGNMENT((#12925)); -#12927=STYLED_ITEM('',(#12926),#12920); -#12928=CARTESIAN_POINT('',(0.E0,0.E0,3.111724784545E0)); -#12929=DIRECTION('',(0.E0,0.E0,1.E0)); -#12930=DIRECTION('',(1.E0,0.E0,0.E0)); -#12931=AXIS2_PLACEMENT_3D('',#12928,#12929,#12930); -#12932=PLANE('',#12931); -#12934=ORIENTED_EDGE('',*,*,#12933,.T.); -#12936=ORIENTED_EDGE('',*,*,#12935,.T.); -#12938=ORIENTED_EDGE('',*,*,#12937,.T.); -#12940=ORIENTED_EDGE('',*,*,#12939,.F.); -#12942=ORIENTED_EDGE('',*,*,#12941,.T.); -#12944=ORIENTED_EDGE('',*,*,#12943,.F.); -#12946=ORIENTED_EDGE('',*,*,#12945,.T.); -#12948=ORIENTED_EDGE('',*,*,#12947,.F.); -#12950=ORIENTED_EDGE('',*,*,#12949,.T.); -#12951=ORIENTED_EDGE('',*,*,#12911,.F.); -#12953=ORIENTED_EDGE('',*,*,#12952,.T.); -#12955=ORIENTED_EDGE('',*,*,#12954,.F.); -#12957=ORIENTED_EDGE('',*,*,#12956,.T.); -#12959=ORIENTED_EDGE('',*,*,#12958,.F.); -#12961=ORIENTED_EDGE('',*,*,#12960,.T.); -#12963=ORIENTED_EDGE('',*,*,#12962,.T.); -#12964=EDGE_LOOP('',(#12934,#12936,#12938,#12940,#12942,#12944,#12946,#12948, -#12950,#12951,#12953,#12955,#12957,#12959,#12961,#12963)); -#12965=FACE_OUTER_BOUND('',#12964,.F.); -#12967=ORIENTED_EDGE('',*,*,#12966,.F.); -#12969=ORIENTED_EDGE('',*,*,#12968,.F.); -#12970=EDGE_LOOP('',(#12967,#12969)); -#12971=FACE_BOUND('',#12970,.F.); -#12973=ORIENTED_EDGE('',*,*,#12972,.T.); -#12975=ORIENTED_EDGE('',*,*,#12974,.T.); -#12976=EDGE_LOOP('',(#12973,#12975)); -#12977=FACE_BOUND('',#12976,.F.); -#12979=ORIENTED_EDGE('',*,*,#12978,.T.); -#12981=ORIENTED_EDGE('',*,*,#12980,.T.); -#12982=EDGE_LOOP('',(#12979,#12981)); -#12983=FACE_BOUND('',#12982,.F.); -#12985=ORIENTED_EDGE('',*,*,#12984,.T.); -#12987=ORIENTED_EDGE('',*,*,#12986,.T.); -#12988=EDGE_LOOP('',(#12985,#12987)); -#12989=FACE_BOUND('',#12988,.F.); -#12991=ORIENTED_EDGE('',*,*,#12990,.T.); -#12993=ORIENTED_EDGE('',*,*,#12992,.T.); -#12994=EDGE_LOOP('',(#12991,#12993)); -#12995=FACE_BOUND('',#12994,.F.); -#12997=ORIENTED_EDGE('',*,*,#12996,.T.); -#12999=ORIENTED_EDGE('',*,*,#12998,.T.); -#13000=EDGE_LOOP('',(#12997,#12999)); -#13001=FACE_BOUND('',#13000,.F.); -#13003=ORIENTED_EDGE('',*,*,#13002,.T.); -#13005=ORIENTED_EDGE('',*,*,#13004,.T.); -#13006=EDGE_LOOP('',(#13003,#13005)); -#13007=FACE_BOUND('',#13006,.F.); -#13009=ORIENTED_EDGE('',*,*,#13008,.T.); -#13011=ORIENTED_EDGE('',*,*,#13010,.T.); -#13012=EDGE_LOOP('',(#13009,#13011)); -#13013=FACE_BOUND('',#13012,.F.); -#13015=ORIENTED_EDGE('',*,*,#13014,.T.); -#13017=ORIENTED_EDGE('',*,*,#13016,.T.); -#13018=EDGE_LOOP('',(#13015,#13017)); -#13019=FACE_BOUND('',#13018,.F.); -#13021=ORIENTED_EDGE('',*,*,#13020,.T.); -#13023=ORIENTED_EDGE('',*,*,#13022,.T.); -#13024=EDGE_LOOP('',(#13021,#13023)); -#13025=FACE_BOUND('',#13024,.F.); -#13027=ORIENTED_EDGE('',*,*,#13026,.T.); -#13029=ORIENTED_EDGE('',*,*,#13028,.T.); -#13030=EDGE_LOOP('',(#13027,#13029)); -#13031=FACE_BOUND('',#13030,.F.); -#13033=ORIENTED_EDGE('',*,*,#13032,.T.); -#13035=ORIENTED_EDGE('',*,*,#13034,.T.); -#13036=EDGE_LOOP('',(#13033,#13035)); -#13037=FACE_BOUND('',#13036,.F.); -#13039=ORIENTED_EDGE('',*,*,#13038,.T.); -#13041=ORIENTED_EDGE('',*,*,#13040,.T.); -#13042=EDGE_LOOP('',(#13039,#13041)); -#13043=FACE_BOUND('',#13042,.F.); -#13045=ORIENTED_EDGE('',*,*,#13044,.T.); -#13047=ORIENTED_EDGE('',*,*,#13046,.T.); -#13048=EDGE_LOOP('',(#13045,#13047)); -#13049=FACE_BOUND('',#13048,.F.); -#13051=ORIENTED_EDGE('',*,*,#13050,.T.); -#13053=ORIENTED_EDGE('',*,*,#13052,.T.); -#13054=EDGE_LOOP('',(#13051,#13053)); -#13055=FACE_BOUND('',#13054,.F.); -#13057=ORIENTED_EDGE('',*,*,#13056,.T.); -#13059=ORIENTED_EDGE('',*,*,#13058,.T.); -#13060=EDGE_LOOP('',(#13057,#13059)); -#13061=FACE_BOUND('',#13060,.F.); -#13063=ORIENTED_EDGE('',*,*,#13062,.T.); -#13065=ORIENTED_EDGE('',*,*,#13064,.T.); -#13066=EDGE_LOOP('',(#13063,#13065)); -#13067=FACE_BOUND('',#13066,.F.); -#13069=ORIENTED_EDGE('',*,*,#13068,.T.); -#13071=ORIENTED_EDGE('',*,*,#13070,.T.); -#13072=EDGE_LOOP('',(#13069,#13071)); -#13073=FACE_BOUND('',#13072,.F.); -#13075=ORIENTED_EDGE('',*,*,#13074,.T.); -#13077=ORIENTED_EDGE('',*,*,#13076,.T.); -#13078=EDGE_LOOP('',(#13075,#13077)); -#13079=FACE_BOUND('',#13078,.F.); -#13081=ORIENTED_EDGE('',*,*,#13080,.T.); -#13083=ORIENTED_EDGE('',*,*,#13082,.T.); -#13084=EDGE_LOOP('',(#13081,#13083)); -#13085=FACE_BOUND('',#13084,.F.); -#13087=ORIENTED_EDGE('',*,*,#13086,.T.); -#13089=ORIENTED_EDGE('',*,*,#13088,.T.); -#13090=EDGE_LOOP('',(#13087,#13089)); -#13091=FACE_BOUND('',#13090,.F.); -#13093=ORIENTED_EDGE('',*,*,#13092,.T.); -#13095=ORIENTED_EDGE('',*,*,#13094,.T.); -#13096=EDGE_LOOP('',(#13093,#13095)); -#13097=FACE_BOUND('',#13096,.F.); -#13099=ORIENTED_EDGE('',*,*,#13098,.T.); -#13101=ORIENTED_EDGE('',*,*,#13100,.T.); -#13102=EDGE_LOOP('',(#13099,#13101)); -#13103=FACE_BOUND('',#13102,.F.); -#13105=ORIENTED_EDGE('',*,*,#13104,.T.); -#13107=ORIENTED_EDGE('',*,*,#13106,.T.); -#13108=EDGE_LOOP('',(#13105,#13107)); -#13109=FACE_BOUND('',#13108,.F.); -#13111=ORIENTED_EDGE('',*,*,#13110,.T.); -#13113=ORIENTED_EDGE('',*,*,#13112,.T.); -#13114=EDGE_LOOP('',(#13111,#13113)); -#13115=FACE_BOUND('',#13114,.F.); -#13117=ORIENTED_EDGE('',*,*,#13116,.T.); -#13119=ORIENTED_EDGE('',*,*,#13118,.T.); -#13121=ORIENTED_EDGE('',*,*,#13120,.T.); -#13123=ORIENTED_EDGE('',*,*,#13122,.T.); -#13125=ORIENTED_EDGE('',*,*,#13124,.T.); -#13127=ORIENTED_EDGE('',*,*,#13126,.T.); -#13129=ORIENTED_EDGE('',*,*,#13128,.T.); -#13131=ORIENTED_EDGE('',*,*,#13130,.T.); -#13133=ORIENTED_EDGE('',*,*,#13132,.T.); -#13135=ORIENTED_EDGE('',*,*,#13134,.T.); -#13137=ORIENTED_EDGE('',*,*,#13136,.T.); -#13139=ORIENTED_EDGE('',*,*,#13138,.T.); -#13140=EDGE_LOOP('',(#13117,#13119,#13121,#13123,#13125,#13127,#13129,#13131, -#13133,#13135,#13137,#13139)); -#13141=FACE_BOUND('',#13140,.F.); -#13143=ORIENTED_EDGE('',*,*,#13142,.F.); -#13145=ORIENTED_EDGE('',*,*,#13144,.F.); -#13146=EDGE_LOOP('',(#13143,#13145)); -#13147=FACE_BOUND('',#13146,.F.); -#13149=ORIENTED_EDGE('',*,*,#13148,.T.); -#13151=ORIENTED_EDGE('',*,*,#13150,.T.); -#13153=ORIENTED_EDGE('',*,*,#13152,.T.); -#13155=ORIENTED_EDGE('',*,*,#13154,.T.); -#13156=EDGE_LOOP('',(#13149,#13151,#13153,#13155)); -#13157=FACE_BOUND('',#13156,.F.); -#13159=ORIENTED_EDGE('',*,*,#13158,.T.); -#13161=ORIENTED_EDGE('',*,*,#13160,.T.); -#13163=ORIENTED_EDGE('',*,*,#13162,.T.); -#13165=ORIENTED_EDGE('',*,*,#13164,.T.); -#13166=EDGE_LOOP('',(#13159,#13161,#13163,#13165)); -#13167=FACE_BOUND('',#13166,.F.); -#13169=FILL_AREA_STYLE_COLOUR('',#5); -#13170=FILL_AREA_STYLE('',(#13169)); -#13171=SURFACE_STYLE_FILL_AREA(#13170); -#13172=SURFACE_SIDE_STYLE('',(#13171)); -#13173=SURFACE_STYLE_USAGE(.POSITIVE.,#13172); -#13174=PRESENTATION_STYLE_ASSIGNMENT((#13173)); -#13175=STYLED_ITEM('',(#13174),#13168); -#13176=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,4.311724784545E0)); -#13177=DIRECTION('',(0.E0,0.E0,1.E0)); -#13178=DIRECTION('',(1.E0,0.E0,0.E0)); -#13179=AXIS2_PLACEMENT_3D('',#13176,#13177,#13178); -#13180=CYLINDRICAL_SURFACE('',#13179,9.748495E-1); -#13181=ORIENTED_EDGE('',*,*,#11544,.F.); -#13183=ORIENTED_EDGE('',*,*,#13182,.T.); -#13184=ORIENTED_EDGE('',*,*,#13142,.T.); -#13186=ORIENTED_EDGE('',*,*,#13185,.F.); -#13187=EDGE_LOOP('',(#13181,#13183,#13184,#13186)); -#13188=FACE_OUTER_BOUND('',#13187,.F.); -#13190=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,4.311724784545E0)); -#13191=DIRECTION('',(0.E0,0.E0,1.E0)); -#13192=DIRECTION('',(1.E0,0.E0,0.E0)); -#13193=AXIS2_PLACEMENT_3D('',#13190,#13191,#13192); -#13194=CYLINDRICAL_SURFACE('',#13193,9.748495E-1); -#13195=ORIENTED_EDGE('',*,*,#11546,.F.); -#13196=ORIENTED_EDGE('',*,*,#13185,.T.); -#13197=ORIENTED_EDGE('',*,*,#13144,.T.); -#13198=ORIENTED_EDGE('',*,*,#13182,.F.); -#13199=EDGE_LOOP('',(#13195,#13196,#13197,#13198)); -#13200=FACE_OUTER_BOUND('',#13199,.F.); -#13202=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,4.311724784545E0)); -#13203=DIRECTION('',(0.E0,0.E0,1.E0)); -#13204=DIRECTION('',(1.E0,0.E0,0.E0)); -#13205=AXIS2_PLACEMENT_3D('',#13202,#13203,#13204); -#13206=CYLINDRICAL_SURFACE('',#13205,9.41174E-1); -#13207=ORIENTED_EDGE('',*,*,#11550,.F.); -#13209=ORIENTED_EDGE('',*,*,#13208,.T.); -#13210=ORIENTED_EDGE('',*,*,#12966,.T.); -#13212=ORIENTED_EDGE('',*,*,#13211,.F.); -#13213=EDGE_LOOP('',(#13207,#13209,#13210,#13212)); -#13214=FACE_OUTER_BOUND('',#13213,.F.); -#13216=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,4.311724784545E0)); -#13217=DIRECTION('',(0.E0,0.E0,1.E0)); -#13218=DIRECTION('',(1.E0,0.E0,0.E0)); -#13219=AXIS2_PLACEMENT_3D('',#13216,#13217,#13218); -#13220=CYLINDRICAL_SURFACE('',#13219,9.41174E-1); -#13221=ORIENTED_EDGE('',*,*,#11552,.F.); -#13222=ORIENTED_EDGE('',*,*,#13211,.T.); -#13223=ORIENTED_EDGE('',*,*,#12968,.T.); -#13224=ORIENTED_EDGE('',*,*,#13208,.F.); -#13225=EDGE_LOOP('',(#13221,#13222,#13223,#13224)); -#13226=FACE_OUTER_BOUND('',#13225,.F.); -#13228=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,3.111724784545E0)); -#13229=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13230=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13231=AXIS2_PLACEMENT_3D('',#13228,#13229,#13230); -#13232=CYLINDRICAL_SURFACE('',#13231,7.01599E-1); -#13233=ORIENTED_EDGE('',*,*,#12754,.T.); -#13235=ORIENTED_EDGE('',*,*,#13234,.F.); -#13236=ORIENTED_EDGE('',*,*,#12972,.F.); -#13238=ORIENTED_EDGE('',*,*,#13237,.T.); -#13239=EDGE_LOOP('',(#13233,#13235,#13236,#13238)); -#13240=FACE_OUTER_BOUND('',#13239,.F.); -#13242=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,3.111724784545E0)); -#13243=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13244=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13245=AXIS2_PLACEMENT_3D('',#13242,#13243,#13244); -#13246=CYLINDRICAL_SURFACE('',#13245,7.01599E-1); -#13247=ORIENTED_EDGE('',*,*,#12756,.T.); -#13248=ORIENTED_EDGE('',*,*,#13237,.F.); -#13249=ORIENTED_EDGE('',*,*,#12974,.F.); -#13250=ORIENTED_EDGE('',*,*,#13234,.T.); -#13251=EDGE_LOOP('',(#13247,#13248,#13249,#13250)); -#13252=FACE_OUTER_BOUND('',#13251,.F.); -#13254=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,3.111724784545E0)); -#13255=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13256=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13257=AXIS2_PLACEMENT_3D('',#13254,#13255,#13256); -#13258=CYLINDRICAL_SURFACE('',#13257,7.01599E-1); -#13259=ORIENTED_EDGE('',*,*,#12760,.T.); -#13261=ORIENTED_EDGE('',*,*,#13260,.F.); -#13262=ORIENTED_EDGE('',*,*,#12978,.F.); -#13264=ORIENTED_EDGE('',*,*,#13263,.T.); -#13265=EDGE_LOOP('',(#13259,#13261,#13262,#13264)); -#13266=FACE_OUTER_BOUND('',#13265,.F.); -#13268=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,3.111724784545E0)); -#13269=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13270=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13271=AXIS2_PLACEMENT_3D('',#13268,#13269,#13270); -#13272=CYLINDRICAL_SURFACE('',#13271,7.01599E-1); -#13273=ORIENTED_EDGE('',*,*,#12762,.T.); -#13274=ORIENTED_EDGE('',*,*,#13263,.F.); -#13275=ORIENTED_EDGE('',*,*,#12980,.F.); -#13276=ORIENTED_EDGE('',*,*,#13260,.T.); -#13277=EDGE_LOOP('',(#13273,#13274,#13275,#13276)); -#13278=FACE_OUTER_BOUND('',#13277,.F.); -#13280=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,3.111724784545E0)); -#13281=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13282=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13283=AXIS2_PLACEMENT_3D('',#13280,#13281,#13282); -#13284=CYLINDRICAL_SURFACE('',#13283,7.01599E-1); -#13285=ORIENTED_EDGE('',*,*,#12766,.T.); -#13287=ORIENTED_EDGE('',*,*,#13286,.F.); -#13288=ORIENTED_EDGE('',*,*,#12984,.F.); -#13290=ORIENTED_EDGE('',*,*,#13289,.T.); -#13291=EDGE_LOOP('',(#13285,#13287,#13288,#13290)); -#13292=FACE_OUTER_BOUND('',#13291,.F.); -#13294=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,3.111724784545E0)); -#13295=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13296=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13297=AXIS2_PLACEMENT_3D('',#13294,#13295,#13296); -#13298=CYLINDRICAL_SURFACE('',#13297,7.01599E-1); -#13299=ORIENTED_EDGE('',*,*,#12768,.T.); -#13300=ORIENTED_EDGE('',*,*,#13289,.F.); -#13301=ORIENTED_EDGE('',*,*,#12986,.F.); -#13302=ORIENTED_EDGE('',*,*,#13286,.T.); -#13303=EDGE_LOOP('',(#13299,#13300,#13301,#13302)); -#13304=FACE_OUTER_BOUND('',#13303,.F.); -#13306=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,3.111724784545E0)); -#13307=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13308=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13309=AXIS2_PLACEMENT_3D('',#13306,#13307,#13308); -#13310=CYLINDRICAL_SURFACE('',#13309,7.01599E-1); -#13311=ORIENTED_EDGE('',*,*,#12772,.T.); -#13313=ORIENTED_EDGE('',*,*,#13312,.F.); -#13314=ORIENTED_EDGE('',*,*,#12990,.F.); -#13316=ORIENTED_EDGE('',*,*,#13315,.T.); -#13317=EDGE_LOOP('',(#13311,#13313,#13314,#13316)); -#13318=FACE_OUTER_BOUND('',#13317,.F.); -#13320=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,3.111724784545E0)); -#13321=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13322=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13323=AXIS2_PLACEMENT_3D('',#13320,#13321,#13322); -#13324=CYLINDRICAL_SURFACE('',#13323,7.01599E-1); -#13325=ORIENTED_EDGE('',*,*,#12774,.T.); -#13326=ORIENTED_EDGE('',*,*,#13315,.F.); -#13327=ORIENTED_EDGE('',*,*,#12992,.F.); -#13328=ORIENTED_EDGE('',*,*,#13312,.T.); -#13329=EDGE_LOOP('',(#13325,#13326,#13327,#13328)); -#13330=FACE_OUTER_BOUND('',#13329,.F.); -#13332=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,3.111724784545E0)); -#13333=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13334=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13335=AXIS2_PLACEMENT_3D('',#13332,#13333,#13334); -#13336=CYLINDRICAL_SURFACE('',#13335,7.01599E-1); -#13337=ORIENTED_EDGE('',*,*,#12778,.T.); -#13339=ORIENTED_EDGE('',*,*,#13338,.F.); -#13340=ORIENTED_EDGE('',*,*,#12996,.F.); -#13342=ORIENTED_EDGE('',*,*,#13341,.T.); -#13343=EDGE_LOOP('',(#13337,#13339,#13340,#13342)); -#13344=FACE_OUTER_BOUND('',#13343,.F.); -#13346=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,3.111724784545E0)); -#13347=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13348=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13349=AXIS2_PLACEMENT_3D('',#13346,#13347,#13348); -#13350=CYLINDRICAL_SURFACE('',#13349,7.01599E-1); -#13351=ORIENTED_EDGE('',*,*,#12780,.T.); -#13352=ORIENTED_EDGE('',*,*,#13341,.F.); -#13353=ORIENTED_EDGE('',*,*,#12998,.F.); -#13354=ORIENTED_EDGE('',*,*,#13338,.T.); -#13355=EDGE_LOOP('',(#13351,#13352,#13353,#13354)); -#13356=FACE_OUTER_BOUND('',#13355,.F.); -#13358=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,3.111724784545E0)); -#13359=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13360=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13361=AXIS2_PLACEMENT_3D('',#13358,#13359,#13360); -#13362=CYLINDRICAL_SURFACE('',#13361,7.01599E-1); -#13363=ORIENTED_EDGE('',*,*,#12784,.T.); -#13365=ORIENTED_EDGE('',*,*,#13364,.F.); -#13366=ORIENTED_EDGE('',*,*,#13002,.F.); -#13368=ORIENTED_EDGE('',*,*,#13367,.T.); -#13369=EDGE_LOOP('',(#13363,#13365,#13366,#13368)); -#13370=FACE_OUTER_BOUND('',#13369,.F.); -#13372=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,3.111724784545E0)); -#13373=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13374=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13375=AXIS2_PLACEMENT_3D('',#13372,#13373,#13374); -#13376=CYLINDRICAL_SURFACE('',#13375,7.01599E-1); -#13377=ORIENTED_EDGE('',*,*,#12786,.T.); -#13378=ORIENTED_EDGE('',*,*,#13367,.F.); -#13379=ORIENTED_EDGE('',*,*,#13004,.F.); -#13380=ORIENTED_EDGE('',*,*,#13364,.T.); -#13381=EDGE_LOOP('',(#13377,#13378,#13379,#13380)); -#13382=FACE_OUTER_BOUND('',#13381,.F.); -#13384=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,3.111724784545E0)); -#13385=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13386=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13387=AXIS2_PLACEMENT_3D('',#13384,#13385,#13386); -#13388=CYLINDRICAL_SURFACE('',#13387,8.01599E-1); -#13389=ORIENTED_EDGE('',*,*,#12790,.T.); -#13391=ORIENTED_EDGE('',*,*,#13390,.F.); -#13392=ORIENTED_EDGE('',*,*,#13008,.F.); -#13394=ORIENTED_EDGE('',*,*,#13393,.T.); -#13395=EDGE_LOOP('',(#13389,#13391,#13392,#13394)); -#13396=FACE_OUTER_BOUND('',#13395,.F.); -#13398=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,3.111724784545E0)); -#13399=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13400=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13401=AXIS2_PLACEMENT_3D('',#13398,#13399,#13400); -#13402=CYLINDRICAL_SURFACE('',#13401,8.01599E-1); -#13403=ORIENTED_EDGE('',*,*,#12792,.T.); -#13404=ORIENTED_EDGE('',*,*,#13393,.F.); -#13405=ORIENTED_EDGE('',*,*,#13010,.F.); -#13406=ORIENTED_EDGE('',*,*,#13390,.T.); -#13407=EDGE_LOOP('',(#13403,#13404,#13405,#13406)); -#13408=FACE_OUTER_BOUND('',#13407,.F.); -#13410=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,3.111724784545E0)); -#13411=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13412=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13413=AXIS2_PLACEMENT_3D('',#13410,#13411,#13412); -#13414=CYLINDRICAL_SURFACE('',#13413,8.01599E-1); -#13415=ORIENTED_EDGE('',*,*,#12796,.T.); -#13417=ORIENTED_EDGE('',*,*,#13416,.F.); -#13418=ORIENTED_EDGE('',*,*,#13014,.F.); -#13420=ORIENTED_EDGE('',*,*,#13419,.T.); -#13421=EDGE_LOOP('',(#13415,#13417,#13418,#13420)); -#13422=FACE_OUTER_BOUND('',#13421,.F.); -#13424=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,3.111724784545E0)); -#13425=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13426=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13427=AXIS2_PLACEMENT_3D('',#13424,#13425,#13426); -#13428=CYLINDRICAL_SURFACE('',#13427,8.01599E-1); -#13429=ORIENTED_EDGE('',*,*,#12798,.T.); -#13430=ORIENTED_EDGE('',*,*,#13419,.F.); -#13431=ORIENTED_EDGE('',*,*,#13016,.F.); -#13432=ORIENTED_EDGE('',*,*,#13416,.T.); -#13433=EDGE_LOOP('',(#13429,#13430,#13431,#13432)); -#13434=FACE_OUTER_BOUND('',#13433,.F.); -#13436=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,3.111724784545E0)); -#13437=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13438=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13439=AXIS2_PLACEMENT_3D('',#13436,#13437,#13438); -#13440=CYLINDRICAL_SURFACE('',#13439,8.01599E-1); -#13441=ORIENTED_EDGE('',*,*,#12802,.T.); -#13443=ORIENTED_EDGE('',*,*,#13442,.F.); -#13444=ORIENTED_EDGE('',*,*,#13020,.F.); -#13446=ORIENTED_EDGE('',*,*,#13445,.T.); -#13447=EDGE_LOOP('',(#13441,#13443,#13444,#13446)); -#13448=FACE_OUTER_BOUND('',#13447,.F.); -#13450=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,3.111724784545E0)); -#13451=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13452=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13453=AXIS2_PLACEMENT_3D('',#13450,#13451,#13452); -#13454=CYLINDRICAL_SURFACE('',#13453,8.01599E-1); -#13455=ORIENTED_EDGE('',*,*,#12804,.T.); -#13456=ORIENTED_EDGE('',*,*,#13445,.F.); -#13457=ORIENTED_EDGE('',*,*,#13022,.F.); -#13458=ORIENTED_EDGE('',*,*,#13442,.T.); -#13459=EDGE_LOOP('',(#13455,#13456,#13457,#13458)); -#13460=FACE_OUTER_BOUND('',#13459,.F.); -#13462=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,3.111724784545E0)); -#13463=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13464=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13465=AXIS2_PLACEMENT_3D('',#13462,#13463,#13464); -#13466=CYLINDRICAL_SURFACE('',#13465,8.01599E-1); -#13467=ORIENTED_EDGE('',*,*,#12808,.T.); -#13469=ORIENTED_EDGE('',*,*,#13468,.F.); -#13470=ORIENTED_EDGE('',*,*,#13026,.F.); -#13472=ORIENTED_EDGE('',*,*,#13471,.T.); -#13473=EDGE_LOOP('',(#13467,#13469,#13470,#13472)); -#13474=FACE_OUTER_BOUND('',#13473,.F.); -#13476=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,3.111724784545E0)); -#13477=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13478=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13479=AXIS2_PLACEMENT_3D('',#13476,#13477,#13478); -#13480=CYLINDRICAL_SURFACE('',#13479,8.01599E-1); -#13481=ORIENTED_EDGE('',*,*,#12810,.T.); -#13482=ORIENTED_EDGE('',*,*,#13471,.F.); -#13483=ORIENTED_EDGE('',*,*,#13028,.F.); -#13484=ORIENTED_EDGE('',*,*,#13468,.T.); -#13485=EDGE_LOOP('',(#13481,#13482,#13483,#13484)); -#13486=FACE_OUTER_BOUND('',#13485,.F.); -#13488=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,3.111724784545E0)); -#13489=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13490=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13491=AXIS2_PLACEMENT_3D('',#13488,#13489,#13490); -#13492=CYLINDRICAL_SURFACE('',#13491,8.01599E-1); -#13493=ORIENTED_EDGE('',*,*,#12814,.T.); -#13495=ORIENTED_EDGE('',*,*,#13494,.F.); -#13496=ORIENTED_EDGE('',*,*,#13032,.F.); -#13498=ORIENTED_EDGE('',*,*,#13497,.T.); -#13499=EDGE_LOOP('',(#13493,#13495,#13496,#13498)); -#13500=FACE_OUTER_BOUND('',#13499,.F.); -#13502=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,3.111724784545E0)); -#13503=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13504=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13505=AXIS2_PLACEMENT_3D('',#13502,#13503,#13504); -#13506=CYLINDRICAL_SURFACE('',#13505,8.01599E-1); -#13507=ORIENTED_EDGE('',*,*,#12816,.T.); -#13508=ORIENTED_EDGE('',*,*,#13497,.F.); -#13509=ORIENTED_EDGE('',*,*,#13034,.F.); -#13510=ORIENTED_EDGE('',*,*,#13494,.T.); -#13511=EDGE_LOOP('',(#13507,#13508,#13509,#13510)); -#13512=FACE_OUTER_BOUND('',#13511,.F.); -#13514=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,3.111724784545E0)); -#13515=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13516=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13517=AXIS2_PLACEMENT_3D('',#13514,#13515,#13516); -#13518=CYLINDRICAL_SURFACE('',#13517,8.01599E-1); -#13519=ORIENTED_EDGE('',*,*,#12820,.T.); -#13521=ORIENTED_EDGE('',*,*,#13520,.F.); -#13522=ORIENTED_EDGE('',*,*,#13038,.F.); -#13524=ORIENTED_EDGE('',*,*,#13523,.T.); -#13525=EDGE_LOOP('',(#13519,#13521,#13522,#13524)); -#13526=FACE_OUTER_BOUND('',#13525,.F.); -#13528=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,3.111724784545E0)); -#13529=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13530=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13531=AXIS2_PLACEMENT_3D('',#13528,#13529,#13530); -#13532=CYLINDRICAL_SURFACE('',#13531,8.01599E-1); -#13533=ORIENTED_EDGE('',*,*,#12822,.T.); -#13534=ORIENTED_EDGE('',*,*,#13523,.F.); -#13535=ORIENTED_EDGE('',*,*,#13040,.F.); -#13536=ORIENTED_EDGE('',*,*,#13520,.T.); -#13537=EDGE_LOOP('',(#13533,#13534,#13535,#13536)); -#13538=FACE_OUTER_BOUND('',#13537,.F.); -#13540=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,3.111724784545E0)); -#13541=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13542=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13543=AXIS2_PLACEMENT_3D('',#13540,#13541,#13542); -#13544=CYLINDRICAL_SURFACE('',#13543,8.01599E-1); -#13545=ORIENTED_EDGE('',*,*,#12826,.T.); -#13547=ORIENTED_EDGE('',*,*,#13546,.F.); -#13548=ORIENTED_EDGE('',*,*,#13044,.F.); -#13550=ORIENTED_EDGE('',*,*,#13549,.T.); -#13551=EDGE_LOOP('',(#13545,#13547,#13548,#13550)); -#13552=FACE_OUTER_BOUND('',#13551,.F.); -#13554=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,3.111724784545E0)); -#13555=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13556=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13557=AXIS2_PLACEMENT_3D('',#13554,#13555,#13556); -#13558=CYLINDRICAL_SURFACE('',#13557,8.01599E-1); -#13559=ORIENTED_EDGE('',*,*,#12828,.T.); -#13560=ORIENTED_EDGE('',*,*,#13549,.F.); -#13561=ORIENTED_EDGE('',*,*,#13046,.F.); -#13562=ORIENTED_EDGE('',*,*,#13546,.T.); -#13563=EDGE_LOOP('',(#13559,#13560,#13561,#13562)); -#13564=FACE_OUTER_BOUND('',#13563,.F.); -#13566=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,3.111724784545E0)); -#13567=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13568=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13569=AXIS2_PLACEMENT_3D('',#13566,#13567,#13568); -#13570=CYLINDRICAL_SURFACE('',#13569,7.01599E-1); -#13571=ORIENTED_EDGE('',*,*,#12832,.T.); -#13573=ORIENTED_EDGE('',*,*,#13572,.F.); -#13574=ORIENTED_EDGE('',*,*,#13050,.F.); -#13576=ORIENTED_EDGE('',*,*,#13575,.T.); -#13577=EDGE_LOOP('',(#13571,#13573,#13574,#13576)); -#13578=FACE_OUTER_BOUND('',#13577,.F.); -#13580=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,3.111724784545E0)); -#13581=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13582=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13583=AXIS2_PLACEMENT_3D('',#13580,#13581,#13582); -#13584=CYLINDRICAL_SURFACE('',#13583,7.01599E-1); -#13585=ORIENTED_EDGE('',*,*,#12834,.T.); -#13586=ORIENTED_EDGE('',*,*,#13575,.F.); -#13587=ORIENTED_EDGE('',*,*,#13052,.F.); -#13588=ORIENTED_EDGE('',*,*,#13572,.T.); -#13589=EDGE_LOOP('',(#13585,#13586,#13587,#13588)); -#13590=FACE_OUTER_BOUND('',#13589,.F.); -#13592=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,3.111724784545E0)); -#13593=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13594=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13595=AXIS2_PLACEMENT_3D('',#13592,#13593,#13594); -#13596=CYLINDRICAL_SURFACE('',#13595,7.01599E-1); -#13597=ORIENTED_EDGE('',*,*,#12838,.T.); -#13599=ORIENTED_EDGE('',*,*,#13598,.F.); -#13600=ORIENTED_EDGE('',*,*,#13056,.F.); -#13602=ORIENTED_EDGE('',*,*,#13601,.T.); -#13603=EDGE_LOOP('',(#13597,#13599,#13600,#13602)); -#13604=FACE_OUTER_BOUND('',#13603,.F.); -#13606=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,3.111724784545E0)); -#13607=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13608=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13609=AXIS2_PLACEMENT_3D('',#13606,#13607,#13608); -#13610=CYLINDRICAL_SURFACE('',#13609,7.01599E-1); -#13611=ORIENTED_EDGE('',*,*,#12840,.T.); -#13612=ORIENTED_EDGE('',*,*,#13601,.F.); -#13613=ORIENTED_EDGE('',*,*,#13058,.F.); -#13614=ORIENTED_EDGE('',*,*,#13598,.T.); -#13615=EDGE_LOOP('',(#13611,#13612,#13613,#13614)); -#13616=FACE_OUTER_BOUND('',#13615,.F.); -#13618=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,3.111724784545E0)); -#13619=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13620=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13621=AXIS2_PLACEMENT_3D('',#13618,#13619,#13620); -#13622=CYLINDRICAL_SURFACE('',#13621,7.01599E-1); -#13623=ORIENTED_EDGE('',*,*,#12844,.T.); -#13625=ORIENTED_EDGE('',*,*,#13624,.F.); -#13626=ORIENTED_EDGE('',*,*,#13062,.F.); -#13628=ORIENTED_EDGE('',*,*,#13627,.T.); -#13629=EDGE_LOOP('',(#13623,#13625,#13626,#13628)); -#13630=FACE_OUTER_BOUND('',#13629,.F.); -#13632=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,3.111724784545E0)); -#13633=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13634=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13635=AXIS2_PLACEMENT_3D('',#13632,#13633,#13634); -#13636=CYLINDRICAL_SURFACE('',#13635,7.01599E-1); -#13637=ORIENTED_EDGE('',*,*,#12846,.T.); -#13638=ORIENTED_EDGE('',*,*,#13627,.F.); -#13639=ORIENTED_EDGE('',*,*,#13064,.F.); -#13640=ORIENTED_EDGE('',*,*,#13624,.T.); -#13641=EDGE_LOOP('',(#13637,#13638,#13639,#13640)); -#13642=FACE_OUTER_BOUND('',#13641,.F.); -#13644=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,3.111724784545E0)); -#13645=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13646=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13647=AXIS2_PLACEMENT_3D('',#13644,#13645,#13646); -#13648=CYLINDRICAL_SURFACE('',#13647,7.01599E-1); -#13649=ORIENTED_EDGE('',*,*,#12850,.T.); -#13651=ORIENTED_EDGE('',*,*,#13650,.F.); -#13652=ORIENTED_EDGE('',*,*,#13068,.F.); -#13654=ORIENTED_EDGE('',*,*,#13653,.T.); -#13655=EDGE_LOOP('',(#13649,#13651,#13652,#13654)); -#13656=FACE_OUTER_BOUND('',#13655,.F.); -#13658=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,3.111724784545E0)); -#13659=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13660=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13661=AXIS2_PLACEMENT_3D('',#13658,#13659,#13660); -#13662=CYLINDRICAL_SURFACE('',#13661,7.01599E-1); -#13663=ORIENTED_EDGE('',*,*,#12852,.T.); -#13664=ORIENTED_EDGE('',*,*,#13653,.F.); -#13665=ORIENTED_EDGE('',*,*,#13070,.F.); -#13666=ORIENTED_EDGE('',*,*,#13650,.T.); -#13667=EDGE_LOOP('',(#13663,#13664,#13665,#13666)); -#13668=FACE_OUTER_BOUND('',#13667,.F.); -#13670=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,3.111724784545E0)); -#13671=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13672=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13673=AXIS2_PLACEMENT_3D('',#13670,#13671,#13672); -#13674=CYLINDRICAL_SURFACE('',#13673,7.01599E-1); -#13675=ORIENTED_EDGE('',*,*,#12856,.T.); -#13677=ORIENTED_EDGE('',*,*,#13676,.F.); -#13678=ORIENTED_EDGE('',*,*,#13074,.F.); -#13680=ORIENTED_EDGE('',*,*,#13679,.T.); -#13681=EDGE_LOOP('',(#13675,#13677,#13678,#13680)); -#13682=FACE_OUTER_BOUND('',#13681,.F.); -#13684=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,3.111724784545E0)); -#13685=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13686=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13687=AXIS2_PLACEMENT_3D('',#13684,#13685,#13686); -#13688=CYLINDRICAL_SURFACE('',#13687,7.01599E-1); -#13689=ORIENTED_EDGE('',*,*,#12858,.T.); -#13690=ORIENTED_EDGE('',*,*,#13679,.F.); -#13691=ORIENTED_EDGE('',*,*,#13076,.F.); -#13692=ORIENTED_EDGE('',*,*,#13676,.T.); -#13693=EDGE_LOOP('',(#13689,#13690,#13691,#13692)); -#13694=FACE_OUTER_BOUND('',#13693,.F.); -#13696=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,3.111724784545E0)); -#13697=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13698=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13699=AXIS2_PLACEMENT_3D('',#13696,#13697,#13698); -#13700=CYLINDRICAL_SURFACE('',#13699,7.01599E-1); -#13701=ORIENTED_EDGE('',*,*,#12862,.T.); -#13703=ORIENTED_EDGE('',*,*,#13702,.F.); -#13704=ORIENTED_EDGE('',*,*,#13080,.F.); -#13706=ORIENTED_EDGE('',*,*,#13705,.T.); -#13707=EDGE_LOOP('',(#13701,#13703,#13704,#13706)); -#13708=FACE_OUTER_BOUND('',#13707,.F.); -#13710=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,3.111724784545E0)); -#13711=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13712=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13713=AXIS2_PLACEMENT_3D('',#13710,#13711,#13712); -#13714=CYLINDRICAL_SURFACE('',#13713,7.01599E-1); -#13715=ORIENTED_EDGE('',*,*,#12864,.T.); -#13716=ORIENTED_EDGE('',*,*,#13705,.F.); -#13717=ORIENTED_EDGE('',*,*,#13082,.F.); -#13718=ORIENTED_EDGE('',*,*,#13702,.T.); -#13719=EDGE_LOOP('',(#13715,#13716,#13717,#13718)); -#13720=FACE_OUTER_BOUND('',#13719,.F.); -#13722=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,3.111724784545E0)); -#13723=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13724=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13725=AXIS2_PLACEMENT_3D('',#13722,#13723,#13724); -#13726=CYLINDRICAL_SURFACE('',#13725,7.01599E-1); -#13727=ORIENTED_EDGE('',*,*,#12868,.T.); -#13729=ORIENTED_EDGE('',*,*,#13728,.F.); -#13730=ORIENTED_EDGE('',*,*,#13086,.F.); -#13732=ORIENTED_EDGE('',*,*,#13731,.T.); -#13733=EDGE_LOOP('',(#13727,#13729,#13730,#13732)); -#13734=FACE_OUTER_BOUND('',#13733,.F.); -#13736=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,3.111724784545E0)); -#13737=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13738=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13739=AXIS2_PLACEMENT_3D('',#13736,#13737,#13738); -#13740=CYLINDRICAL_SURFACE('',#13739,7.01599E-1); -#13741=ORIENTED_EDGE('',*,*,#12870,.T.); -#13742=ORIENTED_EDGE('',*,*,#13731,.F.); -#13743=ORIENTED_EDGE('',*,*,#13088,.F.); -#13744=ORIENTED_EDGE('',*,*,#13728,.T.); -#13745=EDGE_LOOP('',(#13741,#13742,#13743,#13744)); -#13746=FACE_OUTER_BOUND('',#13745,.F.); -#13748=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,3.111724784545E0)); -#13749=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13750=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13751=AXIS2_PLACEMENT_3D('',#13748,#13749,#13750); -#13752=CYLINDRICAL_SURFACE('',#13751,7.01599E-1); -#13753=ORIENTED_EDGE('',*,*,#12874,.T.); -#13755=ORIENTED_EDGE('',*,*,#13754,.F.); -#13756=ORIENTED_EDGE('',*,*,#13092,.F.); -#13758=ORIENTED_EDGE('',*,*,#13757,.T.); -#13759=EDGE_LOOP('',(#13753,#13755,#13756,#13758)); -#13760=FACE_OUTER_BOUND('',#13759,.F.); -#13762=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,3.111724784545E0)); -#13763=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13764=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13765=AXIS2_PLACEMENT_3D('',#13762,#13763,#13764); -#13766=CYLINDRICAL_SURFACE('',#13765,7.01599E-1); -#13767=ORIENTED_EDGE('',*,*,#12876,.T.); -#13768=ORIENTED_EDGE('',*,*,#13757,.F.); -#13769=ORIENTED_EDGE('',*,*,#13094,.F.); -#13770=ORIENTED_EDGE('',*,*,#13754,.T.); -#13771=EDGE_LOOP('',(#13767,#13768,#13769,#13770)); -#13772=FACE_OUTER_BOUND('',#13771,.F.); -#13774=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,3.111724784545E0)); -#13775=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13776=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13777=AXIS2_PLACEMENT_3D('',#13774,#13775,#13776); -#13778=CYLINDRICAL_SURFACE('',#13777,7.01599E-1); -#13779=ORIENTED_EDGE('',*,*,#12880,.T.); -#13781=ORIENTED_EDGE('',*,*,#13780,.F.); -#13782=ORIENTED_EDGE('',*,*,#13098,.F.); -#13784=ORIENTED_EDGE('',*,*,#13783,.T.); -#13785=EDGE_LOOP('',(#13779,#13781,#13782,#13784)); -#13786=FACE_OUTER_BOUND('',#13785,.F.); -#13788=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,3.111724784545E0)); -#13789=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13790=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13791=AXIS2_PLACEMENT_3D('',#13788,#13789,#13790); -#13792=CYLINDRICAL_SURFACE('',#13791,7.01599E-1); -#13793=ORIENTED_EDGE('',*,*,#12882,.T.); -#13794=ORIENTED_EDGE('',*,*,#13783,.F.); -#13795=ORIENTED_EDGE('',*,*,#13100,.F.); -#13796=ORIENTED_EDGE('',*,*,#13780,.T.); -#13797=EDGE_LOOP('',(#13793,#13794,#13795,#13796)); -#13798=FACE_OUTER_BOUND('',#13797,.F.); -#13800=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,3.111724784545E0)); -#13801=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13802=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13803=AXIS2_PLACEMENT_3D('',#13800,#13801,#13802); -#13804=CYLINDRICAL_SURFACE('',#13803,7.01599E-1); -#13805=ORIENTED_EDGE('',*,*,#12886,.T.); -#13807=ORIENTED_EDGE('',*,*,#13806,.F.); -#13808=ORIENTED_EDGE('',*,*,#13104,.F.); -#13810=ORIENTED_EDGE('',*,*,#13809,.T.); -#13811=EDGE_LOOP('',(#13805,#13807,#13808,#13810)); -#13812=FACE_OUTER_BOUND('',#13811,.F.); -#13814=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,3.111724784545E0)); -#13815=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13816=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13817=AXIS2_PLACEMENT_3D('',#13814,#13815,#13816); -#13818=CYLINDRICAL_SURFACE('',#13817,7.01599E-1); -#13819=ORIENTED_EDGE('',*,*,#12888,.T.); -#13820=ORIENTED_EDGE('',*,*,#13809,.F.); -#13821=ORIENTED_EDGE('',*,*,#13106,.F.); -#13822=ORIENTED_EDGE('',*,*,#13806,.T.); -#13823=EDGE_LOOP('',(#13819,#13820,#13821,#13822)); -#13824=FACE_OUTER_BOUND('',#13823,.F.); -#13826=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,3.111724784545E0)); -#13827=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13828=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13829=AXIS2_PLACEMENT_3D('',#13826,#13827,#13828); -#13830=CYLINDRICAL_SURFACE('',#13829,7.01599E-1); -#13831=ORIENTED_EDGE('',*,*,#12892,.T.); -#13833=ORIENTED_EDGE('',*,*,#13832,.F.); -#13834=ORIENTED_EDGE('',*,*,#13110,.F.); -#13836=ORIENTED_EDGE('',*,*,#13835,.T.); -#13837=EDGE_LOOP('',(#13831,#13833,#13834,#13836)); -#13838=FACE_OUTER_BOUND('',#13837,.F.); -#13840=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,3.111724784545E0)); -#13841=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13842=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13843=AXIS2_PLACEMENT_3D('',#13840,#13841,#13842); -#13844=CYLINDRICAL_SURFACE('',#13843,7.01599E-1); -#13845=ORIENTED_EDGE('',*,*,#12894,.T.); -#13846=ORIENTED_EDGE('',*,*,#13835,.F.); -#13847=ORIENTED_EDGE('',*,*,#13112,.F.); -#13848=ORIENTED_EDGE('',*,*,#13832,.T.); -#13849=EDGE_LOOP('',(#13845,#13846,#13847,#13848)); -#13850=FACE_OUTER_BOUND('',#13849,.F.); -#13852=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,3.111724784545E0)); -#13853=DIRECTION('',(0.E0,1.E0,0.E0)); -#13854=DIRECTION('',(1.E0,0.E0,0.E0)); -#13855=AXIS2_PLACEMENT_3D('',#13852,#13853,#13854); -#13856=PLANE('',#13855); -#13857=ORIENTED_EDGE('',*,*,#13116,.F.); -#13859=ORIENTED_EDGE('',*,*,#13858,.T.); -#13861=ORIENTED_EDGE('',*,*,#13860,.T.); -#13863=ORIENTED_EDGE('',*,*,#13862,.F.); -#13864=EDGE_LOOP('',(#13857,#13859,#13861,#13863)); -#13865=FACE_OUTER_BOUND('',#13864,.F.); -#13867=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,3.111724784545E0)); -#13868=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13869=DIRECTION('',(0.E0,1.E0,0.E0)); -#13870=AXIS2_PLACEMENT_3D('',#13867,#13868,#13869); -#13871=PLANE('',#13870); -#13872=ORIENTED_EDGE('',*,*,#13138,.F.); -#13874=ORIENTED_EDGE('',*,*,#13873,.T.); -#13876=ORIENTED_EDGE('',*,*,#13875,.T.); -#13877=ORIENTED_EDGE('',*,*,#13858,.F.); -#13878=EDGE_LOOP('',(#13872,#13874,#13876,#13877)); -#13879=FACE_OUTER_BOUND('',#13878,.F.); -#13881=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,3.111724784545E0)); -#13882=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13883=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13884=AXIS2_PLACEMENT_3D('',#13881,#13882,#13883); -#13885=PLANE('',#13884); -#13886=ORIENTED_EDGE('',*,*,#13136,.F.); -#13888=ORIENTED_EDGE('',*,*,#13887,.T.); -#13890=ORIENTED_EDGE('',*,*,#13889,.T.); -#13891=ORIENTED_EDGE('',*,*,#13873,.F.); -#13892=EDGE_LOOP('',(#13886,#13888,#13890,#13891)); -#13893=FACE_OUTER_BOUND('',#13892,.F.); -#13895=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,3.111724784545E0)); -#13896=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13897=DIRECTION('',(0.E0,1.E0,0.E0)); -#13898=AXIS2_PLACEMENT_3D('',#13895,#13896,#13897); -#13899=PLANE('',#13898); -#13901=ORIENTED_EDGE('',*,*,#13900,.T.); -#13903=ORIENTED_EDGE('',*,*,#13902,.T.); -#13905=ORIENTED_EDGE('',*,*,#13904,.F.); -#13907=ORIENTED_EDGE('',*,*,#13906,.T.); -#13909=ORIENTED_EDGE('',*,*,#13908,.T.); -#13911=ORIENTED_EDGE('',*,*,#13910,.F.); -#13912=ORIENTED_EDGE('',*,*,#13118,.F.); -#13913=ORIENTED_EDGE('',*,*,#13862,.T.); -#13915=ORIENTED_EDGE('',*,*,#13914,.F.); -#13916=ORIENTED_EDGE('',*,*,#13887,.F.); -#13917=ORIENTED_EDGE('',*,*,#13134,.F.); -#13919=ORIENTED_EDGE('',*,*,#13918,.T.); -#13920=EDGE_LOOP('',(#13901,#13903,#13905,#13907,#13909,#13911,#13912,#13913, -#13915,#13916,#13917,#13919)); -#13921=FACE_OUTER_BOUND('',#13920,.F.); -#13923=CARTESIAN_POINT('',(0.E0,0.E0,2.111724784545E0)); -#13924=DIRECTION('',(0.E0,0.E0,-1.E0)); -#13925=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13926=AXIS2_PLACEMENT_3D('',#13923,#13924,#13925); -#13927=PLANE('',#13926); -#13929=ORIENTED_EDGE('',*,*,#13928,.T.); -#13931=ORIENTED_EDGE('',*,*,#13930,.T.); -#13933=ORIENTED_EDGE('',*,*,#13932,.T.); -#13935=ORIENTED_EDGE('',*,*,#13934,.T.); -#13937=ORIENTED_EDGE('',*,*,#13936,.T.); -#13939=ORIENTED_EDGE('',*,*,#13938,.T.); -#13940=ORIENTED_EDGE('',*,*,#13900,.F.); -#13942=ORIENTED_EDGE('',*,*,#13941,.F.); -#13943=EDGE_LOOP('',(#13929,#13931,#13933,#13935,#13937,#13939,#13940,#13942)); -#13944=FACE_OUTER_BOUND('',#13943,.F.); -#13946=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,3.111724784545E0)); -#13947=DIRECTION('',(1.E0,0.E0,0.E0)); -#13948=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13949=AXIS2_PLACEMENT_3D('',#13946,#13947,#13948); -#13950=PLANE('',#13949); -#13951=ORIENTED_EDGE('',*,*,#13928,.F.); -#13953=ORIENTED_EDGE('',*,*,#13952,.F.); -#13954=ORIENTED_EDGE('',*,*,#13130,.F.); -#13956=ORIENTED_EDGE('',*,*,#13955,.T.); -#13958=ORIENTED_EDGE('',*,*,#13957,.T.); -#13960=ORIENTED_EDGE('',*,*,#13959,.F.); -#13961=ORIENTED_EDGE('',*,*,#13122,.F.); -#13963=ORIENTED_EDGE('',*,*,#13962,.T.); -#13965=ORIENTED_EDGE('',*,*,#13964,.T.); -#13967=ORIENTED_EDGE('',*,*,#13966,.F.); -#13969=ORIENTED_EDGE('',*,*,#13968,.T.); -#13971=ORIENTED_EDGE('',*,*,#13970,.F.); -#13972=EDGE_LOOP('',(#13951,#13953,#13954,#13956,#13958,#13960,#13961,#13963, -#13965,#13967,#13969,#13971)); -#13973=FACE_OUTER_BOUND('',#13972,.F.); -#13975=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,3.111724784545E0)); -#13976=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13977=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13978=AXIS2_PLACEMENT_3D('',#13975,#13976,#13977); -#13979=PLANE('',#13978); -#13980=ORIENTED_EDGE('',*,*,#13941,.T.); -#13981=ORIENTED_EDGE('',*,*,#13918,.F.); -#13982=ORIENTED_EDGE('',*,*,#13132,.F.); -#13983=ORIENTED_EDGE('',*,*,#13952,.T.); -#13984=EDGE_LOOP('',(#13980,#13981,#13982,#13983)); -#13985=FACE_OUTER_BOUND('',#13984,.F.); -#13987=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,3.111724784545E0)); -#13988=DIRECTION('',(0.E0,-1.E0,0.E0)); -#13989=DIRECTION('',(-1.E0,0.E0,0.E0)); -#13990=AXIS2_PLACEMENT_3D('',#13987,#13988,#13989); -#13991=PLANE('',#13990); -#13993=ORIENTED_EDGE('',*,*,#13992,.F.); -#13994=ORIENTED_EDGE('',*,*,#13966,.T.); -#13996=ORIENTED_EDGE('',*,*,#13995,.T.); -#13997=ORIENTED_EDGE('',*,*,#13906,.F.); -#13998=EDGE_LOOP('',(#13993,#13994,#13996,#13997)); -#13999=FACE_OUTER_BOUND('',#13998,.F.); -#14001=CARTESIAN_POINT('',(0.E0,0.E0,1.511724784545E0)); -#14002=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14003=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14004=AXIS2_PLACEMENT_3D('',#14001,#14002,#14003); -#14005=PLANE('',#14004); -#14006=ORIENTED_EDGE('',*,*,#13968,.F.); -#14007=ORIENTED_EDGE('',*,*,#13992,.T.); -#14008=ORIENTED_EDGE('',*,*,#13904,.T.); -#14010=ORIENTED_EDGE('',*,*,#14009,.F.); -#14012=ORIENTED_EDGE('',*,*,#14011,.F.); -#14014=ORIENTED_EDGE('',*,*,#14013,.F.); -#14016=ORIENTED_EDGE('',*,*,#14015,.F.); -#14018=ORIENTED_EDGE('',*,*,#14017,.F.); -#14019=EDGE_LOOP('',(#14006,#14007,#14008,#14010,#14012,#14014,#14016,#14018)); -#14020=FACE_OUTER_BOUND('',#14019,.F.); -#14022=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,2.111724784545E0)); -#14023=DIRECTION('',(0.E0,1.E0,0.E0)); -#14024=DIRECTION('',(1.E0,0.E0,0.E0)); -#14025=AXIS2_PLACEMENT_3D('',#14022,#14023,#14024); -#14026=PLANE('',#14025); -#14027=ORIENTED_EDGE('',*,*,#13970,.T.); -#14028=ORIENTED_EDGE('',*,*,#14017,.T.); -#14030=ORIENTED_EDGE('',*,*,#14029,.F.); -#14031=ORIENTED_EDGE('',*,*,#13930,.F.); -#14032=EDGE_LOOP('',(#14027,#14028,#14030,#14031)); -#14033=FACE_OUTER_BOUND('',#14032,.F.); -#14035=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,2.111724784545E0)); -#14036=DIRECTION('',(0.E0,1.E0,0.E0)); -#14037=DIRECTION('',(1.E0,0.E0,0.E0)); -#14038=AXIS2_PLACEMENT_3D('',#14035,#14036,#14037); -#14039=PLANE('',#14038); -#14040=ORIENTED_EDGE('',*,*,#13902,.F.); -#14041=ORIENTED_EDGE('',*,*,#13938,.F.); -#14043=ORIENTED_EDGE('',*,*,#14042,.T.); -#14044=ORIENTED_EDGE('',*,*,#14009,.T.); -#14045=EDGE_LOOP('',(#14040,#14041,#14043,#14044)); -#14046=FACE_OUTER_BOUND('',#14045,.F.); -#14048=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,2.111724784545E0)); -#14049=DIRECTION('',(1.E0,0.E0,0.E0)); -#14050=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14051=AXIS2_PLACEMENT_3D('',#14048,#14049,#14050); -#14052=PLANE('',#14051); -#14053=ORIENTED_EDGE('',*,*,#13932,.F.); -#14054=ORIENTED_EDGE('',*,*,#14029,.T.); -#14055=ORIENTED_EDGE('',*,*,#14015,.T.); -#14057=ORIENTED_EDGE('',*,*,#14056,.F.); -#14058=EDGE_LOOP('',(#14053,#14054,#14055,#14057)); -#14059=FACE_OUTER_BOUND('',#14058,.F.); -#14061=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,2.111724784545E0)); -#14062=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14063=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14064=AXIS2_PLACEMENT_3D('',#14061,#14062,#14063); -#14065=PLANE('',#14064); -#14066=ORIENTED_EDGE('',*,*,#13934,.F.); -#14067=ORIENTED_EDGE('',*,*,#14056,.T.); -#14068=ORIENTED_EDGE('',*,*,#14013,.T.); -#14070=ORIENTED_EDGE('',*,*,#14069,.F.); -#14071=EDGE_LOOP('',(#14066,#14067,#14068,#14070)); -#14072=FACE_OUTER_BOUND('',#14071,.F.); -#14074=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,2.111724784545E0)); -#14075=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14076=DIRECTION('',(0.E0,1.E0,0.E0)); -#14077=AXIS2_PLACEMENT_3D('',#14074,#14075,#14076); -#14078=PLANE('',#14077); -#14079=ORIENTED_EDGE('',*,*,#13936,.F.); -#14080=ORIENTED_EDGE('',*,*,#14069,.T.); -#14081=ORIENTED_EDGE('',*,*,#14011,.T.); -#14082=ORIENTED_EDGE('',*,*,#14042,.F.); -#14083=EDGE_LOOP('',(#14079,#14080,#14081,#14082)); -#14084=FACE_OUTER_BOUND('',#14083,.F.); -#14086=CARTESIAN_POINT('',(0.E0,0.E0,1.111724784545E0)); -#14087=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14088=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14089=AXIS2_PLACEMENT_3D('',#14086,#14087,#14088); -#14090=PLANE('',#14089); -#14091=ORIENTED_EDGE('',*,*,#13964,.F.); -#14093=ORIENTED_EDGE('',*,*,#14092,.F.); -#14094=ORIENTED_EDGE('',*,*,#13908,.F.); -#14095=ORIENTED_EDGE('',*,*,#13995,.F.); -#14096=EDGE_LOOP('',(#14091,#14093,#14094,#14095)); -#14097=FACE_OUTER_BOUND('',#14096,.F.); -#14099=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,3.111724784545E0)); -#14100=DIRECTION('',(0.E0,1.E0,0.E0)); -#14101=DIRECTION('',(1.E0,0.E0,0.E0)); -#14102=AXIS2_PLACEMENT_3D('',#14099,#14100,#14101); -#14103=PLANE('',#14102); -#14104=ORIENTED_EDGE('',*,*,#13120,.F.); -#14105=ORIENTED_EDGE('',*,*,#13910,.T.); -#14106=ORIENTED_EDGE('',*,*,#14092,.T.); -#14107=ORIENTED_EDGE('',*,*,#13962,.F.); -#14108=EDGE_LOOP('',(#14104,#14105,#14106,#14107)); -#14109=FACE_OUTER_BOUND('',#14108,.F.); -#14111=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,3.111724784545E0)); -#14112=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14113=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14114=AXIS2_PLACEMENT_3D('',#14111,#14112,#14113); -#14115=PLANE('',#14114); -#14116=ORIENTED_EDGE('',*,*,#13128,.F.); -#14118=ORIENTED_EDGE('',*,*,#14117,.T.); -#14120=ORIENTED_EDGE('',*,*,#14119,.T.); -#14121=ORIENTED_EDGE('',*,*,#13955,.F.); -#14122=EDGE_LOOP('',(#14116,#14118,#14120,#14121)); -#14123=FACE_OUTER_BOUND('',#14122,.F.); -#14125=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,3.111724784545E0)); -#14126=DIRECTION('',(1.E0,0.E0,0.E0)); -#14127=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14128=AXIS2_PLACEMENT_3D('',#14125,#14126,#14127); -#14129=PLANE('',#14128); -#14130=ORIENTED_EDGE('',*,*,#13126,.F.); -#14132=ORIENTED_EDGE('',*,*,#14131,.T.); -#14134=ORIENTED_EDGE('',*,*,#14133,.T.); -#14135=ORIENTED_EDGE('',*,*,#14117,.F.); -#14136=EDGE_LOOP('',(#14130,#14132,#14134,#14135)); -#14137=FACE_OUTER_BOUND('',#14136,.F.); -#14139=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,3.111724784545E0)); -#14140=DIRECTION('',(0.E0,1.E0,0.E0)); -#14141=DIRECTION('',(1.E0,0.E0,0.E0)); -#14142=AXIS2_PLACEMENT_3D('',#14139,#14140,#14141); -#14143=PLANE('',#14142); -#14144=ORIENTED_EDGE('',*,*,#13124,.F.); -#14145=ORIENTED_EDGE('',*,*,#13959,.T.); -#14147=ORIENTED_EDGE('',*,*,#14146,.T.); -#14148=ORIENTED_EDGE('',*,*,#14131,.F.); -#14149=EDGE_LOOP('',(#14144,#14145,#14147,#14148)); -#14150=FACE_OUTER_BOUND('',#14149,.F.); -#14152=CARTESIAN_POINT('',(0.E0,0.E0,2.611724784545E0)); -#14153=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14154=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14155=AXIS2_PLACEMENT_3D('',#14152,#14153,#14154); -#14156=PLANE('',#14155); -#14157=ORIENTED_EDGE('',*,*,#13957,.F.); -#14158=ORIENTED_EDGE('',*,*,#14119,.F.); -#14159=ORIENTED_EDGE('',*,*,#14133,.F.); -#14160=ORIENTED_EDGE('',*,*,#14146,.F.); -#14161=EDGE_LOOP('',(#14157,#14158,#14159,#14160)); -#14162=FACE_OUTER_BOUND('',#14161,.F.); -#14164=CARTESIAN_POINT('',(0.E0,0.E0,2.611724784545E0)); -#14165=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14166=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14167=AXIS2_PLACEMENT_3D('',#14164,#14165,#14166); -#14168=PLANE('',#14167); -#14169=ORIENTED_EDGE('',*,*,#13914,.T.); -#14170=ORIENTED_EDGE('',*,*,#13860,.F.); -#14171=ORIENTED_EDGE('',*,*,#13875,.F.); -#14172=ORIENTED_EDGE('',*,*,#13889,.F.); -#14173=EDGE_LOOP('',(#14169,#14170,#14171,#14172)); -#14174=FACE_OUTER_BOUND('',#14173,.F.); -#14176=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,3.111724784545E0)); -#14177=DIRECTION('',(0.E0,1.E0,0.E0)); -#14178=DIRECTION('',(1.E0,0.E0,0.E0)); -#14179=AXIS2_PLACEMENT_3D('',#14176,#14177,#14178); -#14180=PLANE('',#14179); -#14181=ORIENTED_EDGE('',*,*,#12933,.F.); -#14183=ORIENTED_EDGE('',*,*,#14182,.T.); -#14185=ORIENTED_EDGE('',*,*,#14184,.T.); -#14187=ORIENTED_EDGE('',*,*,#14186,.F.); -#14188=EDGE_LOOP('',(#14181,#14183,#14185,#14187)); -#14189=FACE_OUTER_BOUND('',#14188,.F.); -#14191=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.111724784545E0)); -#14192=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14193=DIRECTION('',(0.E0,1.E0,0.E0)); -#14194=AXIS2_PLACEMENT_3D('',#14191,#14192,#14193); -#14195=PLANE('',#14194); -#14196=ORIENTED_EDGE('',*,*,#12962,.F.); -#14198=ORIENTED_EDGE('',*,*,#14197,.T.); -#14200=ORIENTED_EDGE('',*,*,#14199,.T.); -#14202=ORIENTED_EDGE('',*,*,#14201,.T.); -#14203=ORIENTED_EDGE('',*,*,#14182,.F.); -#14204=EDGE_LOOP('',(#14196,#14198,#14200,#14202,#14203)); -#14205=FACE_OUTER_BOUND('',#14204,.F.); -#14207=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.111724784545E0)); -#14208=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14209=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14210=AXIS2_PLACEMENT_3D('',#14207,#14208,#14209); -#14211=PLANE('',#14210); -#14212=ORIENTED_EDGE('',*,*,#12937,.F.); -#14214=ORIENTED_EDGE('',*,*,#14213,.T.); -#14216=ORIENTED_EDGE('',*,*,#14215,.F.); -#14217=EDGE_LOOP('',(#14212,#14214,#14216)); -#14218=FACE_OUTER_BOUND('',#14217,.F.); -#14220=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.111724784545E0)); -#14221=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14222=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14223=AXIS2_PLACEMENT_3D('',#14220,#14221,#14222); -#14224=PLANE('',#14223); -#14225=ORIENTED_EDGE('',*,*,#12960,.F.); -#14227=ORIENTED_EDGE('',*,*,#14226,.T.); -#14228=ORIENTED_EDGE('',*,*,#14197,.F.); -#14229=EDGE_LOOP('',(#14225,#14227,#14228)); -#14230=FACE_OUTER_BOUND('',#14229,.F.); -#14232=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,3.111724784545E0)); -#14233=DIRECTION('',(1.E0,0.E0,0.E0)); -#14234=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14235=AXIS2_PLACEMENT_3D('',#14232,#14233,#14234); -#14236=PLANE('',#14235); -#14237=ORIENTED_EDGE('',*,*,#12935,.F.); -#14238=ORIENTED_EDGE('',*,*,#14186,.T.); -#14240=ORIENTED_EDGE('',*,*,#14239,.T.); -#14242=ORIENTED_EDGE('',*,*,#14241,.F.); -#14243=ORIENTED_EDGE('',*,*,#14213,.F.); -#14244=EDGE_LOOP('',(#14237,#14238,#14240,#14242,#14243)); -#14245=FACE_OUTER_BOUND('',#14244,.F.); -#14247=CARTESIAN_POINT('',(0.E0,0.E0,2.811724784545E0)); -#14248=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14249=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14250=AXIS2_PLACEMENT_3D('',#14247,#14248,#14249); -#14251=PLANE('',#14250); -#14253=ORIENTED_EDGE('',*,*,#14252,.F.); -#14254=ORIENTED_EDGE('',*,*,#14239,.F.); -#14255=ORIENTED_EDGE('',*,*,#14184,.F.); -#14256=ORIENTED_EDGE('',*,*,#14201,.F.); -#14257=EDGE_LOOP('',(#14253,#14254,#14255,#14256)); -#14258=FACE_OUTER_BOUND('',#14257,.F.); -#14260=CARTESIAN_POINT('',(8.8122392E1,5.5067992E1,3.111724784545E0)); -#14261=DIRECTION('',(0.E0,1.E0,0.E0)); -#14262=DIRECTION('',(1.E0,0.E0,0.E0)); -#14263=AXIS2_PLACEMENT_3D('',#14260,#14261,#14262); -#14264=PLANE('',#14263); -#14265=ORIENTED_EDGE('',*,*,#14252,.T.); -#14266=ORIENTED_EDGE('',*,*,#14199,.F.); -#14268=ORIENTED_EDGE('',*,*,#14267,.F.); -#14270=ORIENTED_EDGE('',*,*,#14269,.T.); -#14272=ORIENTED_EDGE('',*,*,#14271,.F.); -#14274=ORIENTED_EDGE('',*,*,#14273,.T.); -#14276=ORIENTED_EDGE('',*,*,#14275,.F.); -#14278=ORIENTED_EDGE('',*,*,#14277,.F.); -#14280=ORIENTED_EDGE('',*,*,#14279,.F.); -#14281=ORIENTED_EDGE('',*,*,#14241,.T.); -#14282=EDGE_LOOP('',(#14265,#14266,#14268,#14270,#14272,#14274,#14276,#14278, -#14280,#14281)); -#14283=FACE_OUTER_BOUND('',#14282,.F.); -#14285=CARTESIAN_POINT('',(8.9422392E1,7.942611794679E1,1.811724784545E0)); -#14286=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14287=DIRECTION('',(0.E0,0.E0,1.E0)); -#14288=AXIS2_PLACEMENT_3D('',#14285,#14286,#14287); -#14289=CYLINDRICAL_SURFACE('',#14288,1.3E0); -#14290=ORIENTED_EDGE('',*,*,#14226,.F.); -#14291=ORIENTED_EDGE('',*,*,#12958,.T.); -#14293=ORIENTED_EDGE('',*,*,#14292,.T.); -#14295=ORIENTED_EDGE('',*,*,#14294,.F.); -#14297=ORIENTED_EDGE('',*,*,#14296,.F.); -#14298=ORIENTED_EDGE('',*,*,#14267,.T.); -#14299=EDGE_LOOP('',(#14290,#14291,#14293,#14295,#14297,#14298)); -#14300=FACE_OUTER_BOUND('',#14299,.F.); -#14302=CARTESIAN_POINT('',(0.E0,0.E0,3.111724784545E0)); -#14303=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14304=DIRECTION('',(1.E0,0.E0,0.E0)); -#14305=AXIS2_PLACEMENT_3D('',#14302,#14303,#14304); -#14306=PLANE('',#14305); -#14308=ORIENTED_EDGE('',*,*,#14307,.T.); -#14310=ORIENTED_EDGE('',*,*,#14309,.F.); -#14312=ORIENTED_EDGE('',*,*,#14311,.F.); -#14313=ORIENTED_EDGE('',*,*,#14292,.F.); -#14314=EDGE_LOOP('',(#14308,#14310,#14312,#14313)); -#14315=FACE_OUTER_BOUND('',#14314,.F.); -#14317=CARTESIAN_POINT('',(8.000664145115E1,4.8767992E1,4.311724784545E0)); -#14318=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14319=DIRECTION('',(1.E0,0.E0,0.E0)); -#14320=AXIS2_PLACEMENT_3D('',#14317,#14318,#14319); -#14321=PLANE('',#14320); -#14322=ORIENTED_EDGE('',*,*,#14307,.F.); -#14323=ORIENTED_EDGE('',*,*,#12956,.F.); -#14325=ORIENTED_EDGE('',*,*,#14324,.F.); -#14326=ORIENTED_EDGE('',*,*,#11536,.T.); -#14328=ORIENTED_EDGE('',*,*,#14327,.T.); -#14329=ORIENTED_EDGE('',*,*,#12941,.F.); -#14330=EDGE_LOOP('',(#14322,#14323,#14325,#14326,#14328,#14329)); -#14331=FACE_OUTER_BOUND('',#14330,.F.); -#14333=FILL_AREA_STYLE_COLOUR('',#5); -#14334=FILL_AREA_STYLE('',(#14333)); -#14335=SURFACE_STYLE_FILL_AREA(#14334); -#14336=SURFACE_SIDE_STYLE('',(#14335)); -#14337=SURFACE_STYLE_USAGE(.POSITIVE.,#14336); -#14338=PRESENTATION_STYLE_ASSIGNMENT((#14337)); -#14339=STYLED_ITEM('',(#14338),#14332); -#14340=CARTESIAN_POINT('',(8.100624038897E1,4.9767992E1,5.876044784545E0)); -#14341=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14342=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14343=AXIS2_PLACEMENT_3D('',#14340,#14341,#14342); -#14344=CYLINDRICAL_SURFACE('',#14343,1.E0); -#14345=ORIENTED_EDGE('',*,*,#12954,.T.); -#14347=ORIENTED_EDGE('',*,*,#14346,.F.); -#14348=ORIENTED_EDGE('',*,*,#11538,.T.); -#14349=ORIENTED_EDGE('',*,*,#14324,.T.); -#14350=EDGE_LOOP('',(#14345,#14347,#14348,#14349)); -#14351=FACE_OUTER_BOUND('',#14350,.F.); -#14353=FILL_AREA_STYLE_COLOUR('',#5); -#14354=FILL_AREA_STYLE('',(#14353)); -#14355=SURFACE_STYLE_FILL_AREA(#14354); -#14356=SURFACE_SIDE_STYLE('',(#14355)); -#14357=SURFACE_STYLE_USAGE(.POSITIVE.,#14356); -#14358=PRESENTATION_STYLE_ASSIGNMENT((#14357)); -#14359=STYLED_ITEM('',(#14358),#14352); -#14360=CARTESIAN_POINT('',(7.998225911592E1,1.095502E2,4.311724784545E0)); -#14361=DIRECTION('',(-9.999999195423E-1,-4.011426052263E-4,0.E0)); -#14362=DIRECTION('',(4.011426052263E-4,-9.999999195423E-1,0.E0)); -#14363=AXIS2_PLACEMENT_3D('',#14360,#14361,#14362); -#14364=PLANE('',#14363); -#14365=ORIENTED_EDGE('',*,*,#12916,.F.); -#14366=ORIENTED_EDGE('',*,*,#11540,.T.); -#14367=ORIENTED_EDGE('',*,*,#14346,.T.); -#14368=ORIENTED_EDGE('',*,*,#12952,.F.); -#14369=EDGE_LOOP('',(#14365,#14366,#14367,#14368)); -#14370=FACE_OUTER_BOUND('',#14369,.F.); -#14372=FILL_AREA_STYLE_COLOUR('',#5); -#14373=FILL_AREA_STYLE('',(#14372)); -#14374=SURFACE_STYLE_FILL_AREA(#14373); -#14375=SURFACE_SIDE_STYLE('',(#14374)); -#14376=SURFACE_STYLE_USAGE(.POSITIVE.,#14375); -#14377=PRESENTATION_STYLE_ASSIGNMENT((#14376)); -#14378=STYLED_ITEM('',(#14377),#14371); -#14379=CARTESIAN_POINT('',(1.044751390308E2,4.9767992E1,5.876044784545E0)); -#14380=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14381=DIRECTION('',(9.999999765744E-1,-2.164513699654E-4,0.E0)); -#14382=AXIS2_PLACEMENT_3D('',#14379,#14380,#14381); -#14383=CYLINDRICAL_SURFACE('',#14382,1.E0); -#14384=ORIENTED_EDGE('',*,*,#12943,.T.); -#14385=ORIENTED_EDGE('',*,*,#14327,.F.); -#14386=ORIENTED_EDGE('',*,*,#11534,.T.); -#14388=ORIENTED_EDGE('',*,*,#14387,.T.); -#14389=EDGE_LOOP('',(#14384,#14385,#14386,#14388)); -#14390=FACE_OUTER_BOUND('',#14389,.F.); -#14392=FILL_AREA_STYLE_COLOUR('',#5); -#14393=FILL_AREA_STYLE('',(#14392)); -#14394=SURFACE_STYLE_FILL_AREA(#14393); -#14395=SURFACE_SIDE_STYLE('',(#14394)); -#14396=SURFACE_STYLE_USAGE(.POSITIVE.,#14395); -#14397=PRESENTATION_STYLE_ASSIGNMENT((#14396)); -#14398=STYLED_ITEM('',(#14397),#14391); -#14399=CARTESIAN_POINT('',(1.054749226029E2,4.8767992E1,4.311724784545E0)); -#14400=DIRECTION('',(9.999999765744E-1,-2.164513699646E-4,0.E0)); -#14401=DIRECTION('',(2.164513699646E-4,9.999999765744E-1,0.E0)); -#14402=AXIS2_PLACEMENT_3D('',#14399,#14400,#14401); -#14403=PLANE('',#14402); -#14405=ORIENTED_EDGE('',*,*,#14404,.T.); -#14406=ORIENTED_EDGE('',*,*,#12945,.F.); -#14407=ORIENTED_EDGE('',*,*,#14387,.F.); -#14408=ORIENTED_EDGE('',*,*,#11532,.T.); -#14409=EDGE_LOOP('',(#14405,#14406,#14407,#14408)); -#14410=FACE_OUTER_BOUND('',#14409,.F.); -#14412=FILL_AREA_STYLE_COLOUR('',#5); -#14413=FILL_AREA_STYLE('',(#14412)); -#14414=SURFACE_STYLE_FILL_AREA(#14413); -#14415=SURFACE_SIDE_STYLE('',(#14414)); -#14416=SURFACE_STYLE_USAGE(.POSITIVE.,#14415); -#14417=PRESENTATION_STYLE_ASSIGNMENT((#14416)); -#14418=STYLED_ITEM('',(#14417),#14411); -#14419=CARTESIAN_POINT('',(1.044878625206E2,1.085502E2,5.876044784545E0)); -#14420=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14421=DIRECTION('',(0.E0,1.E0,0.E0)); -#14422=AXIS2_PLACEMENT_3D('',#14419,#14420,#14421); -#14423=CYLINDRICAL_SURFACE('',#14422,1.E0); -#14424=ORIENTED_EDGE('',*,*,#12947,.T.); -#14425=ORIENTED_EDGE('',*,*,#14404,.F.); -#14426=ORIENTED_EDGE('',*,*,#11530,.T.); -#14428=ORIENTED_EDGE('',*,*,#14427,.T.); -#14429=EDGE_LOOP('',(#14424,#14425,#14426,#14428)); -#14430=FACE_OUTER_BOUND('',#14429,.F.); -#14432=FILL_AREA_STYLE_COLOUR('',#5); -#14433=FILL_AREA_STYLE('',(#14432)); -#14434=SURFACE_STYLE_FILL_AREA(#14433); -#14435=SURFACE_SIDE_STYLE('',(#14434)); -#14436=SURFACE_STYLE_USAGE(.POSITIVE.,#14435); -#14437=PRESENTATION_STYLE_ASSIGNMENT((#14436)); -#14438=STYLED_ITEM('',(#14437),#14431); -#14439=CARTESIAN_POINT('',(1.054880789954E2,1.095502E2,4.311724784545E0)); -#14440=DIRECTION('',(0.E0,1.E0,0.E0)); -#14441=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14442=AXIS2_PLACEMENT_3D('',#14439,#14440,#14441); -#14443=PLANE('',#14442); -#14444=ORIENTED_EDGE('',*,*,#12913,.T.); -#14445=ORIENTED_EDGE('',*,*,#12949,.F.); -#14446=ORIENTED_EDGE('',*,*,#14427,.F.); -#14447=ORIENTED_EDGE('',*,*,#11528,.T.); -#14448=EDGE_LOOP('',(#14444,#14445,#14446,#14447)); -#14449=FACE_OUTER_BOUND('',#14448,.F.); -#14451=FILL_AREA_STYLE_COLOUR('',#5); -#14452=FILL_AREA_STYLE('',(#14451)); -#14453=SURFACE_STYLE_FILL_AREA(#14452); -#14454=SURFACE_SIDE_STYLE('',(#14453)); -#14455=SURFACE_STYLE_USAGE(.POSITIVE.,#14454); -#14456=PRESENTATION_STYLE_ASSIGNMENT((#14455)); -#14457=STYLED_ITEM('',(#14456),#14450); -#14458=CARTESIAN_POINT('',(9.5772392E1,2.318414205181E1,1.811724784545E0)); -#14459=DIRECTION('',(0.E0,1.E0,0.E0)); -#14460=DIRECTION('',(0.E0,0.E0,1.E0)); -#14461=AXIS2_PLACEMENT_3D('',#14458,#14459,#14460); -#14462=CYLINDRICAL_SURFACE('',#14461,1.3E0); -#14463=ORIENTED_EDGE('',*,*,#14215,.T.); -#14464=ORIENTED_EDGE('',*,*,#14279,.T.); -#14466=ORIENTED_EDGE('',*,*,#14465,.F.); -#14468=ORIENTED_EDGE('',*,*,#14467,.F.); -#14469=ORIENTED_EDGE('',*,*,#14309,.T.); -#14470=ORIENTED_EDGE('',*,*,#12939,.T.); -#14471=EDGE_LOOP('',(#14463,#14464,#14466,#14468,#14469,#14470)); -#14472=FACE_OUTER_BOUND('',#14471,.F.); -#14474=CARTESIAN_POINT('',(9.7072392E1,5.5067992E1,3.111724784545E0)); -#14475=DIRECTION('',(1.E0,0.E0,0.E0)); -#14476=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14477=AXIS2_PLACEMENT_3D('',#14474,#14475,#14476); -#14478=PLANE('',#14477); -#14480=ORIENTED_EDGE('',*,*,#14479,.F.); -#14481=ORIENTED_EDGE('',*,*,#14465,.T.); -#14482=ORIENTED_EDGE('',*,*,#14277,.T.); -#14484=ORIENTED_EDGE('',*,*,#14483,.T.); -#14485=EDGE_LOOP('',(#14480,#14481,#14482,#14484)); -#14486=FACE_OUTER_BOUND('',#14485,.F.); -#14488=CARTESIAN_POINT('',(9.2597392E1,4.7567992E1,2.961724784545E0)); -#14489=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14490=DIRECTION('',(1.E0,0.E0,0.E0)); -#14491=AXIS2_PLACEMENT_3D('',#14488,#14489,#14490); -#14492=PLANE('',#14491); -#14494=ORIENTED_EDGE('',*,*,#14493,.T.); -#14496=ORIENTED_EDGE('',*,*,#14495,.T.); -#14497=ORIENTED_EDGE('',*,*,#14294,.T.); -#14498=ORIENTED_EDGE('',*,*,#14311,.T.); -#14499=ORIENTED_EDGE('',*,*,#14467,.T.); -#14500=ORIENTED_EDGE('',*,*,#14479,.T.); -#14502=ORIENTED_EDGE('',*,*,#14501,.T.); -#14504=ORIENTED_EDGE('',*,*,#14503,.T.); -#14505=EDGE_LOOP('',(#14494,#14496,#14497,#14498,#14499,#14500,#14502,#14504)); -#14506=FACE_OUTER_BOUND('',#14505,.F.); -#14508=ORIENTED_EDGE('',*,*,#14507,.F.); -#14510=ORIENTED_EDGE('',*,*,#14509,.F.); -#14512=ORIENTED_EDGE('',*,*,#14511,.F.); -#14514=ORIENTED_EDGE('',*,*,#14513,.F.); -#14516=ORIENTED_EDGE('',*,*,#14515,.F.); -#14518=ORIENTED_EDGE('',*,*,#14517,.F.); -#14520=ORIENTED_EDGE('',*,*,#14519,.F.); -#14522=ORIENTED_EDGE('',*,*,#14521,.F.); -#14523=EDGE_LOOP('',(#14508,#14510,#14512,#14514,#14516,#14518,#14520,#14522)); -#14524=FACE_BOUND('',#14523,.F.); -#14526=CARTESIAN_POINT('',(8.9422392E1,2.321948612108E1,1.251724784545E0)); -#14527=DIRECTION('',(0.E0,1.E0,0.E0)); -#14528=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14529=AXIS2_PLACEMENT_3D('',#14526,#14527,#14528); -#14530=CYLINDRICAL_SURFACE('',#14529,1.3E0); -#14531=ORIENTED_EDGE('',*,*,#14493,.F.); -#14533=ORIENTED_EDGE('',*,*,#14532,.T.); -#14534=ORIENTED_EDGE('',*,*,#14271,.T.); -#14536=ORIENTED_EDGE('',*,*,#14535,.F.); -#14537=EDGE_LOOP('',(#14531,#14533,#14534,#14536)); -#14538=FACE_OUTER_BOUND('',#14537,.F.); -#14540=CARTESIAN_POINT('',(0.E0,0.E0,-4.827521545509E-2)); -#14541=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14542=DIRECTION('',(1.E0,0.E0,0.E0)); -#14543=AXIS2_PLACEMENT_3D('',#14540,#14541,#14542); -#14544=PLANE('',#14543); -#14545=ORIENTED_EDGE('',*,*,#14503,.F.); -#14547=ORIENTED_EDGE('',*,*,#14546,.F.); -#14548=ORIENTED_EDGE('',*,*,#14273,.F.); -#14549=ORIENTED_EDGE('',*,*,#14532,.F.); -#14550=EDGE_LOOP('',(#14545,#14547,#14548,#14549)); -#14551=FACE_OUTER_BOUND('',#14550,.F.); -#14553=CARTESIAN_POINT('',(9.5772392E1,7.941649787892E1,1.251724784545E0)); -#14554=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14555=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14556=AXIS2_PLACEMENT_3D('',#14553,#14554,#14555); -#14557=CYLINDRICAL_SURFACE('',#14556,1.3E0); -#14558=ORIENTED_EDGE('',*,*,#14501,.F.); -#14559=ORIENTED_EDGE('',*,*,#14483,.F.); -#14560=ORIENTED_EDGE('',*,*,#14275,.T.); -#14561=ORIENTED_EDGE('',*,*,#14546,.T.); -#14562=EDGE_LOOP('',(#14558,#14559,#14560,#14561)); -#14563=FACE_OUTER_BOUND('',#14562,.F.); -#14565=CARTESIAN_POINT('',(8.8122392E1,4.7567992E1,3.111724784545E0)); -#14566=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14567=DIRECTION('',(0.E0,1.E0,0.E0)); -#14568=AXIS2_PLACEMENT_3D('',#14565,#14566,#14567); -#14569=PLANE('',#14568); -#14570=ORIENTED_EDGE('',*,*,#14495,.F.); -#14571=ORIENTED_EDGE('',*,*,#14535,.T.); -#14572=ORIENTED_EDGE('',*,*,#14269,.F.); -#14573=ORIENTED_EDGE('',*,*,#14296,.T.); -#14574=EDGE_LOOP('',(#14570,#14571,#14572,#14573)); -#14575=FACE_OUTER_BOUND('',#14574,.F.); -#14577=CARTESIAN_POINT('',(8.9422392E1,7.942611794679E1,1.811724784545E0)); -#14578=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14579=DIRECTION('',(0.E0,0.E0,1.E0)); -#14580=AXIS2_PLACEMENT_3D('',#14577,#14578,#14579); -#14581=CYLINDRICAL_SURFACE('',#14580,1.E0); -#14582=ORIENTED_EDGE('',*,*,#14507,.T.); -#14584=ORIENTED_EDGE('',*,*,#14583,.F.); -#14586=ORIENTED_EDGE('',*,*,#14585,.F.); -#14588=ORIENTED_EDGE('',*,*,#14587,.T.); -#14589=EDGE_LOOP('',(#14582,#14584,#14586,#14588)); -#14590=FACE_OUTER_BOUND('',#14589,.F.); -#14592=CARTESIAN_POINT('',(0.E0,0.E0,2.811724784545E0)); -#14593=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14594=DIRECTION('',(1.E0,0.E0,0.E0)); -#14595=AXIS2_PLACEMENT_3D('',#14592,#14593,#14594); -#14596=PLANE('',#14595); -#14597=ORIENTED_EDGE('',*,*,#14521,.T.); -#14599=ORIENTED_EDGE('',*,*,#14598,.T.); -#14601=ORIENTED_EDGE('',*,*,#14600,.F.); -#14602=ORIENTED_EDGE('',*,*,#14583,.T.); -#14603=EDGE_LOOP('',(#14597,#14599,#14601,#14602)); -#14604=FACE_OUTER_BOUND('',#14603,.F.); -#14606=CARTESIAN_POINT('',(9.5772392E1,2.318414205181E1,1.811724784545E0)); -#14607=DIRECTION('',(0.E0,1.E0,0.E0)); -#14608=DIRECTION('',(0.E0,0.E0,1.E0)); -#14609=AXIS2_PLACEMENT_3D('',#14606,#14607,#14608); -#14610=CYLINDRICAL_SURFACE('',#14609,1.E0); -#14611=ORIENTED_EDGE('',*,*,#14519,.T.); -#14613=ORIENTED_EDGE('',*,*,#14612,.T.); -#14615=ORIENTED_EDGE('',*,*,#14614,.F.); -#14616=ORIENTED_EDGE('',*,*,#14598,.F.); -#14617=EDGE_LOOP('',(#14611,#14613,#14615,#14616)); -#14618=FACE_OUTER_BOUND('',#14617,.F.); -#14620=CARTESIAN_POINT('',(9.6772392E1,5.5067992E1,3.111724784545E0)); -#14621=DIRECTION('',(1.E0,0.E0,0.E0)); -#14622=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14623=AXIS2_PLACEMENT_3D('',#14620,#14621,#14622); -#14624=PLANE('',#14623); -#14625=ORIENTED_EDGE('',*,*,#14517,.T.); -#14627=ORIENTED_EDGE('',*,*,#14626,.F.); -#14629=ORIENTED_EDGE('',*,*,#14628,.F.); -#14630=ORIENTED_EDGE('',*,*,#14612,.F.); -#14631=EDGE_LOOP('',(#14625,#14627,#14629,#14630)); -#14632=FACE_OUTER_BOUND('',#14631,.F.); -#14634=CARTESIAN_POINT('',(9.5772392E1,7.941649787892E1,1.251724784545E0)); -#14635=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14636=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14637=AXIS2_PLACEMENT_3D('',#14634,#14635,#14636); -#14638=CYLINDRICAL_SURFACE('',#14637,1.E0); -#14639=ORIENTED_EDGE('',*,*,#14515,.T.); -#14641=ORIENTED_EDGE('',*,*,#14640,.F.); -#14643=ORIENTED_EDGE('',*,*,#14642,.F.); -#14644=ORIENTED_EDGE('',*,*,#14626,.T.); -#14645=EDGE_LOOP('',(#14639,#14641,#14643,#14644)); -#14646=FACE_OUTER_BOUND('',#14645,.F.); -#14648=CARTESIAN_POINT('',(0.E0,0.E0,2.517247845449E-1)); -#14649=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14650=DIRECTION('',(1.E0,0.E0,0.E0)); -#14651=AXIS2_PLACEMENT_3D('',#14648,#14649,#14650); -#14652=PLANE('',#14651); -#14653=ORIENTED_EDGE('',*,*,#14513,.T.); -#14655=ORIENTED_EDGE('',*,*,#14654,.T.); -#14657=ORIENTED_EDGE('',*,*,#14656,.T.); -#14658=ORIENTED_EDGE('',*,*,#14640,.T.); -#14659=EDGE_LOOP('',(#14653,#14655,#14657,#14658)); -#14660=FACE_OUTER_BOUND('',#14659,.F.); -#14662=CARTESIAN_POINT('',(8.9422392E1,2.321948612108E1,1.251724784545E0)); -#14663=DIRECTION('',(0.E0,1.E0,0.E0)); -#14664=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14665=AXIS2_PLACEMENT_3D('',#14662,#14663,#14664); -#14666=CYLINDRICAL_SURFACE('',#14665,1.E0); -#14667=ORIENTED_EDGE('',*,*,#14511,.T.); -#14669=ORIENTED_EDGE('',*,*,#14668,.T.); -#14671=ORIENTED_EDGE('',*,*,#14670,.F.); -#14672=ORIENTED_EDGE('',*,*,#14654,.F.); -#14673=EDGE_LOOP('',(#14667,#14669,#14671,#14672)); -#14674=FACE_OUTER_BOUND('',#14673,.F.); -#14676=CARTESIAN_POINT('',(8.8422392E1,4.7567992E1,3.111724784545E0)); -#14677=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14678=DIRECTION('',(0.E0,1.E0,0.E0)); -#14679=AXIS2_PLACEMENT_3D('',#14676,#14677,#14678); -#14680=PLANE('',#14679); -#14681=ORIENTED_EDGE('',*,*,#14509,.T.); -#14682=ORIENTED_EDGE('',*,*,#14587,.F.); -#14684=ORIENTED_EDGE('',*,*,#14683,.T.); -#14685=ORIENTED_EDGE('',*,*,#14668,.F.); -#14686=EDGE_LOOP('',(#14681,#14682,#14684,#14685)); -#14687=FACE_OUTER_BOUND('',#14686,.F.); -#14689=CARTESIAN_POINT('',(8.8122392E1,5.4767992E1,3.111724784545E0)); -#14690=DIRECTION('',(0.E0,1.E0,0.E0)); -#14691=DIRECTION('',(1.E0,0.E0,0.E0)); -#14692=AXIS2_PLACEMENT_3D('',#14689,#14690,#14691); -#14693=PLANE('',#14692); -#14694=ORIENTED_EDGE('',*,*,#14642,.T.); -#14695=ORIENTED_EDGE('',*,*,#14656,.F.); -#14696=ORIENTED_EDGE('',*,*,#14670,.T.); -#14697=ORIENTED_EDGE('',*,*,#14683,.F.); -#14698=ORIENTED_EDGE('',*,*,#14585,.T.); -#14699=ORIENTED_EDGE('',*,*,#14600,.T.); -#14700=ORIENTED_EDGE('',*,*,#14614,.T.); -#14701=ORIENTED_EDGE('',*,*,#14628,.T.); -#14702=EDGE_LOOP('',(#14694,#14695,#14696,#14697,#14698,#14699,#14700,#14701)); -#14703=FACE_OUTER_BOUND('',#14702,.F.); -#14705=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,3.111724784545E0)); -#14706=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14707=DIRECTION('',(0.E0,1.E0,0.E0)); -#14708=AXIS2_PLACEMENT_3D('',#14705,#14706,#14707); -#14709=PLANE('',#14708); -#14711=ORIENTED_EDGE('',*,*,#14710,.T.); -#14713=ORIENTED_EDGE('',*,*,#14712,.F.); -#14714=ORIENTED_EDGE('',*,*,#13148,.F.); -#14716=ORIENTED_EDGE('',*,*,#14715,.T.); -#14717=EDGE_LOOP('',(#14711,#14713,#14714,#14716)); -#14718=FACE_OUTER_BOUND('',#14717,.F.); -#14720=CARTESIAN_POINT('',(0.E0,0.E0,1.611724784545E0)); -#14721=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14722=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14723=AXIS2_PLACEMENT_3D('',#14720,#14721,#14722); -#14724=PLANE('',#14723); -#14725=ORIENTED_EDGE('',*,*,#14710,.F.); -#14727=ORIENTED_EDGE('',*,*,#14726,.F.); -#14729=ORIENTED_EDGE('',*,*,#14728,.F.); -#14731=ORIENTED_EDGE('',*,*,#14730,.F.); -#14732=EDGE_LOOP('',(#14725,#14727,#14729,#14731)); -#14733=FACE_OUTER_BOUND('',#14732,.F.); -#14735=ORIENTED_EDGE('',*,*,#14734,.F.); -#14737=ORIENTED_EDGE('',*,*,#14736,.F.); -#14739=ORIENTED_EDGE('',*,*,#14738,.F.); -#14741=ORIENTED_EDGE('',*,*,#14740,.F.); -#14742=EDGE_LOOP('',(#14735,#14737,#14739,#14741)); -#14743=FACE_BOUND('',#14742,.F.); -#14745=CARTESIAN_POINT('',(0.E0,0.E0,1.611724784545E0)); -#14746=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14747=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14748=AXIS2_PLACEMENT_3D('',#14745,#14746,#14747); -#14749=PLANE('',#14748); -#14751=ORIENTED_EDGE('',*,*,#14750,.F.); -#14753=ORIENTED_EDGE('',*,*,#14752,.F.); -#14755=ORIENTED_EDGE('',*,*,#14754,.F.); -#14757=ORIENTED_EDGE('',*,*,#14756,.F.); -#14758=EDGE_LOOP('',(#14751,#14753,#14755,#14757)); -#14759=FACE_OUTER_BOUND('',#14758,.F.); -#14761=ORIENTED_EDGE('',*,*,#14760,.F.); -#14763=ORIENTED_EDGE('',*,*,#14762,.F.); -#14765=ORIENTED_EDGE('',*,*,#14764,.F.); -#14767=ORIENTED_EDGE('',*,*,#14766,.F.); -#14768=EDGE_LOOP('',(#14761,#14763,#14765,#14767)); -#14769=FACE_BOUND('',#14768,.F.); -#14771=CARTESIAN_POINT('',(8.30967915E1,5.10872005E1,6.117247845449E-1)); -#14772=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14773=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14774=AXIS2_PLACEMENT_3D('',#14771,#14772,#14773); -#14775=CYLINDRICAL_SURFACE('',#14774,1.05E0); -#14777=ORIENTED_EDGE('',*,*,#14776,.T.); -#14779=ORIENTED_EDGE('',*,*,#14778,.T.); -#14780=ORIENTED_EDGE('',*,*,#14734,.T.); -#14782=ORIENTED_EDGE('',*,*,#14781,.F.); -#14783=EDGE_LOOP('',(#14777,#14779,#14780,#14782)); -#14784=FACE_OUTER_BOUND('',#14783,.F.); -#14786=CARTESIAN_POINT('',(8.30967915E1,5.10872005E1,9.117247845449E-1)); -#14787=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14788=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); -#14789=AXIS2_PLACEMENT_3D('',#14786,#14787,#14788); -#14790=TOROIDAL_SURFACE('',#14789,7.5E-1,3.E-1); -#14792=ORIENTED_EDGE('',*,*,#14791,.T.); -#14794=ORIENTED_EDGE('',*,*,#14793,.T.); -#14795=ORIENTED_EDGE('',*,*,#14776,.F.); -#14797=ORIENTED_EDGE('',*,*,#14796,.F.); -#14798=EDGE_LOOP('',(#14792,#14794,#14795,#14797)); -#14799=FACE_OUTER_BOUND('',#14798,.F.); -#14801=CARTESIAN_POINT('',(0.E0,0.E0,6.117247845449E-1)); -#14802=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14803=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14804=AXIS2_PLACEMENT_3D('',#14801,#14802,#14803); -#14805=PLANE('',#14804); -#14807=ORIENTED_EDGE('',*,*,#14806,.F.); -#14809=ORIENTED_EDGE('',*,*,#14808,.F.); -#14811=ORIENTED_EDGE('',*,*,#14810,.F.); -#14813=ORIENTED_EDGE('',*,*,#14812,.F.); -#14814=EDGE_LOOP('',(#14807,#14809,#14811,#14813)); -#14815=FACE_OUTER_BOUND('',#14814,.F.); -#14817=CARTESIAN_POINT('',(0.E0,0.E0,6.117247845449E-1)); -#14818=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14819=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14820=AXIS2_PLACEMENT_3D('',#14817,#14818,#14819); -#14821=PLANE('',#14820); -#14823=ORIENTED_EDGE('',*,*,#14822,.F.); -#14825=ORIENTED_EDGE('',*,*,#14824,.F.); -#14827=ORIENTED_EDGE('',*,*,#14826,.F.); -#14828=ORIENTED_EDGE('',*,*,#14791,.F.); -#14829=EDGE_LOOP('',(#14823,#14825,#14827,#14828)); -#14830=FACE_OUTER_BOUND('',#14829,.F.); -#14832=CARTESIAN_POINT('',(1.020428183723E2,5.02199995E1,9.117247845449E-1)); -#14833=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14834=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14835=AXIS2_PLACEMENT_3D('',#14832,#14833,#14834); -#14836=CYLINDRICAL_SURFACE('',#14835,3.E-1); -#14837=ORIENTED_EDGE('',*,*,#14806,.T.); -#14839=ORIENTED_EDGE('',*,*,#14838,.T.); -#14841=ORIENTED_EDGE('',*,*,#14840,.F.); -#14843=ORIENTED_EDGE('',*,*,#14842,.F.); -#14844=EDGE_LOOP('',(#14837,#14839,#14841,#14843)); -#14845=FACE_OUTER_BOUND('',#14844,.F.); -#14847=CARTESIAN_POINT('',(1.01194792E2,5.09699995E1,9.117247845449E-1)); -#14848=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14849=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); -#14850=AXIS2_PLACEMENT_3D('',#14847,#14848,#14849); -#14851=TOROIDAL_SURFACE('',#14850,7.5E-1,3.E-1); -#14852=ORIENTED_EDGE('',*,*,#14812,.T.); -#14854=ORIENTED_EDGE('',*,*,#14853,.T.); -#14856=ORIENTED_EDGE('',*,*,#14855,.F.); -#14857=ORIENTED_EDGE('',*,*,#14838,.F.); -#14858=EDGE_LOOP('',(#14852,#14854,#14856,#14857)); -#14859=FACE_OUTER_BOUND('',#14858,.F.); -#14861=CARTESIAN_POINT('',(1.010467656277E2,5.17199995E1,9.117247845449E-1)); -#14862=DIRECTION('',(1.E0,0.E0,0.E0)); -#14863=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14864=AXIS2_PLACEMENT_3D('',#14861,#14862,#14863); -#14865=CYLINDRICAL_SURFACE('',#14864,3.E-1); -#14866=ORIENTED_EDGE('',*,*,#14810,.T.); -#14868=ORIENTED_EDGE('',*,*,#14867,.T.); -#14870=ORIENTED_EDGE('',*,*,#14869,.F.); -#14871=ORIENTED_EDGE('',*,*,#14853,.F.); -#14872=EDGE_LOOP('',(#14866,#14868,#14870,#14871)); -#14873=FACE_OUTER_BOUND('',#14872,.F.); -#14875=CARTESIAN_POINT('',(1.01894792E2,5.09699995E1,9.117247845449E-1)); -#14876=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14877=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); -#14878=AXIS2_PLACEMENT_3D('',#14875,#14876,#14877); -#14879=TOROIDAL_SURFACE('',#14878,7.5E-1,3.E-1); -#14880=ORIENTED_EDGE('',*,*,#14808,.T.); -#14881=ORIENTED_EDGE('',*,*,#14842,.T.); -#14883=ORIENTED_EDGE('',*,*,#14882,.F.); -#14884=ORIENTED_EDGE('',*,*,#14867,.F.); -#14885=EDGE_LOOP('',(#14880,#14881,#14883,#14884)); -#14886=FACE_OUTER_BOUND('',#14885,.F.); -#14888=CARTESIAN_POINT('',(1.01894792E2,5.09699995E1,6.117247845449E-1)); -#14889=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14890=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14891=AXIS2_PLACEMENT_3D('',#14888,#14889,#14890); -#14892=CYLINDRICAL_SURFACE('',#14891,1.05E0); -#14893=ORIENTED_EDGE('',*,*,#14882,.T.); -#14895=ORIENTED_EDGE('',*,*,#14894,.T.); -#14896=ORIENTED_EDGE('',*,*,#14764,.T.); -#14898=ORIENTED_EDGE('',*,*,#14897,.F.); -#14899=EDGE_LOOP('',(#14893,#14895,#14896,#14898)); -#14900=FACE_OUTER_BOUND('',#14899,.F.); -#14902=CARTESIAN_POINT('',(1.01194792E2,4.99199995E1,6.117247845449E-1)); -#14903=DIRECTION('',(0.E0,1.E0,0.E0)); -#14904=DIRECTION('',(1.E0,0.E0,0.E0)); -#14905=AXIS2_PLACEMENT_3D('',#14902,#14903,#14904); -#14906=PLANE('',#14905); -#14907=ORIENTED_EDGE('',*,*,#14840,.T.); -#14909=ORIENTED_EDGE('',*,*,#14908,.T.); -#14910=ORIENTED_EDGE('',*,*,#14766,.T.); -#14911=ORIENTED_EDGE('',*,*,#14894,.F.); -#14912=EDGE_LOOP('',(#14907,#14909,#14910,#14911)); -#14913=FACE_OUTER_BOUND('',#14912,.F.); -#14915=CARTESIAN_POINT('',(1.01194792E2,5.09699995E1,6.117247845449E-1)); -#14916=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14917=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14918=AXIS2_PLACEMENT_3D('',#14915,#14916,#14917); -#14919=CYLINDRICAL_SURFACE('',#14918,1.05E0); -#14920=ORIENTED_EDGE('',*,*,#14855,.T.); -#14922=ORIENTED_EDGE('',*,*,#14921,.T.); -#14923=ORIENTED_EDGE('',*,*,#14760,.T.); -#14924=ORIENTED_EDGE('',*,*,#14908,.F.); -#14925=EDGE_LOOP('',(#14920,#14922,#14923,#14924)); -#14926=FACE_OUTER_BOUND('',#14925,.F.); -#14928=CARTESIAN_POINT('',(1.01894792E2,5.20199995E1,6.117247845449E-1)); -#14929=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14930=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14931=AXIS2_PLACEMENT_3D('',#14928,#14929,#14930); -#14932=PLANE('',#14931); -#14933=ORIENTED_EDGE('',*,*,#14869,.T.); -#14934=ORIENTED_EDGE('',*,*,#14897,.T.); -#14935=ORIENTED_EDGE('',*,*,#14762,.T.); -#14936=ORIENTED_EDGE('',*,*,#14921,.F.); -#14937=EDGE_LOOP('',(#14933,#14934,#14935,#14936)); -#14938=FACE_OUTER_BOUND('',#14937,.F.); -#14940=CARTESIAN_POINT('',(8.394481787227E1,5.03372005E1,9.117247845449E-1)); -#14941=DIRECTION('',(-1.E0,0.E0,0.E0)); -#14942=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14943=AXIS2_PLACEMENT_3D('',#14940,#14941,#14942); -#14944=CYLINDRICAL_SURFACE('',#14943,3.E-1); -#14945=ORIENTED_EDGE('',*,*,#14822,.T.); -#14946=ORIENTED_EDGE('',*,*,#14796,.T.); -#14948=ORIENTED_EDGE('',*,*,#14947,.F.); -#14950=ORIENTED_EDGE('',*,*,#14949,.F.); -#14951=EDGE_LOOP('',(#14945,#14946,#14948,#14950)); -#14952=FACE_OUTER_BOUND('',#14951,.F.); -#14954=CARTESIAN_POINT('',(8.30967915E1,5.00372005E1,6.117247845449E-1)); -#14955=DIRECTION('',(0.E0,1.E0,0.E0)); -#14956=DIRECTION('',(1.E0,0.E0,0.E0)); -#14957=AXIS2_PLACEMENT_3D('',#14954,#14955,#14956); -#14958=PLANE('',#14957); -#14959=ORIENTED_EDGE('',*,*,#14947,.T.); -#14960=ORIENTED_EDGE('',*,*,#14781,.T.); -#14961=ORIENTED_EDGE('',*,*,#14740,.T.); -#14963=ORIENTED_EDGE('',*,*,#14962,.F.); -#14964=EDGE_LOOP('',(#14959,#14960,#14961,#14963)); -#14965=FACE_OUTER_BOUND('',#14964,.F.); -#14967=CARTESIAN_POINT('',(8.37967915E1,5.10872005E1,6.117247845449E-1)); -#14968=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14969=DIRECTION('',(0.E0,-1.E0,0.E0)); -#14970=AXIS2_PLACEMENT_3D('',#14967,#14968,#14969); -#14971=CYLINDRICAL_SURFACE('',#14970,1.05E0); -#14973=ORIENTED_EDGE('',*,*,#14972,.T.); -#14974=ORIENTED_EDGE('',*,*,#14962,.T.); -#14975=ORIENTED_EDGE('',*,*,#14738,.T.); -#14977=ORIENTED_EDGE('',*,*,#14976,.F.); -#14978=EDGE_LOOP('',(#14973,#14974,#14975,#14977)); -#14979=FACE_OUTER_BOUND('',#14978,.F.); -#14981=CARTESIAN_POINT('',(8.37967915E1,5.10872005E1,9.117247845449E-1)); -#14982=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14983=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); -#14984=AXIS2_PLACEMENT_3D('',#14981,#14982,#14983); -#14985=TOROIDAL_SURFACE('',#14984,7.5E-1,3.E-1); -#14986=ORIENTED_EDGE('',*,*,#14824,.T.); -#14987=ORIENTED_EDGE('',*,*,#14949,.T.); -#14988=ORIENTED_EDGE('',*,*,#14972,.F.); -#14990=ORIENTED_EDGE('',*,*,#14989,.F.); -#14991=EDGE_LOOP('',(#14986,#14987,#14988,#14990)); -#14992=FACE_OUTER_BOUND('',#14991,.F.); -#14994=CARTESIAN_POINT('',(8.294876512773E1,5.18372005E1,9.117247845449E-1)); -#14995=DIRECTION('',(1.E0,0.E0,0.E0)); -#14996=DIRECTION('',(0.E0,0.E0,-1.E0)); -#14997=AXIS2_PLACEMENT_3D('',#14994,#14995,#14996); -#14998=CYLINDRICAL_SURFACE('',#14997,3.E-1); -#14999=ORIENTED_EDGE('',*,*,#14826,.T.); -#15000=ORIENTED_EDGE('',*,*,#14989,.T.); -#15002=ORIENTED_EDGE('',*,*,#15001,.F.); -#15003=ORIENTED_EDGE('',*,*,#14793,.F.); -#15004=EDGE_LOOP('',(#14999,#15000,#15002,#15003)); -#15005=FACE_OUTER_BOUND('',#15004,.F.); -#15007=CARTESIAN_POINT('',(8.37967915E1,5.21372005E1,6.117247845449E-1)); -#15008=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15009=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15010=AXIS2_PLACEMENT_3D('',#15007,#15008,#15009); -#15011=PLANE('',#15010); -#15012=ORIENTED_EDGE('',*,*,#15001,.T.); -#15013=ORIENTED_EDGE('',*,*,#14976,.T.); -#15014=ORIENTED_EDGE('',*,*,#14736,.T.); -#15015=ORIENTED_EDGE('',*,*,#14778,.F.); -#15016=EDGE_LOOP('',(#15012,#15013,#15014,#15015)); -#15017=FACE_OUTER_BOUND('',#15016,.F.); -#15019=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,3.111724784545E0)); -#15020=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15021=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15022=AXIS2_PLACEMENT_3D('',#15019,#15020,#15021); -#15023=PLANE('',#15022); -#15024=ORIENTED_EDGE('',*,*,#14726,.T.); -#15025=ORIENTED_EDGE('',*,*,#14715,.F.); -#15026=ORIENTED_EDGE('',*,*,#13154,.F.); -#15028=ORIENTED_EDGE('',*,*,#15027,.T.); -#15029=EDGE_LOOP('',(#15024,#15025,#15026,#15028)); -#15030=FACE_OUTER_BOUND('',#15029,.F.); -#15032=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,3.111724784545E0)); -#15033=DIRECTION('',(1.E0,0.E0,0.E0)); -#15034=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15035=AXIS2_PLACEMENT_3D('',#15032,#15033,#15034); -#15036=PLANE('',#15035); -#15037=ORIENTED_EDGE('',*,*,#14728,.T.); -#15038=ORIENTED_EDGE('',*,*,#15027,.F.); -#15039=ORIENTED_EDGE('',*,*,#13152,.F.); -#15041=ORIENTED_EDGE('',*,*,#15040,.T.); -#15042=EDGE_LOOP('',(#15037,#15038,#15039,#15041)); -#15043=FACE_OUTER_BOUND('',#15042,.F.); -#15045=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,3.111724784545E0)); -#15046=DIRECTION('',(0.E0,1.E0,0.E0)); -#15047=DIRECTION('',(1.E0,0.E0,0.E0)); -#15048=AXIS2_PLACEMENT_3D('',#15045,#15046,#15047); -#15049=PLANE('',#15048); -#15050=ORIENTED_EDGE('',*,*,#14730,.T.); -#15051=ORIENTED_EDGE('',*,*,#15040,.F.); -#15052=ORIENTED_EDGE('',*,*,#13150,.F.); -#15053=ORIENTED_EDGE('',*,*,#14712,.T.); -#15054=EDGE_LOOP('',(#15050,#15051,#15052,#15053)); -#15055=FACE_OUTER_BOUND('',#15054,.F.); -#15057=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,3.111724784545E0)); -#15058=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15059=DIRECTION('',(0.E0,1.E0,0.E0)); -#15060=AXIS2_PLACEMENT_3D('',#15057,#15058,#15059); -#15061=PLANE('',#15060); -#15062=ORIENTED_EDGE('',*,*,#14750,.T.); -#15064=ORIENTED_EDGE('',*,*,#15063,.F.); -#15065=ORIENTED_EDGE('',*,*,#13158,.F.); -#15067=ORIENTED_EDGE('',*,*,#15066,.T.); -#15068=EDGE_LOOP('',(#15062,#15064,#15065,#15067)); -#15069=FACE_OUTER_BOUND('',#15068,.F.); -#15071=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,3.111724784545E0)); -#15072=DIRECTION('',(0.E0,1.E0,0.E0)); -#15073=DIRECTION('',(1.E0,0.E0,0.E0)); -#15074=AXIS2_PLACEMENT_3D('',#15071,#15072,#15073); -#15075=PLANE('',#15074); -#15076=ORIENTED_EDGE('',*,*,#14756,.T.); -#15078=ORIENTED_EDGE('',*,*,#15077,.F.); -#15079=ORIENTED_EDGE('',*,*,#13160,.F.); -#15080=ORIENTED_EDGE('',*,*,#15063,.T.); -#15081=EDGE_LOOP('',(#15076,#15078,#15079,#15080)); -#15082=FACE_OUTER_BOUND('',#15081,.F.); -#15084=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,3.111724784545E0)); -#15085=DIRECTION('',(1.E0,0.E0,0.E0)); -#15086=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15087=AXIS2_PLACEMENT_3D('',#15084,#15085,#15086); -#15088=PLANE('',#15087); -#15089=ORIENTED_EDGE('',*,*,#14754,.T.); -#15091=ORIENTED_EDGE('',*,*,#15090,.F.); -#15092=ORIENTED_EDGE('',*,*,#13162,.F.); -#15093=ORIENTED_EDGE('',*,*,#15077,.T.); -#15094=EDGE_LOOP('',(#15089,#15091,#15092,#15093)); -#15095=FACE_OUTER_BOUND('',#15094,.F.); -#15097=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,3.111724784545E0)); -#15098=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15099=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15100=AXIS2_PLACEMENT_3D('',#15097,#15098,#15099); -#15101=PLANE('',#15100); -#15102=ORIENTED_EDGE('',*,*,#14752,.T.); -#15103=ORIENTED_EDGE('',*,*,#15066,.F.); -#15104=ORIENTED_EDGE('',*,*,#13164,.F.); -#15105=ORIENTED_EDGE('',*,*,#15090,.T.); -#15106=EDGE_LOOP('',(#15102,#15103,#15104,#15105)); -#15107=FACE_OUTER_BOUND('',#15106,.F.); -#15109=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.311724784545E0)); -#15110=DIRECTION('',(0.E0,1.E0,0.E0)); -#15111=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15112=AXIS2_PLACEMENT_3D('',#15109,#15110,#15111); -#15113=PLANE('',#15112); -#15114=ORIENTED_EDGE('',*,*,#11556,.F.); -#15116=ORIENTED_EDGE('',*,*,#15115,.T.); -#15118=ORIENTED_EDGE('',*,*,#15117,.T.); -#15120=ORIENTED_EDGE('',*,*,#15119,.F.); -#15121=EDGE_LOOP('',(#15114,#15116,#15118,#15120)); -#15122=FACE_OUTER_BOUND('',#15121,.F.); -#15124=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.311724784545E0)); -#15125=DIRECTION('',(1.E0,0.E0,0.E0)); -#15126=DIRECTION('',(0.E0,1.E0,0.E0)); -#15127=AXIS2_PLACEMENT_3D('',#15124,#15125,#15126); -#15128=PLANE('',#15127); -#15129=ORIENTED_EDGE('',*,*,#11594,.F.); -#15131=ORIENTED_EDGE('',*,*,#15130,.T.); -#15133=ORIENTED_EDGE('',*,*,#15132,.T.); -#15134=ORIENTED_EDGE('',*,*,#15115,.F.); -#15135=EDGE_LOOP('',(#15129,#15131,#15133,#15134)); -#15136=FACE_OUTER_BOUND('',#15135,.F.); -#15138=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,4.311724784545E0)); -#15139=DIRECTION('',(0.E0,1.E0,0.E0)); -#15140=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15141=AXIS2_PLACEMENT_3D('',#15138,#15139,#15140); -#15142=PLANE('',#15141); -#15144=ORIENTED_EDGE('',*,*,#15143,.T.); -#15146=ORIENTED_EDGE('',*,*,#15145,.F.); -#15147=ORIENTED_EDGE('',*,*,#11576,.F.); -#15149=ORIENTED_EDGE('',*,*,#15148,.T.); -#15151=ORIENTED_EDGE('',*,*,#15150,.T.); -#15153=ORIENTED_EDGE('',*,*,#15152,.F.); -#15154=ORIENTED_EDGE('',*,*,#11568,.F.); -#15156=ORIENTED_EDGE('',*,*,#15155,.T.); -#15158=ORIENTED_EDGE('',*,*,#15157,.T.); -#15160=ORIENTED_EDGE('',*,*,#15159,.F.); -#15161=ORIENTED_EDGE('',*,*,#11560,.F.); -#15163=ORIENTED_EDGE('',*,*,#15162,.T.); -#15165=ORIENTED_EDGE('',*,*,#15164,.T.); -#15166=ORIENTED_EDGE('',*,*,#15130,.F.); -#15167=ORIENTED_EDGE('',*,*,#11592,.F.); -#15169=ORIENTED_EDGE('',*,*,#15168,.T.); -#15171=ORIENTED_EDGE('',*,*,#15170,.T.); -#15173=ORIENTED_EDGE('',*,*,#15172,.F.); -#15174=ORIENTED_EDGE('',*,*,#11584,.F.); -#15176=ORIENTED_EDGE('',*,*,#15175,.T.); -#15177=EDGE_LOOP('',(#15144,#15146,#15147,#15149,#15151,#15153,#15154,#15156, -#15158,#15160,#15161,#15163,#15165,#15166,#15167,#15169,#15171,#15173,#15174, -#15176)); -#15178=FACE_OUTER_BOUND('',#15177,.F.); -#15180=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#15181=DIRECTION('',(0.E0,0.E0,1.E0)); -#15182=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15183=AXIS2_PLACEMENT_3D('',#15180,#15181,#15182); -#15184=PLANE('',#15183); -#15185=ORIENTED_EDGE('',*,*,#15143,.F.); -#15187=ORIENTED_EDGE('',*,*,#15186,.F.); -#15189=ORIENTED_EDGE('',*,*,#15188,.F.); -#15191=ORIENTED_EDGE('',*,*,#15190,.F.); -#15192=EDGE_LOOP('',(#15185,#15187,#15189,#15191)); -#15193=FACE_OUTER_BOUND('',#15192,.F.); -#15195=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#15196=DIRECTION('',(0.E0,0.E0,1.E0)); -#15197=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15198=AXIS2_PLACEMENT_3D('',#15195,#15196,#15197); -#15199=PLANE('',#15198); -#15200=ORIENTED_EDGE('',*,*,#15150,.F.); -#15202=ORIENTED_EDGE('',*,*,#15201,.F.); -#15204=ORIENTED_EDGE('',*,*,#15203,.F.); -#15206=ORIENTED_EDGE('',*,*,#15205,.F.); -#15207=EDGE_LOOP('',(#15200,#15202,#15204,#15206)); -#15208=FACE_OUTER_BOUND('',#15207,.F.); -#15210=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#15211=DIRECTION('',(0.E0,0.E0,1.E0)); -#15212=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15213=AXIS2_PLACEMENT_3D('',#15210,#15211,#15212); -#15214=PLANE('',#15213); -#15215=ORIENTED_EDGE('',*,*,#15157,.F.); -#15217=ORIENTED_EDGE('',*,*,#15216,.F.); -#15219=ORIENTED_EDGE('',*,*,#15218,.F.); -#15221=ORIENTED_EDGE('',*,*,#15220,.F.); -#15222=EDGE_LOOP('',(#15215,#15217,#15219,#15221)); -#15223=FACE_OUTER_BOUND('',#15222,.F.); -#15225=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#15226=DIRECTION('',(0.E0,0.E0,1.E0)); -#15227=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15228=AXIS2_PLACEMENT_3D('',#15225,#15226,#15227); -#15229=PLANE('',#15228); -#15230=ORIENTED_EDGE('',*,*,#15164,.F.); -#15232=ORIENTED_EDGE('',*,*,#15231,.F.); -#15233=ORIENTED_EDGE('',*,*,#15117,.F.); -#15234=ORIENTED_EDGE('',*,*,#15132,.F.); -#15235=EDGE_LOOP('',(#15230,#15232,#15233,#15234)); -#15236=FACE_OUTER_BOUND('',#15235,.F.); -#15238=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.311724784545E0)); -#15239=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15240=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15241=AXIS2_PLACEMENT_3D('',#15238,#15239,#15240); -#15242=PLANE('',#15241); -#15243=ORIENTED_EDGE('',*,*,#11582,.F.); -#15245=ORIENTED_EDGE('',*,*,#15244,.T.); -#15246=ORIENTED_EDGE('',*,*,#15186,.T.); -#15247=ORIENTED_EDGE('',*,*,#15175,.F.); -#15248=EDGE_LOOP('',(#15243,#15245,#15246,#15247)); -#15249=FACE_OUTER_BOUND('',#15248,.F.); -#15251=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.311724784545E0)); -#15252=DIRECTION('',(0.E0,1.E0,0.E0)); -#15253=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15254=AXIS2_PLACEMENT_3D('',#15251,#15252,#15253); -#15255=PLANE('',#15254); -#15256=ORIENTED_EDGE('',*,*,#11580,.F.); -#15258=ORIENTED_EDGE('',*,*,#15257,.T.); -#15259=ORIENTED_EDGE('',*,*,#15188,.T.); -#15260=ORIENTED_EDGE('',*,*,#15244,.F.); -#15261=EDGE_LOOP('',(#15256,#15258,#15259,#15260)); -#15262=FACE_OUTER_BOUND('',#15261,.F.); -#15264=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.311724784545E0)); -#15265=DIRECTION('',(1.E0,0.E0,0.E0)); -#15266=DIRECTION('',(0.E0,1.E0,0.E0)); -#15267=AXIS2_PLACEMENT_3D('',#15264,#15265,#15266); -#15268=PLANE('',#15267); -#15269=ORIENTED_EDGE('',*,*,#11578,.F.); -#15270=ORIENTED_EDGE('',*,*,#15145,.T.); -#15271=ORIENTED_EDGE('',*,*,#15190,.T.); -#15272=ORIENTED_EDGE('',*,*,#15257,.F.); -#15273=EDGE_LOOP('',(#15269,#15270,#15271,#15272)); -#15274=FACE_OUTER_BOUND('',#15273,.F.); -#15276=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.311724784545E0)); -#15277=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15278=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15279=AXIS2_PLACEMENT_3D('',#15276,#15277,#15278); -#15280=PLANE('',#15279); -#15281=ORIENTED_EDGE('',*,*,#11574,.F.); -#15283=ORIENTED_EDGE('',*,*,#15282,.T.); -#15284=ORIENTED_EDGE('',*,*,#15201,.T.); -#15285=ORIENTED_EDGE('',*,*,#15148,.F.); -#15286=EDGE_LOOP('',(#15281,#15283,#15284,#15285)); -#15287=FACE_OUTER_BOUND('',#15286,.F.); -#15289=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.311724784545E0)); -#15290=DIRECTION('',(0.E0,1.E0,0.E0)); -#15291=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15292=AXIS2_PLACEMENT_3D('',#15289,#15290,#15291); -#15293=PLANE('',#15292); -#15294=ORIENTED_EDGE('',*,*,#11572,.F.); -#15296=ORIENTED_EDGE('',*,*,#15295,.T.); -#15297=ORIENTED_EDGE('',*,*,#15203,.T.); -#15298=ORIENTED_EDGE('',*,*,#15282,.F.); -#15299=EDGE_LOOP('',(#15294,#15296,#15297,#15298)); -#15300=FACE_OUTER_BOUND('',#15299,.F.); -#15302=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.311724784545E0)); -#15303=DIRECTION('',(1.E0,0.E0,0.E0)); -#15304=DIRECTION('',(0.E0,1.E0,0.E0)); -#15305=AXIS2_PLACEMENT_3D('',#15302,#15303,#15304); -#15306=PLANE('',#15305); -#15307=ORIENTED_EDGE('',*,*,#11570,.F.); -#15308=ORIENTED_EDGE('',*,*,#15152,.T.); -#15309=ORIENTED_EDGE('',*,*,#15205,.T.); -#15310=ORIENTED_EDGE('',*,*,#15295,.F.); -#15311=EDGE_LOOP('',(#15307,#15308,#15309,#15310)); -#15312=FACE_OUTER_BOUND('',#15311,.F.); -#15314=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.311724784545E0)); -#15315=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15316=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15317=AXIS2_PLACEMENT_3D('',#15314,#15315,#15316); -#15318=PLANE('',#15317); -#15319=ORIENTED_EDGE('',*,*,#11566,.F.); -#15321=ORIENTED_EDGE('',*,*,#15320,.T.); -#15322=ORIENTED_EDGE('',*,*,#15216,.T.); -#15323=ORIENTED_EDGE('',*,*,#15155,.F.); -#15324=EDGE_LOOP('',(#15319,#15321,#15322,#15323)); -#15325=FACE_OUTER_BOUND('',#15324,.F.); -#15327=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.311724784545E0)); -#15328=DIRECTION('',(0.E0,1.E0,0.E0)); -#15329=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15330=AXIS2_PLACEMENT_3D('',#15327,#15328,#15329); -#15331=PLANE('',#15330); -#15332=ORIENTED_EDGE('',*,*,#11564,.F.); -#15334=ORIENTED_EDGE('',*,*,#15333,.T.); -#15335=ORIENTED_EDGE('',*,*,#15218,.T.); -#15336=ORIENTED_EDGE('',*,*,#15320,.F.); -#15337=EDGE_LOOP('',(#15332,#15334,#15335,#15336)); -#15338=FACE_OUTER_BOUND('',#15337,.F.); -#15340=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.311724784545E0)); -#15341=DIRECTION('',(1.E0,0.E0,0.E0)); -#15342=DIRECTION('',(0.E0,1.E0,0.E0)); -#15343=AXIS2_PLACEMENT_3D('',#15340,#15341,#15342); -#15344=PLANE('',#15343); -#15345=ORIENTED_EDGE('',*,*,#11562,.F.); -#15346=ORIENTED_EDGE('',*,*,#15159,.T.); -#15347=ORIENTED_EDGE('',*,*,#15220,.T.); -#15348=ORIENTED_EDGE('',*,*,#15333,.F.); -#15349=EDGE_LOOP('',(#15345,#15346,#15347,#15348)); -#15350=FACE_OUTER_BOUND('',#15349,.F.); -#15352=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.311724784545E0)); -#15353=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15354=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15355=AXIS2_PLACEMENT_3D('',#15352,#15353,#15354); -#15356=PLANE('',#15355); -#15357=ORIENTED_EDGE('',*,*,#11558,.F.); -#15358=ORIENTED_EDGE('',*,*,#15119,.T.); -#15359=ORIENTED_EDGE('',*,*,#15231,.T.); -#15360=ORIENTED_EDGE('',*,*,#15162,.F.); -#15361=EDGE_LOOP('',(#15357,#15358,#15359,#15360)); -#15362=FACE_OUTER_BOUND('',#15361,.F.); -#15364=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,4.311724784545E0)); -#15365=DIRECTION('',(1.E0,0.E0,0.E0)); -#15366=DIRECTION('',(0.E0,1.E0,0.E0)); -#15367=AXIS2_PLACEMENT_3D('',#15364,#15365,#15366); -#15368=PLANE('',#15367); -#15369=ORIENTED_EDGE('',*,*,#11590,.F.); -#15371=ORIENTED_EDGE('',*,*,#15370,.T.); -#15373=ORIENTED_EDGE('',*,*,#15372,.T.); -#15374=ORIENTED_EDGE('',*,*,#15168,.F.); -#15375=EDGE_LOOP('',(#15369,#15371,#15373,#15374)); -#15376=FACE_OUTER_BOUND('',#15375,.F.); -#15378=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,4.311724784545E0)); -#15379=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15380=DIRECTION('',(1.E0,0.E0,0.E0)); -#15381=AXIS2_PLACEMENT_3D('',#15378,#15379,#15380); -#15382=PLANE('',#15381); -#15383=ORIENTED_EDGE('',*,*,#11588,.F.); -#15385=ORIENTED_EDGE('',*,*,#15384,.T.); -#15387=ORIENTED_EDGE('',*,*,#15386,.T.); -#15388=ORIENTED_EDGE('',*,*,#15370,.F.); -#15389=EDGE_LOOP('',(#15383,#15385,#15387,#15388)); -#15390=FACE_OUTER_BOUND('',#15389,.F.); -#15392=ORIENTED_EDGE('',*,*,#15391,.T.); -#15394=ORIENTED_EDGE('',*,*,#15393,.T.); -#15396=ORIENTED_EDGE('',*,*,#15395,.T.); -#15398=ORIENTED_EDGE('',*,*,#15397,.T.); -#15400=ORIENTED_EDGE('',*,*,#15399,.T.); -#15402=ORIENTED_EDGE('',*,*,#15401,.T.); -#15404=ORIENTED_EDGE('',*,*,#15403,.T.); -#15406=ORIENTED_EDGE('',*,*,#15405,.T.); -#15408=ORIENTED_EDGE('',*,*,#15407,.T.); -#15410=ORIENTED_EDGE('',*,*,#15409,.T.); -#15412=ORIENTED_EDGE('',*,*,#15411,.T.); -#15414=ORIENTED_EDGE('',*,*,#15413,.T.); -#15415=EDGE_LOOP('',(#15392,#15394,#15396,#15398,#15400,#15402,#15404,#15406, -#15408,#15410,#15412,#15414)); -#15416=FACE_BOUND('',#15415,.F.); -#15418=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,4.311724784545E0)); -#15419=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15420=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15421=AXIS2_PLACEMENT_3D('',#15418,#15419,#15420); -#15422=PLANE('',#15421); -#15423=ORIENTED_EDGE('',*,*,#11586,.F.); -#15424=ORIENTED_EDGE('',*,*,#15172,.T.); -#15426=ORIENTED_EDGE('',*,*,#15425,.T.); -#15427=ORIENTED_EDGE('',*,*,#15384,.F.); -#15428=EDGE_LOOP('',(#15423,#15424,#15426,#15427)); -#15429=FACE_OUTER_BOUND('',#15428,.F.); -#15431=CARTESIAN_POINT('',(0.E0,0.E0,7.111724784545E0)); -#15432=DIRECTION('',(0.E0,0.E0,1.E0)); -#15433=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15434=AXIS2_PLACEMENT_3D('',#15431,#15432,#15433); -#15435=PLANE('',#15434); -#15436=ORIENTED_EDGE('',*,*,#15170,.F.); -#15437=ORIENTED_EDGE('',*,*,#15372,.F.); -#15438=ORIENTED_EDGE('',*,*,#15386,.F.); -#15439=ORIENTED_EDGE('',*,*,#15425,.F.); -#15440=EDGE_LOOP('',(#15436,#15437,#15438,#15439)); -#15441=FACE_OUTER_BOUND('',#15440,.F.); -#15443=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,6.311724784545E0)); -#15444=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15445=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15446=AXIS2_PLACEMENT_3D('',#15443,#15444,#15445); -#15447=PLANE('',#15446); -#15448=ORIENTED_EDGE('',*,*,#15391,.F.); -#15450=ORIENTED_EDGE('',*,*,#15449,.F.); -#15452=ORIENTED_EDGE('',*,*,#15451,.T.); -#15454=ORIENTED_EDGE('',*,*,#15453,.T.); -#15455=EDGE_LOOP('',(#15448,#15450,#15452,#15454)); -#15456=FACE_OUTER_BOUND('',#15455,.F.); -#15458=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,6.311724784545E0)); -#15459=DIRECTION('',(0.E0,0.E0,1.E0)); -#15460=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15461=AXIS2_PLACEMENT_3D('',#15458,#15459,#15460); -#15462=PLANE('',#15461); -#15463=ORIENTED_EDGE('',*,*,#15405,.F.); -#15465=ORIENTED_EDGE('',*,*,#15464,.F.); -#15467=ORIENTED_EDGE('',*,*,#15466,.T.); -#15469=ORIENTED_EDGE('',*,*,#15468,.T.); -#15470=EDGE_LOOP('',(#15463,#15465,#15467,#15469)); -#15471=FACE_OUTER_BOUND('',#15470,.F.); -#15473=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,6.311724784545E0)); -#15474=DIRECTION('',(0.E0,0.E0,1.E0)); -#15475=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15476=AXIS2_PLACEMENT_3D('',#15473,#15474,#15475); -#15477=PLANE('',#15476); -#15478=ORIENTED_EDGE('',*,*,#15413,.F.); -#15480=ORIENTED_EDGE('',*,*,#15479,.F.); -#15482=ORIENTED_EDGE('',*,*,#15481,.T.); -#15483=ORIENTED_EDGE('',*,*,#15449,.T.); -#15484=EDGE_LOOP('',(#15478,#15480,#15482,#15483)); -#15485=FACE_OUTER_BOUND('',#15484,.F.); -#15487=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,5.811724784545E0)); -#15488=DIRECTION('',(1.E0,0.E0,0.E0)); -#15489=DIRECTION('',(0.E0,0.E0,1.E0)); -#15490=AXIS2_PLACEMENT_3D('',#15487,#15488,#15489); -#15491=PLANE('',#15490); -#15492=ORIENTED_EDGE('',*,*,#15403,.F.); -#15494=ORIENTED_EDGE('',*,*,#15493,.F.); -#15496=ORIENTED_EDGE('',*,*,#15495,.T.); -#15497=ORIENTED_EDGE('',*,*,#15464,.T.); -#15498=EDGE_LOOP('',(#15492,#15494,#15496,#15497)); -#15499=FACE_OUTER_BOUND('',#15498,.F.); -#15501=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,5.811724784545E0)); -#15502=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15503=DIRECTION('',(1.E0,0.E0,0.E0)); -#15504=AXIS2_PLACEMENT_3D('',#15501,#15502,#15503); -#15505=PLANE('',#15504); -#15506=ORIENTED_EDGE('',*,*,#15393,.F.); -#15507=ORIENTED_EDGE('',*,*,#15453,.F.); -#15509=ORIENTED_EDGE('',*,*,#15508,.T.); -#15511=ORIENTED_EDGE('',*,*,#15510,.T.); -#15512=EDGE_LOOP('',(#15506,#15507,#15509,#15511)); -#15513=FACE_OUTER_BOUND('',#15512,.F.); -#15515=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,5.811724784545E0)); -#15516=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15517=DIRECTION('',(1.E0,0.E0,0.E0)); -#15518=AXIS2_PLACEMENT_3D('',#15515,#15516,#15517); -#15519=PLANE('',#15518); -#15520=ORIENTED_EDGE('',*,*,#15401,.F.); -#15522=ORIENTED_EDGE('',*,*,#15521,.F.); -#15524=ORIENTED_EDGE('',*,*,#15523,.T.); -#15525=ORIENTED_EDGE('',*,*,#15493,.T.); -#15526=EDGE_LOOP('',(#15520,#15522,#15524,#15525)); -#15527=FACE_OUTER_BOUND('',#15526,.F.); -#15529=CARTESIAN_POINT('',(0.E0,5.2490809E1,7.111724784545E0)); -#15530=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15531=DIRECTION('',(1.E0,0.E0,0.E0)); -#15532=AXIS2_PLACEMENT_3D('',#15529,#15530,#15531); -#15533=PLANE('',#15532); -#15535=ORIENTED_EDGE('',*,*,#15534,.F.); -#15536=ORIENTED_EDGE('',*,*,#15466,.F.); -#15537=ORIENTED_EDGE('',*,*,#15495,.F.); -#15538=ORIENTED_EDGE('',*,*,#15523,.F.); -#15540=ORIENTED_EDGE('',*,*,#15539,.F.); -#15542=ORIENTED_EDGE('',*,*,#15541,.F.); -#15544=ORIENTED_EDGE('',*,*,#15543,.F.); -#15545=ORIENTED_EDGE('',*,*,#15508,.F.); -#15546=ORIENTED_EDGE('',*,*,#15451,.F.); -#15547=ORIENTED_EDGE('',*,*,#15481,.F.); -#15549=ORIENTED_EDGE('',*,*,#15548,.F.); -#15551=ORIENTED_EDGE('',*,*,#15550,.F.); -#15552=EDGE_LOOP('',(#15535,#15536,#15537,#15538,#15540,#15542,#15544,#15545, -#15546,#15547,#15549,#15551)); -#15553=FACE_OUTER_BOUND('',#15552,.F.); -#15555=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,4.861724784545E0)); -#15556=DIRECTION('',(1.E0,0.E0,0.E0)); -#15557=DIRECTION('',(0.E0,0.E0,1.E0)); -#15558=AXIS2_PLACEMENT_3D('',#15555,#15556,#15557); -#15559=PLANE('',#15558); -#15560=ORIENTED_EDGE('',*,*,#15521,.T.); -#15561=ORIENTED_EDGE('',*,*,#15399,.F.); -#15563=ORIENTED_EDGE('',*,*,#15562,.T.); -#15564=ORIENTED_EDGE('',*,*,#15539,.T.); -#15565=EDGE_LOOP('',(#15560,#15561,#15563,#15564)); -#15566=FACE_OUTER_BOUND('',#15565,.F.); -#15568=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,4.861724784545E0)); -#15569=DIRECTION('',(1.E0,0.E0,0.E0)); -#15570=DIRECTION('',(0.E0,0.E0,1.E0)); -#15571=AXIS2_PLACEMENT_3D('',#15568,#15569,#15570); -#15572=PLANE('',#15571); -#15573=ORIENTED_EDGE('',*,*,#15468,.F.); -#15574=ORIENTED_EDGE('',*,*,#15534,.T.); -#15576=ORIENTED_EDGE('',*,*,#15575,.F.); -#15577=ORIENTED_EDGE('',*,*,#15407,.F.); -#15578=EDGE_LOOP('',(#15573,#15574,#15576,#15577)); -#15579=FACE_OUTER_BOUND('',#15578,.F.); -#15581=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,4.861724784545E0)); -#15582=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15583=DIRECTION('',(1.E0,0.E0,0.E0)); -#15584=AXIS2_PLACEMENT_3D('',#15581,#15582,#15583); -#15585=PLANE('',#15584); -#15586=ORIENTED_EDGE('',*,*,#15397,.F.); -#15588=ORIENTED_EDGE('',*,*,#15587,.T.); -#15589=ORIENTED_EDGE('',*,*,#15541,.T.); -#15590=ORIENTED_EDGE('',*,*,#15562,.F.); -#15591=EDGE_LOOP('',(#15586,#15588,#15589,#15590)); -#15592=FACE_OUTER_BOUND('',#15591,.F.); -#15594=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.711724784545E0)); -#15595=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15596=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15597=AXIS2_PLACEMENT_3D('',#15594,#15595,#15596); -#15598=PLANE('',#15597); -#15599=ORIENTED_EDGE('',*,*,#15510,.F.); -#15600=ORIENTED_EDGE('',*,*,#15543,.T.); -#15601=ORIENTED_EDGE('',*,*,#15587,.F.); -#15602=ORIENTED_EDGE('',*,*,#15395,.F.); -#15603=EDGE_LOOP('',(#15599,#15600,#15601,#15602)); -#15604=FACE_OUTER_BOUND('',#15603,.F.); -#15606=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.711724784545E0)); -#15607=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15608=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15609=AXIS2_PLACEMENT_3D('',#15606,#15607,#15608); -#15610=PLANE('',#15609); -#15611=ORIENTED_EDGE('',*,*,#15479,.T.); -#15612=ORIENTED_EDGE('',*,*,#15411,.F.); -#15614=ORIENTED_EDGE('',*,*,#15613,.T.); -#15615=ORIENTED_EDGE('',*,*,#15548,.T.); -#15616=EDGE_LOOP('',(#15611,#15612,#15614,#15615)); -#15617=FACE_OUTER_BOUND('',#15616,.F.); -#15619=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.711724784545E0)); -#15620=DIRECTION('',(0.E0,0.E0,1.E0)); -#15621=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15622=AXIS2_PLACEMENT_3D('',#15619,#15620,#15621); -#15623=PLANE('',#15622); -#15624=ORIENTED_EDGE('',*,*,#15409,.F.); -#15625=ORIENTED_EDGE('',*,*,#15575,.T.); -#15626=ORIENTED_EDGE('',*,*,#15550,.T.); -#15627=ORIENTED_EDGE('',*,*,#15613,.F.); -#15628=EDGE_LOOP('',(#15624,#15625,#15626,#15627)); -#15629=FACE_OUTER_BOUND('',#15628,.F.); -#15631=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.311724784545E0)); -#15632=DIRECTION('',(1.E0,0.E0,0.E0)); -#15633=DIRECTION('',(0.E0,1.E0,0.E0)); -#15634=AXIS2_PLACEMENT_3D('',#15631,#15632,#15633); -#15635=PLANE('',#15634); -#15636=ORIENTED_EDGE('',*,*,#11598,.F.); -#15638=ORIENTED_EDGE('',*,*,#15637,.T.); -#15640=ORIENTED_EDGE('',*,*,#15639,.T.); -#15642=ORIENTED_EDGE('',*,*,#15641,.F.); -#15643=EDGE_LOOP('',(#15636,#15638,#15640,#15642)); -#15644=FACE_OUTER_BOUND('',#15643,.F.); -#15646=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.311724784545E0)); -#15647=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15648=DIRECTION('',(1.E0,0.E0,0.E0)); -#15649=AXIS2_PLACEMENT_3D('',#15646,#15647,#15648); -#15650=PLANE('',#15649); -#15651=ORIENTED_EDGE('',*,*,#11632,.F.); -#15653=ORIENTED_EDGE('',*,*,#15652,.T.); -#15655=ORIENTED_EDGE('',*,*,#15654,.T.); -#15656=ORIENTED_EDGE('',*,*,#15637,.F.); -#15657=EDGE_LOOP('',(#15651,#15653,#15655,#15656)); -#15658=FACE_OUTER_BOUND('',#15657,.F.); -#15660=CARTESIAN_POINT('',(9.2933192E1,5.0584798E1,4.311724784545E0)); -#15661=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15662=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15663=AXIS2_PLACEMENT_3D('',#15660,#15661,#15662); -#15664=PLANE('',#15663); -#15665=ORIENTED_EDGE('',*,*,#11630,.F.); -#15667=ORIENTED_EDGE('',*,*,#15666,.T.); -#15669=ORIENTED_EDGE('',*,*,#15668,.T.); -#15670=ORIENTED_EDGE('',*,*,#15652,.F.); -#15671=EDGE_LOOP('',(#15665,#15667,#15669,#15670)); -#15672=FACE_OUTER_BOUND('',#15671,.F.); -#15674=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,4.311724784545E0)); -#15675=DIRECTION('',(7.812727858092E-3,-9.999694801760E-1,0.E0)); -#15676=DIRECTION('',(9.999694801760E-1,7.812727858092E-3,0.E0)); -#15677=AXIS2_PLACEMENT_3D('',#15674,#15675,#15676); -#15678=PLANE('',#15677); -#15680=ORIENTED_EDGE('',*,*,#15679,.T.); -#15682=ORIENTED_EDGE('',*,*,#15681,.F.); -#15684=ORIENTED_EDGE('',*,*,#15683,.T.); -#15686=ORIENTED_EDGE('',*,*,#15685,.T.); -#15688=ORIENTED_EDGE('',*,*,#15687,.T.); -#15690=ORIENTED_EDGE('',*,*,#15689,.F.); -#15691=ORIENTED_EDGE('',*,*,#11600,.F.); -#15692=ORIENTED_EDGE('',*,*,#15641,.T.); -#15694=ORIENTED_EDGE('',*,*,#15693,.F.); -#15695=ORIENTED_EDGE('',*,*,#15666,.F.); -#15696=ORIENTED_EDGE('',*,*,#11628,.F.); -#15698=ORIENTED_EDGE('',*,*,#15697,.T.); -#15700=ORIENTED_EDGE('',*,*,#15699,.F.); -#15702=ORIENTED_EDGE('',*,*,#15701,.F.); -#15703=ORIENTED_EDGE('',*,*,#11620,.F.); -#15705=ORIENTED_EDGE('',*,*,#15704,.T.); -#15706=EDGE_LOOP('',(#15680,#15682,#15684,#15686,#15688,#15690,#15691,#15692, -#15694,#15695,#15696,#15698,#15700,#15702,#15703,#15705)); -#15707=FACE_OUTER_BOUND('',#15706,.F.); -#15709=CARTESIAN_POINT('',(0.E0,0.E0,7.111724784545E0)); -#15710=DIRECTION('',(0.E0,0.E0,1.E0)); -#15711=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15712=AXIS2_PLACEMENT_3D('',#15709,#15710,#15711); -#15713=PLANE('',#15712); -#15715=ORIENTED_EDGE('',*,*,#15714,.F.); -#15717=ORIENTED_EDGE('',*,*,#15716,.F.); -#15718=ORIENTED_EDGE('',*,*,#15679,.F.); -#15720=ORIENTED_EDGE('',*,*,#15719,.F.); -#15722=ORIENTED_EDGE('',*,*,#15721,.F.); -#15723=EDGE_LOOP('',(#15715,#15717,#15718,#15720,#15722)); -#15724=FACE_OUTER_BOUND('',#15723,.F.); -#15726=CARTESIAN_POINT('',(0.E0,0.E0,7.111724784545E0)); -#15727=DIRECTION('',(0.E0,0.E0,1.E0)); -#15728=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15729=AXIS2_PLACEMENT_3D('',#15726,#15727,#15728); -#15730=PLANE('',#15729); -#15731=ORIENTED_EDGE('',*,*,#15687,.F.); -#15733=ORIENTED_EDGE('',*,*,#15732,.F.); -#15735=ORIENTED_EDGE('',*,*,#15734,.F.); -#15737=ORIENTED_EDGE('',*,*,#15736,.F.); -#15739=ORIENTED_EDGE('',*,*,#15738,.F.); -#15740=EDGE_LOOP('',(#15731,#15733,#15735,#15737,#15739)); -#15741=FACE_OUTER_BOUND('',#15740,.F.); -#15743=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,4.311724784545E0)); -#15744=DIRECTION('',(-2.639156219341E-13,1.E0,0.E0)); -#15745=DIRECTION('',(-1.E0,-2.639156219341E-13,0.E0)); -#15746=AXIS2_PLACEMENT_3D('',#15743,#15744,#15745); -#15747=PLANE('',#15746); -#15748=ORIENTED_EDGE('',*,*,#15714,.T.); -#15750=ORIENTED_EDGE('',*,*,#15749,.F.); -#15751=ORIENTED_EDGE('',*,*,#11614,.F.); -#15753=ORIENTED_EDGE('',*,*,#15752,.T.); -#15754=EDGE_LOOP('',(#15748,#15750,#15751,#15753)); -#15755=FACE_OUTER_BOUND('',#15754,.F.); -#15757=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,4.311724784545E0)); -#15758=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15759=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15760=AXIS2_PLACEMENT_3D('',#15757,#15758,#15759); -#15761=PLANE('',#15760); -#15762=ORIENTED_EDGE('',*,*,#15721,.T.); -#15764=ORIENTED_EDGE('',*,*,#15763,.F.); -#15765=ORIENTED_EDGE('',*,*,#11616,.F.); -#15766=ORIENTED_EDGE('',*,*,#15749,.T.); -#15767=EDGE_LOOP('',(#15762,#15764,#15765,#15766)); -#15768=FACE_OUTER_BOUND('',#15767,.F.); -#15770=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,4.311724784545E0)); -#15771=DIRECTION('',(-7.071067811865E-1,-7.071067811865E-1,0.E0)); -#15772=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); -#15773=AXIS2_PLACEMENT_3D('',#15770,#15771,#15772); -#15774=PLANE('',#15773); -#15775=ORIENTED_EDGE('',*,*,#15719,.T.); -#15776=ORIENTED_EDGE('',*,*,#15704,.F.); -#15777=ORIENTED_EDGE('',*,*,#11618,.F.); -#15778=ORIENTED_EDGE('',*,*,#15763,.T.); -#15779=EDGE_LOOP('',(#15775,#15776,#15777,#15778)); -#15780=FACE_OUTER_BOUND('',#15779,.F.); -#15782=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,4.311724784545E0)); -#15783=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15784=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15785=AXIS2_PLACEMENT_3D('',#15782,#15783,#15784); -#15786=PLANE('',#15785); -#15788=ORIENTED_EDGE('',*,*,#15787,.T.); -#15790=ORIENTED_EDGE('',*,*,#15789,.F.); -#15792=ORIENTED_EDGE('',*,*,#15791,.T.); -#15793=ORIENTED_EDGE('',*,*,#15681,.T.); -#15794=ORIENTED_EDGE('',*,*,#15716,.T.); -#15795=ORIENTED_EDGE('',*,*,#15752,.F.); -#15796=ORIENTED_EDGE('',*,*,#11612,.F.); -#15798=ORIENTED_EDGE('',*,*,#15797,.T.); -#15799=EDGE_LOOP('',(#15788,#15790,#15792,#15793,#15794,#15795,#15796,#15798)); -#15800=FACE_OUTER_BOUND('',#15799,.F.); -#15802=CARTESIAN_POINT('',(0.E0,0.E0,7.411724784545E0)); -#15803=DIRECTION('',(0.E0,0.E0,1.E0)); -#15804=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15805=AXIS2_PLACEMENT_3D('',#15802,#15803,#15804); -#15806=PLANE('',#15805); -#15808=ORIENTED_EDGE('',*,*,#15807,.F.); -#15810=ORIENTED_EDGE('',*,*,#15809,.F.); -#15812=ORIENTED_EDGE('',*,*,#15811,.T.); -#15814=ORIENTED_EDGE('',*,*,#15813,.F.); -#15815=EDGE_LOOP('',(#15808,#15810,#15812,#15814)); -#15816=FACE_OUTER_BOUND('',#15815,.F.); -#15818=CARTESIAN_POINT('',(0.E0,0.E0,7.411724784545E0)); -#15819=DIRECTION('',(0.E0,0.E0,1.E0)); -#15820=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15821=AXIS2_PLACEMENT_3D('',#15818,#15819,#15820); -#15822=PLANE('',#15821); -#15824=ORIENTED_EDGE('',*,*,#15823,.T.); -#15826=ORIENTED_EDGE('',*,*,#15825,.F.); -#15827=ORIENTED_EDGE('',*,*,#15787,.F.); -#15829=ORIENTED_EDGE('',*,*,#15828,.F.); -#15830=EDGE_LOOP('',(#15824,#15826,#15827,#15829)); -#15831=FACE_OUTER_BOUND('',#15830,.F.); -#15833=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,7.411724784545E0)); -#15834=DIRECTION('',(1.E0,0.E0,0.E0)); -#15835=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15836=AXIS2_PLACEMENT_3D('',#15833,#15834,#15835); -#15837=PLANE('',#15836); -#15839=ORIENTED_EDGE('',*,*,#15838,.F.); -#15840=ORIENTED_EDGE('',*,*,#15807,.T.); -#15842=ORIENTED_EDGE('',*,*,#15841,.T.); -#15844=ORIENTED_EDGE('',*,*,#15843,.T.); -#15846=ORIENTED_EDGE('',*,*,#15845,.F.); -#15847=EDGE_LOOP('',(#15839,#15840,#15842,#15844,#15846)); -#15848=FACE_OUTER_BOUND('',#15847,.F.); -#15850=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,4.311724784545E0)); -#15851=DIRECTION('',(0.E0,1.E0,0.E0)); -#15852=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15853=AXIS2_PLACEMENT_3D('',#15850,#15851,#15852); -#15854=PLANE('',#15853); -#15855=ORIENTED_EDGE('',*,*,#15838,.T.); -#15857=ORIENTED_EDGE('',*,*,#15856,.T.); -#15859=ORIENTED_EDGE('',*,*,#15858,.F.); -#15860=ORIENTED_EDGE('',*,*,#15828,.T.); -#15861=ORIENTED_EDGE('',*,*,#15797,.F.); -#15862=ORIENTED_EDGE('',*,*,#11610,.F.); -#15864=ORIENTED_EDGE('',*,*,#15863,.T.); -#15865=ORIENTED_EDGE('',*,*,#15809,.T.); -#15866=EDGE_LOOP('',(#15855,#15857,#15859,#15860,#15861,#15862,#15864,#15865)); -#15867=FACE_OUTER_BOUND('',#15866,.F.); -#15869=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,4.711724784545E0)); -#15870=DIRECTION('',(0.E0,0.E0,-1.E0)); -#15871=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15872=AXIS2_PLACEMENT_3D('',#15869,#15870,#15871); -#15873=PLANE('',#15872); -#15874=ORIENTED_EDGE('',*,*,#15856,.F.); -#15875=ORIENTED_EDGE('',*,*,#15845,.T.); -#15877=ORIENTED_EDGE('',*,*,#15876,.T.); -#15879=ORIENTED_EDGE('',*,*,#15878,.F.); -#15880=EDGE_LOOP('',(#15874,#15875,#15877,#15879)); -#15881=FACE_OUTER_BOUND('',#15880,.F.); -#15883=CARTESIAN_POINT('',(0.E0,5.1534799E1,7.811724784545E0)); -#15884=DIRECTION('',(0.E0,1.E0,0.E0)); -#15885=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15886=AXIS2_PLACEMENT_3D('',#15883,#15884,#15885); -#15887=PLANE('',#15886); -#15888=ORIENTED_EDGE('',*,*,#15843,.F.); -#15890=ORIENTED_EDGE('',*,*,#15889,.F.); -#15892=ORIENTED_EDGE('',*,*,#15891,.F.); -#15893=ORIENTED_EDGE('',*,*,#15876,.F.); -#15894=EDGE_LOOP('',(#15888,#15890,#15892,#15893)); -#15895=FACE_OUTER_BOUND('',#15894,.F.); -#15897=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,7.411724784545E0)); -#15898=DIRECTION('',(0.E0,0.E0,1.E0)); -#15899=DIRECTION('',(1.E0,0.E0,0.E0)); -#15900=AXIS2_PLACEMENT_3D('',#15897,#15898,#15899); -#15901=PLANE('',#15900); -#15903=ORIENTED_EDGE('',*,*,#15902,.F.); -#15905=ORIENTED_EDGE('',*,*,#15904,.T.); -#15906=ORIENTED_EDGE('',*,*,#15889,.T.); -#15907=ORIENTED_EDGE('',*,*,#15841,.F.); -#15908=EDGE_LOOP('',(#15903,#15905,#15906,#15907)); -#15909=FACE_OUTER_BOUND('',#15908,.F.); -#15911=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.811724784545E0)); -#15912=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15913=DIRECTION('',(1.E0,0.E0,0.E0)); -#15914=AXIS2_PLACEMENT_3D('',#15911,#15912,#15913); -#15915=PLANE('',#15914); -#15916=ORIENTED_EDGE('',*,*,#15902,.T.); -#15917=ORIENTED_EDGE('',*,*,#15813,.T.); -#15919=ORIENTED_EDGE('',*,*,#15918,.F.); -#15921=ORIENTED_EDGE('',*,*,#15920,.F.); -#15922=ORIENTED_EDGE('',*,*,#15789,.T.); -#15923=ORIENTED_EDGE('',*,*,#15825,.T.); -#15924=EDGE_LOOP('',(#15916,#15917,#15919,#15921,#15922,#15923)); -#15925=FACE_OUTER_BOUND('',#15924,.F.); -#15927=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,4.311724784545E0)); -#15928=DIRECTION('',(1.E0,0.E0,0.E0)); -#15929=DIRECTION('',(0.E0,1.E0,0.E0)); -#15930=AXIS2_PLACEMENT_3D('',#15927,#15928,#15929); -#15931=PLANE('',#15930); -#15932=ORIENTED_EDGE('',*,*,#15811,.F.); -#15933=ORIENTED_EDGE('',*,*,#15863,.F.); -#15934=ORIENTED_EDGE('',*,*,#11608,.F.); -#15936=ORIENTED_EDGE('',*,*,#15935,.T.); -#15937=ORIENTED_EDGE('',*,*,#15732,.T.); -#15938=ORIENTED_EDGE('',*,*,#15685,.F.); -#15940=ORIENTED_EDGE('',*,*,#15939,.T.); -#15941=ORIENTED_EDGE('',*,*,#15918,.T.); -#15942=EDGE_LOOP('',(#15932,#15933,#15934,#15936,#15937,#15938,#15940,#15941)); -#15943=FACE_OUTER_BOUND('',#15942,.F.); -#15945=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,4.311724784545E0)); -#15946=DIRECTION('',(0.E0,1.E0,0.E0)); -#15947=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15948=AXIS2_PLACEMENT_3D('',#15945,#15946,#15947); -#15949=PLANE('',#15948); -#15950=ORIENTED_EDGE('',*,*,#15734,.T.); -#15951=ORIENTED_EDGE('',*,*,#15935,.F.); -#15952=ORIENTED_EDGE('',*,*,#11606,.F.); -#15954=ORIENTED_EDGE('',*,*,#15953,.T.); -#15955=EDGE_LOOP('',(#15950,#15951,#15952,#15954)); -#15956=FACE_OUTER_BOUND('',#15955,.F.); -#15958=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,4.311724784545E0)); -#15959=DIRECTION('',(9.999999999994E-1,1.088139673763E-6,0.E0)); -#15960=DIRECTION('',(-1.088139673763E-6,9.999999999994E-1,0.E0)); -#15961=AXIS2_PLACEMENT_3D('',#15958,#15959,#15960); -#15962=PLANE('',#15961); -#15963=ORIENTED_EDGE('',*,*,#15736,.T.); -#15964=ORIENTED_EDGE('',*,*,#15953,.F.); -#15965=ORIENTED_EDGE('',*,*,#11604,.F.); -#15967=ORIENTED_EDGE('',*,*,#15966,.T.); -#15968=EDGE_LOOP('',(#15963,#15964,#15965,#15967)); -#15969=FACE_OUTER_BOUND('',#15968,.F.); -#15971=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,4.311724784545E0)); -#15972=DIRECTION('',(7.071118319384E-1,-7.071017303986E-1,0.E0)); -#15973=DIRECTION('',(7.071017303986E-1,7.071118319384E-1,0.E0)); -#15974=AXIS2_PLACEMENT_3D('',#15971,#15972,#15973); -#15975=PLANE('',#15974); -#15976=ORIENTED_EDGE('',*,*,#15738,.T.); -#15977=ORIENTED_EDGE('',*,*,#15966,.F.); -#15978=ORIENTED_EDGE('',*,*,#11602,.F.); -#15979=ORIENTED_EDGE('',*,*,#15689,.T.); -#15980=EDGE_LOOP('',(#15976,#15977,#15978,#15979)); -#15981=FACE_OUTER_BOUND('',#15980,.F.); -#15983=CARTESIAN_POINT('',(0.E0,0.E0,7.811724784545E0)); -#15984=DIRECTION('',(0.E0,0.E0,1.E0)); -#15985=DIRECTION('',(0.E0,-1.E0,0.E0)); -#15986=AXIS2_PLACEMENT_3D('',#15983,#15984,#15985); -#15987=PLANE('',#15986); -#15988=ORIENTED_EDGE('',*,*,#15920,.T.); -#15989=ORIENTED_EDGE('',*,*,#15939,.F.); -#15990=ORIENTED_EDGE('',*,*,#15683,.F.); -#15991=ORIENTED_EDGE('',*,*,#15791,.F.); -#15992=EDGE_LOOP('',(#15988,#15989,#15990,#15991)); -#15993=FACE_OUTER_BOUND('',#15992,.F.); -#15995=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,4.711724784545E0)); -#15996=DIRECTION('',(-1.E0,0.E0,0.E0)); -#15997=DIRECTION('',(0.E0,0.E0,1.E0)); -#15998=AXIS2_PLACEMENT_3D('',#15995,#15996,#15997); -#15999=PLANE('',#15998); -#16000=ORIENTED_EDGE('',*,*,#15858,.T.); -#16001=ORIENTED_EDGE('',*,*,#15878,.T.); -#16002=ORIENTED_EDGE('',*,*,#15891,.T.); -#16003=ORIENTED_EDGE('',*,*,#15904,.F.); -#16004=ORIENTED_EDGE('',*,*,#15823,.F.); -#16005=EDGE_LOOP('',(#16000,#16001,#16002,#16003,#16004)); -#16006=FACE_OUTER_BOUND('',#16005,.F.); -#16008=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16009=DIRECTION('',(0.E0,0.E0,1.E0)); -#16010=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16011=AXIS2_PLACEMENT_3D('',#16008,#16009,#16010); -#16012=PLANE('',#16011); -#16013=ORIENTED_EDGE('',*,*,#15699,.T.); -#16015=ORIENTED_EDGE('',*,*,#16014,.F.); -#16017=ORIENTED_EDGE('',*,*,#16016,.F.); -#16019=ORIENTED_EDGE('',*,*,#16018,.F.); -#16020=EDGE_LOOP('',(#16013,#16015,#16017,#16019)); -#16021=FACE_OUTER_BOUND('',#16020,.F.); -#16023=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16024=DIRECTION('',(0.E0,0.E0,1.E0)); -#16025=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16026=AXIS2_PLACEMENT_3D('',#16023,#16024,#16025); -#16027=PLANE('',#16026); -#16028=ORIENTED_EDGE('',*,*,#15693,.T.); -#16029=ORIENTED_EDGE('',*,*,#15639,.F.); -#16030=ORIENTED_EDGE('',*,*,#15654,.F.); -#16031=ORIENTED_EDGE('',*,*,#15668,.F.); -#16032=EDGE_LOOP('',(#16028,#16029,#16030,#16031)); -#16033=FACE_OUTER_BOUND('',#16032,.F.); -#16035=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.311724784545E0)); -#16036=DIRECTION('',(1.E0,0.E0,0.E0)); -#16037=DIRECTION('',(0.E0,1.E0,0.E0)); -#16038=AXIS2_PLACEMENT_3D('',#16035,#16036,#16037); -#16039=PLANE('',#16038); -#16040=ORIENTED_EDGE('',*,*,#11626,.F.); -#16042=ORIENTED_EDGE('',*,*,#16041,.T.); -#16043=ORIENTED_EDGE('',*,*,#16014,.T.); -#16044=ORIENTED_EDGE('',*,*,#15697,.F.); -#16045=EDGE_LOOP('',(#16040,#16042,#16043,#16044)); -#16046=FACE_OUTER_BOUND('',#16045,.F.); -#16048=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.311724784545E0)); -#16049=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16050=DIRECTION('',(1.E0,0.E0,0.E0)); -#16051=AXIS2_PLACEMENT_3D('',#16048,#16049,#16050); -#16052=PLANE('',#16051); -#16053=ORIENTED_EDGE('',*,*,#11624,.F.); -#16055=ORIENTED_EDGE('',*,*,#16054,.T.); -#16056=ORIENTED_EDGE('',*,*,#16016,.T.); -#16057=ORIENTED_EDGE('',*,*,#16041,.F.); -#16058=EDGE_LOOP('',(#16053,#16055,#16056,#16057)); -#16059=FACE_OUTER_BOUND('',#16058,.F.); -#16061=CARTESIAN_POINT('',(9.1663195E1,5.0584798E1,4.311724784545E0)); -#16062=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16063=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16064=AXIS2_PLACEMENT_3D('',#16061,#16062,#16063); -#16065=PLANE('',#16064); -#16066=ORIENTED_EDGE('',*,*,#11622,.F.); -#16067=ORIENTED_EDGE('',*,*,#15701,.T.); -#16068=ORIENTED_EDGE('',*,*,#16018,.T.); -#16069=ORIENTED_EDGE('',*,*,#16054,.F.); -#16070=EDGE_LOOP('',(#16066,#16067,#16068,#16069)); -#16071=FACE_OUTER_BOUND('',#16070,.F.); -#16073=CARTESIAN_POINT('',(1.046527925E2,6.1730402E1,4.311724784545E0)); -#16074=DIRECTION('',(0.E0,0.E0,1.E0)); -#16075=DIRECTION('',(1.E0,0.E0,0.E0)); -#16076=AXIS2_PLACEMENT_3D('',#16073,#16074,#16075); -#16077=CYLINDRICAL_SURFACE('',#16076,6.01599E-1); -#16078=ORIENTED_EDGE('',*,*,#11636,.T.); -#16080=ORIENTED_EDGE('',*,*,#16079,.T.); -#16082=ORIENTED_EDGE('',*,*,#16081,.T.); -#16084=ORIENTED_EDGE('',*,*,#16083,.F.); -#16085=EDGE_LOOP('',(#16078,#16080,#16082,#16084)); -#16086=FACE_OUTER_BOUND('',#16085,.F.); -#16088=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,4.311724784545E0)); -#16089=DIRECTION('',(9.999999999999E-1,4.347829856701E-7,0.E0)); -#16090=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); -#16091=AXIS2_PLACEMENT_3D('',#16088,#16089,#16090); -#16092=PLANE('',#16091); -#16094=ORIENTED_EDGE('',*,*,#16093,.F.); -#16096=ORIENTED_EDGE('',*,*,#16095,.T.); -#16098=ORIENTED_EDGE('',*,*,#16097,.T.); -#16100=ORIENTED_EDGE('',*,*,#16099,.F.); -#16102=ORIENTED_EDGE('',*,*,#16101,.F.); -#16103=ORIENTED_EDGE('',*,*,#16079,.F.); -#16104=ORIENTED_EDGE('',*,*,#11654,.F.); -#16106=ORIENTED_EDGE('',*,*,#16105,.T.); -#16107=EDGE_LOOP('',(#16094,#16096,#16098,#16100,#16102,#16103,#16104,#16106)); -#16108=FACE_OUTER_BOUND('',#16107,.F.); -#16110=ORIENTED_EDGE('',*,*,#16109,.T.); -#16112=ORIENTED_EDGE('',*,*,#16111,.T.); -#16114=ORIENTED_EDGE('',*,*,#16113,.T.); -#16116=ORIENTED_EDGE('',*,*,#16115,.T.); -#16117=EDGE_LOOP('',(#16110,#16112,#16114,#16116)); -#16118=FACE_BOUND('',#16117,.F.); -#16120=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,5.711724784545E0)); -#16121=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); -#16122=DIRECTION('',(0.E0,0.E0,-1.E0)); -#16123=AXIS2_PLACEMENT_3D('',#16120,#16121,#16122); -#16124=PLANE('',#16123); -#16125=ORIENTED_EDGE('',*,*,#16109,.F.); -#16127=ORIENTED_EDGE('',*,*,#16126,.T.); -#16129=ORIENTED_EDGE('',*,*,#16128,.T.); -#16131=ORIENTED_EDGE('',*,*,#16130,.F.); -#16132=EDGE_LOOP('',(#16125,#16127,#16129,#16131)); -#16133=FACE_OUTER_BOUND('',#16132,.F.); -#16135=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,5.711724784545E0)); -#16136=DIRECTION('',(0.E0,0.E0,1.E0)); -#16137=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); -#16138=AXIS2_PLACEMENT_3D('',#16135,#16136,#16137); -#16139=PLANE('',#16138); -#16140=ORIENTED_EDGE('',*,*,#16115,.F.); -#16142=ORIENTED_EDGE('',*,*,#16141,.T.); -#16144=ORIENTED_EDGE('',*,*,#16143,.T.); -#16145=ORIENTED_EDGE('',*,*,#16126,.F.); -#16146=EDGE_LOOP('',(#16140,#16142,#16144,#16145)); -#16147=FACE_OUTER_BOUND('',#16146,.F.); -#16149=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,4.911724784545E0)); -#16150=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); -#16151=DIRECTION('',(0.E0,0.E0,1.E0)); -#16152=AXIS2_PLACEMENT_3D('',#16149,#16150,#16151); -#16153=PLANE('',#16152); -#16154=ORIENTED_EDGE('',*,*,#16113,.F.); -#16156=ORIENTED_EDGE('',*,*,#16155,.T.); -#16158=ORIENTED_EDGE('',*,*,#16157,.T.); -#16159=ORIENTED_EDGE('',*,*,#16141,.F.); -#16160=EDGE_LOOP('',(#16154,#16156,#16158,#16159)); -#16161=FACE_OUTER_BOUND('',#16160,.F.); -#16163=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,4.911724784545E0)); -#16164=DIRECTION('',(0.E0,0.E0,-1.E0)); -#16165=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); -#16166=AXIS2_PLACEMENT_3D('',#16163,#16164,#16165); -#16167=PLANE('',#16166); -#16168=ORIENTED_EDGE('',*,*,#16111,.F.); -#16169=ORIENTED_EDGE('',*,*,#16130,.T.); -#16171=ORIENTED_EDGE('',*,*,#16170,.T.); -#16172=ORIENTED_EDGE('',*,*,#16155,.F.); -#16173=EDGE_LOOP('',(#16168,#16169,#16171,#16172)); -#16174=FACE_OUTER_BOUND('',#16173,.F.); -#16176=CARTESIAN_POINT('',(1.060728186871E2,4.611865680622E-5, -6.111724784545E0)); -#16177=DIRECTION('',(9.999999999999E-1,4.347829856701E-7,0.E0)); -#16178=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); -#16179=AXIS2_PLACEMENT_3D('',#16176,#16177,#16178); -#16180=PLANE('',#16179); -#16181=ORIENTED_EDGE('',*,*,#16128,.F.); -#16182=ORIENTED_EDGE('',*,*,#16143,.F.); -#16183=ORIENTED_EDGE('',*,*,#16157,.F.); -#16184=ORIENTED_EDGE('',*,*,#16170,.F.); -#16185=EDGE_LOOP('',(#16181,#16182,#16183,#16184)); -#16186=FACE_OUTER_BOUND('',#16185,.F.); -#16188=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16189=DIRECTION('',(0.E0,0.E0,1.E0)); -#16190=DIRECTION('',(1.E0,0.E0,0.E0)); -#16191=AXIS2_PLACEMENT_3D('',#16188,#16189,#16190); -#16192=PLANE('',#16191); -#16194=ORIENTED_EDGE('',*,*,#16193,.T.); -#16195=ORIENTED_EDGE('',*,*,#16093,.T.); -#16197=ORIENTED_EDGE('',*,*,#16196,.F.); -#16198=EDGE_LOOP('',(#16194,#16195,#16197)); -#16199=FACE_OUTER_BOUND('',#16198,.F.); -#16201=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16202=DIRECTION('',(0.E0,0.E0,1.E0)); -#16203=DIRECTION('',(1.E0,0.E0,0.E0)); -#16204=AXIS2_PLACEMENT_3D('',#16201,#16202,#16203); -#16205=PLANE('',#16204); -#16206=ORIENTED_EDGE('',*,*,#16101,.T.); -#16208=ORIENTED_EDGE('',*,*,#16207,.T.); -#16209=ORIENTED_EDGE('',*,*,#16081,.F.); -#16210=EDGE_LOOP('',(#16206,#16208,#16209)); -#16211=FACE_OUTER_BOUND('',#16210,.F.); -#16213=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16214=DIRECTION('',(0.E0,0.E0,1.E0)); -#16215=DIRECTION('',(1.E0,0.E0,0.E0)); -#16216=AXIS2_PLACEMENT_3D('',#16213,#16214,#16215); -#16217=PLANE('',#16216); -#16219=ORIENTED_EDGE('',*,*,#16218,.T.); -#16221=ORIENTED_EDGE('',*,*,#16220,.F.); -#16222=EDGE_LOOP('',(#16219,#16221)); -#16223=FACE_OUTER_BOUND('',#16222,.F.); -#16225=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16226=DIRECTION('',(0.E0,0.E0,1.E0)); -#16227=DIRECTION('',(1.E0,0.E0,0.E0)); -#16228=AXIS2_PLACEMENT_3D('',#16225,#16226,#16227); -#16229=PLANE('',#16228); -#16231=ORIENTED_EDGE('',*,*,#16230,.T.); -#16233=ORIENTED_EDGE('',*,*,#16232,.F.); -#16234=EDGE_LOOP('',(#16231,#16233)); -#16235=FACE_OUTER_BOUND('',#16234,.F.); -#16237=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,4.311724784545E0)); -#16238=DIRECTION('',(4.347829844941E-7,-9.999999999999E-1,0.E0)); -#16239=DIRECTION('',(9.999999999999E-1,4.347829844941E-7,0.E0)); -#16240=AXIS2_PLACEMENT_3D('',#16237,#16238,#16239); -#16241=PLANE('',#16240); -#16242=ORIENTED_EDGE('',*,*,#16193,.F.); -#16244=ORIENTED_EDGE('',*,*,#16243,.F.); -#16245=ORIENTED_EDGE('',*,*,#11650,.F.); -#16247=ORIENTED_EDGE('',*,*,#16246,.T.); -#16249=ORIENTED_EDGE('',*,*,#16248,.T.); -#16250=ORIENTED_EDGE('',*,*,#16095,.F.); -#16251=EDGE_LOOP('',(#16242,#16244,#16245,#16247,#16249,#16250)); -#16252=FACE_OUTER_BOUND('',#16251,.F.); -#16254=CARTESIAN_POINT('',(1.046527925E2,5.6430398E1,4.311724784545E0)); -#16255=DIRECTION('',(0.E0,0.E0,1.E0)); -#16256=DIRECTION('',(1.E0,0.E0,0.E0)); -#16257=AXIS2_PLACEMENT_3D('',#16254,#16255,#16256); -#16258=CYLINDRICAL_SURFACE('',#16257,6.01599E-1); -#16259=ORIENTED_EDGE('',*,*,#11652,.T.); -#16260=ORIENTED_EDGE('',*,*,#16243,.T.); -#16261=ORIENTED_EDGE('',*,*,#16196,.T.); -#16262=ORIENTED_EDGE('',*,*,#16105,.F.); -#16263=EDGE_LOOP('',(#16259,#16260,#16261,#16262)); -#16264=FACE_OUTER_BOUND('',#16263,.F.); -#16266=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,4.311724784545E0)); -#16267=DIRECTION('',(-9.999999999999E-1,-4.347829856701E-7,0.E0)); -#16268=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); -#16269=AXIS2_PLACEMENT_3D('',#16266,#16267,#16268); -#16270=PLANE('',#16269); -#16271=ORIENTED_EDGE('',*,*,#16218,.F.); -#16273=ORIENTED_EDGE('',*,*,#16272,.F.); -#16274=ORIENTED_EDGE('',*,*,#11640,.F.); -#16276=ORIENTED_EDGE('',*,*,#16275,.T.); -#16278=ORIENTED_EDGE('',*,*,#16277,.T.); -#16279=ORIENTED_EDGE('',*,*,#16246,.F.); -#16280=ORIENTED_EDGE('',*,*,#11648,.F.); -#16282=ORIENTED_EDGE('',*,*,#16281,.T.); -#16283=ORIENTED_EDGE('',*,*,#16230,.F.); -#16285=ORIENTED_EDGE('',*,*,#16284,.F.); -#16286=ORIENTED_EDGE('',*,*,#11644,.F.); -#16288=ORIENTED_EDGE('',*,*,#16287,.T.); -#16289=EDGE_LOOP('',(#16271,#16273,#16274,#16276,#16278,#16279,#16280,#16282, -#16283,#16285,#16286,#16288)); -#16290=FACE_OUTER_BOUND('',#16289,.F.); -#16292=CARTESIAN_POINT('',(1.024027875E2,6.0780397E1,4.311724784545E0)); -#16293=DIRECTION('',(0.E0,0.E0,1.E0)); -#16294=DIRECTION('',(1.E0,0.E0,0.E0)); -#16295=AXIS2_PLACEMENT_3D('',#16292,#16293,#16294); -#16296=CYLINDRICAL_SURFACE('',#16295,5.516E-1); -#16297=ORIENTED_EDGE('',*,*,#16220,.T.); -#16298=ORIENTED_EDGE('',*,*,#16287,.F.); -#16299=ORIENTED_EDGE('',*,*,#11642,.T.); -#16300=ORIENTED_EDGE('',*,*,#16272,.T.); -#16301=EDGE_LOOP('',(#16297,#16298,#16299,#16300)); -#16302=FACE_OUTER_BOUND('',#16301,.F.); -#16304=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,4.311724784545E0)); -#16305=DIRECTION('',(-4.347829844941E-7,9.999999999999E-1,0.E0)); -#16306=DIRECTION('',(-9.999999999999E-1,-4.347829844941E-7,0.E0)); -#16307=AXIS2_PLACEMENT_3D('',#16304,#16305,#16306); -#16308=PLANE('',#16307); -#16309=ORIENTED_EDGE('',*,*,#16207,.F.); -#16310=ORIENTED_EDGE('',*,*,#16099,.T.); -#16312=ORIENTED_EDGE('',*,*,#16311,.T.); -#16313=ORIENTED_EDGE('',*,*,#16275,.F.); -#16314=ORIENTED_EDGE('',*,*,#11638,.F.); -#16315=ORIENTED_EDGE('',*,*,#16083,.T.); -#16316=EDGE_LOOP('',(#16309,#16310,#16312,#16313,#16314,#16315)); -#16317=FACE_OUTER_BOUND('',#16316,.F.); -#16319=CARTESIAN_POINT('',(0.E0,0.E0,6.111724784545E0)); -#16320=DIRECTION('',(0.E0,0.E0,1.E0)); -#16321=DIRECTION('',(0.E0,1.E0,0.E0)); -#16322=AXIS2_PLACEMENT_3D('',#16319,#16320,#16321); -#16323=PLANE('',#16322); -#16324=ORIENTED_EDGE('',*,*,#16248,.F.); -#16325=ORIENTED_EDGE('',*,*,#16277,.F.); -#16326=ORIENTED_EDGE('',*,*,#16311,.F.); -#16327=ORIENTED_EDGE('',*,*,#16097,.F.); -#16328=EDGE_LOOP('',(#16324,#16325,#16326,#16327)); -#16329=FACE_OUTER_BOUND('',#16328,.F.); -#16331=CARTESIAN_POINT('',(1.0240279E2,5.7380401E1,4.311724784545E0)); -#16332=DIRECTION('',(0.E0,0.E0,1.E0)); -#16333=DIRECTION('',(1.E0,0.E0,0.E0)); -#16334=AXIS2_PLACEMENT_3D('',#16331,#16332,#16333); -#16335=CYLINDRICAL_SURFACE('',#16334,5.516005E-1); -#16336=ORIENTED_EDGE('',*,*,#16232,.T.); -#16337=ORIENTED_EDGE('',*,*,#16281,.F.); -#16338=ORIENTED_EDGE('',*,*,#11646,.T.); -#16339=ORIENTED_EDGE('',*,*,#16284,.T.); -#16340=EDGE_LOOP('',(#16336,#16337,#16338,#16339)); -#16341=FACE_OUTER_BOUND('',#16340,.F.); -#16343=CARTESIAN_POINT('',(9.52246E1,7.69366E1,4.311724784545E0)); -#16344=DIRECTION('',(0.E0,1.E0,0.E0)); -#16345=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16346=AXIS2_PLACEMENT_3D('',#16343,#16344,#16345); -#16347=PLANE('',#16346); -#16348=ORIENTED_EDGE('',*,*,#11658,.F.); -#16350=ORIENTED_EDGE('',*,*,#16349,.T.); -#16352=ORIENTED_EDGE('',*,*,#16351,.T.); -#16354=ORIENTED_EDGE('',*,*,#16353,.F.); -#16355=EDGE_LOOP('',(#16348,#16350,#16352,#16354)); -#16356=FACE_OUTER_BOUND('',#16355,.F.); -#16358=CARTESIAN_POINT('',(9.52246E1,7.21106E1,4.311724784545E0)); -#16359=DIRECTION('',(1.E0,0.E0,0.E0)); -#16360=DIRECTION('',(0.E0,1.E0,0.E0)); -#16361=AXIS2_PLACEMENT_3D('',#16358,#16359,#16360); -#16362=PLANE('',#16361); -#16363=ORIENTED_EDGE('',*,*,#11664,.F.); -#16365=ORIENTED_EDGE('',*,*,#16364,.T.); -#16367=ORIENTED_EDGE('',*,*,#16366,.T.); -#16368=ORIENTED_EDGE('',*,*,#16349,.F.); -#16369=EDGE_LOOP('',(#16363,#16365,#16367,#16368)); -#16370=FACE_OUTER_BOUND('',#16369,.F.); -#16372=ORIENTED_EDGE('',*,*,#16371,.F.); -#16374=ORIENTED_EDGE('',*,*,#16373,.T.); -#16376=ORIENTED_EDGE('',*,*,#16375,.T.); -#16378=ORIENTED_EDGE('',*,*,#16377,.F.); -#16379=EDGE_LOOP('',(#16372,#16374,#16376,#16378)); -#16380=FACE_BOUND('',#16379,.F.); -#16382=ORIENTED_EDGE('',*,*,#16381,.F.); -#16384=ORIENTED_EDGE('',*,*,#16383,.T.); -#16386=ORIENTED_EDGE('',*,*,#16385,.T.); -#16388=ORIENTED_EDGE('',*,*,#16387,.F.); -#16389=EDGE_LOOP('',(#16382,#16384,#16386,#16388)); -#16390=FACE_BOUND('',#16389,.F.); -#16392=ORIENTED_EDGE('',*,*,#16391,.F.); -#16394=ORIENTED_EDGE('',*,*,#16393,.T.); -#16396=ORIENTED_EDGE('',*,*,#16395,.T.); -#16398=ORIENTED_EDGE('',*,*,#16397,.F.); -#16399=EDGE_LOOP('',(#16392,#16394,#16396,#16398)); -#16400=FACE_BOUND('',#16399,.F.); -#16402=ORIENTED_EDGE('',*,*,#16401,.F.); -#16404=ORIENTED_EDGE('',*,*,#16403,.T.); -#16406=ORIENTED_EDGE('',*,*,#16405,.T.); -#16408=ORIENTED_EDGE('',*,*,#16407,.F.); -#16409=EDGE_LOOP('',(#16402,#16404,#16406,#16408)); -#16410=FACE_BOUND('',#16409,.F.); -#16412=CARTESIAN_POINT('',(9.01446E1,7.21106E1,4.311724784545E0)); -#16413=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16414=DIRECTION('',(1.E0,0.E0,0.E0)); -#16415=AXIS2_PLACEMENT_3D('',#16412,#16413,#16414); -#16416=PLANE('',#16415); -#16417=ORIENTED_EDGE('',*,*,#11662,.F.); -#16419=ORIENTED_EDGE('',*,*,#16418,.T.); -#16421=ORIENTED_EDGE('',*,*,#16420,.T.); -#16422=ORIENTED_EDGE('',*,*,#16364,.F.); -#16423=EDGE_LOOP('',(#16417,#16419,#16421,#16422)); -#16424=FACE_OUTER_BOUND('',#16423,.F.); -#16426=CARTESIAN_POINT('',(9.01446E1,7.69366E1,4.311724784545E0)); -#16427=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16428=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16429=AXIS2_PLACEMENT_3D('',#16426,#16427,#16428); -#16430=PLANE('',#16429); -#16431=ORIENTED_EDGE('',*,*,#11660,.F.); -#16432=ORIENTED_EDGE('',*,*,#16353,.T.); -#16434=ORIENTED_EDGE('',*,*,#16433,.T.); -#16435=ORIENTED_EDGE('',*,*,#16418,.F.); -#16436=EDGE_LOOP('',(#16431,#16432,#16434,#16435)); -#16437=FACE_OUTER_BOUND('',#16436,.F.); -#16439=ORIENTED_EDGE('',*,*,#16438,.T.); -#16441=ORIENTED_EDGE('',*,*,#16440,.T.); -#16443=ORIENTED_EDGE('',*,*,#16442,.F.); -#16445=ORIENTED_EDGE('',*,*,#16444,.F.); -#16446=EDGE_LOOP('',(#16439,#16441,#16443,#16445)); -#16447=FACE_BOUND('',#16446,.F.); -#16449=ORIENTED_EDGE('',*,*,#16448,.T.); -#16451=ORIENTED_EDGE('',*,*,#16450,.T.); -#16453=ORIENTED_EDGE('',*,*,#16452,.F.); -#16455=ORIENTED_EDGE('',*,*,#16454,.F.); -#16456=EDGE_LOOP('',(#16449,#16451,#16453,#16455)); -#16457=FACE_BOUND('',#16456,.F.); -#16459=ORIENTED_EDGE('',*,*,#16458,.T.); -#16461=ORIENTED_EDGE('',*,*,#16460,.T.); -#16463=ORIENTED_EDGE('',*,*,#16462,.F.); -#16465=ORIENTED_EDGE('',*,*,#16464,.F.); -#16466=EDGE_LOOP('',(#16459,#16461,#16463,#16465)); -#16467=FACE_BOUND('',#16466,.F.); -#16469=ORIENTED_EDGE('',*,*,#16468,.T.); -#16471=ORIENTED_EDGE('',*,*,#16470,.T.); -#16473=ORIENTED_EDGE('',*,*,#16472,.F.); -#16475=ORIENTED_EDGE('',*,*,#16474,.F.); -#16476=EDGE_LOOP('',(#16469,#16471,#16473,#16475)); -#16477=FACE_BOUND('',#16476,.F.); -#16479=CARTESIAN_POINT('',(0.E0,0.E0,6.311724784545E0)); -#16480=DIRECTION('',(0.E0,0.E0,1.E0)); -#16481=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16482=AXIS2_PLACEMENT_3D('',#16479,#16480,#16481); -#16483=PLANE('',#16482); -#16484=ORIENTED_EDGE('',*,*,#16351,.F.); -#16485=ORIENTED_EDGE('',*,*,#16366,.F.); -#16486=ORIENTED_EDGE('',*,*,#16420,.F.); -#16487=ORIENTED_EDGE('',*,*,#16433,.F.); -#16488=EDGE_LOOP('',(#16484,#16485,#16486,#16487)); -#16489=FACE_OUTER_BOUND('',#16488,.F.); -#16491=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); -#16492=DIRECTION('',(0.E0,0.E0,1.E0)); -#16493=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16494=AXIS2_PLACEMENT_3D('',#16491,#16492,#16493); -#16495=PLANE('',#16494); -#16496=ORIENTED_EDGE('',*,*,#16438,.F.); -#16498=ORIENTED_EDGE('',*,*,#16497,.T.); -#16500=ORIENTED_EDGE('',*,*,#16499,.F.); -#16502=ORIENTED_EDGE('',*,*,#16501,.T.); -#16503=EDGE_LOOP('',(#16496,#16498,#16500,#16502)); -#16504=FACE_OUTER_BOUND('',#16503,.F.); -#16506=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); -#16507=DIRECTION('',(0.E0,0.E0,1.E0)); -#16508=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16509=AXIS2_PLACEMENT_3D('',#16506,#16507,#16508); -#16510=PLANE('',#16509); -#16511=ORIENTED_EDGE('',*,*,#16448,.F.); -#16513=ORIENTED_EDGE('',*,*,#16512,.T.); -#16515=ORIENTED_EDGE('',*,*,#16514,.F.); -#16517=ORIENTED_EDGE('',*,*,#16516,.T.); -#16518=EDGE_LOOP('',(#16511,#16513,#16515,#16517)); -#16519=FACE_OUTER_BOUND('',#16518,.F.); -#16521=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); -#16522=DIRECTION('',(0.E0,0.E0,1.E0)); -#16523=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16524=AXIS2_PLACEMENT_3D('',#16521,#16522,#16523); -#16525=PLANE('',#16524); -#16526=ORIENTED_EDGE('',*,*,#16458,.F.); -#16528=ORIENTED_EDGE('',*,*,#16527,.T.); -#16530=ORIENTED_EDGE('',*,*,#16529,.F.); -#16532=ORIENTED_EDGE('',*,*,#16531,.T.); -#16533=EDGE_LOOP('',(#16526,#16528,#16530,#16532)); -#16534=FACE_OUTER_BOUND('',#16533,.F.); -#16536=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); -#16537=DIRECTION('',(0.E0,0.E0,1.E0)); -#16538=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16539=AXIS2_PLACEMENT_3D('',#16536,#16537,#16538); -#16540=PLANE('',#16539); -#16541=ORIENTED_EDGE('',*,*,#16468,.F.); -#16543=ORIENTED_EDGE('',*,*,#16542,.T.); -#16545=ORIENTED_EDGE('',*,*,#16544,.F.); -#16547=ORIENTED_EDGE('',*,*,#16546,.T.); -#16548=EDGE_LOOP('',(#16541,#16543,#16545,#16547)); -#16549=FACE_OUTER_BOUND('',#16548,.F.); -#16551=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); -#16552=DIRECTION('',(0.E0,0.E0,1.E0)); -#16553=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16554=AXIS2_PLACEMENT_3D('',#16551,#16552,#16553); -#16555=PLANE('',#16554); -#16556=ORIENTED_EDGE('',*,*,#16371,.T.); -#16558=ORIENTED_EDGE('',*,*,#16557,.T.); -#16560=ORIENTED_EDGE('',*,*,#16559,.F.); -#16562=ORIENTED_EDGE('',*,*,#16561,.T.); -#16563=EDGE_LOOP('',(#16556,#16558,#16560,#16562)); -#16564=FACE_OUTER_BOUND('',#16563,.F.); -#16566=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); -#16567=DIRECTION('',(0.E0,0.E0,1.E0)); -#16568=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16569=AXIS2_PLACEMENT_3D('',#16566,#16567,#16568); -#16570=PLANE('',#16569); -#16571=ORIENTED_EDGE('',*,*,#16381,.T.); -#16573=ORIENTED_EDGE('',*,*,#16572,.T.); -#16575=ORIENTED_EDGE('',*,*,#16574,.F.); -#16577=ORIENTED_EDGE('',*,*,#16576,.T.); -#16578=EDGE_LOOP('',(#16571,#16573,#16575,#16577)); -#16579=FACE_OUTER_BOUND('',#16578,.F.); -#16581=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); -#16582=DIRECTION('',(0.E0,0.E0,1.E0)); -#16583=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16584=AXIS2_PLACEMENT_3D('',#16581,#16582,#16583); -#16585=PLANE('',#16584); -#16586=ORIENTED_EDGE('',*,*,#16391,.T.); -#16588=ORIENTED_EDGE('',*,*,#16587,.T.); -#16590=ORIENTED_EDGE('',*,*,#16589,.F.); -#16592=ORIENTED_EDGE('',*,*,#16591,.T.); -#16593=EDGE_LOOP('',(#16586,#16588,#16590,#16592)); -#16594=FACE_OUTER_BOUND('',#16593,.F.); -#16596=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); -#16597=DIRECTION('',(0.E0,0.E0,1.E0)); -#16598=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16599=AXIS2_PLACEMENT_3D('',#16596,#16597,#16598); -#16600=PLANE('',#16599); -#16601=ORIENTED_EDGE('',*,*,#16401,.T.); -#16603=ORIENTED_EDGE('',*,*,#16602,.T.); -#16605=ORIENTED_EDGE('',*,*,#16604,.F.); -#16607=ORIENTED_EDGE('',*,*,#16606,.T.); -#16608=EDGE_LOOP('',(#16601,#16603,#16605,#16607)); -#16609=FACE_OUTER_BOUND('',#16608,.F.); -#16611=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.311724784545E0)); -#16612=DIRECTION('',(0.E0,1.E0,0.E0)); -#16613=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16614=AXIS2_PLACEMENT_3D('',#16611,#16612,#16613); -#16615=PLANE('',#16614); -#16617=ORIENTED_EDGE('',*,*,#16616,.F.); -#16619=ORIENTED_EDGE('',*,*,#16618,.T.); -#16621=ORIENTED_EDGE('',*,*,#16620,.F.); -#16622=ORIENTED_EDGE('',*,*,#11672,.T.); -#16624=ORIENTED_EDGE('',*,*,#16623,.T.); -#16625=ORIENTED_EDGE('',*,*,#16497,.F.); -#16626=ORIENTED_EDGE('',*,*,#16444,.T.); -#16628=ORIENTED_EDGE('',*,*,#16627,.T.); -#16629=EDGE_LOOP('',(#16617,#16619,#16621,#16622,#16624,#16625,#16626,#16628)); -#16630=FACE_OUTER_BOUND('',#16629,.F.); -#16632=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.311724784545E0)); -#16633=DIRECTION('',(0.E0,1.E0,0.E0)); -#16634=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16635=AXIS2_PLACEMENT_3D('',#16632,#16633,#16634); -#16636=PLANE('',#16635); -#16638=ORIENTED_EDGE('',*,*,#16637,.T.); -#16640=ORIENTED_EDGE('',*,*,#16639,.T.); -#16641=ORIENTED_EDGE('',*,*,#16373,.F.); -#16642=ORIENTED_EDGE('',*,*,#16561,.F.); -#16644=ORIENTED_EDGE('',*,*,#16643,.F.); -#16645=ORIENTED_EDGE('',*,*,#11708,.T.); -#16647=ORIENTED_EDGE('',*,*,#16646,.T.); -#16649=ORIENTED_EDGE('',*,*,#16648,.T.); -#16650=EDGE_LOOP('',(#16638,#16640,#16641,#16642,#16644,#16645,#16647,#16649)); -#16651=FACE_OUTER_BOUND('',#16650,.F.); -#16653=CARTESIAN_POINT('',(8.92556E1,7.6835E1,4.311724784545E0)); -#16654=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16655=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16656=AXIS2_PLACEMENT_3D('',#16653,#16654,#16655); -#16657=PLANE('',#16656); -#16658=ORIENTED_EDGE('',*,*,#16616,.T.); -#16660=ORIENTED_EDGE('',*,*,#16659,.T.); -#16662=ORIENTED_EDGE('',*,*,#16661,.T.); -#16664=ORIENTED_EDGE('',*,*,#16663,.F.); -#16665=EDGE_LOOP('',(#16658,#16660,#16662,#16664)); -#16666=FACE_OUTER_BOUND('',#16665,.F.); -#16668=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); -#16669=DIRECTION('',(0.E0,0.E0,1.E0)); -#16670=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16671=AXIS2_PLACEMENT_3D('',#16668,#16669,#16670); -#16672=PLANE('',#16671); -#16673=ORIENTED_EDGE('',*,*,#16442,.T.); -#16675=ORIENTED_EDGE('',*,*,#16674,.F.); -#16676=ORIENTED_EDGE('',*,*,#16659,.F.); -#16677=ORIENTED_EDGE('',*,*,#16627,.F.); -#16678=EDGE_LOOP('',(#16673,#16675,#16676,#16677)); -#16679=FACE_OUTER_BOUND('',#16678,.F.); -#16681=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); -#16682=DIRECTION('',(0.E0,0.E0,1.E0)); -#16683=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16684=AXIS2_PLACEMENT_3D('',#16681,#16682,#16683); -#16685=PLANE('',#16684); -#16686=ORIENTED_EDGE('',*,*,#16452,.T.); -#16688=ORIENTED_EDGE('',*,*,#16687,.F.); -#16690=ORIENTED_EDGE('',*,*,#16689,.F.); -#16692=ORIENTED_EDGE('',*,*,#16691,.F.); -#16693=EDGE_LOOP('',(#16686,#16688,#16690,#16692)); -#16694=FACE_OUTER_BOUND('',#16693,.F.); -#16696=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); -#16697=DIRECTION('',(0.E0,0.E0,1.E0)); -#16698=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16699=AXIS2_PLACEMENT_3D('',#16696,#16697,#16698); -#16700=PLANE('',#16699); -#16701=ORIENTED_EDGE('',*,*,#16462,.T.); -#16703=ORIENTED_EDGE('',*,*,#16702,.F.); -#16705=ORIENTED_EDGE('',*,*,#16704,.F.); -#16707=ORIENTED_EDGE('',*,*,#16706,.F.); -#16708=EDGE_LOOP('',(#16701,#16703,#16705,#16707)); -#16709=FACE_OUTER_BOUND('',#16708,.F.); -#16711=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); -#16712=DIRECTION('',(0.E0,0.E0,1.E0)); -#16713=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16714=AXIS2_PLACEMENT_3D('',#16711,#16712,#16713); -#16715=PLANE('',#16714); -#16716=ORIENTED_EDGE('',*,*,#16472,.T.); -#16718=ORIENTED_EDGE('',*,*,#16717,.F.); -#16720=ORIENTED_EDGE('',*,*,#16719,.F.); -#16722=ORIENTED_EDGE('',*,*,#16721,.F.); -#16723=EDGE_LOOP('',(#16716,#16718,#16720,#16722)); -#16724=FACE_OUTER_BOUND('',#16723,.F.); -#16726=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); -#16727=DIRECTION('',(0.E0,0.E0,1.E0)); -#16728=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16729=AXIS2_PLACEMENT_3D('',#16726,#16727,#16728); -#16730=PLANE('',#16729); -#16731=ORIENTED_EDGE('',*,*,#16375,.F.); -#16732=ORIENTED_EDGE('',*,*,#16639,.F.); -#16734=ORIENTED_EDGE('',*,*,#16733,.F.); -#16736=ORIENTED_EDGE('',*,*,#16735,.F.); -#16737=EDGE_LOOP('',(#16731,#16732,#16734,#16736)); -#16738=FACE_OUTER_BOUND('',#16737,.F.); -#16740=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); -#16741=DIRECTION('',(0.E0,0.E0,1.E0)); -#16742=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16743=AXIS2_PLACEMENT_3D('',#16740,#16741,#16742); -#16744=PLANE('',#16743); -#16745=ORIENTED_EDGE('',*,*,#16385,.F.); -#16747=ORIENTED_EDGE('',*,*,#16746,.F.); -#16749=ORIENTED_EDGE('',*,*,#16748,.F.); -#16751=ORIENTED_EDGE('',*,*,#16750,.F.); -#16752=EDGE_LOOP('',(#16745,#16747,#16749,#16751)); -#16753=FACE_OUTER_BOUND('',#16752,.F.); -#16755=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); -#16756=DIRECTION('',(0.E0,0.E0,1.E0)); -#16757=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16758=AXIS2_PLACEMENT_3D('',#16755,#16756,#16757); -#16759=PLANE('',#16758); -#16760=ORIENTED_EDGE('',*,*,#16395,.F.); -#16762=ORIENTED_EDGE('',*,*,#16761,.F.); -#16764=ORIENTED_EDGE('',*,*,#16763,.F.); -#16766=ORIENTED_EDGE('',*,*,#16765,.F.); -#16767=EDGE_LOOP('',(#16760,#16762,#16764,#16766)); -#16768=FACE_OUTER_BOUND('',#16767,.F.); -#16770=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); -#16771=DIRECTION('',(0.E0,0.E0,1.E0)); -#16772=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16773=AXIS2_PLACEMENT_3D('',#16770,#16771,#16772); -#16774=PLANE('',#16773); -#16775=ORIENTED_EDGE('',*,*,#16405,.F.); -#16777=ORIENTED_EDGE('',*,*,#16776,.F.); -#16779=ORIENTED_EDGE('',*,*,#16778,.F.); -#16781=ORIENTED_EDGE('',*,*,#16780,.F.); -#16782=EDGE_LOOP('',(#16775,#16777,#16779,#16781)); -#16783=FACE_OUTER_BOUND('',#16782,.F.); -#16785=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.311724784545E0)); -#16786=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16787=DIRECTION('',(1.E0,0.E0,0.E0)); -#16788=AXIS2_PLACEMENT_3D('',#16785,#16786,#16787); -#16789=PLANE('',#16788); -#16790=ORIENTED_EDGE('',*,*,#16501,.F.); -#16792=ORIENTED_EDGE('',*,*,#16791,.F.); -#16793=ORIENTED_EDGE('',*,*,#11668,.T.); -#16795=ORIENTED_EDGE('',*,*,#16794,.T.); -#16797=ORIENTED_EDGE('',*,*,#16796,.T.); -#16798=ORIENTED_EDGE('',*,*,#16661,.F.); -#16799=ORIENTED_EDGE('',*,*,#16674,.T.); -#16800=ORIENTED_EDGE('',*,*,#16440,.F.); -#16801=EDGE_LOOP('',(#16790,#16792,#16793,#16795,#16797,#16798,#16799,#16800)); -#16802=FACE_OUTER_BOUND('',#16801,.F.); -#16804=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.311724784545E0)); -#16805=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16806=DIRECTION('',(1.E0,0.E0,0.E0)); -#16807=AXIS2_PLACEMENT_3D('',#16804,#16805,#16806); -#16808=PLANE('',#16807); -#16810=ORIENTED_EDGE('',*,*,#16809,.T.); -#16811=ORIENTED_EDGE('',*,*,#16557,.F.); -#16812=ORIENTED_EDGE('',*,*,#16377,.T.); -#16813=ORIENTED_EDGE('',*,*,#16735,.T.); -#16815=ORIENTED_EDGE('',*,*,#16814,.F.); -#16817=ORIENTED_EDGE('',*,*,#16816,.T.); -#16819=ORIENTED_EDGE('',*,*,#16818,.F.); -#16820=ORIENTED_EDGE('',*,*,#11712,.T.); -#16821=EDGE_LOOP('',(#16810,#16811,#16812,#16813,#16815,#16817,#16819,#16820)); -#16822=FACE_OUTER_BOUND('',#16821,.F.); -#16824=CARTESIAN_POINT('',(8.9662E1,7.60222E1,4.311724784545E0)); -#16825=DIRECTION('',(1.E0,0.E0,0.E0)); -#16826=DIRECTION('',(0.E0,1.E0,0.E0)); -#16827=AXIS2_PLACEMENT_3D('',#16824,#16825,#16826); -#16828=PLANE('',#16827); -#16829=ORIENTED_EDGE('',*,*,#16499,.T.); -#16830=ORIENTED_EDGE('',*,*,#16623,.F.); -#16831=ORIENTED_EDGE('',*,*,#11670,.F.); -#16832=ORIENTED_EDGE('',*,*,#16791,.T.); -#16833=EDGE_LOOP('',(#16829,#16830,#16831,#16832)); -#16834=FACE_OUTER_BOUND('',#16833,.F.); -#16836=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.311724784545E0)); -#16837=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16838=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16839=AXIS2_PLACEMENT_3D('',#16836,#16837,#16838); -#16840=PLANE('',#16839); -#16841=ORIENTED_EDGE('',*,*,#11674,.F.); -#16842=ORIENTED_EDGE('',*,*,#16620,.T.); -#16844=ORIENTED_EDGE('',*,*,#16843,.T.); -#16845=ORIENTED_EDGE('',*,*,#16794,.F.); -#16846=EDGE_LOOP('',(#16841,#16842,#16844,#16845)); -#16847=FACE_OUTER_BOUND('',#16846,.F.); -#16849=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16850=DIRECTION('',(0.E0,0.E0,1.E0)); -#16851=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16852=AXIS2_PLACEMENT_3D('',#16849,#16850,#16851); -#16853=PLANE('',#16852); -#16854=ORIENTED_EDGE('',*,*,#16663,.T.); -#16855=ORIENTED_EDGE('',*,*,#16796,.F.); -#16856=ORIENTED_EDGE('',*,*,#16843,.F.); -#16857=ORIENTED_EDGE('',*,*,#16618,.F.); -#16858=EDGE_LOOP('',(#16854,#16855,#16856,#16857)); -#16859=FACE_OUTER_BOUND('',#16858,.F.); -#16861=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16862=DIRECTION('',(0.E0,0.E0,1.E0)); -#16863=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16864=AXIS2_PLACEMENT_3D('',#16861,#16862,#16863); -#16865=PLANE('',#16864); -#16867=ORIENTED_EDGE('',*,*,#16866,.T.); -#16869=ORIENTED_EDGE('',*,*,#16868,.F.); -#16871=ORIENTED_EDGE('',*,*,#16870,.F.); -#16873=ORIENTED_EDGE('',*,*,#16872,.F.); -#16874=EDGE_LOOP('',(#16867,#16869,#16871,#16873)); -#16875=FACE_OUTER_BOUND('',#16874,.F.); -#16877=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16878=DIRECTION('',(0.E0,0.E0,1.E0)); -#16879=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16880=AXIS2_PLACEMENT_3D('',#16877,#16878,#16879); -#16881=PLANE('',#16880); -#16883=ORIENTED_EDGE('',*,*,#16882,.T.); -#16885=ORIENTED_EDGE('',*,*,#16884,.F.); -#16887=ORIENTED_EDGE('',*,*,#16886,.F.); -#16889=ORIENTED_EDGE('',*,*,#16888,.F.); -#16890=EDGE_LOOP('',(#16883,#16885,#16887,#16889)); -#16891=FACE_OUTER_BOUND('',#16890,.F.); -#16893=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16894=DIRECTION('',(0.E0,0.E0,1.E0)); -#16895=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16896=AXIS2_PLACEMENT_3D('',#16893,#16894,#16895); -#16897=PLANE('',#16896); -#16899=ORIENTED_EDGE('',*,*,#16898,.F.); -#16901=ORIENTED_EDGE('',*,*,#16900,.F.); -#16903=ORIENTED_EDGE('',*,*,#16902,.F.); -#16905=ORIENTED_EDGE('',*,*,#16904,.F.); -#16906=EDGE_LOOP('',(#16899,#16901,#16903,#16905)); -#16907=FACE_OUTER_BOUND('',#16906,.F.); -#16909=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16910=DIRECTION('',(0.E0,0.E0,1.E0)); -#16911=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16912=AXIS2_PLACEMENT_3D('',#16909,#16910,#16911); -#16913=PLANE('',#16912); -#16915=ORIENTED_EDGE('',*,*,#16914,.F.); -#16916=ORIENTED_EDGE('',*,*,#16648,.F.); -#16918=ORIENTED_EDGE('',*,*,#16917,.F.); -#16919=ORIENTED_EDGE('',*,*,#16816,.F.); -#16920=EDGE_LOOP('',(#16915,#16916,#16918,#16919)); -#16921=FACE_OUTER_BOUND('',#16920,.F.); -#16923=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16924=DIRECTION('',(0.E0,0.E0,1.E0)); -#16925=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16926=AXIS2_PLACEMENT_3D('',#16923,#16924,#16925); -#16927=PLANE('',#16926); -#16929=ORIENTED_EDGE('',*,*,#16928,.T.); -#16931=ORIENTED_EDGE('',*,*,#16930,.F.); -#16933=ORIENTED_EDGE('',*,*,#16932,.F.); -#16935=ORIENTED_EDGE('',*,*,#16934,.F.); -#16936=EDGE_LOOP('',(#16929,#16931,#16933,#16935)); -#16937=FACE_OUTER_BOUND('',#16936,.F.); -#16939=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16940=DIRECTION('',(0.E0,0.E0,1.E0)); -#16941=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16942=AXIS2_PLACEMENT_3D('',#16939,#16940,#16941); -#16943=PLANE('',#16942); -#16945=ORIENTED_EDGE('',*,*,#16944,.T.); -#16947=ORIENTED_EDGE('',*,*,#16946,.F.); -#16949=ORIENTED_EDGE('',*,*,#16948,.F.); -#16951=ORIENTED_EDGE('',*,*,#16950,.F.); -#16952=EDGE_LOOP('',(#16945,#16947,#16949,#16951)); -#16953=FACE_OUTER_BOUND('',#16952,.F.); -#16955=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#16956=DIRECTION('',(0.E0,0.E0,1.E0)); -#16957=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16958=AXIS2_PLACEMENT_3D('',#16955,#16956,#16957); -#16959=PLANE('',#16958); -#16961=ORIENTED_EDGE('',*,*,#16960,.T.); -#16963=ORIENTED_EDGE('',*,*,#16962,.F.); -#16965=ORIENTED_EDGE('',*,*,#16964,.F.); -#16967=ORIENTED_EDGE('',*,*,#16966,.F.); -#16968=EDGE_LOOP('',(#16961,#16963,#16965,#16967)); -#16969=FACE_OUTER_BOUND('',#16968,.F.); -#16971=CARTESIAN_POINT('',(8.92556E1,7.5565E1,4.311724784545E0)); -#16972=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16973=DIRECTION('',(0.E0,-1.E0,0.E0)); -#16974=AXIS2_PLACEMENT_3D('',#16971,#16972,#16973); -#16975=PLANE('',#16974); -#16977=ORIENTED_EDGE('',*,*,#16976,.T.); -#16978=ORIENTED_EDGE('',*,*,#16689,.T.); -#16980=ORIENTED_EDGE('',*,*,#16979,.F.); -#16981=ORIENTED_EDGE('',*,*,#16866,.F.); -#16982=EDGE_LOOP('',(#16977,#16978,#16980,#16981)); -#16983=FACE_OUTER_BOUND('',#16982,.F.); -#16985=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.311724784545E0)); -#16986=DIRECTION('',(0.E0,1.E0,0.E0)); -#16987=DIRECTION('',(-1.E0,0.E0,0.E0)); -#16988=AXIS2_PLACEMENT_3D('',#16985,#16986,#16987); -#16989=PLANE('',#16988); -#16990=ORIENTED_EDGE('',*,*,#16976,.F.); -#16991=ORIENTED_EDGE('',*,*,#16872,.T.); -#16993=ORIENTED_EDGE('',*,*,#16992,.F.); -#16994=ORIENTED_EDGE('',*,*,#11682,.T.); -#16996=ORIENTED_EDGE('',*,*,#16995,.T.); -#16997=ORIENTED_EDGE('',*,*,#16512,.F.); -#16998=ORIENTED_EDGE('',*,*,#16454,.T.); -#16999=ORIENTED_EDGE('',*,*,#16691,.T.); -#17000=EDGE_LOOP('',(#16990,#16991,#16993,#16994,#16996,#16997,#16998,#16999)); -#17001=FACE_OUTER_BOUND('',#17000,.F.); -#17003=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.311724784545E0)); -#17004=DIRECTION('',(0.E0,1.E0,0.E0)); -#17005=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17006=AXIS2_PLACEMENT_3D('',#17003,#17004,#17005); -#17007=PLANE('',#17006); -#17009=ORIENTED_EDGE('',*,*,#17008,.T.); -#17010=ORIENTED_EDGE('',*,*,#16746,.T.); -#17011=ORIENTED_EDGE('',*,*,#16383,.F.); -#17012=ORIENTED_EDGE('',*,*,#16576,.F.); -#17014=ORIENTED_EDGE('',*,*,#17013,.F.); -#17015=ORIENTED_EDGE('',*,*,#11718,.T.); -#17017=ORIENTED_EDGE('',*,*,#17016,.T.); -#17018=ORIENTED_EDGE('',*,*,#16930,.T.); -#17019=EDGE_LOOP('',(#17009,#17010,#17011,#17012,#17014,#17015,#17017,#17018)); -#17020=FACE_OUTER_BOUND('',#17019,.F.); -#17022=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.311724784545E0)); -#17023=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17024=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17025=AXIS2_PLACEMENT_3D('',#17022,#17023,#17024); -#17026=PLANE('',#17025); -#17027=ORIENTED_EDGE('',*,*,#11684,.F.); -#17028=ORIENTED_EDGE('',*,*,#16992,.T.); -#17029=ORIENTED_EDGE('',*,*,#16870,.T.); -#17031=ORIENTED_EDGE('',*,*,#17030,.F.); -#17032=EDGE_LOOP('',(#17027,#17028,#17029,#17031)); -#17033=FACE_OUTER_BOUND('',#17032,.F.); -#17035=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.311724784545E0)); -#17036=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17037=DIRECTION('',(1.E0,0.E0,0.E0)); -#17038=AXIS2_PLACEMENT_3D('',#17035,#17036,#17037); -#17039=PLANE('',#17038); -#17040=ORIENTED_EDGE('',*,*,#16516,.F.); -#17042=ORIENTED_EDGE('',*,*,#17041,.F.); -#17043=ORIENTED_EDGE('',*,*,#11678,.T.); -#17044=ORIENTED_EDGE('',*,*,#17030,.T.); -#17045=ORIENTED_EDGE('',*,*,#16868,.T.); -#17046=ORIENTED_EDGE('',*,*,#16979,.T.); -#17047=ORIENTED_EDGE('',*,*,#16687,.T.); -#17048=ORIENTED_EDGE('',*,*,#16450,.F.); -#17049=EDGE_LOOP('',(#17040,#17042,#17043,#17044,#17045,#17046,#17047,#17048)); -#17050=FACE_OUTER_BOUND('',#17049,.F.); -#17052=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.311724784545E0)); -#17053=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17054=DIRECTION('',(1.E0,0.E0,0.E0)); -#17055=AXIS2_PLACEMENT_3D('',#17052,#17053,#17054); -#17056=PLANE('',#17055); -#17058=ORIENTED_EDGE('',*,*,#17057,.T.); -#17059=ORIENTED_EDGE('',*,*,#16572,.F.); -#17060=ORIENTED_EDGE('',*,*,#16387,.T.); -#17061=ORIENTED_EDGE('',*,*,#16750,.T.); -#17063=ORIENTED_EDGE('',*,*,#17062,.T.); -#17064=ORIENTED_EDGE('',*,*,#16934,.T.); -#17066=ORIENTED_EDGE('',*,*,#17065,.F.); -#17067=ORIENTED_EDGE('',*,*,#11722,.T.); -#17068=EDGE_LOOP('',(#17058,#17059,#17060,#17061,#17063,#17064,#17066,#17067)); -#17069=FACE_OUTER_BOUND('',#17068,.F.); -#17071=CARTESIAN_POINT('',(8.9662E1,7.47522E1,4.311724784545E0)); -#17072=DIRECTION('',(1.E0,0.E0,0.E0)); -#17073=DIRECTION('',(0.E0,1.E0,0.E0)); -#17074=AXIS2_PLACEMENT_3D('',#17071,#17072,#17073); -#17075=PLANE('',#17074); -#17076=ORIENTED_EDGE('',*,*,#16514,.T.); -#17077=ORIENTED_EDGE('',*,*,#16995,.F.); -#17078=ORIENTED_EDGE('',*,*,#11680,.F.); -#17079=ORIENTED_EDGE('',*,*,#17041,.T.); -#17080=EDGE_LOOP('',(#17076,#17077,#17078,#17079)); -#17081=FACE_OUTER_BOUND('',#17080,.F.); -#17083=CARTESIAN_POINT('',(9.57072E1,7.5565E1,4.311724784545E0)); -#17084=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17085=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17086=AXIS2_PLACEMENT_3D('',#17083,#17084,#17085); -#17087=PLANE('',#17086); -#17088=ORIENTED_EDGE('',*,*,#16574,.T.); -#17089=ORIENTED_EDGE('',*,*,#17057,.F.); -#17090=ORIENTED_EDGE('',*,*,#11720,.F.); -#17091=ORIENTED_EDGE('',*,*,#17013,.T.); -#17092=EDGE_LOOP('',(#17088,#17089,#17090,#17091)); -#17093=FACE_OUTER_BOUND('',#17092,.F.); -#17095=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.311724784545E0)); -#17096=DIRECTION('',(1.E0,0.E0,0.E0)); -#17097=DIRECTION('',(0.E0,1.E0,0.E0)); -#17098=AXIS2_PLACEMENT_3D('',#17095,#17096,#17097); -#17099=PLANE('',#17098); -#17100=ORIENTED_EDGE('',*,*,#17062,.F.); -#17101=ORIENTED_EDGE('',*,*,#16748,.T.); -#17102=ORIENTED_EDGE('',*,*,#17008,.F.); -#17103=ORIENTED_EDGE('',*,*,#16928,.F.); -#17104=EDGE_LOOP('',(#17100,#17101,#17102,#17103)); -#17105=FACE_OUTER_BOUND('',#17104,.F.); -#17107=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.311724784545E0)); -#17108=DIRECTION('',(1.E0,0.E0,0.E0)); -#17109=DIRECTION('',(0.E0,1.E0,0.E0)); -#17110=AXIS2_PLACEMENT_3D('',#17107,#17108,#17109); -#17111=PLANE('',#17110); -#17112=ORIENTED_EDGE('',*,*,#11724,.F.); -#17113=ORIENTED_EDGE('',*,*,#17065,.T.); -#17114=ORIENTED_EDGE('',*,*,#16932,.T.); -#17115=ORIENTED_EDGE('',*,*,#17016,.F.); -#17116=EDGE_LOOP('',(#17112,#17113,#17114,#17115)); -#17117=FACE_OUTER_BOUND('',#17116,.F.); -#17119=CARTESIAN_POINT('',(8.92556E1,7.4295E1,4.311724784545E0)); -#17120=DIRECTION('',(-1.E0,1.748382715945E-14,0.E0)); -#17121=DIRECTION('',(-1.748382715945E-14,-1.E0,0.E0)); -#17122=AXIS2_PLACEMENT_3D('',#17119,#17120,#17121); -#17123=PLANE('',#17122); -#17125=ORIENTED_EDGE('',*,*,#17124,.T.); -#17126=ORIENTED_EDGE('',*,*,#16704,.T.); -#17128=ORIENTED_EDGE('',*,*,#17127,.T.); -#17129=ORIENTED_EDGE('',*,*,#16882,.F.); -#17130=EDGE_LOOP('',(#17125,#17126,#17128,#17129)); -#17131=FACE_OUTER_BOUND('',#17130,.F.); -#17133=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.311724784545E0)); -#17134=DIRECTION('',(0.E0,1.E0,0.E0)); -#17135=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17136=AXIS2_PLACEMENT_3D('',#17133,#17134,#17135); -#17137=PLANE('',#17136); -#17138=ORIENTED_EDGE('',*,*,#17124,.F.); -#17139=ORIENTED_EDGE('',*,*,#16888,.T.); -#17141=ORIENTED_EDGE('',*,*,#17140,.F.); -#17142=ORIENTED_EDGE('',*,*,#11692,.T.); -#17144=ORIENTED_EDGE('',*,*,#17143,.T.); -#17145=ORIENTED_EDGE('',*,*,#16527,.F.); -#17146=ORIENTED_EDGE('',*,*,#16464,.T.); -#17147=ORIENTED_EDGE('',*,*,#16706,.T.); -#17148=EDGE_LOOP('',(#17138,#17139,#17141,#17142,#17144,#17145,#17146,#17147)); -#17149=FACE_OUTER_BOUND('',#17148,.F.); -#17151=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.311724784545E0)); -#17152=DIRECTION('',(0.E0,1.E0,0.E0)); -#17153=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17154=AXIS2_PLACEMENT_3D('',#17151,#17152,#17153); -#17155=PLANE('',#17154); -#17157=ORIENTED_EDGE('',*,*,#17156,.T.); -#17158=ORIENTED_EDGE('',*,*,#16761,.T.); -#17159=ORIENTED_EDGE('',*,*,#16393,.F.); -#17160=ORIENTED_EDGE('',*,*,#16591,.F.); -#17162=ORIENTED_EDGE('',*,*,#17161,.F.); -#17163=ORIENTED_EDGE('',*,*,#11728,.T.); -#17165=ORIENTED_EDGE('',*,*,#17164,.T.); -#17166=ORIENTED_EDGE('',*,*,#16946,.T.); -#17167=EDGE_LOOP('',(#17157,#17158,#17159,#17160,#17162,#17163,#17165,#17166)); -#17168=FACE_OUTER_BOUND('',#17167,.F.); -#17170=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.311724784545E0)); -#17171=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17172=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17173=AXIS2_PLACEMENT_3D('',#17170,#17171,#17172); -#17174=PLANE('',#17173); -#17175=ORIENTED_EDGE('',*,*,#11694,.F.); -#17176=ORIENTED_EDGE('',*,*,#17140,.T.); -#17177=ORIENTED_EDGE('',*,*,#16886,.T.); -#17179=ORIENTED_EDGE('',*,*,#17178,.F.); -#17180=EDGE_LOOP('',(#17175,#17176,#17177,#17179)); -#17181=FACE_OUTER_BOUND('',#17180,.F.); -#17183=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.311724784545E0)); -#17184=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17185=DIRECTION('',(1.E0,0.E0,0.E0)); -#17186=AXIS2_PLACEMENT_3D('',#17183,#17184,#17185); -#17187=PLANE('',#17186); -#17188=ORIENTED_EDGE('',*,*,#16531,.F.); -#17190=ORIENTED_EDGE('',*,*,#17189,.F.); -#17191=ORIENTED_EDGE('',*,*,#11688,.T.); -#17192=ORIENTED_EDGE('',*,*,#17178,.T.); -#17193=ORIENTED_EDGE('',*,*,#16884,.T.); -#17194=ORIENTED_EDGE('',*,*,#17127,.F.); -#17195=ORIENTED_EDGE('',*,*,#16702,.T.); -#17196=ORIENTED_EDGE('',*,*,#16460,.F.); -#17197=EDGE_LOOP('',(#17188,#17190,#17191,#17192,#17193,#17194,#17195,#17196)); -#17198=FACE_OUTER_BOUND('',#17197,.F.); -#17200=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.311724784545E0)); -#17201=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17202=DIRECTION('',(1.E0,0.E0,0.E0)); -#17203=AXIS2_PLACEMENT_3D('',#17200,#17201,#17202); -#17204=PLANE('',#17203); -#17206=ORIENTED_EDGE('',*,*,#17205,.T.); -#17207=ORIENTED_EDGE('',*,*,#16587,.F.); -#17208=ORIENTED_EDGE('',*,*,#16397,.T.); -#17209=ORIENTED_EDGE('',*,*,#16765,.T.); -#17211=ORIENTED_EDGE('',*,*,#17210,.F.); -#17212=ORIENTED_EDGE('',*,*,#16950,.T.); -#17214=ORIENTED_EDGE('',*,*,#17213,.F.); -#17215=ORIENTED_EDGE('',*,*,#11732,.T.); -#17216=EDGE_LOOP('',(#17206,#17207,#17208,#17209,#17211,#17212,#17214,#17215)); -#17217=FACE_OUTER_BOUND('',#17216,.F.); -#17219=CARTESIAN_POINT('',(8.9662E1,7.34822E1,4.311724784545E0)); -#17220=DIRECTION('',(1.E0,-3.496765431890E-14,0.E0)); -#17221=DIRECTION('',(3.496765431890E-14,1.E0,0.E0)); -#17222=AXIS2_PLACEMENT_3D('',#17219,#17220,#17221); -#17223=PLANE('',#17222); -#17224=ORIENTED_EDGE('',*,*,#16529,.T.); -#17225=ORIENTED_EDGE('',*,*,#17143,.F.); -#17226=ORIENTED_EDGE('',*,*,#11690,.F.); -#17227=ORIENTED_EDGE('',*,*,#17189,.T.); -#17228=EDGE_LOOP('',(#17224,#17225,#17226,#17227)); -#17229=FACE_OUTER_BOUND('',#17228,.F.); -#17231=CARTESIAN_POINT('',(9.57072E1,7.4295E1,4.311724784545E0)); -#17232=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17233=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17234=AXIS2_PLACEMENT_3D('',#17231,#17232,#17233); -#17235=PLANE('',#17234); -#17236=ORIENTED_EDGE('',*,*,#16589,.T.); -#17237=ORIENTED_EDGE('',*,*,#17205,.F.); -#17238=ORIENTED_EDGE('',*,*,#11730,.F.); -#17239=ORIENTED_EDGE('',*,*,#17161,.T.); -#17240=EDGE_LOOP('',(#17236,#17237,#17238,#17239)); -#17241=FACE_OUTER_BOUND('',#17240,.F.); -#17243=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.311724784545E0)); -#17244=DIRECTION('',(1.E0,0.E0,0.E0)); -#17245=DIRECTION('',(0.E0,1.E0,0.E0)); -#17246=AXIS2_PLACEMENT_3D('',#17243,#17244,#17245); -#17247=PLANE('',#17246); -#17248=ORIENTED_EDGE('',*,*,#17210,.T.); -#17249=ORIENTED_EDGE('',*,*,#16763,.T.); -#17250=ORIENTED_EDGE('',*,*,#17156,.F.); -#17251=ORIENTED_EDGE('',*,*,#16944,.F.); -#17252=EDGE_LOOP('',(#17248,#17249,#17250,#17251)); -#17253=FACE_OUTER_BOUND('',#17252,.F.); -#17255=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.311724784545E0)); -#17256=DIRECTION('',(1.E0,0.E0,0.E0)); -#17257=DIRECTION('',(0.E0,1.E0,0.E0)); -#17258=AXIS2_PLACEMENT_3D('',#17255,#17256,#17257); -#17259=PLANE('',#17258); -#17260=ORIENTED_EDGE('',*,*,#11734,.F.); -#17261=ORIENTED_EDGE('',*,*,#17213,.T.); -#17262=ORIENTED_EDGE('',*,*,#16948,.T.); -#17263=ORIENTED_EDGE('',*,*,#17164,.F.); -#17264=EDGE_LOOP('',(#17260,#17261,#17262,#17263)); -#17265=FACE_OUTER_BOUND('',#17264,.F.); -#17267=CARTESIAN_POINT('',(8.92556E1,7.3025E1,4.311724784545E0)); -#17268=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17269=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17270=AXIS2_PLACEMENT_3D('',#17267,#17268,#17269); -#17271=PLANE('',#17270); -#17273=ORIENTED_EDGE('',*,*,#17272,.T.); -#17274=ORIENTED_EDGE('',*,*,#16719,.T.); -#17276=ORIENTED_EDGE('',*,*,#17275,.F.); -#17277=ORIENTED_EDGE('',*,*,#16898,.T.); -#17278=EDGE_LOOP('',(#17273,#17274,#17276,#17277)); -#17279=FACE_OUTER_BOUND('',#17278,.F.); -#17281=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.311724784545E0)); -#17282=DIRECTION('',(0.E0,1.E0,0.E0)); -#17283=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17284=AXIS2_PLACEMENT_3D('',#17281,#17282,#17283); -#17285=PLANE('',#17284); -#17286=ORIENTED_EDGE('',*,*,#17272,.F.); -#17287=ORIENTED_EDGE('',*,*,#16904,.T.); -#17289=ORIENTED_EDGE('',*,*,#17288,.F.); -#17290=ORIENTED_EDGE('',*,*,#11702,.T.); -#17292=ORIENTED_EDGE('',*,*,#17291,.T.); -#17293=ORIENTED_EDGE('',*,*,#16542,.F.); -#17294=ORIENTED_EDGE('',*,*,#16474,.T.); -#17295=ORIENTED_EDGE('',*,*,#16721,.T.); -#17296=EDGE_LOOP('',(#17286,#17287,#17289,#17290,#17292,#17293,#17294,#17295)); -#17297=FACE_OUTER_BOUND('',#17296,.F.); -#17299=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.311724784545E0)); -#17300=DIRECTION('',(0.E0,1.E0,0.E0)); -#17301=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17302=AXIS2_PLACEMENT_3D('',#17299,#17300,#17301); -#17303=PLANE('',#17302); -#17305=ORIENTED_EDGE('',*,*,#17304,.T.); -#17306=ORIENTED_EDGE('',*,*,#16776,.T.); -#17307=ORIENTED_EDGE('',*,*,#16403,.F.); -#17308=ORIENTED_EDGE('',*,*,#16606,.F.); -#17310=ORIENTED_EDGE('',*,*,#17309,.F.); -#17311=ORIENTED_EDGE('',*,*,#11738,.T.); -#17313=ORIENTED_EDGE('',*,*,#17312,.T.); -#17314=ORIENTED_EDGE('',*,*,#16962,.T.); -#17315=EDGE_LOOP('',(#17305,#17306,#17307,#17308,#17310,#17311,#17313,#17314)); -#17316=FACE_OUTER_BOUND('',#17315,.F.); -#17318=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.311724784545E0)); -#17319=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17320=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17321=AXIS2_PLACEMENT_3D('',#17318,#17319,#17320); -#17322=PLANE('',#17321); -#17323=ORIENTED_EDGE('',*,*,#11704,.F.); -#17324=ORIENTED_EDGE('',*,*,#17288,.T.); -#17325=ORIENTED_EDGE('',*,*,#16902,.T.); -#17327=ORIENTED_EDGE('',*,*,#17326,.F.); -#17328=EDGE_LOOP('',(#17323,#17324,#17325,#17327)); -#17329=FACE_OUTER_BOUND('',#17328,.F.); -#17331=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.311724784545E0)); -#17332=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17333=DIRECTION('',(1.E0,0.E0,0.E0)); -#17334=AXIS2_PLACEMENT_3D('',#17331,#17332,#17333); -#17335=PLANE('',#17334); -#17336=ORIENTED_EDGE('',*,*,#16546,.F.); -#17338=ORIENTED_EDGE('',*,*,#17337,.F.); -#17339=ORIENTED_EDGE('',*,*,#11698,.T.); -#17340=ORIENTED_EDGE('',*,*,#17326,.T.); -#17341=ORIENTED_EDGE('',*,*,#16900,.T.); -#17342=ORIENTED_EDGE('',*,*,#17275,.T.); -#17343=ORIENTED_EDGE('',*,*,#16717,.T.); -#17344=ORIENTED_EDGE('',*,*,#16470,.F.); -#17345=EDGE_LOOP('',(#17336,#17338,#17339,#17340,#17341,#17342,#17343,#17344)); -#17346=FACE_OUTER_BOUND('',#17345,.F.); -#17348=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.311724784545E0)); -#17349=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17350=DIRECTION('',(1.E0,0.E0,0.E0)); -#17351=AXIS2_PLACEMENT_3D('',#17348,#17349,#17350); -#17352=PLANE('',#17351); -#17354=ORIENTED_EDGE('',*,*,#17353,.T.); -#17355=ORIENTED_EDGE('',*,*,#16602,.F.); -#17356=ORIENTED_EDGE('',*,*,#16407,.T.); -#17357=ORIENTED_EDGE('',*,*,#16780,.T.); -#17359=ORIENTED_EDGE('',*,*,#17358,.T.); -#17360=ORIENTED_EDGE('',*,*,#16966,.T.); -#17362=ORIENTED_EDGE('',*,*,#17361,.F.); -#17363=ORIENTED_EDGE('',*,*,#11742,.T.); -#17364=EDGE_LOOP('',(#17354,#17355,#17356,#17357,#17359,#17360,#17362,#17363)); -#17365=FACE_OUTER_BOUND('',#17364,.F.); -#17367=CARTESIAN_POINT('',(8.9662E1,7.22122E1,4.311724784545E0)); -#17368=DIRECTION('',(1.E0,0.E0,0.E0)); -#17369=DIRECTION('',(0.E0,1.E0,0.E0)); -#17370=AXIS2_PLACEMENT_3D('',#17367,#17368,#17369); -#17371=PLANE('',#17370); -#17372=ORIENTED_EDGE('',*,*,#16544,.T.); -#17373=ORIENTED_EDGE('',*,*,#17291,.F.); -#17374=ORIENTED_EDGE('',*,*,#11700,.F.); -#17375=ORIENTED_EDGE('',*,*,#17337,.T.); -#17376=EDGE_LOOP('',(#17372,#17373,#17374,#17375)); -#17377=FACE_OUTER_BOUND('',#17376,.F.); -#17379=CARTESIAN_POINT('',(9.57072E1,7.3025E1,4.311724784545E0)); -#17380=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17381=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17382=AXIS2_PLACEMENT_3D('',#17379,#17380,#17381); -#17383=PLANE('',#17382); -#17384=ORIENTED_EDGE('',*,*,#16604,.T.); -#17385=ORIENTED_EDGE('',*,*,#17353,.F.); -#17386=ORIENTED_EDGE('',*,*,#11740,.F.); -#17387=ORIENTED_EDGE('',*,*,#17309,.T.); -#17388=EDGE_LOOP('',(#17384,#17385,#17386,#17387)); -#17389=FACE_OUTER_BOUND('',#17388,.F.); -#17391=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.311724784545E0)); -#17392=DIRECTION('',(1.E0,0.E0,0.E0)); -#17393=DIRECTION('',(0.E0,1.E0,0.E0)); -#17394=AXIS2_PLACEMENT_3D('',#17391,#17392,#17393); -#17395=PLANE('',#17394); -#17396=ORIENTED_EDGE('',*,*,#17358,.F.); -#17397=ORIENTED_EDGE('',*,*,#16778,.T.); -#17398=ORIENTED_EDGE('',*,*,#17304,.F.); -#17399=ORIENTED_EDGE('',*,*,#16960,.F.); -#17400=EDGE_LOOP('',(#17396,#17397,#17398,#17399)); -#17401=FACE_OUTER_BOUND('',#17400,.F.); -#17403=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.311724784545E0)); -#17404=DIRECTION('',(1.E0,0.E0,0.E0)); -#17405=DIRECTION('',(0.E0,1.E0,0.E0)); -#17406=AXIS2_PLACEMENT_3D('',#17403,#17404,#17405); -#17407=PLANE('',#17406); -#17408=ORIENTED_EDGE('',*,*,#11744,.F.); -#17409=ORIENTED_EDGE('',*,*,#17361,.T.); -#17410=ORIENTED_EDGE('',*,*,#16964,.T.); -#17411=ORIENTED_EDGE('',*,*,#17312,.F.); -#17412=EDGE_LOOP('',(#17408,#17409,#17410,#17411)); -#17413=FACE_OUTER_BOUND('',#17412,.F.); -#17415=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.311724784545E0)); -#17416=DIRECTION('',(1.E0,0.E0,0.E0)); -#17417=DIRECTION('',(0.E0,1.E0,0.E0)); -#17418=AXIS2_PLACEMENT_3D('',#17415,#17416,#17417); -#17419=PLANE('',#17418); -#17420=ORIENTED_EDGE('',*,*,#16814,.T.); -#17421=ORIENTED_EDGE('',*,*,#16733,.T.); -#17422=ORIENTED_EDGE('',*,*,#16637,.F.); -#17423=ORIENTED_EDGE('',*,*,#16914,.T.); -#17424=EDGE_LOOP('',(#17420,#17421,#17422,#17423)); -#17425=FACE_OUTER_BOUND('',#17424,.F.); -#17427=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.311724784545E0)); -#17428=DIRECTION('',(1.E0,0.E0,0.E0)); -#17429=DIRECTION('',(0.E0,1.E0,0.E0)); -#17430=AXIS2_PLACEMENT_3D('',#17427,#17428,#17429); -#17431=PLANE('',#17430); -#17432=ORIENTED_EDGE('',*,*,#11714,.F.); -#17433=ORIENTED_EDGE('',*,*,#16818,.T.); -#17434=ORIENTED_EDGE('',*,*,#16917,.T.); -#17435=ORIENTED_EDGE('',*,*,#16646,.F.); -#17436=EDGE_LOOP('',(#17432,#17433,#17434,#17435)); -#17437=FACE_OUTER_BOUND('',#17436,.F.); -#17439=CARTESIAN_POINT('',(9.57072E1,7.6835E1,4.311724784545E0)); -#17440=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17441=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17442=AXIS2_PLACEMENT_3D('',#17439,#17440,#17441); -#17443=PLANE('',#17442); -#17444=ORIENTED_EDGE('',*,*,#16559,.T.); -#17445=ORIENTED_EDGE('',*,*,#16809,.F.); -#17446=ORIENTED_EDGE('',*,*,#11710,.F.); -#17447=ORIENTED_EDGE('',*,*,#16643,.T.); -#17448=EDGE_LOOP('',(#17444,#17445,#17446,#17447)); -#17449=FACE_OUTER_BOUND('',#17448,.F.); -#17451=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.311724784545E0)); -#17452=DIRECTION('',(0.E0,1.E0,0.E0)); -#17453=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17454=AXIS2_PLACEMENT_3D('',#17451,#17452,#17453); -#17455=PLANE('',#17454); -#17456=ORIENTED_EDGE('',*,*,#11748,.F.); -#17458=ORIENTED_EDGE('',*,*,#17457,.T.); -#17460=ORIENTED_EDGE('',*,*,#17459,.T.); -#17462=ORIENTED_EDGE('',*,*,#17461,.F.); -#17463=EDGE_LOOP('',(#17456,#17458,#17460,#17462)); -#17464=FACE_OUTER_BOUND('',#17463,.F.); -#17466=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.311724784545E0)); -#17467=DIRECTION('',(1.E0,0.E0,0.E0)); -#17468=DIRECTION('',(0.E0,1.E0,0.E0)); -#17469=AXIS2_PLACEMENT_3D('',#17466,#17467,#17468); -#17470=PLANE('',#17469); -#17471=ORIENTED_EDGE('',*,*,#11786,.F.); -#17473=ORIENTED_EDGE('',*,*,#17472,.T.); -#17475=ORIENTED_EDGE('',*,*,#17474,.T.); -#17476=ORIENTED_EDGE('',*,*,#17457,.F.); -#17477=EDGE_LOOP('',(#17471,#17473,#17475,#17476)); -#17478=FACE_OUTER_BOUND('',#17477,.F.); -#17480=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.311724784545E0)); -#17481=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17482=DIRECTION('',(1.E0,0.E0,0.E0)); -#17483=AXIS2_PLACEMENT_3D('',#17480,#17481,#17482); -#17484=PLANE('',#17483); -#17485=ORIENTED_EDGE('',*,*,#11784,.F.); -#17487=ORIENTED_EDGE('',*,*,#17486,.T.); -#17489=ORIENTED_EDGE('',*,*,#17488,.T.); -#17490=ORIENTED_EDGE('',*,*,#17472,.F.); -#17491=EDGE_LOOP('',(#17485,#17487,#17489,#17490)); -#17492=FACE_OUTER_BOUND('',#17491,.F.); -#17494=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,4.311724784545E0)); -#17495=DIRECTION('',(1.E0,0.E0,0.E0)); -#17496=DIRECTION('',(0.E0,1.E0,0.E0)); -#17497=AXIS2_PLACEMENT_3D('',#17494,#17495,#17496); -#17498=PLANE('',#17497); -#17500=ORIENTED_EDGE('',*,*,#17499,.F.); -#17501=ORIENTED_EDGE('',*,*,#17486,.F.); -#17502=ORIENTED_EDGE('',*,*,#11782,.F.); -#17504=ORIENTED_EDGE('',*,*,#17503,.T.); -#17506=ORIENTED_EDGE('',*,*,#17505,.T.); -#17508=ORIENTED_EDGE('',*,*,#17507,.F.); -#17509=ORIENTED_EDGE('',*,*,#11750,.F.); -#17510=ORIENTED_EDGE('',*,*,#17461,.T.); -#17511=EDGE_LOOP('',(#17500,#17501,#17502,#17504,#17506,#17508,#17509,#17510)); -#17512=FACE_OUTER_BOUND('',#17511,.F.); -#17514=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); -#17515=DIRECTION('',(0.E0,0.E0,1.E0)); -#17516=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17517=AXIS2_PLACEMENT_3D('',#17514,#17515,#17516); -#17518=PLANE('',#17517); -#17520=ORIENTED_EDGE('',*,*,#17519,.T.); -#17522=ORIENTED_EDGE('',*,*,#17521,.F.); -#17524=ORIENTED_EDGE('',*,*,#17523,.F.); -#17526=ORIENTED_EDGE('',*,*,#17525,.F.); -#17527=EDGE_LOOP('',(#17520,#17522,#17524,#17526)); -#17528=FACE_OUTER_BOUND('',#17527,.F.); -#17530=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); -#17531=DIRECTION('',(0.E0,0.E0,1.E0)); -#17532=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17533=AXIS2_PLACEMENT_3D('',#17530,#17531,#17532); -#17534=PLANE('',#17533); -#17536=ORIENTED_EDGE('',*,*,#17535,.T.); -#17538=ORIENTED_EDGE('',*,*,#17537,.F.); -#17540=ORIENTED_EDGE('',*,*,#17539,.F.); -#17542=ORIENTED_EDGE('',*,*,#17541,.F.); -#17543=EDGE_LOOP('',(#17536,#17538,#17540,#17542)); -#17544=FACE_OUTER_BOUND('',#17543,.F.); -#17546=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); -#17547=DIRECTION('',(0.E0,0.E0,1.E0)); -#17548=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17549=AXIS2_PLACEMENT_3D('',#17546,#17547,#17548); -#17550=PLANE('',#17549); -#17551=ORIENTED_EDGE('',*,*,#17499,.T.); -#17552=ORIENTED_EDGE('',*,*,#17459,.F.); -#17553=ORIENTED_EDGE('',*,*,#17474,.F.); -#17554=ORIENTED_EDGE('',*,*,#17488,.F.); -#17555=EDGE_LOOP('',(#17551,#17552,#17553,#17554)); -#17556=FACE_OUTER_BOUND('',#17555,.F.); -#17558=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); -#17559=DIRECTION('',(0.E0,0.E0,1.E0)); -#17560=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17561=AXIS2_PLACEMENT_3D('',#17558,#17559,#17560); -#17562=PLANE('',#17561); -#17564=ORIENTED_EDGE('',*,*,#17563,.T.); -#17566=ORIENTED_EDGE('',*,*,#17565,.F.); -#17568=ORIENTED_EDGE('',*,*,#17567,.F.); -#17570=ORIENTED_EDGE('',*,*,#17569,.F.); -#17571=EDGE_LOOP('',(#17564,#17566,#17568,#17570)); -#17572=FACE_OUTER_BOUND('',#17571,.F.); -#17574=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,4.311724784545E0)); -#17575=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17576=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17577=AXIS2_PLACEMENT_3D('',#17574,#17575,#17576); -#17578=PLANE('',#17577); -#17579=ORIENTED_EDGE('',*,*,#17519,.F.); -#17581=ORIENTED_EDGE('',*,*,#17580,.F.); -#17582=ORIENTED_EDGE('',*,*,#11762,.F.); -#17584=ORIENTED_EDGE('',*,*,#17583,.T.); -#17586=ORIENTED_EDGE('',*,*,#17585,.T.); -#17588=ORIENTED_EDGE('',*,*,#17587,.F.); -#17589=ORIENTED_EDGE('',*,*,#11770,.F.); -#17591=ORIENTED_EDGE('',*,*,#17590,.T.); -#17592=EDGE_LOOP('',(#17579,#17581,#17582,#17584,#17586,#17588,#17589,#17591)); -#17593=FACE_OUTER_BOUND('',#17592,.F.); -#17595=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.311724784545E0)); -#17596=DIRECTION('',(0.E0,1.E0,0.E0)); -#17597=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17598=AXIS2_PLACEMENT_3D('',#17595,#17596,#17597); -#17599=PLANE('',#17598); -#17600=ORIENTED_EDGE('',*,*,#11764,.F.); -#17601=ORIENTED_EDGE('',*,*,#17580,.T.); -#17602=ORIENTED_EDGE('',*,*,#17525,.T.); -#17604=ORIENTED_EDGE('',*,*,#17603,.F.); -#17605=EDGE_LOOP('',(#17600,#17601,#17602,#17604)); -#17606=FACE_OUTER_BOUND('',#17605,.F.); -#17608=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.311724784545E0)); -#17609=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17610=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17611=AXIS2_PLACEMENT_3D('',#17608,#17609,#17610); -#17612=PLANE('',#17611); -#17613=ORIENTED_EDGE('',*,*,#11766,.F.); -#17614=ORIENTED_EDGE('',*,*,#17603,.T.); -#17615=ORIENTED_EDGE('',*,*,#17523,.T.); -#17617=ORIENTED_EDGE('',*,*,#17616,.F.); -#17618=EDGE_LOOP('',(#17613,#17614,#17615,#17617)); -#17619=FACE_OUTER_BOUND('',#17618,.F.); -#17621=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.311724784545E0)); -#17622=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17623=DIRECTION('',(1.E0,0.E0,0.E0)); -#17624=AXIS2_PLACEMENT_3D('',#17621,#17622,#17623); -#17625=PLANE('',#17624); -#17626=ORIENTED_EDGE('',*,*,#11768,.F.); -#17627=ORIENTED_EDGE('',*,*,#17616,.T.); -#17628=ORIENTED_EDGE('',*,*,#17521,.T.); -#17629=ORIENTED_EDGE('',*,*,#17590,.F.); -#17630=EDGE_LOOP('',(#17626,#17627,#17628,#17629)); -#17631=FACE_OUTER_BOUND('',#17630,.F.); -#17633=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,4.311724784545E0)); -#17634=DIRECTION('',(0.E0,1.E0,0.E0)); -#17635=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17636=AXIS2_PLACEMENT_3D('',#17633,#17634,#17635); -#17637=PLANE('',#17636); -#17638=ORIENTED_EDGE('',*,*,#17563,.F.); -#17640=ORIENTED_EDGE('',*,*,#17639,.F.); -#17641=ORIENTED_EDGE('',*,*,#11752,.F.); -#17642=ORIENTED_EDGE('',*,*,#17507,.T.); -#17644=ORIENTED_EDGE('',*,*,#17643,.T.); -#17645=ORIENTED_EDGE('',*,*,#17583,.F.); -#17646=ORIENTED_EDGE('',*,*,#11760,.F.); -#17648=ORIENTED_EDGE('',*,*,#17647,.T.); -#17649=EDGE_LOOP('',(#17638,#17640,#17641,#17642,#17644,#17645,#17646,#17648)); -#17650=FACE_OUTER_BOUND('',#17649,.F.); -#17652=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.311724784545E0)); -#17653=DIRECTION('',(1.E0,0.E0,0.E0)); -#17654=DIRECTION('',(0.E0,1.E0,0.E0)); -#17655=AXIS2_PLACEMENT_3D('',#17652,#17653,#17654); -#17656=PLANE('',#17655); -#17657=ORIENTED_EDGE('',*,*,#11754,.F.); -#17658=ORIENTED_EDGE('',*,*,#17639,.T.); -#17659=ORIENTED_EDGE('',*,*,#17569,.T.); -#17661=ORIENTED_EDGE('',*,*,#17660,.F.); -#17662=EDGE_LOOP('',(#17657,#17658,#17659,#17661)); -#17663=FACE_OUTER_BOUND('',#17662,.F.); -#17665=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.311724784545E0)); -#17666=DIRECTION('',(0.E0,1.E0,0.E0)); -#17667=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17668=AXIS2_PLACEMENT_3D('',#17665,#17666,#17667); -#17669=PLANE('',#17668); -#17670=ORIENTED_EDGE('',*,*,#11756,.F.); -#17671=ORIENTED_EDGE('',*,*,#17660,.T.); -#17672=ORIENTED_EDGE('',*,*,#17567,.T.); -#17674=ORIENTED_EDGE('',*,*,#17673,.F.); -#17675=EDGE_LOOP('',(#17670,#17671,#17672,#17674)); -#17676=FACE_OUTER_BOUND('',#17675,.F.); -#17678=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.311724784545E0)); -#17679=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17680=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17681=AXIS2_PLACEMENT_3D('',#17678,#17679,#17680); -#17682=PLANE('',#17681); -#17683=ORIENTED_EDGE('',*,*,#11758,.F.); -#17684=ORIENTED_EDGE('',*,*,#17673,.T.); -#17685=ORIENTED_EDGE('',*,*,#17565,.T.); -#17686=ORIENTED_EDGE('',*,*,#17647,.F.); -#17687=EDGE_LOOP('',(#17683,#17684,#17685,#17686)); -#17688=FACE_OUTER_BOUND('',#17687,.F.); -#17690=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#17691=DIRECTION('',(0.E0,0.E0,1.E0)); -#17692=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17693=AXIS2_PLACEMENT_3D('',#17690,#17691,#17692); -#17694=PLANE('',#17693); -#17695=ORIENTED_EDGE('',*,*,#17585,.F.); -#17696=ORIENTED_EDGE('',*,*,#17643,.F.); -#17697=ORIENTED_EDGE('',*,*,#17505,.F.); -#17699=ORIENTED_EDGE('',*,*,#17698,.F.); -#17700=EDGE_LOOP('',(#17695,#17696,#17697,#17699)); -#17701=FACE_OUTER_BOUND('',#17700,.F.); -#17703=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,4.311724784545E0)); -#17704=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17705=DIRECTION('',(1.E0,0.E0,0.E0)); -#17706=AXIS2_PLACEMENT_3D('',#17703,#17704,#17705); -#17707=PLANE('',#17706); -#17708=ORIENTED_EDGE('',*,*,#17535,.F.); -#17710=ORIENTED_EDGE('',*,*,#17709,.F.); -#17711=ORIENTED_EDGE('',*,*,#11772,.F.); -#17712=ORIENTED_EDGE('',*,*,#17587,.T.); -#17713=ORIENTED_EDGE('',*,*,#17698,.T.); -#17714=ORIENTED_EDGE('',*,*,#17503,.F.); -#17715=ORIENTED_EDGE('',*,*,#11780,.F.); -#17717=ORIENTED_EDGE('',*,*,#17716,.T.); -#17718=EDGE_LOOP('',(#17708,#17710,#17711,#17712,#17713,#17714,#17715,#17717)); -#17719=FACE_OUTER_BOUND('',#17718,.F.); -#17721=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.311724784545E0)); -#17722=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17723=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17724=AXIS2_PLACEMENT_3D('',#17721,#17722,#17723); -#17725=PLANE('',#17724); -#17726=ORIENTED_EDGE('',*,*,#11774,.F.); -#17727=ORIENTED_EDGE('',*,*,#17709,.T.); -#17728=ORIENTED_EDGE('',*,*,#17541,.T.); -#17730=ORIENTED_EDGE('',*,*,#17729,.F.); -#17731=EDGE_LOOP('',(#17726,#17727,#17728,#17730)); -#17732=FACE_OUTER_BOUND('',#17731,.F.); -#17734=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.311724784545E0)); -#17735=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17736=DIRECTION('',(1.E0,0.E0,0.E0)); -#17737=AXIS2_PLACEMENT_3D('',#17734,#17735,#17736); -#17738=PLANE('',#17737); -#17739=ORIENTED_EDGE('',*,*,#11776,.F.); -#17740=ORIENTED_EDGE('',*,*,#17729,.T.); -#17741=ORIENTED_EDGE('',*,*,#17539,.T.); -#17743=ORIENTED_EDGE('',*,*,#17742,.F.); -#17744=EDGE_LOOP('',(#17739,#17740,#17741,#17743)); -#17745=FACE_OUTER_BOUND('',#17744,.F.); -#17747=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.311724784545E0)); -#17748=DIRECTION('',(1.E0,0.E0,0.E0)); -#17749=DIRECTION('',(0.E0,1.E0,0.E0)); -#17750=AXIS2_PLACEMENT_3D('',#17747,#17748,#17749); -#17751=PLANE('',#17750); -#17752=ORIENTED_EDGE('',*,*,#11778,.F.); -#17753=ORIENTED_EDGE('',*,*,#17742,.T.); -#17754=ORIENTED_EDGE('',*,*,#17537,.T.); -#17755=ORIENTED_EDGE('',*,*,#17716,.F.); -#17756=EDGE_LOOP('',(#17752,#17753,#17754,#17755)); -#17757=FACE_OUTER_BOUND('',#17756,.F.); -#17759=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.311724784545E0)); -#17760=DIRECTION('',(0.E0,1.E0,0.E0)); -#17761=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17762=AXIS2_PLACEMENT_3D('',#17759,#17760,#17761); -#17763=PLANE('',#17762); -#17764=ORIENTED_EDGE('',*,*,#11790,.F.); -#17766=ORIENTED_EDGE('',*,*,#17765,.T.); -#17768=ORIENTED_EDGE('',*,*,#17767,.T.); -#17770=ORIENTED_EDGE('',*,*,#17769,.F.); -#17771=EDGE_LOOP('',(#17764,#17766,#17768,#17770)); -#17772=FACE_OUTER_BOUND('',#17771,.F.); -#17774=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.311724784545E0)); -#17775=DIRECTION('',(1.E0,0.E0,0.E0)); -#17776=DIRECTION('',(0.E0,1.E0,0.E0)); -#17777=AXIS2_PLACEMENT_3D('',#17774,#17775,#17776); -#17778=PLANE('',#17777); -#17779=ORIENTED_EDGE('',*,*,#11804,.F.); -#17781=ORIENTED_EDGE('',*,*,#17780,.T.); -#17783=ORIENTED_EDGE('',*,*,#17782,.T.); -#17785=ORIENTED_EDGE('',*,*,#17784,.F.); -#17786=EDGE_LOOP('',(#17779,#17781,#17783,#17785)); -#17787=FACE_OUTER_BOUND('',#17786,.F.); -#17789=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.311724784545E0)); -#17790=DIRECTION('',(1.E0,0.E0,0.E0)); -#17791=DIRECTION('',(0.E0,1.E0,0.E0)); -#17792=AXIS2_PLACEMENT_3D('',#17789,#17790,#17791); -#17793=PLANE('',#17792); -#17794=ORIENTED_EDGE('',*,*,#11812,.F.); -#17796=ORIENTED_EDGE('',*,*,#17795,.T.); -#17798=ORIENTED_EDGE('',*,*,#17797,.T.); -#17799=ORIENTED_EDGE('',*,*,#17765,.F.); -#17800=EDGE_LOOP('',(#17794,#17796,#17798,#17799)); -#17801=FACE_OUTER_BOUND('',#17800,.F.); -#17803=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.311724784545E0)); -#17804=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17805=DIRECTION('',(1.E0,0.E0,0.E0)); -#17806=AXIS2_PLACEMENT_3D('',#17803,#17804,#17805); -#17807=PLANE('',#17806); -#17808=ORIENTED_EDGE('',*,*,#11802,.F.); -#17810=ORIENTED_EDGE('',*,*,#17809,.T.); -#17812=ORIENTED_EDGE('',*,*,#17811,.T.); -#17813=ORIENTED_EDGE('',*,*,#17780,.F.); -#17814=EDGE_LOOP('',(#17808,#17810,#17812,#17813)); -#17815=FACE_OUTER_BOUND('',#17814,.F.); -#17817=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.311724784545E0)); -#17818=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17819=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17820=AXIS2_PLACEMENT_3D('',#17817,#17818,#17819); -#17821=PLANE('',#17820); -#17822=ORIENTED_EDGE('',*,*,#11792,.F.); -#17823=ORIENTED_EDGE('',*,*,#17769,.T.); -#17825=ORIENTED_EDGE('',*,*,#17824,.T.); -#17827=ORIENTED_EDGE('',*,*,#17826,.F.); -#17828=EDGE_LOOP('',(#17822,#17823,#17825,#17827)); -#17829=FACE_OUTER_BOUND('',#17828,.F.); -#17831=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.311724784545E0)); -#17832=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17833=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17834=AXIS2_PLACEMENT_3D('',#17831,#17832,#17833); -#17835=PLANE('',#17834); -#17836=ORIENTED_EDGE('',*,*,#11800,.F.); -#17838=ORIENTED_EDGE('',*,*,#17837,.T.); -#17840=ORIENTED_EDGE('',*,*,#17839,.T.); -#17841=ORIENTED_EDGE('',*,*,#17809,.F.); -#17842=EDGE_LOOP('',(#17836,#17838,#17840,#17841)); -#17843=FACE_OUTER_BOUND('',#17842,.F.); -#17845=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); -#17846=DIRECTION('',(0.E0,0.E0,1.E0)); -#17847=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17848=AXIS2_PLACEMENT_3D('',#17845,#17846,#17847); -#17849=PLANE('',#17848); -#17851=ORIENTED_EDGE('',*,*,#17850,.F.); -#17852=ORIENTED_EDGE('',*,*,#17824,.F.); -#17853=ORIENTED_EDGE('',*,*,#17767,.F.); -#17854=ORIENTED_EDGE('',*,*,#17797,.F.); -#17855=EDGE_LOOP('',(#17851,#17852,#17853,#17854)); -#17856=FACE_OUTER_BOUND('',#17855,.F.); -#17858=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); -#17859=DIRECTION('',(0.E0,0.E0,1.E0)); -#17860=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17861=AXIS2_PLACEMENT_3D('',#17858,#17859,#17860); -#17862=PLANE('',#17861); -#17864=ORIENTED_EDGE('',*,*,#17863,.T.); -#17865=ORIENTED_EDGE('',*,*,#17782,.F.); -#17866=ORIENTED_EDGE('',*,*,#17811,.F.); -#17867=ORIENTED_EDGE('',*,*,#17839,.F.); -#17868=EDGE_LOOP('',(#17864,#17865,#17866,#17867)); -#17869=FACE_OUTER_BOUND('',#17868,.F.); -#17871=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,4.311724784545E0)); -#17872=DIRECTION('',(0.E0,1.E0,0.E0)); -#17873=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17874=AXIS2_PLACEMENT_3D('',#17871,#17872,#17873); -#17875=PLANE('',#17874); -#17876=ORIENTED_EDGE('',*,*,#17850,.T.); -#17877=ORIENTED_EDGE('',*,*,#17795,.F.); -#17878=ORIENTED_EDGE('',*,*,#11810,.F.); -#17880=ORIENTED_EDGE('',*,*,#17879,.T.); -#17882=ORIENTED_EDGE('',*,*,#17881,.T.); -#17884=ORIENTED_EDGE('',*,*,#17883,.F.); -#17885=ORIENTED_EDGE('',*,*,#11794,.F.); -#17886=ORIENTED_EDGE('',*,*,#17826,.T.); -#17887=EDGE_LOOP('',(#17876,#17877,#17878,#17880,#17882,#17884,#17885,#17886)); -#17888=FACE_OUTER_BOUND('',#17887,.F.); -#17890=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,4.311724784545E0)); -#17891=DIRECTION('',(1.E0,0.E0,0.E0)); -#17892=DIRECTION('',(0.E0,1.E0,0.E0)); -#17893=AXIS2_PLACEMENT_3D('',#17890,#17891,#17892); -#17894=PLANE('',#17893); -#17895=ORIENTED_EDGE('',*,*,#11808,.F.); -#17897=ORIENTED_EDGE('',*,*,#17896,.T.); -#17899=ORIENTED_EDGE('',*,*,#17898,.T.); -#17900=ORIENTED_EDGE('',*,*,#17879,.F.); -#17901=EDGE_LOOP('',(#17895,#17897,#17899,#17900)); -#17902=FACE_OUTER_BOUND('',#17901,.F.); -#17904=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,4.311724784545E0)); -#17905=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17906=DIRECTION('',(1.E0,0.E0,0.E0)); -#17907=AXIS2_PLACEMENT_3D('',#17904,#17905,#17906); -#17908=PLANE('',#17907); -#17909=ORIENTED_EDGE('',*,*,#17863,.F.); -#17910=ORIENTED_EDGE('',*,*,#17837,.F.); -#17911=ORIENTED_EDGE('',*,*,#11798,.F.); -#17913=ORIENTED_EDGE('',*,*,#17912,.T.); -#17915=ORIENTED_EDGE('',*,*,#17914,.T.); -#17916=ORIENTED_EDGE('',*,*,#17896,.F.); -#17917=ORIENTED_EDGE('',*,*,#11806,.F.); -#17918=ORIENTED_EDGE('',*,*,#17784,.T.); -#17919=EDGE_LOOP('',(#17909,#17910,#17911,#17913,#17915,#17916,#17917,#17918)); -#17920=FACE_OUTER_BOUND('',#17919,.F.); -#17922=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,4.311724784545E0)); -#17923=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17924=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17925=AXIS2_PLACEMENT_3D('',#17922,#17923,#17924); -#17926=PLANE('',#17925); -#17927=ORIENTED_EDGE('',*,*,#11796,.F.); -#17928=ORIENTED_EDGE('',*,*,#17883,.T.); -#17930=ORIENTED_EDGE('',*,*,#17929,.T.); -#17931=ORIENTED_EDGE('',*,*,#17912,.F.); -#17932=EDGE_LOOP('',(#17927,#17928,#17930,#17931)); -#17933=FACE_OUTER_BOUND('',#17932,.F.); -#17935=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#17936=DIRECTION('',(0.E0,0.E0,1.E0)); -#17937=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17938=AXIS2_PLACEMENT_3D('',#17935,#17936,#17937); -#17939=PLANE('',#17938); -#17940=ORIENTED_EDGE('',*,*,#17881,.F.); -#17941=ORIENTED_EDGE('',*,*,#17898,.F.); -#17942=ORIENTED_EDGE('',*,*,#17914,.F.); -#17943=ORIENTED_EDGE('',*,*,#17929,.F.); -#17944=EDGE_LOOP('',(#17940,#17941,#17942,#17943)); -#17945=FACE_OUTER_BOUND('',#17944,.F.); -#17947=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.311724784545E0)); -#17948=DIRECTION('',(0.E0,1.E0,0.E0)); -#17949=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17950=AXIS2_PLACEMENT_3D('',#17947,#17948,#17949); -#17951=PLANE('',#17950); -#17952=ORIENTED_EDGE('',*,*,#11816,.F.); -#17954=ORIENTED_EDGE('',*,*,#17953,.T.); -#17956=ORIENTED_EDGE('',*,*,#17955,.T.); -#17958=ORIENTED_EDGE('',*,*,#17957,.F.); -#17959=EDGE_LOOP('',(#17952,#17954,#17956,#17958)); -#17960=FACE_OUTER_BOUND('',#17959,.F.); -#17962=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.311724784545E0)); -#17963=DIRECTION('',(1.E0,0.E0,0.E0)); -#17964=DIRECTION('',(0.E0,1.E0,0.E0)); -#17965=AXIS2_PLACEMENT_3D('',#17962,#17963,#17964); -#17966=PLANE('',#17965); -#17967=ORIENTED_EDGE('',*,*,#11822,.F.); -#17969=ORIENTED_EDGE('',*,*,#17968,.T.); -#17971=ORIENTED_EDGE('',*,*,#17970,.T.); -#17972=ORIENTED_EDGE('',*,*,#17953,.F.); -#17973=EDGE_LOOP('',(#17967,#17969,#17971,#17972)); -#17974=FACE_OUTER_BOUND('',#17973,.F.); -#17976=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.311724784545E0)); -#17977=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17978=DIRECTION('',(1.E0,0.E0,0.E0)); -#17979=AXIS2_PLACEMENT_3D('',#17976,#17977,#17978); -#17980=PLANE('',#17979); -#17981=ORIENTED_EDGE('',*,*,#11820,.F.); -#17983=ORIENTED_EDGE('',*,*,#17982,.T.); -#17985=ORIENTED_EDGE('',*,*,#17984,.T.); -#17986=ORIENTED_EDGE('',*,*,#17968,.F.); -#17987=EDGE_LOOP('',(#17981,#17983,#17985,#17986)); -#17988=FACE_OUTER_BOUND('',#17987,.F.); -#17990=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.311724784545E0)); -#17991=DIRECTION('',(-1.E0,0.E0,0.E0)); -#17992=DIRECTION('',(0.E0,-1.E0,0.E0)); -#17993=AXIS2_PLACEMENT_3D('',#17990,#17991,#17992); -#17994=PLANE('',#17993); -#17995=ORIENTED_EDGE('',*,*,#11818,.F.); -#17996=ORIENTED_EDGE('',*,*,#17957,.T.); -#17998=ORIENTED_EDGE('',*,*,#17997,.T.); -#17999=ORIENTED_EDGE('',*,*,#17982,.F.); -#18000=EDGE_LOOP('',(#17995,#17996,#17998,#17999)); -#18001=FACE_OUTER_BOUND('',#18000,.F.); -#18003=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#18004=DIRECTION('',(0.E0,0.E0,1.E0)); -#18005=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18006=AXIS2_PLACEMENT_3D('',#18003,#18004,#18005); -#18007=PLANE('',#18006); -#18008=ORIENTED_EDGE('',*,*,#17955,.F.); -#18009=ORIENTED_EDGE('',*,*,#17970,.F.); -#18010=ORIENTED_EDGE('',*,*,#17984,.F.); -#18011=ORIENTED_EDGE('',*,*,#17997,.F.); -#18012=EDGE_LOOP('',(#18008,#18009,#18010,#18011)); -#18013=FACE_OUTER_BOUND('',#18012,.F.); -#18015=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.311724784545E0)); -#18016=DIRECTION('',(1.E0,0.E0,0.E0)); -#18017=DIRECTION('',(0.E0,1.E0,0.E0)); -#18018=AXIS2_PLACEMENT_3D('',#18015,#18016,#18017); -#18019=PLANE('',#18018); -#18020=ORIENTED_EDGE('',*,*,#11826,.F.); -#18022=ORIENTED_EDGE('',*,*,#18021,.T.); -#18024=ORIENTED_EDGE('',*,*,#18023,.T.); -#18026=ORIENTED_EDGE('',*,*,#18025,.F.); -#18027=EDGE_LOOP('',(#18020,#18022,#18024,#18026)); -#18028=FACE_OUTER_BOUND('',#18027,.F.); -#18030=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.311724784545E0)); -#18031=DIRECTION('',(0.E0,1.E0,0.E0)); -#18032=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18033=AXIS2_PLACEMENT_3D('',#18030,#18031,#18032); -#18034=PLANE('',#18033); -#18036=ORIENTED_EDGE('',*,*,#18035,.T.); -#18037=ORIENTED_EDGE('',*,*,#18021,.F.); -#18038=ORIENTED_EDGE('',*,*,#11856,.F.); -#18040=ORIENTED_EDGE('',*,*,#18039,.T.); -#18042=ORIENTED_EDGE('',*,*,#18041,.T.); -#18044=ORIENTED_EDGE('',*,*,#18043,.F.); -#18045=ORIENTED_EDGE('',*,*,#11832,.F.); -#18047=ORIENTED_EDGE('',*,*,#18046,.T.); -#18048=EDGE_LOOP('',(#18036,#18037,#18038,#18040,#18042,#18044,#18045,#18047)); -#18049=FACE_OUTER_BOUND('',#18048,.F.); -#18051=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); -#18052=DIRECTION('',(0.E0,0.E0,1.E0)); -#18053=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18054=AXIS2_PLACEMENT_3D('',#18051,#18052,#18053); -#18055=PLANE('',#18054); -#18056=ORIENTED_EDGE('',*,*,#18035,.F.); -#18058=ORIENTED_EDGE('',*,*,#18057,.F.); -#18060=ORIENTED_EDGE('',*,*,#18059,.F.); -#18061=ORIENTED_EDGE('',*,*,#18023,.F.); -#18062=EDGE_LOOP('',(#18056,#18058,#18060,#18061)); -#18063=FACE_OUTER_BOUND('',#18062,.F.); -#18065=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); -#18066=DIRECTION('',(0.E0,0.E0,1.E0)); -#18067=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18068=AXIS2_PLACEMENT_3D('',#18065,#18066,#18067); -#18069=PLANE('',#18068); -#18071=ORIENTED_EDGE('',*,*,#18070,.T.); -#18073=ORIENTED_EDGE('',*,*,#18072,.F.); -#18075=ORIENTED_EDGE('',*,*,#18074,.F.); -#18077=ORIENTED_EDGE('',*,*,#18076,.F.); -#18078=EDGE_LOOP('',(#18071,#18073,#18075,#18077)); -#18079=FACE_OUTER_BOUND('',#18078,.F.); -#18081=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); -#18082=DIRECTION('',(0.E0,0.E0,1.E0)); -#18083=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18084=AXIS2_PLACEMENT_3D('',#18081,#18082,#18083); -#18085=PLANE('',#18084); -#18087=ORIENTED_EDGE('',*,*,#18086,.T.); -#18089=ORIENTED_EDGE('',*,*,#18088,.F.); -#18091=ORIENTED_EDGE('',*,*,#18090,.F.); -#18093=ORIENTED_EDGE('',*,*,#18092,.F.); -#18094=EDGE_LOOP('',(#18087,#18089,#18091,#18093)); -#18095=FACE_OUTER_BOUND('',#18094,.F.); -#18097=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.311724784545E0)); -#18098=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18099=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18100=AXIS2_PLACEMENT_3D('',#18097,#18098,#18099); -#18101=PLANE('',#18100); -#18102=ORIENTED_EDGE('',*,*,#11830,.F.); -#18104=ORIENTED_EDGE('',*,*,#18103,.T.); -#18105=ORIENTED_EDGE('',*,*,#18057,.T.); -#18106=ORIENTED_EDGE('',*,*,#18046,.F.); -#18107=EDGE_LOOP('',(#18102,#18104,#18105,#18106)); -#18108=FACE_OUTER_BOUND('',#18107,.F.); -#18110=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.311724784545E0)); -#18111=DIRECTION('',(0.E0,1.E0,0.E0)); -#18112=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18113=AXIS2_PLACEMENT_3D('',#18110,#18111,#18112); -#18114=PLANE('',#18113); -#18115=ORIENTED_EDGE('',*,*,#11828,.F.); -#18116=ORIENTED_EDGE('',*,*,#18025,.T.); -#18117=ORIENTED_EDGE('',*,*,#18059,.T.); -#18118=ORIENTED_EDGE('',*,*,#18103,.F.); -#18119=EDGE_LOOP('',(#18115,#18116,#18117,#18118)); -#18120=FACE_OUTER_BOUND('',#18119,.F.); -#18122=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.311724784545E0)); -#18123=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18124=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18125=AXIS2_PLACEMENT_3D('',#18122,#18123,#18124); -#18126=PLANE('',#18125); -#18127=ORIENTED_EDGE('',*,*,#18070,.F.); -#18129=ORIENTED_EDGE('',*,*,#18128,.F.); -#18130=ORIENTED_EDGE('',*,*,#11834,.F.); -#18131=ORIENTED_EDGE('',*,*,#18043,.T.); -#18133=ORIENTED_EDGE('',*,*,#18132,.T.); -#18135=ORIENTED_EDGE('',*,*,#18134,.F.); -#18136=ORIENTED_EDGE('',*,*,#11842,.F.); -#18138=ORIENTED_EDGE('',*,*,#18137,.T.); -#18139=EDGE_LOOP('',(#18127,#18129,#18130,#18131,#18133,#18135,#18136,#18138)); -#18140=FACE_OUTER_BOUND('',#18139,.F.); -#18142=CARTESIAN_POINT('',(1.000269506742E2,1.00939237E2,4.311724784545E0)); -#18143=DIRECTION('',(0.E0,1.E0,0.E0)); -#18144=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18145=AXIS2_PLACEMENT_3D('',#18142,#18143,#18144); -#18146=PLANE('',#18145); -#18147=ORIENTED_EDGE('',*,*,#11836,.F.); -#18148=ORIENTED_EDGE('',*,*,#18128,.T.); -#18149=ORIENTED_EDGE('',*,*,#18076,.T.); -#18151=ORIENTED_EDGE('',*,*,#18150,.F.); -#18152=EDGE_LOOP('',(#18147,#18148,#18149,#18151)); -#18153=FACE_OUTER_BOUND('',#18152,.F.); -#18155=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.311724784545E0)); -#18156=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18157=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18158=AXIS2_PLACEMENT_3D('',#18155,#18156,#18157); -#18159=PLANE('',#18158); -#18160=ORIENTED_EDGE('',*,*,#11838,.F.); -#18161=ORIENTED_EDGE('',*,*,#18150,.T.); -#18162=ORIENTED_EDGE('',*,*,#18074,.T.); -#18164=ORIENTED_EDGE('',*,*,#18163,.F.); -#18165=EDGE_LOOP('',(#18160,#18161,#18162,#18164)); -#18166=FACE_OUTER_BOUND('',#18165,.F.); -#18168=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.311724784545E0)); -#18169=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18170=DIRECTION('',(1.E0,0.E0,0.E0)); -#18171=AXIS2_PLACEMENT_3D('',#18168,#18169,#18170); -#18172=PLANE('',#18171); -#18173=ORIENTED_EDGE('',*,*,#11840,.F.); -#18174=ORIENTED_EDGE('',*,*,#18163,.T.); -#18175=ORIENTED_EDGE('',*,*,#18072,.T.); -#18176=ORIENTED_EDGE('',*,*,#18137,.F.); -#18177=EDGE_LOOP('',(#18173,#18174,#18175,#18176)); -#18178=FACE_OUTER_BOUND('',#18177,.F.); -#18180=CARTESIAN_POINT('',(0.E0,0.E0,4.611724784545E0)); -#18181=DIRECTION('',(0.E0,0.E0,1.E0)); -#18182=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18183=AXIS2_PLACEMENT_3D('',#18180,#18181,#18182); -#18184=PLANE('',#18183); -#18186=ORIENTED_EDGE('',*,*,#18185,.F.); -#18188=ORIENTED_EDGE('',*,*,#18187,.F.); -#18189=ORIENTED_EDGE('',*,*,#18132,.F.); -#18190=ORIENTED_EDGE('',*,*,#18041,.F.); -#18192=ORIENTED_EDGE('',*,*,#18191,.F.); -#18194=ORIENTED_EDGE('',*,*,#18193,.T.); -#18196=ORIENTED_EDGE('',*,*,#18195,.T.); -#18198=ORIENTED_EDGE('',*,*,#18197,.T.); -#18199=EDGE_LOOP('',(#18186,#18188,#18189,#18190,#18192,#18194,#18196,#18198)); -#18200=FACE_OUTER_BOUND('',#18199,.F.); -#18202=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.311724784545E0)); -#18203=DIRECTION('',(1.E0,0.E0,0.E0)); -#18204=DIRECTION('',(0.E0,1.E0,0.E0)); -#18205=AXIS2_PLACEMENT_3D('',#18202,#18203,#18204); -#18206=PLANE('',#18205); -#18207=ORIENTED_EDGE('',*,*,#11854,.F.); -#18209=ORIENTED_EDGE('',*,*,#18208,.T.); -#18210=ORIENTED_EDGE('',*,*,#18185,.T.); -#18211=ORIENTED_EDGE('',*,*,#18191,.T.); -#18212=ORIENTED_EDGE('',*,*,#18039,.F.); -#18213=EDGE_LOOP('',(#18207,#18209,#18210,#18211,#18212)); -#18214=FACE_OUTER_BOUND('',#18213,.F.); -#18216=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.311724784545E0)); -#18217=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18218=DIRECTION('',(1.E0,0.E0,0.E0)); -#18219=AXIS2_PLACEMENT_3D('',#18216,#18217,#18218); -#18220=PLANE('',#18219); -#18221=ORIENTED_EDGE('',*,*,#18086,.F.); -#18223=ORIENTED_EDGE('',*,*,#18222,.F.); -#18224=ORIENTED_EDGE('',*,*,#11844,.F.); -#18225=ORIENTED_EDGE('',*,*,#18134,.T.); -#18226=ORIENTED_EDGE('',*,*,#18187,.T.); -#18227=ORIENTED_EDGE('',*,*,#18208,.F.); -#18228=ORIENTED_EDGE('',*,*,#11852,.F.); -#18230=ORIENTED_EDGE('',*,*,#18229,.T.); -#18231=EDGE_LOOP('',(#18221,#18223,#18224,#18225,#18226,#18227,#18228,#18230)); -#18232=FACE_OUTER_BOUND('',#18231,.F.); -#18234=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.311724784545E0)); -#18235=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18236=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18237=AXIS2_PLACEMENT_3D('',#18234,#18235,#18236); -#18238=PLANE('',#18237); -#18239=ORIENTED_EDGE('',*,*,#11846,.F.); -#18240=ORIENTED_EDGE('',*,*,#18222,.T.); -#18241=ORIENTED_EDGE('',*,*,#18092,.T.); -#18243=ORIENTED_EDGE('',*,*,#18242,.F.); -#18244=EDGE_LOOP('',(#18239,#18240,#18241,#18243)); -#18245=FACE_OUTER_BOUND('',#18244,.F.); -#18247=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.311724784545E0)); -#18248=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18249=DIRECTION('',(1.E0,0.E0,0.E0)); -#18250=AXIS2_PLACEMENT_3D('',#18247,#18248,#18249); -#18251=PLANE('',#18250); -#18252=ORIENTED_EDGE('',*,*,#11848,.F.); -#18253=ORIENTED_EDGE('',*,*,#18242,.T.); -#18254=ORIENTED_EDGE('',*,*,#18090,.T.); -#18256=ORIENTED_EDGE('',*,*,#18255,.F.); -#18257=EDGE_LOOP('',(#18252,#18253,#18254,#18256)); -#18258=FACE_OUTER_BOUND('',#18257,.F.); -#18260=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.311724784545E0)); -#18261=DIRECTION('',(1.E0,0.E0,0.E0)); -#18262=DIRECTION('',(0.E0,1.E0,0.E0)); -#18263=AXIS2_PLACEMENT_3D('',#18260,#18261,#18262); -#18264=PLANE('',#18263); -#18265=ORIENTED_EDGE('',*,*,#11850,.F.); -#18266=ORIENTED_EDGE('',*,*,#18255,.T.); -#18267=ORIENTED_EDGE('',*,*,#18088,.T.); -#18268=ORIENTED_EDGE('',*,*,#18229,.F.); -#18269=EDGE_LOOP('',(#18265,#18266,#18267,#18268)); -#18270=FACE_OUTER_BOUND('',#18269,.F.); -#18272=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); -#18273=DIRECTION('',(0.E0,0.E0,1.E0)); -#18274=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18275=AXIS2_PLACEMENT_3D('',#18272,#18273,#18274); -#18276=CYLINDRICAL_SURFACE('',#18275,1.E0); -#18277=ORIENTED_EDGE('',*,*,#18197,.F.); -#18279=ORIENTED_EDGE('',*,*,#18278,.T.); -#18281=ORIENTED_EDGE('',*,*,#18280,.T.); -#18283=ORIENTED_EDGE('',*,*,#18282,.F.); -#18284=ORIENTED_EDGE('',*,*,#18193,.F.); -#18285=EDGE_LOOP('',(#18277,#18279,#18281,#18283,#18284)); -#18286=FACE_OUTER_BOUND('',#18285,.F.); -#18288=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); -#18289=DIRECTION('',(0.E0,0.E0,1.E0)); -#18290=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18291=AXIS2_PLACEMENT_3D('',#18288,#18289,#18290); -#18292=CYLINDRICAL_SURFACE('',#18291,1.E0); -#18293=ORIENTED_EDGE('',*,*,#18195,.F.); -#18294=ORIENTED_EDGE('',*,*,#18282,.T.); -#18296=ORIENTED_EDGE('',*,*,#18295,.T.); -#18297=ORIENTED_EDGE('',*,*,#18278,.F.); -#18298=EDGE_LOOP('',(#18293,#18294,#18296,#18297)); -#18299=FACE_OUTER_BOUND('',#18298,.F.); -#18301=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#18302=DIRECTION('',(0.E0,0.E0,1.E0)); -#18303=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18304=AXIS2_PLACEMENT_3D('',#18301,#18302,#18303); -#18305=PLANE('',#18304); -#18306=ORIENTED_EDGE('',*,*,#18280,.F.); -#18307=ORIENTED_EDGE('',*,*,#18295,.F.); -#18308=EDGE_LOOP('',(#18306,#18307)); -#18309=FACE_OUTER_BOUND('',#18308,.F.); -#18311=ORIENTED_EDGE('',*,*,#18310,.T.); -#18313=ORIENTED_EDGE('',*,*,#18312,.T.); -#18314=EDGE_LOOP('',(#18311,#18313)); -#18315=FACE_BOUND('',#18314,.F.); -#18317=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#18318=DIRECTION('',(0.E0,0.E0,1.E0)); -#18319=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18320=AXIS2_PLACEMENT_3D('',#18317,#18318,#18319); -#18321=PLANE('',#18320); -#18323=ORIENTED_EDGE('',*,*,#18322,.F.); -#18325=ORIENTED_EDGE('',*,*,#18324,.F.); -#18326=EDGE_LOOP('',(#18323,#18325)); -#18327=FACE_OUTER_BOUND('',#18326,.F.); -#18329=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); -#18330=DIRECTION('',(0.E0,0.E0,1.E0)); -#18331=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18332=AXIS2_PLACEMENT_3D('',#18329,#18330,#18331); -#18333=CYLINDRICAL_SURFACE('',#18332,8.E-1); -#18334=ORIENTED_EDGE('',*,*,#18310,.F.); -#18336=ORIENTED_EDGE('',*,*,#18335,.F.); -#18338=ORIENTED_EDGE('',*,*,#18337,.T.); -#18340=ORIENTED_EDGE('',*,*,#18339,.T.); -#18341=EDGE_LOOP('',(#18334,#18336,#18338,#18340)); -#18342=FACE_OUTER_BOUND('',#18341,.F.); -#18344=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); -#18345=DIRECTION('',(0.E0,0.E0,1.E0)); -#18346=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18347=AXIS2_PLACEMENT_3D('',#18344,#18345,#18346); -#18348=CYLINDRICAL_SURFACE('',#18347,8.E-1); -#18349=ORIENTED_EDGE('',*,*,#18312,.F.); -#18350=ORIENTED_EDGE('',*,*,#18339,.F.); -#18352=ORIENTED_EDGE('',*,*,#18351,.T.); -#18353=ORIENTED_EDGE('',*,*,#18335,.T.); -#18354=EDGE_LOOP('',(#18349,#18350,#18352,#18353)); -#18355=FACE_OUTER_BOUND('',#18354,.F.); -#18357=CARTESIAN_POINT('',(0.E0,0.E0,4.311724784545E0)); -#18358=DIRECTION('',(0.E0,0.E0,1.E0)); -#18359=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18360=AXIS2_PLACEMENT_3D('',#18357,#18358,#18359); -#18361=PLANE('',#18360); -#18362=ORIENTED_EDGE('',*,*,#18337,.F.); -#18363=ORIENTED_EDGE('',*,*,#18351,.F.); -#18364=EDGE_LOOP('',(#18362,#18363)); -#18365=FACE_OUTER_BOUND('',#18364,.F.); -#18367=ORIENTED_EDGE('',*,*,#18366,.F.); -#18369=ORIENTED_EDGE('',*,*,#18368,.F.); -#18370=EDGE_LOOP('',(#18367,#18369)); -#18371=FACE_BOUND('',#18370,.F.); -#18373=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); -#18374=DIRECTION('',(0.E0,0.E0,1.E0)); -#18375=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18376=AXIS2_PLACEMENT_3D('',#18373,#18374,#18375); -#18377=CYLINDRICAL_SURFACE('',#18376,2.5E-1); -#18378=ORIENTED_EDGE('',*,*,#18322,.T.); -#18380=ORIENTED_EDGE('',*,*,#18379,.F.); -#18381=ORIENTED_EDGE('',*,*,#18366,.T.); -#18383=ORIENTED_EDGE('',*,*,#18382,.T.); -#18384=EDGE_LOOP('',(#18378,#18380,#18381,#18383)); -#18385=FACE_OUTER_BOUND('',#18384,.F.); -#18387=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); -#18388=DIRECTION('',(0.E0,0.E0,1.E0)); -#18389=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18390=AXIS2_PLACEMENT_3D('',#18387,#18388,#18389); -#18391=CYLINDRICAL_SURFACE('',#18390,2.5E-1); -#18392=ORIENTED_EDGE('',*,*,#18324,.T.); -#18393=ORIENTED_EDGE('',*,*,#18382,.F.); -#18394=ORIENTED_EDGE('',*,*,#18368,.T.); -#18395=ORIENTED_EDGE('',*,*,#18379,.T.); -#18396=EDGE_LOOP('',(#18392,#18393,#18394,#18395)); -#18397=FACE_OUTER_BOUND('',#18396,.F.); -#18399=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.311724784545E0)); -#18400=DIRECTION('',(0.E0,1.E0,0.E0)); -#18401=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18402=AXIS2_PLACEMENT_3D('',#18399,#18400,#18401); -#18403=PLANE('',#18402); -#18404=ORIENTED_EDGE('',*,*,#11860,.F.); -#18406=ORIENTED_EDGE('',*,*,#18405,.T.); -#18408=ORIENTED_EDGE('',*,*,#18407,.T.); -#18410=ORIENTED_EDGE('',*,*,#18409,.F.); -#18411=EDGE_LOOP('',(#18404,#18406,#18408,#18410)); -#18412=FACE_OUTER_BOUND('',#18411,.F.); -#18414=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.311724784545E0)); -#18415=DIRECTION('',(1.E0,0.E0,0.E0)); -#18416=DIRECTION('',(0.E0,1.E0,0.E0)); -#18417=AXIS2_PLACEMENT_3D('',#18414,#18415,#18416); -#18418=PLANE('',#18417); -#18419=ORIENTED_EDGE('',*,*,#11866,.F.); -#18421=ORIENTED_EDGE('',*,*,#18420,.T.); -#18423=ORIENTED_EDGE('',*,*,#18422,.T.); -#18424=ORIENTED_EDGE('',*,*,#18405,.F.); -#18425=EDGE_LOOP('',(#18419,#18421,#18423,#18424)); -#18426=FACE_OUTER_BOUND('',#18425,.F.); -#18428=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.311724784545E0)); -#18429=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18430=DIRECTION('',(1.E0,0.E0,0.E0)); -#18431=AXIS2_PLACEMENT_3D('',#18428,#18429,#18430); -#18432=PLANE('',#18431); -#18433=ORIENTED_EDGE('',*,*,#11864,.F.); -#18435=ORIENTED_EDGE('',*,*,#18434,.T.); -#18437=ORIENTED_EDGE('',*,*,#18436,.T.); -#18438=ORIENTED_EDGE('',*,*,#18420,.F.); -#18439=EDGE_LOOP('',(#18433,#18435,#18437,#18438)); -#18440=FACE_OUTER_BOUND('',#18439,.F.); -#18442=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.311724784545E0)); -#18443=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18444=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18445=AXIS2_PLACEMENT_3D('',#18442,#18443,#18444); -#18446=PLANE('',#18445); -#18447=ORIENTED_EDGE('',*,*,#11862,.F.); -#18448=ORIENTED_EDGE('',*,*,#18409,.T.); -#18450=ORIENTED_EDGE('',*,*,#18449,.T.); -#18451=ORIENTED_EDGE('',*,*,#18434,.F.); -#18452=EDGE_LOOP('',(#18447,#18448,#18450,#18451)); -#18453=FACE_OUTER_BOUND('',#18452,.F.); -#18455=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#18456=DIRECTION('',(0.E0,0.E0,1.E0)); -#18457=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18458=AXIS2_PLACEMENT_3D('',#18455,#18456,#18457); -#18459=PLANE('',#18458); -#18460=ORIENTED_EDGE('',*,*,#18407,.F.); -#18461=ORIENTED_EDGE('',*,*,#18422,.F.); -#18462=ORIENTED_EDGE('',*,*,#18436,.F.); -#18463=ORIENTED_EDGE('',*,*,#18449,.F.); -#18464=EDGE_LOOP('',(#18460,#18461,#18462,#18463)); -#18465=FACE_OUTER_BOUND('',#18464,.F.); -#18467=FILL_AREA_STYLE_COLOUR('',#44); -#18468=FILL_AREA_STYLE('',(#18467)); -#18469=SURFACE_STYLE_FILL_AREA(#18468); -#18470=SURFACE_SIDE_STYLE('',(#18469)); -#18471=SURFACE_STYLE_USAGE(.POSITIVE.,#18470); -#18472=FILL_AREA_STYLE_COLOUR('',#5); -#18473=FILL_AREA_STYLE('',(#18472)); -#18474=SURFACE_STYLE_FILL_AREA(#18473); -#18475=SURFACE_SIDE_STYLE('',(#18474)); -#18476=SURFACE_STYLE_USAGE(.NEGATIVE.,#18475); -#18477=PRESENTATION_STYLE_ASSIGNMENT((#18471,#18476)); -#18478=STYLED_ITEM('',(#18477),#18466); -#18479=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#18480=DIRECTION('',(0.E0,0.E0,1.E0)); -#18481=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18482=AXIS2_PLACEMENT_3D('',#18479,#18480,#18481); -#18483=PLANE('',#18482); -#18485=ORIENTED_EDGE('',*,*,#18484,.F.); -#18487=ORIENTED_EDGE('',*,*,#18486,.F.); -#18489=ORIENTED_EDGE('',*,*,#18488,.F.); -#18491=ORIENTED_EDGE('',*,*,#18490,.F.); -#18492=EDGE_LOOP('',(#18485,#18487,#18489,#18491)); -#18493=FACE_OUTER_BOUND('',#18492,.F.); -#18495=FILL_AREA_STYLE_COLOUR('',#44); -#18496=FILL_AREA_STYLE('',(#18495)); -#18497=SURFACE_STYLE_FILL_AREA(#18496); -#18498=SURFACE_SIDE_STYLE('',(#18497)); -#18499=SURFACE_STYLE_USAGE(.POSITIVE.,#18498); -#18500=FILL_AREA_STYLE_COLOUR('',#5); -#18501=FILL_AREA_STYLE('',(#18500)); -#18502=SURFACE_STYLE_FILL_AREA(#18501); -#18503=SURFACE_SIDE_STYLE('',(#18502)); -#18504=SURFACE_STYLE_USAGE(.NEGATIVE.,#18503); -#18505=PRESENTATION_STYLE_ASSIGNMENT((#18499,#18504)); -#18506=STYLED_ITEM('',(#18505),#18494); -#18507=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.311724784545E0)); -#18508=DIRECTION('',(0.E0,1.E0,0.E0)); -#18509=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18510=AXIS2_PLACEMENT_3D('',#18507,#18508,#18509); -#18511=PLANE('',#18510); -#18512=ORIENTED_EDGE('',*,*,#11870,.F.); -#18514=ORIENTED_EDGE('',*,*,#18513,.T.); -#18515=ORIENTED_EDGE('',*,*,#18484,.T.); -#18517=ORIENTED_EDGE('',*,*,#18516,.F.); -#18518=EDGE_LOOP('',(#18512,#18514,#18515,#18517)); -#18519=FACE_OUTER_BOUND('',#18518,.F.); -#18521=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.311724784545E0)); -#18522=DIRECTION('',(1.E0,0.E0,0.E0)); -#18523=DIRECTION('',(0.E0,1.E0,0.E0)); -#18524=AXIS2_PLACEMENT_3D('',#18521,#18522,#18523); -#18525=PLANE('',#18524); -#18526=ORIENTED_EDGE('',*,*,#11876,.F.); -#18528=ORIENTED_EDGE('',*,*,#18527,.T.); -#18529=ORIENTED_EDGE('',*,*,#18486,.T.); -#18530=ORIENTED_EDGE('',*,*,#18513,.F.); -#18531=EDGE_LOOP('',(#18526,#18528,#18529,#18530)); -#18532=FACE_OUTER_BOUND('',#18531,.F.); -#18534=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.311724784545E0)); -#18535=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18536=DIRECTION('',(1.E0,0.E0,0.E0)); -#18537=AXIS2_PLACEMENT_3D('',#18534,#18535,#18536); -#18538=PLANE('',#18537); -#18539=ORIENTED_EDGE('',*,*,#11874,.F.); -#18541=ORIENTED_EDGE('',*,*,#18540,.T.); -#18542=ORIENTED_EDGE('',*,*,#18488,.T.); -#18543=ORIENTED_EDGE('',*,*,#18527,.F.); -#18544=EDGE_LOOP('',(#18539,#18541,#18542,#18543)); -#18545=FACE_OUTER_BOUND('',#18544,.F.); -#18547=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.311724784545E0)); -#18548=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18549=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18550=AXIS2_PLACEMENT_3D('',#18547,#18548,#18549); -#18551=PLANE('',#18550); -#18552=ORIENTED_EDGE('',*,*,#11872,.F.); -#18553=ORIENTED_EDGE('',*,*,#18516,.T.); -#18554=ORIENTED_EDGE('',*,*,#18490,.T.); -#18555=ORIENTED_EDGE('',*,*,#18540,.F.); -#18556=EDGE_LOOP('',(#18552,#18553,#18554,#18555)); -#18557=FACE_OUTER_BOUND('',#18556,.F.); -#18559=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.311724784545E0)); -#18560=DIRECTION('',(0.E0,1.E0,0.E0)); -#18561=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18562=AXIS2_PLACEMENT_3D('',#18559,#18560,#18561); -#18563=PLANE('',#18562); -#18564=ORIENTED_EDGE('',*,*,#11880,.F.); -#18566=ORIENTED_EDGE('',*,*,#18565,.T.); -#18568=ORIENTED_EDGE('',*,*,#18567,.T.); -#18570=ORIENTED_EDGE('',*,*,#18569,.F.); -#18571=EDGE_LOOP('',(#18564,#18566,#18568,#18570)); -#18572=FACE_OUTER_BOUND('',#18571,.F.); -#18574=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.311724784545E0)); -#18575=DIRECTION('',(1.E0,0.E0,0.E0)); -#18576=DIRECTION('',(0.E0,1.E0,0.E0)); -#18577=AXIS2_PLACEMENT_3D('',#18574,#18575,#18576); -#18578=PLANE('',#18577); -#18579=ORIENTED_EDGE('',*,*,#11886,.F.); -#18581=ORIENTED_EDGE('',*,*,#18580,.T.); -#18583=ORIENTED_EDGE('',*,*,#18582,.T.); -#18584=ORIENTED_EDGE('',*,*,#18565,.F.); -#18585=EDGE_LOOP('',(#18579,#18581,#18583,#18584)); -#18586=FACE_OUTER_BOUND('',#18585,.F.); -#18588=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.311724784545E0)); -#18589=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18590=DIRECTION('',(1.E0,0.E0,0.E0)); -#18591=AXIS2_PLACEMENT_3D('',#18588,#18589,#18590); -#18592=PLANE('',#18591); -#18593=ORIENTED_EDGE('',*,*,#11884,.F.); -#18595=ORIENTED_EDGE('',*,*,#18594,.T.); -#18597=ORIENTED_EDGE('',*,*,#18596,.T.); -#18598=ORIENTED_EDGE('',*,*,#18580,.F.); -#18599=EDGE_LOOP('',(#18593,#18595,#18597,#18598)); -#18600=FACE_OUTER_BOUND('',#18599,.F.); -#18602=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.311724784545E0)); -#18603=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18604=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18605=AXIS2_PLACEMENT_3D('',#18602,#18603,#18604); -#18606=PLANE('',#18605); -#18607=ORIENTED_EDGE('',*,*,#11882,.F.); -#18608=ORIENTED_EDGE('',*,*,#18569,.T.); -#18610=ORIENTED_EDGE('',*,*,#18609,.T.); -#18611=ORIENTED_EDGE('',*,*,#18594,.F.); -#18612=EDGE_LOOP('',(#18607,#18608,#18610,#18611)); -#18613=FACE_OUTER_BOUND('',#18612,.F.); -#18615=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18616=DIRECTION('',(0.E0,0.E0,1.E0)); -#18617=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18618=AXIS2_PLACEMENT_3D('',#18615,#18616,#18617); -#18619=PLANE('',#18618); -#18620=ORIENTED_EDGE('',*,*,#18567,.F.); -#18621=ORIENTED_EDGE('',*,*,#18582,.F.); -#18622=ORIENTED_EDGE('',*,*,#18596,.F.); -#18623=ORIENTED_EDGE('',*,*,#18609,.F.); -#18624=EDGE_LOOP('',(#18620,#18621,#18622,#18623)); -#18625=FACE_OUTER_BOUND('',#18624,.F.); -#18627=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18628=DIRECTION('',(0.E0,0.E0,1.E0)); -#18629=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18630=AXIS2_PLACEMENT_3D('',#18627,#18628,#18629); -#18631=PLANE('',#18630); -#18633=ORIENTED_EDGE('',*,*,#18632,.F.); -#18635=ORIENTED_EDGE('',*,*,#18634,.F.); -#18637=ORIENTED_EDGE('',*,*,#18636,.F.); -#18639=ORIENTED_EDGE('',*,*,#18638,.F.); -#18640=EDGE_LOOP('',(#18633,#18635,#18637,#18639)); -#18641=FACE_OUTER_BOUND('',#18640,.F.); -#18643=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18644=DIRECTION('',(0.E0,0.E0,1.E0)); -#18645=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18646=AXIS2_PLACEMENT_3D('',#18643,#18644,#18645); -#18647=PLANE('',#18646); -#18649=ORIENTED_EDGE('',*,*,#18648,.F.); -#18651=ORIENTED_EDGE('',*,*,#18650,.F.); -#18653=ORIENTED_EDGE('',*,*,#18652,.F.); -#18655=ORIENTED_EDGE('',*,*,#18654,.F.); -#18656=EDGE_LOOP('',(#18649,#18651,#18653,#18655)); -#18657=FACE_OUTER_BOUND('',#18656,.F.); -#18659=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18660=DIRECTION('',(0.E0,0.E0,1.E0)); -#18661=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18662=AXIS2_PLACEMENT_3D('',#18659,#18660,#18661); -#18663=PLANE('',#18662); -#18665=ORIENTED_EDGE('',*,*,#18664,.F.); -#18667=ORIENTED_EDGE('',*,*,#18666,.F.); -#18669=ORIENTED_EDGE('',*,*,#18668,.F.); -#18671=ORIENTED_EDGE('',*,*,#18670,.F.); -#18672=EDGE_LOOP('',(#18665,#18667,#18669,#18671)); -#18673=FACE_OUTER_BOUND('',#18672,.F.); -#18675=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18676=DIRECTION('',(0.E0,0.E0,1.E0)); -#18677=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18678=AXIS2_PLACEMENT_3D('',#18675,#18676,#18677); -#18679=PLANE('',#18678); -#18681=ORIENTED_EDGE('',*,*,#18680,.F.); -#18683=ORIENTED_EDGE('',*,*,#18682,.F.); -#18685=ORIENTED_EDGE('',*,*,#18684,.F.); -#18687=ORIENTED_EDGE('',*,*,#18686,.F.); -#18688=EDGE_LOOP('',(#18681,#18683,#18685,#18687)); -#18689=FACE_OUTER_BOUND('',#18688,.F.); -#18691=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18692=DIRECTION('',(0.E0,0.E0,1.E0)); -#18693=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18694=AXIS2_PLACEMENT_3D('',#18691,#18692,#18693); -#18695=PLANE('',#18694); -#18697=ORIENTED_EDGE('',*,*,#18696,.F.); -#18699=ORIENTED_EDGE('',*,*,#18698,.F.); -#18701=ORIENTED_EDGE('',*,*,#18700,.F.); -#18703=ORIENTED_EDGE('',*,*,#18702,.F.); -#18704=EDGE_LOOP('',(#18697,#18699,#18701,#18703)); -#18705=FACE_OUTER_BOUND('',#18704,.F.); -#18707=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18708=DIRECTION('',(0.E0,0.E0,1.E0)); -#18709=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18710=AXIS2_PLACEMENT_3D('',#18707,#18708,#18709); -#18711=PLANE('',#18710); -#18713=ORIENTED_EDGE('',*,*,#18712,.F.); -#18715=ORIENTED_EDGE('',*,*,#18714,.F.); -#18717=ORIENTED_EDGE('',*,*,#18716,.F.); -#18719=ORIENTED_EDGE('',*,*,#18718,.F.); -#18720=EDGE_LOOP('',(#18713,#18715,#18717,#18719)); -#18721=FACE_OUTER_BOUND('',#18720,.F.); -#18723=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18724=DIRECTION('',(0.E0,0.E0,1.E0)); -#18725=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18726=AXIS2_PLACEMENT_3D('',#18723,#18724,#18725); -#18727=PLANE('',#18726); -#18729=ORIENTED_EDGE('',*,*,#18728,.F.); -#18731=ORIENTED_EDGE('',*,*,#18730,.F.); -#18733=ORIENTED_EDGE('',*,*,#18732,.F.); -#18735=ORIENTED_EDGE('',*,*,#18734,.F.); -#18736=EDGE_LOOP('',(#18729,#18731,#18733,#18735)); -#18737=FACE_OUTER_BOUND('',#18736,.F.); -#18739=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18740=DIRECTION('',(0.E0,0.E0,1.E0)); -#18741=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18742=AXIS2_PLACEMENT_3D('',#18739,#18740,#18741); -#18743=PLANE('',#18742); -#18745=ORIENTED_EDGE('',*,*,#18744,.F.); -#18747=ORIENTED_EDGE('',*,*,#18746,.F.); -#18749=ORIENTED_EDGE('',*,*,#18748,.F.); -#18751=ORIENTED_EDGE('',*,*,#18750,.F.); -#18752=EDGE_LOOP('',(#18745,#18747,#18749,#18751)); -#18753=FACE_OUTER_BOUND('',#18752,.F.); -#18755=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18756=DIRECTION('',(0.E0,0.E0,1.E0)); -#18757=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18758=AXIS2_PLACEMENT_3D('',#18755,#18756,#18757); -#18759=PLANE('',#18758); -#18761=ORIENTED_EDGE('',*,*,#18760,.F.); -#18763=ORIENTED_EDGE('',*,*,#18762,.F.); -#18765=ORIENTED_EDGE('',*,*,#18764,.F.); -#18767=ORIENTED_EDGE('',*,*,#18766,.F.); -#18768=EDGE_LOOP('',(#18761,#18763,#18765,#18767)); -#18769=FACE_OUTER_BOUND('',#18768,.F.); -#18771=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18772=DIRECTION('',(0.E0,0.E0,1.E0)); -#18773=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18774=AXIS2_PLACEMENT_3D('',#18771,#18772,#18773); -#18775=PLANE('',#18774); -#18777=ORIENTED_EDGE('',*,*,#18776,.F.); -#18779=ORIENTED_EDGE('',*,*,#18778,.F.); -#18781=ORIENTED_EDGE('',*,*,#18780,.F.); -#18783=ORIENTED_EDGE('',*,*,#18782,.F.); -#18784=EDGE_LOOP('',(#18777,#18779,#18781,#18783)); -#18785=FACE_OUTER_BOUND('',#18784,.F.); -#18787=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18788=DIRECTION('',(0.E0,0.E0,1.E0)); -#18789=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18790=AXIS2_PLACEMENT_3D('',#18787,#18788,#18789); -#18791=PLANE('',#18790); -#18793=ORIENTED_EDGE('',*,*,#18792,.F.); -#18795=ORIENTED_EDGE('',*,*,#18794,.F.); -#18797=ORIENTED_EDGE('',*,*,#18796,.F.); -#18799=ORIENTED_EDGE('',*,*,#18798,.F.); -#18800=EDGE_LOOP('',(#18793,#18795,#18797,#18799)); -#18801=FACE_OUTER_BOUND('',#18800,.F.); -#18803=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18804=DIRECTION('',(0.E0,0.E0,1.E0)); -#18805=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18806=AXIS2_PLACEMENT_3D('',#18803,#18804,#18805); -#18807=PLANE('',#18806); -#18809=ORIENTED_EDGE('',*,*,#18808,.F.); -#18811=ORIENTED_EDGE('',*,*,#18810,.F.); -#18813=ORIENTED_EDGE('',*,*,#18812,.F.); -#18815=ORIENTED_EDGE('',*,*,#18814,.F.); -#18816=EDGE_LOOP('',(#18809,#18811,#18813,#18815)); -#18817=FACE_OUTER_BOUND('',#18816,.F.); -#18819=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18820=DIRECTION('',(0.E0,0.E0,1.E0)); -#18821=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18822=AXIS2_PLACEMENT_3D('',#18819,#18820,#18821); -#18823=PLANE('',#18822); -#18825=ORIENTED_EDGE('',*,*,#18824,.F.); -#18827=ORIENTED_EDGE('',*,*,#18826,.F.); -#18829=ORIENTED_EDGE('',*,*,#18828,.F.); -#18831=ORIENTED_EDGE('',*,*,#18830,.F.); -#18832=EDGE_LOOP('',(#18825,#18827,#18829,#18831)); -#18833=FACE_OUTER_BOUND('',#18832,.F.); -#18835=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18836=DIRECTION('',(0.E0,0.E0,1.E0)); -#18837=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18838=AXIS2_PLACEMENT_3D('',#18835,#18836,#18837); -#18839=PLANE('',#18838); -#18841=ORIENTED_EDGE('',*,*,#18840,.F.); -#18843=ORIENTED_EDGE('',*,*,#18842,.F.); -#18845=ORIENTED_EDGE('',*,*,#18844,.F.); -#18847=ORIENTED_EDGE('',*,*,#18846,.F.); -#18848=EDGE_LOOP('',(#18841,#18843,#18845,#18847)); -#18849=FACE_OUTER_BOUND('',#18848,.F.); -#18851=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18852=DIRECTION('',(0.E0,0.E0,1.E0)); -#18853=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18854=AXIS2_PLACEMENT_3D('',#18851,#18852,#18853); -#18855=PLANE('',#18854); -#18857=ORIENTED_EDGE('',*,*,#18856,.F.); -#18859=ORIENTED_EDGE('',*,*,#18858,.F.); -#18861=ORIENTED_EDGE('',*,*,#18860,.F.); -#18863=ORIENTED_EDGE('',*,*,#18862,.F.); -#18864=EDGE_LOOP('',(#18857,#18859,#18861,#18863)); -#18865=FACE_OUTER_BOUND('',#18864,.F.); -#18867=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18868=DIRECTION('',(0.E0,0.E0,1.E0)); -#18869=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18870=AXIS2_PLACEMENT_3D('',#18867,#18868,#18869); -#18871=PLANE('',#18870); -#18873=ORIENTED_EDGE('',*,*,#18872,.F.); -#18875=ORIENTED_EDGE('',*,*,#18874,.F.); -#18877=ORIENTED_EDGE('',*,*,#18876,.F.); -#18879=ORIENTED_EDGE('',*,*,#18878,.F.); -#18880=EDGE_LOOP('',(#18873,#18875,#18877,#18879)); -#18881=FACE_OUTER_BOUND('',#18880,.F.); -#18883=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18884=DIRECTION('',(0.E0,0.E0,1.E0)); -#18885=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18886=AXIS2_PLACEMENT_3D('',#18883,#18884,#18885); -#18887=PLANE('',#18886); -#18889=ORIENTED_EDGE('',*,*,#18888,.F.); -#18891=ORIENTED_EDGE('',*,*,#18890,.F.); -#18893=ORIENTED_EDGE('',*,*,#18892,.F.); -#18895=ORIENTED_EDGE('',*,*,#18894,.F.); -#18896=EDGE_LOOP('',(#18889,#18891,#18893,#18895)); -#18897=FACE_OUTER_BOUND('',#18896,.F.); -#18899=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18900=DIRECTION('',(0.E0,0.E0,1.E0)); -#18901=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18902=AXIS2_PLACEMENT_3D('',#18899,#18900,#18901); -#18903=PLANE('',#18902); -#18905=ORIENTED_EDGE('',*,*,#18904,.F.); -#18907=ORIENTED_EDGE('',*,*,#18906,.F.); -#18909=ORIENTED_EDGE('',*,*,#18908,.F.); -#18911=ORIENTED_EDGE('',*,*,#18910,.F.); -#18912=EDGE_LOOP('',(#18905,#18907,#18909,#18911)); -#18913=FACE_OUTER_BOUND('',#18912,.F.); -#18915=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); -#18916=DIRECTION('',(0.E0,0.E0,1.E0)); -#18917=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18918=AXIS2_PLACEMENT_3D('',#18915,#18916,#18917); -#18919=PLANE('',#18918); -#18921=ORIENTED_EDGE('',*,*,#18920,.F.); -#18923=ORIENTED_EDGE('',*,*,#18922,.F.); -#18925=ORIENTED_EDGE('',*,*,#18924,.F.); -#18927=ORIENTED_EDGE('',*,*,#18926,.F.); -#18928=EDGE_LOOP('',(#18921,#18923,#18925,#18927)); -#18929=FACE_OUTER_BOUND('',#18928,.F.); -#18931=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.311724784545E0)); -#18932=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18933=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18934=AXIS2_PLACEMENT_3D('',#18931,#18932,#18933); -#18935=PLANE('',#18934); -#18936=ORIENTED_EDGE('',*,*,#11890,.F.); -#18938=ORIENTED_EDGE('',*,*,#18937,.T.); -#18939=ORIENTED_EDGE('',*,*,#18632,.T.); -#18941=ORIENTED_EDGE('',*,*,#18940,.F.); -#18942=EDGE_LOOP('',(#18936,#18938,#18939,#18941)); -#18943=FACE_OUTER_BOUND('',#18942,.F.); -#18945=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.311724784545E0)); -#18946=DIRECTION('',(0.E0,1.E0,0.E0)); -#18947=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18948=AXIS2_PLACEMENT_3D('',#18945,#18946,#18947); -#18949=PLANE('',#18948); -#18950=ORIENTED_EDGE('',*,*,#11896,.F.); -#18952=ORIENTED_EDGE('',*,*,#18951,.T.); -#18953=ORIENTED_EDGE('',*,*,#18634,.T.); -#18954=ORIENTED_EDGE('',*,*,#18937,.F.); -#18955=EDGE_LOOP('',(#18950,#18952,#18953,#18954)); -#18956=FACE_OUTER_BOUND('',#18955,.F.); -#18958=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.311724784545E0)); -#18959=DIRECTION('',(1.E0,0.E0,0.E0)); -#18960=DIRECTION('',(0.E0,1.E0,0.E0)); -#18961=AXIS2_PLACEMENT_3D('',#18958,#18959,#18960); -#18962=PLANE('',#18961); -#18963=ORIENTED_EDGE('',*,*,#11894,.F.); -#18965=ORIENTED_EDGE('',*,*,#18964,.T.); -#18966=ORIENTED_EDGE('',*,*,#18636,.T.); -#18967=ORIENTED_EDGE('',*,*,#18951,.F.); -#18968=EDGE_LOOP('',(#18963,#18965,#18966,#18967)); -#18969=FACE_OUTER_BOUND('',#18968,.F.); -#18971=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.311724784545E0)); -#18972=DIRECTION('',(0.E0,-1.E0,0.E0)); -#18973=DIRECTION('',(1.E0,0.E0,0.E0)); -#18974=AXIS2_PLACEMENT_3D('',#18971,#18972,#18973); -#18975=PLANE('',#18974); -#18976=ORIENTED_EDGE('',*,*,#11892,.F.); -#18977=ORIENTED_EDGE('',*,*,#18940,.T.); -#18978=ORIENTED_EDGE('',*,*,#18638,.T.); -#18979=ORIENTED_EDGE('',*,*,#18964,.F.); -#18980=EDGE_LOOP('',(#18976,#18977,#18978,#18979)); -#18981=FACE_OUTER_BOUND('',#18980,.F.); -#18983=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.311724784545E0)); -#18984=DIRECTION('',(0.E0,1.E0,0.E0)); -#18985=DIRECTION('',(-1.E0,0.E0,0.E0)); -#18986=AXIS2_PLACEMENT_3D('',#18983,#18984,#18985); -#18987=PLANE('',#18986); -#18988=ORIENTED_EDGE('',*,*,#11900,.F.); -#18990=ORIENTED_EDGE('',*,*,#18989,.T.); -#18991=ORIENTED_EDGE('',*,*,#18648,.T.); -#18993=ORIENTED_EDGE('',*,*,#18992,.F.); -#18994=EDGE_LOOP('',(#18988,#18990,#18991,#18993)); -#18995=FACE_OUTER_BOUND('',#18994,.F.); -#18997=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.311724784545E0)); -#18998=DIRECTION('',(1.E0,0.E0,0.E0)); -#18999=DIRECTION('',(0.E0,1.E0,0.E0)); -#19000=AXIS2_PLACEMENT_3D('',#18997,#18998,#18999); -#19001=PLANE('',#19000); -#19002=ORIENTED_EDGE('',*,*,#11906,.F.); -#19004=ORIENTED_EDGE('',*,*,#19003,.T.); -#19005=ORIENTED_EDGE('',*,*,#18650,.T.); -#19006=ORIENTED_EDGE('',*,*,#18989,.F.); -#19007=EDGE_LOOP('',(#19002,#19004,#19005,#19006)); -#19008=FACE_OUTER_BOUND('',#19007,.F.); -#19010=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.311724784545E0)); -#19011=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19012=DIRECTION('',(1.E0,0.E0,0.E0)); -#19013=AXIS2_PLACEMENT_3D('',#19010,#19011,#19012); -#19014=PLANE('',#19013); -#19015=ORIENTED_EDGE('',*,*,#11904,.F.); -#19017=ORIENTED_EDGE('',*,*,#19016,.T.); -#19018=ORIENTED_EDGE('',*,*,#18652,.T.); -#19019=ORIENTED_EDGE('',*,*,#19003,.F.); -#19020=EDGE_LOOP('',(#19015,#19017,#19018,#19019)); -#19021=FACE_OUTER_BOUND('',#19020,.F.); -#19023=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.311724784545E0)); -#19024=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19025=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19026=AXIS2_PLACEMENT_3D('',#19023,#19024,#19025); -#19027=PLANE('',#19026); -#19028=ORIENTED_EDGE('',*,*,#11902,.F.); -#19029=ORIENTED_EDGE('',*,*,#18992,.T.); -#19030=ORIENTED_EDGE('',*,*,#18654,.T.); -#19031=ORIENTED_EDGE('',*,*,#19016,.F.); -#19032=EDGE_LOOP('',(#19028,#19029,#19030,#19031)); -#19033=FACE_OUTER_BOUND('',#19032,.F.); -#19035=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.311724784545E0)); -#19036=DIRECTION('',(1.E0,0.E0,0.E0)); -#19037=DIRECTION('',(0.E0,1.E0,0.E0)); -#19038=AXIS2_PLACEMENT_3D('',#19035,#19036,#19037); -#19039=PLANE('',#19038); -#19040=ORIENTED_EDGE('',*,*,#11910,.F.); -#19042=ORIENTED_EDGE('',*,*,#19041,.T.); -#19043=ORIENTED_EDGE('',*,*,#18664,.T.); -#19045=ORIENTED_EDGE('',*,*,#19044,.F.); -#19046=EDGE_LOOP('',(#19040,#19042,#19043,#19045)); -#19047=FACE_OUTER_BOUND('',#19046,.F.); -#19049=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.311724784545E0)); -#19050=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19051=DIRECTION('',(1.E0,0.E0,0.E0)); -#19052=AXIS2_PLACEMENT_3D('',#19049,#19050,#19051); -#19053=PLANE('',#19052); -#19054=ORIENTED_EDGE('',*,*,#11916,.F.); -#19056=ORIENTED_EDGE('',*,*,#19055,.T.); -#19057=ORIENTED_EDGE('',*,*,#18666,.T.); -#19058=ORIENTED_EDGE('',*,*,#19041,.F.); -#19059=EDGE_LOOP('',(#19054,#19056,#19057,#19058)); -#19060=FACE_OUTER_BOUND('',#19059,.F.); -#19062=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.311724784545E0)); -#19063=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19064=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19065=AXIS2_PLACEMENT_3D('',#19062,#19063,#19064); -#19066=PLANE('',#19065); -#19067=ORIENTED_EDGE('',*,*,#11914,.F.); -#19069=ORIENTED_EDGE('',*,*,#19068,.T.); -#19070=ORIENTED_EDGE('',*,*,#18668,.T.); -#19071=ORIENTED_EDGE('',*,*,#19055,.F.); -#19072=EDGE_LOOP('',(#19067,#19069,#19070,#19071)); -#19073=FACE_OUTER_BOUND('',#19072,.F.); -#19075=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.311724784545E0)); -#19076=DIRECTION('',(0.E0,1.E0,0.E0)); -#19077=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19078=AXIS2_PLACEMENT_3D('',#19075,#19076,#19077); -#19079=PLANE('',#19078); -#19080=ORIENTED_EDGE('',*,*,#11912,.F.); -#19081=ORIENTED_EDGE('',*,*,#19044,.T.); -#19082=ORIENTED_EDGE('',*,*,#18670,.T.); -#19083=ORIENTED_EDGE('',*,*,#19068,.F.); -#19084=EDGE_LOOP('',(#19080,#19081,#19082,#19083)); -#19085=FACE_OUTER_BOUND('',#19084,.F.); -#19087=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.311724784545E0)); -#19088=DIRECTION('',(0.E0,1.E0,0.E0)); -#19089=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19090=AXIS2_PLACEMENT_3D('',#19087,#19088,#19089); -#19091=PLANE('',#19090); -#19092=ORIENTED_EDGE('',*,*,#11920,.F.); -#19094=ORIENTED_EDGE('',*,*,#19093,.T.); -#19095=ORIENTED_EDGE('',*,*,#18680,.T.); -#19097=ORIENTED_EDGE('',*,*,#19096,.F.); -#19098=EDGE_LOOP('',(#19092,#19094,#19095,#19097)); -#19099=FACE_OUTER_BOUND('',#19098,.F.); -#19101=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.311724784545E0)); -#19102=DIRECTION('',(1.E0,0.E0,0.E0)); -#19103=DIRECTION('',(0.E0,1.E0,0.E0)); -#19104=AXIS2_PLACEMENT_3D('',#19101,#19102,#19103); -#19105=PLANE('',#19104); -#19106=ORIENTED_EDGE('',*,*,#11926,.F.); -#19108=ORIENTED_EDGE('',*,*,#19107,.T.); -#19109=ORIENTED_EDGE('',*,*,#18682,.T.); -#19110=ORIENTED_EDGE('',*,*,#19093,.F.); -#19111=EDGE_LOOP('',(#19106,#19108,#19109,#19110)); -#19112=FACE_OUTER_BOUND('',#19111,.F.); -#19114=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.311724784545E0)); -#19115=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19116=DIRECTION('',(1.E0,0.E0,0.E0)); -#19117=AXIS2_PLACEMENT_3D('',#19114,#19115,#19116); -#19118=PLANE('',#19117); -#19119=ORIENTED_EDGE('',*,*,#11924,.F.); -#19121=ORIENTED_EDGE('',*,*,#19120,.T.); -#19122=ORIENTED_EDGE('',*,*,#18684,.T.); -#19123=ORIENTED_EDGE('',*,*,#19107,.F.); -#19124=EDGE_LOOP('',(#19119,#19121,#19122,#19123)); -#19125=FACE_OUTER_BOUND('',#19124,.F.); -#19127=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.311724784545E0)); -#19128=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19129=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19130=AXIS2_PLACEMENT_3D('',#19127,#19128,#19129); -#19131=PLANE('',#19130); -#19132=ORIENTED_EDGE('',*,*,#11922,.F.); -#19133=ORIENTED_EDGE('',*,*,#19096,.T.); -#19134=ORIENTED_EDGE('',*,*,#18686,.T.); -#19135=ORIENTED_EDGE('',*,*,#19120,.F.); -#19136=EDGE_LOOP('',(#19132,#19133,#19134,#19135)); -#19137=FACE_OUTER_BOUND('',#19136,.F.); -#19139=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.311724784545E0)); -#19140=DIRECTION('',(0.E0,1.E0,0.E0)); -#19141=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19142=AXIS2_PLACEMENT_3D('',#19139,#19140,#19141); -#19143=PLANE('',#19142); -#19144=ORIENTED_EDGE('',*,*,#11930,.F.); -#19146=ORIENTED_EDGE('',*,*,#19145,.T.); -#19147=ORIENTED_EDGE('',*,*,#18696,.T.); -#19149=ORIENTED_EDGE('',*,*,#19148,.F.); -#19150=EDGE_LOOP('',(#19144,#19146,#19147,#19149)); -#19151=FACE_OUTER_BOUND('',#19150,.F.); -#19153=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.311724784545E0)); -#19154=DIRECTION('',(1.E0,0.E0,0.E0)); -#19155=DIRECTION('',(0.E0,1.E0,0.E0)); -#19156=AXIS2_PLACEMENT_3D('',#19153,#19154,#19155); -#19157=PLANE('',#19156); -#19158=ORIENTED_EDGE('',*,*,#11936,.F.); -#19160=ORIENTED_EDGE('',*,*,#19159,.T.); -#19161=ORIENTED_EDGE('',*,*,#18698,.T.); -#19162=ORIENTED_EDGE('',*,*,#19145,.F.); -#19163=EDGE_LOOP('',(#19158,#19160,#19161,#19162)); -#19164=FACE_OUTER_BOUND('',#19163,.F.); -#19166=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.311724784545E0)); -#19167=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19168=DIRECTION('',(1.E0,0.E0,0.E0)); -#19169=AXIS2_PLACEMENT_3D('',#19166,#19167,#19168); -#19170=PLANE('',#19169); -#19171=ORIENTED_EDGE('',*,*,#11934,.F.); -#19173=ORIENTED_EDGE('',*,*,#19172,.T.); -#19174=ORIENTED_EDGE('',*,*,#18700,.T.); -#19175=ORIENTED_EDGE('',*,*,#19159,.F.); -#19176=EDGE_LOOP('',(#19171,#19173,#19174,#19175)); -#19177=FACE_OUTER_BOUND('',#19176,.F.); -#19179=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.311724784545E0)); -#19180=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19181=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19182=AXIS2_PLACEMENT_3D('',#19179,#19180,#19181); -#19183=PLANE('',#19182); -#19184=ORIENTED_EDGE('',*,*,#11932,.F.); -#19185=ORIENTED_EDGE('',*,*,#19148,.T.); -#19186=ORIENTED_EDGE('',*,*,#18702,.T.); -#19187=ORIENTED_EDGE('',*,*,#19172,.F.); -#19188=EDGE_LOOP('',(#19184,#19185,#19186,#19187)); -#19189=FACE_OUTER_BOUND('',#19188,.F.); -#19191=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.311724784545E0)); -#19192=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19193=DIRECTION('',(1.E0,0.E0,0.E0)); -#19194=AXIS2_PLACEMENT_3D('',#19191,#19192,#19193); -#19195=PLANE('',#19194); -#19196=ORIENTED_EDGE('',*,*,#11940,.F.); -#19198=ORIENTED_EDGE('',*,*,#19197,.T.); -#19199=ORIENTED_EDGE('',*,*,#18712,.T.); -#19201=ORIENTED_EDGE('',*,*,#19200,.F.); -#19202=EDGE_LOOP('',(#19196,#19198,#19199,#19201)); -#19203=FACE_OUTER_BOUND('',#19202,.F.); -#19205=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.311724784545E0)); -#19206=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19207=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19208=AXIS2_PLACEMENT_3D('',#19205,#19206,#19207); -#19209=PLANE('',#19208); -#19210=ORIENTED_EDGE('',*,*,#11946,.F.); -#19212=ORIENTED_EDGE('',*,*,#19211,.T.); -#19213=ORIENTED_EDGE('',*,*,#18714,.T.); -#19214=ORIENTED_EDGE('',*,*,#19197,.F.); -#19215=EDGE_LOOP('',(#19210,#19212,#19213,#19214)); -#19216=FACE_OUTER_BOUND('',#19215,.F.); -#19218=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.311724784545E0)); -#19219=DIRECTION('',(0.E0,1.E0,0.E0)); -#19220=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19221=AXIS2_PLACEMENT_3D('',#19218,#19219,#19220); -#19222=PLANE('',#19221); -#19223=ORIENTED_EDGE('',*,*,#11944,.F.); -#19225=ORIENTED_EDGE('',*,*,#19224,.T.); -#19226=ORIENTED_EDGE('',*,*,#18716,.T.); -#19227=ORIENTED_EDGE('',*,*,#19211,.F.); -#19228=EDGE_LOOP('',(#19223,#19225,#19226,#19227)); -#19229=FACE_OUTER_BOUND('',#19228,.F.); -#19231=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.311724784545E0)); -#19232=DIRECTION('',(1.E0,0.E0,0.E0)); -#19233=DIRECTION('',(0.E0,1.E0,0.E0)); -#19234=AXIS2_PLACEMENT_3D('',#19231,#19232,#19233); -#19235=PLANE('',#19234); -#19236=ORIENTED_EDGE('',*,*,#11942,.F.); -#19237=ORIENTED_EDGE('',*,*,#19200,.T.); -#19238=ORIENTED_EDGE('',*,*,#18718,.T.); -#19239=ORIENTED_EDGE('',*,*,#19224,.F.); -#19240=EDGE_LOOP('',(#19236,#19237,#19238,#19239)); -#19241=FACE_OUTER_BOUND('',#19240,.F.); -#19243=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.311724784545E0)); -#19244=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19245=DIRECTION('',(1.E0,0.E0,0.E0)); -#19246=AXIS2_PLACEMENT_3D('',#19243,#19244,#19245); -#19247=PLANE('',#19246); -#19248=ORIENTED_EDGE('',*,*,#11950,.F.); -#19250=ORIENTED_EDGE('',*,*,#19249,.T.); -#19251=ORIENTED_EDGE('',*,*,#18728,.T.); -#19253=ORIENTED_EDGE('',*,*,#19252,.F.); -#19254=EDGE_LOOP('',(#19248,#19250,#19251,#19253)); -#19255=FACE_OUTER_BOUND('',#19254,.F.); -#19257=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.311724784545E0)); -#19258=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19259=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19260=AXIS2_PLACEMENT_3D('',#19257,#19258,#19259); -#19261=PLANE('',#19260); -#19262=ORIENTED_EDGE('',*,*,#11956,.F.); -#19264=ORIENTED_EDGE('',*,*,#19263,.T.); -#19265=ORIENTED_EDGE('',*,*,#18730,.T.); -#19266=ORIENTED_EDGE('',*,*,#19249,.F.); -#19267=EDGE_LOOP('',(#19262,#19264,#19265,#19266)); -#19268=FACE_OUTER_BOUND('',#19267,.F.); -#19270=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.311724784545E0)); -#19271=DIRECTION('',(0.E0,1.E0,0.E0)); -#19272=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19273=AXIS2_PLACEMENT_3D('',#19270,#19271,#19272); -#19274=PLANE('',#19273); -#19275=ORIENTED_EDGE('',*,*,#11954,.F.); -#19277=ORIENTED_EDGE('',*,*,#19276,.T.); -#19278=ORIENTED_EDGE('',*,*,#18732,.T.); -#19279=ORIENTED_EDGE('',*,*,#19263,.F.); -#19280=EDGE_LOOP('',(#19275,#19277,#19278,#19279)); -#19281=FACE_OUTER_BOUND('',#19280,.F.); -#19283=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.311724784545E0)); -#19284=DIRECTION('',(1.E0,0.E0,0.E0)); -#19285=DIRECTION('',(0.E0,1.E0,0.E0)); -#19286=AXIS2_PLACEMENT_3D('',#19283,#19284,#19285); -#19287=PLANE('',#19286); -#19288=ORIENTED_EDGE('',*,*,#11952,.F.); -#19289=ORIENTED_EDGE('',*,*,#19252,.T.); -#19290=ORIENTED_EDGE('',*,*,#18734,.T.); -#19291=ORIENTED_EDGE('',*,*,#19276,.F.); -#19292=EDGE_LOOP('',(#19288,#19289,#19290,#19291)); -#19293=FACE_OUTER_BOUND('',#19292,.F.); -#19295=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.311724784545E0)); -#19296=DIRECTION('',(0.E0,1.E0,0.E0)); -#19297=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19298=AXIS2_PLACEMENT_3D('',#19295,#19296,#19297); -#19299=PLANE('',#19298); -#19300=ORIENTED_EDGE('',*,*,#11960,.F.); -#19302=ORIENTED_EDGE('',*,*,#19301,.T.); -#19303=ORIENTED_EDGE('',*,*,#18744,.T.); -#19305=ORIENTED_EDGE('',*,*,#19304,.F.); -#19306=EDGE_LOOP('',(#19300,#19302,#19303,#19305)); -#19307=FACE_OUTER_BOUND('',#19306,.F.); -#19309=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.311724784545E0)); -#19310=DIRECTION('',(1.E0,0.E0,0.E0)); -#19311=DIRECTION('',(0.E0,1.E0,0.E0)); -#19312=AXIS2_PLACEMENT_3D('',#19309,#19310,#19311); -#19313=PLANE('',#19312); -#19314=ORIENTED_EDGE('',*,*,#11966,.F.); -#19316=ORIENTED_EDGE('',*,*,#19315,.T.); -#19317=ORIENTED_EDGE('',*,*,#18746,.T.); -#19318=ORIENTED_EDGE('',*,*,#19301,.F.); -#19319=EDGE_LOOP('',(#19314,#19316,#19317,#19318)); -#19320=FACE_OUTER_BOUND('',#19319,.F.); -#19322=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.311724784545E0)); -#19323=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19324=DIRECTION('',(1.E0,0.E0,0.E0)); -#19325=AXIS2_PLACEMENT_3D('',#19322,#19323,#19324); -#19326=PLANE('',#19325); -#19327=ORIENTED_EDGE('',*,*,#11964,.F.); -#19329=ORIENTED_EDGE('',*,*,#19328,.T.); -#19330=ORIENTED_EDGE('',*,*,#18748,.T.); -#19331=ORIENTED_EDGE('',*,*,#19315,.F.); -#19332=EDGE_LOOP('',(#19327,#19329,#19330,#19331)); -#19333=FACE_OUTER_BOUND('',#19332,.F.); -#19335=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.311724784545E0)); -#19336=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19337=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19338=AXIS2_PLACEMENT_3D('',#19335,#19336,#19337); -#19339=PLANE('',#19338); -#19340=ORIENTED_EDGE('',*,*,#11962,.F.); -#19341=ORIENTED_EDGE('',*,*,#19304,.T.); -#19342=ORIENTED_EDGE('',*,*,#18750,.T.); -#19343=ORIENTED_EDGE('',*,*,#19328,.F.); -#19344=EDGE_LOOP('',(#19340,#19341,#19342,#19343)); -#19345=FACE_OUTER_BOUND('',#19344,.F.); -#19347=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.311724784545E0)); -#19348=DIRECTION('',(0.E0,1.E0,0.E0)); -#19349=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19350=AXIS2_PLACEMENT_3D('',#19347,#19348,#19349); -#19351=PLANE('',#19350); -#19352=ORIENTED_EDGE('',*,*,#11970,.F.); -#19354=ORIENTED_EDGE('',*,*,#19353,.T.); -#19355=ORIENTED_EDGE('',*,*,#18760,.T.); -#19357=ORIENTED_EDGE('',*,*,#19356,.F.); -#19358=EDGE_LOOP('',(#19352,#19354,#19355,#19357)); -#19359=FACE_OUTER_BOUND('',#19358,.F.); -#19361=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.311724784545E0)); -#19362=DIRECTION('',(1.E0,0.E0,0.E0)); -#19363=DIRECTION('',(0.E0,1.E0,0.E0)); -#19364=AXIS2_PLACEMENT_3D('',#19361,#19362,#19363); -#19365=PLANE('',#19364); -#19366=ORIENTED_EDGE('',*,*,#11976,.F.); -#19368=ORIENTED_EDGE('',*,*,#19367,.T.); -#19369=ORIENTED_EDGE('',*,*,#18762,.T.); -#19370=ORIENTED_EDGE('',*,*,#19353,.F.); -#19371=EDGE_LOOP('',(#19366,#19368,#19369,#19370)); -#19372=FACE_OUTER_BOUND('',#19371,.F.); -#19374=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.311724784545E0)); -#19375=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19376=DIRECTION('',(1.E0,0.E0,0.E0)); -#19377=AXIS2_PLACEMENT_3D('',#19374,#19375,#19376); -#19378=PLANE('',#19377); -#19379=ORIENTED_EDGE('',*,*,#11974,.F.); -#19381=ORIENTED_EDGE('',*,*,#19380,.T.); -#19382=ORIENTED_EDGE('',*,*,#18764,.T.); -#19383=ORIENTED_EDGE('',*,*,#19367,.F.); -#19384=EDGE_LOOP('',(#19379,#19381,#19382,#19383)); -#19385=FACE_OUTER_BOUND('',#19384,.F.); -#19387=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.311724784545E0)); -#19388=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19389=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19390=AXIS2_PLACEMENT_3D('',#19387,#19388,#19389); -#19391=PLANE('',#19390); -#19392=ORIENTED_EDGE('',*,*,#11972,.F.); -#19393=ORIENTED_EDGE('',*,*,#19356,.T.); -#19394=ORIENTED_EDGE('',*,*,#18766,.T.); -#19395=ORIENTED_EDGE('',*,*,#19380,.F.); -#19396=EDGE_LOOP('',(#19392,#19393,#19394,#19395)); -#19397=FACE_OUTER_BOUND('',#19396,.F.); -#19399=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.311724784545E0)); -#19400=DIRECTION('',(0.E0,1.E0,0.E0)); -#19401=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19402=AXIS2_PLACEMENT_3D('',#19399,#19400,#19401); -#19403=PLANE('',#19402); -#19404=ORIENTED_EDGE('',*,*,#11980,.F.); -#19406=ORIENTED_EDGE('',*,*,#19405,.T.); -#19407=ORIENTED_EDGE('',*,*,#18776,.T.); -#19409=ORIENTED_EDGE('',*,*,#19408,.F.); -#19410=EDGE_LOOP('',(#19404,#19406,#19407,#19409)); -#19411=FACE_OUTER_BOUND('',#19410,.F.); -#19413=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.311724784545E0)); -#19414=DIRECTION('',(1.E0,0.E0,0.E0)); -#19415=DIRECTION('',(0.E0,1.E0,0.E0)); -#19416=AXIS2_PLACEMENT_3D('',#19413,#19414,#19415); -#19417=PLANE('',#19416); -#19418=ORIENTED_EDGE('',*,*,#11986,.F.); -#19420=ORIENTED_EDGE('',*,*,#19419,.T.); -#19421=ORIENTED_EDGE('',*,*,#18778,.T.); -#19422=ORIENTED_EDGE('',*,*,#19405,.F.); -#19423=EDGE_LOOP('',(#19418,#19420,#19421,#19422)); -#19424=FACE_OUTER_BOUND('',#19423,.F.); -#19426=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.311724784545E0)); -#19427=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19428=DIRECTION('',(1.E0,0.E0,0.E0)); -#19429=AXIS2_PLACEMENT_3D('',#19426,#19427,#19428); -#19430=PLANE('',#19429); -#19431=ORIENTED_EDGE('',*,*,#11984,.F.); -#19433=ORIENTED_EDGE('',*,*,#19432,.T.); -#19434=ORIENTED_EDGE('',*,*,#18780,.T.); -#19435=ORIENTED_EDGE('',*,*,#19419,.F.); -#19436=EDGE_LOOP('',(#19431,#19433,#19434,#19435)); -#19437=FACE_OUTER_BOUND('',#19436,.F.); -#19439=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.311724784545E0)); -#19440=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19441=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19442=AXIS2_PLACEMENT_3D('',#19439,#19440,#19441); -#19443=PLANE('',#19442); -#19444=ORIENTED_EDGE('',*,*,#11982,.F.); -#19445=ORIENTED_EDGE('',*,*,#19408,.T.); -#19446=ORIENTED_EDGE('',*,*,#18782,.T.); -#19447=ORIENTED_EDGE('',*,*,#19432,.F.); -#19448=EDGE_LOOP('',(#19444,#19445,#19446,#19447)); -#19449=FACE_OUTER_BOUND('',#19448,.F.); -#19451=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.311724784545E0)); -#19452=DIRECTION('',(1.E0,0.E0,0.E0)); -#19453=DIRECTION('',(0.E0,1.E0,0.E0)); -#19454=AXIS2_PLACEMENT_3D('',#19451,#19452,#19453); -#19455=PLANE('',#19454); -#19456=ORIENTED_EDGE('',*,*,#11990,.F.); -#19458=ORIENTED_EDGE('',*,*,#19457,.T.); -#19459=ORIENTED_EDGE('',*,*,#18792,.T.); -#19461=ORIENTED_EDGE('',*,*,#19460,.F.); -#19462=EDGE_LOOP('',(#19456,#19458,#19459,#19461)); -#19463=FACE_OUTER_BOUND('',#19462,.F.); -#19465=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.311724784545E0)); -#19466=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19467=DIRECTION('',(1.E0,0.E0,0.E0)); -#19468=AXIS2_PLACEMENT_3D('',#19465,#19466,#19467); -#19469=PLANE('',#19468); -#19470=ORIENTED_EDGE('',*,*,#11996,.F.); -#19472=ORIENTED_EDGE('',*,*,#19471,.T.); -#19473=ORIENTED_EDGE('',*,*,#18794,.T.); -#19474=ORIENTED_EDGE('',*,*,#19457,.F.); -#19475=EDGE_LOOP('',(#19470,#19472,#19473,#19474)); -#19476=FACE_OUTER_BOUND('',#19475,.F.); -#19478=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.311724784545E0)); -#19479=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19480=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19481=AXIS2_PLACEMENT_3D('',#19478,#19479,#19480); -#19482=PLANE('',#19481); -#19483=ORIENTED_EDGE('',*,*,#11994,.F.); -#19485=ORIENTED_EDGE('',*,*,#19484,.T.); -#19486=ORIENTED_EDGE('',*,*,#18796,.T.); -#19487=ORIENTED_EDGE('',*,*,#19471,.F.); -#19488=EDGE_LOOP('',(#19483,#19485,#19486,#19487)); -#19489=FACE_OUTER_BOUND('',#19488,.F.); -#19491=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.311724784545E0)); -#19492=DIRECTION('',(0.E0,1.E0,0.E0)); -#19493=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19494=AXIS2_PLACEMENT_3D('',#19491,#19492,#19493); -#19495=PLANE('',#19494); -#19496=ORIENTED_EDGE('',*,*,#11992,.F.); -#19497=ORIENTED_EDGE('',*,*,#19460,.T.); -#19498=ORIENTED_EDGE('',*,*,#18798,.T.); -#19499=ORIENTED_EDGE('',*,*,#19484,.F.); -#19500=EDGE_LOOP('',(#19496,#19497,#19498,#19499)); -#19501=FACE_OUTER_BOUND('',#19500,.F.); -#19503=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.311724784545E0)); -#19504=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19505=DIRECTION('',(1.E0,0.E0,0.E0)); -#19506=AXIS2_PLACEMENT_3D('',#19503,#19504,#19505); -#19507=PLANE('',#19506); -#19508=ORIENTED_EDGE('',*,*,#12000,.F.); -#19510=ORIENTED_EDGE('',*,*,#19509,.T.); -#19511=ORIENTED_EDGE('',*,*,#18808,.T.); -#19513=ORIENTED_EDGE('',*,*,#19512,.F.); -#19514=EDGE_LOOP('',(#19508,#19510,#19511,#19513)); -#19515=FACE_OUTER_BOUND('',#19514,.F.); -#19517=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.311724784545E0)); -#19518=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19519=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19520=AXIS2_PLACEMENT_3D('',#19517,#19518,#19519); -#19521=PLANE('',#19520); -#19522=ORIENTED_EDGE('',*,*,#12006,.F.); -#19524=ORIENTED_EDGE('',*,*,#19523,.T.); -#19525=ORIENTED_EDGE('',*,*,#18810,.T.); -#19526=ORIENTED_EDGE('',*,*,#19509,.F.); -#19527=EDGE_LOOP('',(#19522,#19524,#19525,#19526)); -#19528=FACE_OUTER_BOUND('',#19527,.F.); -#19530=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.311724784545E0)); -#19531=DIRECTION('',(0.E0,1.E0,0.E0)); -#19532=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19533=AXIS2_PLACEMENT_3D('',#19530,#19531,#19532); -#19534=PLANE('',#19533); -#19535=ORIENTED_EDGE('',*,*,#12004,.F.); -#19537=ORIENTED_EDGE('',*,*,#19536,.T.); -#19538=ORIENTED_EDGE('',*,*,#18812,.T.); -#19539=ORIENTED_EDGE('',*,*,#19523,.F.); -#19540=EDGE_LOOP('',(#19535,#19537,#19538,#19539)); -#19541=FACE_OUTER_BOUND('',#19540,.F.); -#19543=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.311724784545E0)); -#19544=DIRECTION('',(1.E0,0.E0,0.E0)); -#19545=DIRECTION('',(0.E0,1.E0,0.E0)); -#19546=AXIS2_PLACEMENT_3D('',#19543,#19544,#19545); -#19547=PLANE('',#19546); -#19548=ORIENTED_EDGE('',*,*,#12002,.F.); -#19549=ORIENTED_EDGE('',*,*,#19512,.T.); -#19550=ORIENTED_EDGE('',*,*,#18814,.T.); -#19551=ORIENTED_EDGE('',*,*,#19536,.F.); -#19552=EDGE_LOOP('',(#19548,#19549,#19550,#19551)); -#19553=FACE_OUTER_BOUND('',#19552,.F.); -#19555=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.311724784545E0)); -#19556=DIRECTION('',(1.E0,0.E0,0.E0)); -#19557=DIRECTION('',(0.E0,1.E0,0.E0)); -#19558=AXIS2_PLACEMENT_3D('',#19555,#19556,#19557); -#19559=PLANE('',#19558); -#19560=ORIENTED_EDGE('',*,*,#12010,.F.); -#19562=ORIENTED_EDGE('',*,*,#19561,.T.); -#19563=ORIENTED_EDGE('',*,*,#18824,.T.); -#19565=ORIENTED_EDGE('',*,*,#19564,.F.); -#19566=EDGE_LOOP('',(#19560,#19562,#19563,#19565)); -#19567=FACE_OUTER_BOUND('',#19566,.F.); -#19569=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.311724784545E0)); -#19570=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19571=DIRECTION('',(1.E0,0.E0,0.E0)); -#19572=AXIS2_PLACEMENT_3D('',#19569,#19570,#19571); -#19573=PLANE('',#19572); -#19574=ORIENTED_EDGE('',*,*,#12016,.F.); -#19576=ORIENTED_EDGE('',*,*,#19575,.T.); -#19577=ORIENTED_EDGE('',*,*,#18826,.T.); -#19578=ORIENTED_EDGE('',*,*,#19561,.F.); -#19579=EDGE_LOOP('',(#19574,#19576,#19577,#19578)); -#19580=FACE_OUTER_BOUND('',#19579,.F.); -#19582=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.311724784545E0)); -#19583=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19584=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19585=AXIS2_PLACEMENT_3D('',#19582,#19583,#19584); -#19586=PLANE('',#19585); -#19587=ORIENTED_EDGE('',*,*,#12014,.F.); -#19589=ORIENTED_EDGE('',*,*,#19588,.T.); -#19590=ORIENTED_EDGE('',*,*,#18828,.T.); -#19591=ORIENTED_EDGE('',*,*,#19575,.F.); -#19592=EDGE_LOOP('',(#19587,#19589,#19590,#19591)); -#19593=FACE_OUTER_BOUND('',#19592,.F.); -#19595=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.311724784545E0)); -#19596=DIRECTION('',(0.E0,1.E0,0.E0)); -#19597=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19598=AXIS2_PLACEMENT_3D('',#19595,#19596,#19597); -#19599=PLANE('',#19598); -#19600=ORIENTED_EDGE('',*,*,#12012,.F.); -#19601=ORIENTED_EDGE('',*,*,#19564,.T.); -#19602=ORIENTED_EDGE('',*,*,#18830,.T.); -#19603=ORIENTED_EDGE('',*,*,#19588,.F.); -#19604=EDGE_LOOP('',(#19600,#19601,#19602,#19603)); -#19605=FACE_OUTER_BOUND('',#19604,.F.); -#19607=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.311724784545E0)); -#19608=DIRECTION('',(0.E0,1.E0,0.E0)); -#19609=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19610=AXIS2_PLACEMENT_3D('',#19607,#19608,#19609); -#19611=PLANE('',#19610); -#19612=ORIENTED_EDGE('',*,*,#12020,.F.); -#19614=ORIENTED_EDGE('',*,*,#19613,.T.); -#19615=ORIENTED_EDGE('',*,*,#18840,.T.); -#19617=ORIENTED_EDGE('',*,*,#19616,.F.); -#19618=EDGE_LOOP('',(#19612,#19614,#19615,#19617)); -#19619=FACE_OUTER_BOUND('',#19618,.F.); -#19621=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.311724784545E0)); -#19622=DIRECTION('',(1.E0,0.E0,0.E0)); -#19623=DIRECTION('',(0.E0,1.E0,0.E0)); -#19624=AXIS2_PLACEMENT_3D('',#19621,#19622,#19623); -#19625=PLANE('',#19624); -#19626=ORIENTED_EDGE('',*,*,#12026,.F.); -#19628=ORIENTED_EDGE('',*,*,#19627,.T.); -#19629=ORIENTED_EDGE('',*,*,#18842,.T.); -#19630=ORIENTED_EDGE('',*,*,#19613,.F.); -#19631=EDGE_LOOP('',(#19626,#19628,#19629,#19630)); -#19632=FACE_OUTER_BOUND('',#19631,.F.); -#19634=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.311724784545E0)); -#19635=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19636=DIRECTION('',(1.E0,0.E0,0.E0)); -#19637=AXIS2_PLACEMENT_3D('',#19634,#19635,#19636); -#19638=PLANE('',#19637); -#19639=ORIENTED_EDGE('',*,*,#12024,.F.); -#19641=ORIENTED_EDGE('',*,*,#19640,.T.); -#19642=ORIENTED_EDGE('',*,*,#18844,.T.); -#19643=ORIENTED_EDGE('',*,*,#19627,.F.); -#19644=EDGE_LOOP('',(#19639,#19641,#19642,#19643)); -#19645=FACE_OUTER_BOUND('',#19644,.F.); -#19647=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.311724784545E0)); -#19648=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19649=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19650=AXIS2_PLACEMENT_3D('',#19647,#19648,#19649); -#19651=PLANE('',#19650); -#19652=ORIENTED_EDGE('',*,*,#12022,.F.); -#19653=ORIENTED_EDGE('',*,*,#19616,.T.); -#19654=ORIENTED_EDGE('',*,*,#18846,.T.); -#19655=ORIENTED_EDGE('',*,*,#19640,.F.); -#19656=EDGE_LOOP('',(#19652,#19653,#19654,#19655)); -#19657=FACE_OUTER_BOUND('',#19656,.F.); -#19659=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.311724784545E0)); -#19660=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19661=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19662=AXIS2_PLACEMENT_3D('',#19659,#19660,#19661); -#19663=PLANE('',#19662); -#19664=ORIENTED_EDGE('',*,*,#12030,.F.); -#19666=ORIENTED_EDGE('',*,*,#19665,.T.); -#19667=ORIENTED_EDGE('',*,*,#18856,.T.); -#19669=ORIENTED_EDGE('',*,*,#19668,.F.); -#19670=EDGE_LOOP('',(#19664,#19666,#19667,#19669)); -#19671=FACE_OUTER_BOUND('',#19670,.F.); -#19673=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.311724784545E0)); -#19674=DIRECTION('',(0.E0,1.E0,0.E0)); -#19675=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19676=AXIS2_PLACEMENT_3D('',#19673,#19674,#19675); -#19677=PLANE('',#19676); -#19678=ORIENTED_EDGE('',*,*,#12036,.F.); -#19680=ORIENTED_EDGE('',*,*,#19679,.T.); -#19681=ORIENTED_EDGE('',*,*,#18858,.T.); -#19682=ORIENTED_EDGE('',*,*,#19665,.F.); -#19683=EDGE_LOOP('',(#19678,#19680,#19681,#19682)); -#19684=FACE_OUTER_BOUND('',#19683,.F.); -#19686=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.311724784545E0)); -#19687=DIRECTION('',(1.E0,0.E0,0.E0)); -#19688=DIRECTION('',(0.E0,1.E0,0.E0)); -#19689=AXIS2_PLACEMENT_3D('',#19686,#19687,#19688); -#19690=PLANE('',#19689); -#19691=ORIENTED_EDGE('',*,*,#12034,.F.); -#19693=ORIENTED_EDGE('',*,*,#19692,.T.); -#19694=ORIENTED_EDGE('',*,*,#18860,.T.); -#19695=ORIENTED_EDGE('',*,*,#19679,.F.); -#19696=EDGE_LOOP('',(#19691,#19693,#19694,#19695)); -#19697=FACE_OUTER_BOUND('',#19696,.F.); -#19699=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.311724784545E0)); -#19700=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19701=DIRECTION('',(1.E0,0.E0,0.E0)); -#19702=AXIS2_PLACEMENT_3D('',#19699,#19700,#19701); -#19703=PLANE('',#19702); -#19704=ORIENTED_EDGE('',*,*,#12032,.F.); -#19705=ORIENTED_EDGE('',*,*,#19668,.T.); -#19706=ORIENTED_EDGE('',*,*,#18862,.T.); -#19707=ORIENTED_EDGE('',*,*,#19692,.F.); -#19708=EDGE_LOOP('',(#19704,#19705,#19706,#19707)); -#19709=FACE_OUTER_BOUND('',#19708,.F.); -#19711=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.311724784545E0)); -#19712=DIRECTION('',(0.E0,1.E0,0.E0)); -#19713=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19714=AXIS2_PLACEMENT_3D('',#19711,#19712,#19713); -#19715=PLANE('',#19714); -#19716=ORIENTED_EDGE('',*,*,#12040,.F.); -#19718=ORIENTED_EDGE('',*,*,#19717,.T.); -#19719=ORIENTED_EDGE('',*,*,#18872,.T.); -#19721=ORIENTED_EDGE('',*,*,#19720,.F.); -#19722=EDGE_LOOP('',(#19716,#19718,#19719,#19721)); -#19723=FACE_OUTER_BOUND('',#19722,.F.); -#19725=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.311724784545E0)); -#19726=DIRECTION('',(1.E0,0.E0,0.E0)); -#19727=DIRECTION('',(0.E0,1.E0,0.E0)); -#19728=AXIS2_PLACEMENT_3D('',#19725,#19726,#19727); -#19729=PLANE('',#19728); -#19730=ORIENTED_EDGE('',*,*,#12046,.F.); -#19732=ORIENTED_EDGE('',*,*,#19731,.T.); -#19733=ORIENTED_EDGE('',*,*,#18874,.T.); -#19734=ORIENTED_EDGE('',*,*,#19717,.F.); -#19735=EDGE_LOOP('',(#19730,#19732,#19733,#19734)); -#19736=FACE_OUTER_BOUND('',#19735,.F.); -#19738=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.311724784545E0)); -#19739=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19740=DIRECTION('',(1.E0,0.E0,0.E0)); -#19741=AXIS2_PLACEMENT_3D('',#19738,#19739,#19740); -#19742=PLANE('',#19741); -#19743=ORIENTED_EDGE('',*,*,#12044,.F.); -#19745=ORIENTED_EDGE('',*,*,#19744,.T.); -#19746=ORIENTED_EDGE('',*,*,#18876,.T.); -#19747=ORIENTED_EDGE('',*,*,#19731,.F.); -#19748=EDGE_LOOP('',(#19743,#19745,#19746,#19747)); -#19749=FACE_OUTER_BOUND('',#19748,.F.); -#19751=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.311724784545E0)); -#19752=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19753=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19754=AXIS2_PLACEMENT_3D('',#19751,#19752,#19753); -#19755=PLANE('',#19754); -#19756=ORIENTED_EDGE('',*,*,#12042,.F.); -#19757=ORIENTED_EDGE('',*,*,#19720,.T.); -#19758=ORIENTED_EDGE('',*,*,#18878,.T.); -#19759=ORIENTED_EDGE('',*,*,#19744,.F.); -#19760=EDGE_LOOP('',(#19756,#19757,#19758,#19759)); -#19761=FACE_OUTER_BOUND('',#19760,.F.); -#19763=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.311724784545E0)); -#19764=DIRECTION('',(0.E0,1.E0,0.E0)); -#19765=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19766=AXIS2_PLACEMENT_3D('',#19763,#19764,#19765); -#19767=PLANE('',#19766); -#19768=ORIENTED_EDGE('',*,*,#12050,.F.); -#19770=ORIENTED_EDGE('',*,*,#19769,.T.); -#19771=ORIENTED_EDGE('',*,*,#18888,.T.); -#19773=ORIENTED_EDGE('',*,*,#19772,.F.); -#19774=EDGE_LOOP('',(#19768,#19770,#19771,#19773)); -#19775=FACE_OUTER_BOUND('',#19774,.F.); -#19777=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.311724784545E0)); -#19778=DIRECTION('',(1.E0,0.E0,0.E0)); -#19779=DIRECTION('',(0.E0,1.E0,0.E0)); -#19780=AXIS2_PLACEMENT_3D('',#19777,#19778,#19779); -#19781=PLANE('',#19780); -#19782=ORIENTED_EDGE('',*,*,#12056,.F.); -#19784=ORIENTED_EDGE('',*,*,#19783,.T.); -#19785=ORIENTED_EDGE('',*,*,#18890,.T.); -#19786=ORIENTED_EDGE('',*,*,#19769,.F.); -#19787=EDGE_LOOP('',(#19782,#19784,#19785,#19786)); -#19788=FACE_OUTER_BOUND('',#19787,.F.); -#19790=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.311724784545E0)); -#19791=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19792=DIRECTION('',(1.E0,0.E0,0.E0)); -#19793=AXIS2_PLACEMENT_3D('',#19790,#19791,#19792); -#19794=PLANE('',#19793); -#19795=ORIENTED_EDGE('',*,*,#12054,.F.); -#19797=ORIENTED_EDGE('',*,*,#19796,.T.); -#19798=ORIENTED_EDGE('',*,*,#18892,.T.); -#19799=ORIENTED_EDGE('',*,*,#19783,.F.); -#19800=EDGE_LOOP('',(#19795,#19797,#19798,#19799)); -#19801=FACE_OUTER_BOUND('',#19800,.F.); -#19803=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.311724784545E0)); -#19804=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19805=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19806=AXIS2_PLACEMENT_3D('',#19803,#19804,#19805); -#19807=PLANE('',#19806); -#19808=ORIENTED_EDGE('',*,*,#12052,.F.); -#19809=ORIENTED_EDGE('',*,*,#19772,.T.); -#19810=ORIENTED_EDGE('',*,*,#18894,.T.); -#19811=ORIENTED_EDGE('',*,*,#19796,.F.); -#19812=EDGE_LOOP('',(#19808,#19809,#19810,#19811)); -#19813=FACE_OUTER_BOUND('',#19812,.F.); -#19815=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.311724784545E0)); -#19816=DIRECTION('',(0.E0,1.E0,0.E0)); -#19817=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19818=AXIS2_PLACEMENT_3D('',#19815,#19816,#19817); -#19819=PLANE('',#19818); -#19820=ORIENTED_EDGE('',*,*,#12060,.F.); -#19822=ORIENTED_EDGE('',*,*,#19821,.T.); -#19823=ORIENTED_EDGE('',*,*,#18904,.T.); -#19825=ORIENTED_EDGE('',*,*,#19824,.F.); -#19826=EDGE_LOOP('',(#19820,#19822,#19823,#19825)); -#19827=FACE_OUTER_BOUND('',#19826,.F.); -#19829=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.311724784545E0)); -#19830=DIRECTION('',(1.E0,0.E0,0.E0)); -#19831=DIRECTION('',(0.E0,1.E0,0.E0)); -#19832=AXIS2_PLACEMENT_3D('',#19829,#19830,#19831); -#19833=PLANE('',#19832); -#19834=ORIENTED_EDGE('',*,*,#12066,.F.); -#19836=ORIENTED_EDGE('',*,*,#19835,.T.); -#19837=ORIENTED_EDGE('',*,*,#18906,.T.); -#19838=ORIENTED_EDGE('',*,*,#19821,.F.); -#19839=EDGE_LOOP('',(#19834,#19836,#19837,#19838)); -#19840=FACE_OUTER_BOUND('',#19839,.F.); -#19842=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.311724784545E0)); -#19843=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19844=DIRECTION('',(1.E0,0.E0,0.E0)); -#19845=AXIS2_PLACEMENT_3D('',#19842,#19843,#19844); -#19846=PLANE('',#19845); -#19847=ORIENTED_EDGE('',*,*,#12064,.F.); -#19849=ORIENTED_EDGE('',*,*,#19848,.T.); -#19850=ORIENTED_EDGE('',*,*,#18908,.T.); -#19851=ORIENTED_EDGE('',*,*,#19835,.F.); -#19852=EDGE_LOOP('',(#19847,#19849,#19850,#19851)); -#19853=FACE_OUTER_BOUND('',#19852,.F.); -#19855=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.311724784545E0)); -#19856=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19857=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19858=AXIS2_PLACEMENT_3D('',#19855,#19856,#19857); -#19859=PLANE('',#19858); -#19860=ORIENTED_EDGE('',*,*,#12062,.F.); -#19861=ORIENTED_EDGE('',*,*,#19824,.T.); -#19862=ORIENTED_EDGE('',*,*,#18910,.T.); -#19863=ORIENTED_EDGE('',*,*,#19848,.F.); -#19864=EDGE_LOOP('',(#19860,#19861,#19862,#19863)); -#19865=FACE_OUTER_BOUND('',#19864,.F.); -#19867=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.311724784545E0)); -#19868=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19869=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19870=AXIS2_PLACEMENT_3D('',#19867,#19868,#19869); -#19871=PLANE('',#19870); -#19872=ORIENTED_EDGE('',*,*,#12070,.F.); -#19874=ORIENTED_EDGE('',*,*,#19873,.T.); -#19875=ORIENTED_EDGE('',*,*,#18920,.T.); -#19877=ORIENTED_EDGE('',*,*,#19876,.F.); -#19878=EDGE_LOOP('',(#19872,#19874,#19875,#19877)); -#19879=FACE_OUTER_BOUND('',#19878,.F.); -#19881=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.311724784545E0)); -#19882=DIRECTION('',(0.E0,1.E0,0.E0)); -#19883=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19884=AXIS2_PLACEMENT_3D('',#19881,#19882,#19883); -#19885=PLANE('',#19884); -#19886=ORIENTED_EDGE('',*,*,#12076,.F.); -#19888=ORIENTED_EDGE('',*,*,#19887,.T.); -#19889=ORIENTED_EDGE('',*,*,#18922,.T.); -#19890=ORIENTED_EDGE('',*,*,#19873,.F.); -#19891=EDGE_LOOP('',(#19886,#19888,#19889,#19890)); -#19892=FACE_OUTER_BOUND('',#19891,.F.); -#19894=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.311724784545E0)); -#19895=DIRECTION('',(1.E0,0.E0,0.E0)); -#19896=DIRECTION('',(0.E0,1.E0,0.E0)); -#19897=AXIS2_PLACEMENT_3D('',#19894,#19895,#19896); -#19898=PLANE('',#19897); -#19899=ORIENTED_EDGE('',*,*,#12074,.F.); -#19901=ORIENTED_EDGE('',*,*,#19900,.T.); -#19902=ORIENTED_EDGE('',*,*,#18924,.T.); -#19903=ORIENTED_EDGE('',*,*,#19887,.F.); -#19904=EDGE_LOOP('',(#19899,#19901,#19902,#19903)); -#19905=FACE_OUTER_BOUND('',#19904,.F.); -#19907=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.311724784545E0)); -#19908=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19909=DIRECTION('',(1.E0,0.E0,0.E0)); -#19910=AXIS2_PLACEMENT_3D('',#19907,#19908,#19909); -#19911=PLANE('',#19910); -#19912=ORIENTED_EDGE('',*,*,#12072,.F.); -#19913=ORIENTED_EDGE('',*,*,#19876,.T.); -#19914=ORIENTED_EDGE('',*,*,#18926,.T.); -#19915=ORIENTED_EDGE('',*,*,#19900,.F.); -#19916=EDGE_LOOP('',(#19912,#19913,#19914,#19915)); -#19917=FACE_OUTER_BOUND('',#19916,.F.); -#19919=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.311724784545E0)); -#19920=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19921=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19922=AXIS2_PLACEMENT_3D('',#19919,#19920,#19921); -#19923=PLANE('',#19922); -#19924=ORIENTED_EDGE('',*,*,#12080,.F.); -#19926=ORIENTED_EDGE('',*,*,#19925,.T.); -#19928=ORIENTED_EDGE('',*,*,#19927,.T.); -#19930=ORIENTED_EDGE('',*,*,#19929,.F.); -#19931=EDGE_LOOP('',(#19924,#19926,#19928,#19930)); -#19932=FACE_OUTER_BOUND('',#19931,.F.); -#19934=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.311724784545E0)); -#19935=DIRECTION('',(0.E0,1.E0,0.E0)); -#19936=DIRECTION('',(-1.E0,0.E0,0.E0)); -#19937=AXIS2_PLACEMENT_3D('',#19934,#19935,#19936); -#19938=PLANE('',#19937); -#19939=ORIENTED_EDGE('',*,*,#12086,.F.); -#19941=ORIENTED_EDGE('',*,*,#19940,.T.); -#19943=ORIENTED_EDGE('',*,*,#19942,.T.); -#19944=ORIENTED_EDGE('',*,*,#19925,.F.); -#19945=EDGE_LOOP('',(#19939,#19941,#19943,#19944)); -#19946=FACE_OUTER_BOUND('',#19945,.F.); -#19948=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.311724784545E0)); -#19949=DIRECTION('',(1.E0,0.E0,0.E0)); -#19950=DIRECTION('',(0.E0,1.E0,0.E0)); -#19951=AXIS2_PLACEMENT_3D('',#19948,#19949,#19950); -#19952=PLANE('',#19951); -#19953=ORIENTED_EDGE('',*,*,#12084,.F.); -#19955=ORIENTED_EDGE('',*,*,#19954,.T.); -#19957=ORIENTED_EDGE('',*,*,#19956,.T.); -#19958=ORIENTED_EDGE('',*,*,#19940,.F.); -#19959=EDGE_LOOP('',(#19953,#19955,#19957,#19958)); -#19960=FACE_OUTER_BOUND('',#19959,.F.); -#19962=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.311724784545E0)); -#19963=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19964=DIRECTION('',(1.E0,0.E0,0.E0)); -#19965=AXIS2_PLACEMENT_3D('',#19962,#19963,#19964); -#19966=PLANE('',#19965); -#19967=ORIENTED_EDGE('',*,*,#12082,.F.); -#19968=ORIENTED_EDGE('',*,*,#19929,.T.); -#19970=ORIENTED_EDGE('',*,*,#19969,.T.); -#19971=ORIENTED_EDGE('',*,*,#19954,.F.); -#19972=EDGE_LOOP('',(#19967,#19968,#19970,#19971)); -#19973=FACE_OUTER_BOUND('',#19972,.F.); -#19975=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#19976=DIRECTION('',(0.E0,0.E0,1.E0)); -#19977=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19978=AXIS2_PLACEMENT_3D('',#19975,#19976,#19977); -#19979=PLANE('',#19978); -#19980=ORIENTED_EDGE('',*,*,#19927,.F.); -#19981=ORIENTED_EDGE('',*,*,#19942,.F.); -#19982=ORIENTED_EDGE('',*,*,#19956,.F.); -#19983=ORIENTED_EDGE('',*,*,#19969,.F.); -#19984=EDGE_LOOP('',(#19980,#19981,#19982,#19983)); -#19985=FACE_OUTER_BOUND('',#19984,.F.); -#19987=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#19988=DIRECTION('',(0.E0,0.E0,1.E0)); -#19989=DIRECTION('',(0.E0,-1.E0,0.E0)); -#19990=AXIS2_PLACEMENT_3D('',#19987,#19988,#19989); -#19991=PLANE('',#19990); -#19993=ORIENTED_EDGE('',*,*,#19992,.F.); -#19995=ORIENTED_EDGE('',*,*,#19994,.F.); -#19997=ORIENTED_EDGE('',*,*,#19996,.F.); -#19999=ORIENTED_EDGE('',*,*,#19998,.F.); -#20000=EDGE_LOOP('',(#19993,#19995,#19997,#19999)); -#20001=FACE_OUTER_BOUND('',#20000,.F.); -#20003=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20004=DIRECTION('',(0.E0,0.E0,1.E0)); -#20005=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20006=AXIS2_PLACEMENT_3D('',#20003,#20004,#20005); -#20007=PLANE('',#20006); -#20009=ORIENTED_EDGE('',*,*,#20008,.F.); -#20011=ORIENTED_EDGE('',*,*,#20010,.F.); -#20013=ORIENTED_EDGE('',*,*,#20012,.F.); -#20015=ORIENTED_EDGE('',*,*,#20014,.F.); -#20016=EDGE_LOOP('',(#20009,#20011,#20013,#20015)); -#20017=FACE_OUTER_BOUND('',#20016,.F.); -#20019=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20020=DIRECTION('',(0.E0,0.E0,1.E0)); -#20021=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20022=AXIS2_PLACEMENT_3D('',#20019,#20020,#20021); -#20023=PLANE('',#20022); -#20025=ORIENTED_EDGE('',*,*,#20024,.F.); -#20027=ORIENTED_EDGE('',*,*,#20026,.F.); -#20029=ORIENTED_EDGE('',*,*,#20028,.F.); -#20031=ORIENTED_EDGE('',*,*,#20030,.F.); -#20032=EDGE_LOOP('',(#20025,#20027,#20029,#20031)); -#20033=FACE_OUTER_BOUND('',#20032,.F.); -#20035=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20036=DIRECTION('',(0.E0,0.E0,1.E0)); -#20037=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20038=AXIS2_PLACEMENT_3D('',#20035,#20036,#20037); -#20039=PLANE('',#20038); -#20041=ORIENTED_EDGE('',*,*,#20040,.F.); -#20043=ORIENTED_EDGE('',*,*,#20042,.F.); -#20045=ORIENTED_EDGE('',*,*,#20044,.F.); -#20047=ORIENTED_EDGE('',*,*,#20046,.F.); -#20048=EDGE_LOOP('',(#20041,#20043,#20045,#20047)); -#20049=FACE_OUTER_BOUND('',#20048,.F.); -#20051=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20052=DIRECTION('',(0.E0,0.E0,1.E0)); -#20053=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20054=AXIS2_PLACEMENT_3D('',#20051,#20052,#20053); -#20055=PLANE('',#20054); -#20057=ORIENTED_EDGE('',*,*,#20056,.F.); -#20059=ORIENTED_EDGE('',*,*,#20058,.F.); -#20061=ORIENTED_EDGE('',*,*,#20060,.F.); -#20063=ORIENTED_EDGE('',*,*,#20062,.F.); -#20064=EDGE_LOOP('',(#20057,#20059,#20061,#20063)); -#20065=FACE_OUTER_BOUND('',#20064,.F.); -#20067=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20068=DIRECTION('',(0.E0,0.E0,1.E0)); -#20069=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20070=AXIS2_PLACEMENT_3D('',#20067,#20068,#20069); -#20071=PLANE('',#20070); -#20073=ORIENTED_EDGE('',*,*,#20072,.F.); -#20075=ORIENTED_EDGE('',*,*,#20074,.F.); -#20077=ORIENTED_EDGE('',*,*,#20076,.F.); -#20079=ORIENTED_EDGE('',*,*,#20078,.F.); -#20080=EDGE_LOOP('',(#20073,#20075,#20077,#20079)); -#20081=FACE_OUTER_BOUND('',#20080,.F.); -#20083=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20084=DIRECTION('',(0.E0,0.E0,1.E0)); -#20085=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20086=AXIS2_PLACEMENT_3D('',#20083,#20084,#20085); -#20087=PLANE('',#20086); -#20089=ORIENTED_EDGE('',*,*,#20088,.F.); -#20091=ORIENTED_EDGE('',*,*,#20090,.F.); -#20093=ORIENTED_EDGE('',*,*,#20092,.F.); -#20095=ORIENTED_EDGE('',*,*,#20094,.F.); -#20096=EDGE_LOOP('',(#20089,#20091,#20093,#20095)); -#20097=FACE_OUTER_BOUND('',#20096,.F.); -#20099=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20100=DIRECTION('',(0.E0,0.E0,1.E0)); -#20101=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20102=AXIS2_PLACEMENT_3D('',#20099,#20100,#20101); -#20103=PLANE('',#20102); -#20105=ORIENTED_EDGE('',*,*,#20104,.F.); -#20107=ORIENTED_EDGE('',*,*,#20106,.F.); -#20109=ORIENTED_EDGE('',*,*,#20108,.F.); -#20111=ORIENTED_EDGE('',*,*,#20110,.F.); -#20112=EDGE_LOOP('',(#20105,#20107,#20109,#20111)); -#20113=FACE_OUTER_BOUND('',#20112,.F.); -#20115=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20116=DIRECTION('',(0.E0,0.E0,1.E0)); -#20117=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20118=AXIS2_PLACEMENT_3D('',#20115,#20116,#20117); -#20119=PLANE('',#20118); -#20121=ORIENTED_EDGE('',*,*,#20120,.F.); -#20123=ORIENTED_EDGE('',*,*,#20122,.F.); -#20125=ORIENTED_EDGE('',*,*,#20124,.F.); -#20127=ORIENTED_EDGE('',*,*,#20126,.F.); -#20128=EDGE_LOOP('',(#20121,#20123,#20125,#20127)); -#20129=FACE_OUTER_BOUND('',#20128,.F.); -#20131=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20132=DIRECTION('',(0.E0,0.E0,1.E0)); -#20133=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20134=AXIS2_PLACEMENT_3D('',#20131,#20132,#20133); -#20135=PLANE('',#20134); -#20137=ORIENTED_EDGE('',*,*,#20136,.F.); -#20139=ORIENTED_EDGE('',*,*,#20138,.F.); -#20141=ORIENTED_EDGE('',*,*,#20140,.F.); -#20143=ORIENTED_EDGE('',*,*,#20142,.F.); -#20144=EDGE_LOOP('',(#20137,#20139,#20141,#20143)); -#20145=FACE_OUTER_BOUND('',#20144,.F.); -#20147=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20148=DIRECTION('',(0.E0,0.E0,1.E0)); -#20149=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20150=AXIS2_PLACEMENT_3D('',#20147,#20148,#20149); -#20151=PLANE('',#20150); -#20153=ORIENTED_EDGE('',*,*,#20152,.F.); -#20155=ORIENTED_EDGE('',*,*,#20154,.F.); -#20157=ORIENTED_EDGE('',*,*,#20156,.F.); -#20159=ORIENTED_EDGE('',*,*,#20158,.F.); -#20160=EDGE_LOOP('',(#20153,#20155,#20157,#20159)); -#20161=FACE_OUTER_BOUND('',#20160,.F.); -#20163=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20164=DIRECTION('',(0.E0,0.E0,1.E0)); -#20165=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20166=AXIS2_PLACEMENT_3D('',#20163,#20164,#20165); -#20167=PLANE('',#20166); -#20169=ORIENTED_EDGE('',*,*,#20168,.F.); -#20171=ORIENTED_EDGE('',*,*,#20170,.F.); -#20173=ORIENTED_EDGE('',*,*,#20172,.F.); -#20175=ORIENTED_EDGE('',*,*,#20174,.F.); -#20176=EDGE_LOOP('',(#20169,#20171,#20173,#20175)); -#20177=FACE_OUTER_BOUND('',#20176,.F.); -#20179=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20180=DIRECTION('',(0.E0,0.E0,1.E0)); -#20181=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20182=AXIS2_PLACEMENT_3D('',#20179,#20180,#20181); -#20183=PLANE('',#20182); -#20185=ORIENTED_EDGE('',*,*,#20184,.F.); -#20187=ORIENTED_EDGE('',*,*,#20186,.F.); -#20189=ORIENTED_EDGE('',*,*,#20188,.F.); -#20191=ORIENTED_EDGE('',*,*,#20190,.F.); -#20192=EDGE_LOOP('',(#20185,#20187,#20189,#20191)); -#20193=FACE_OUTER_BOUND('',#20192,.F.); -#20195=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20196=DIRECTION('',(0.E0,0.E0,1.E0)); -#20197=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20198=AXIS2_PLACEMENT_3D('',#20195,#20196,#20197); -#20199=PLANE('',#20198); -#20201=ORIENTED_EDGE('',*,*,#20200,.F.); -#20203=ORIENTED_EDGE('',*,*,#20202,.F.); -#20205=ORIENTED_EDGE('',*,*,#20204,.F.); -#20207=ORIENTED_EDGE('',*,*,#20206,.F.); -#20208=EDGE_LOOP('',(#20201,#20203,#20205,#20207)); -#20209=FACE_OUTER_BOUND('',#20208,.F.); -#20211=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20212=DIRECTION('',(0.E0,0.E0,1.E0)); -#20213=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20214=AXIS2_PLACEMENT_3D('',#20211,#20212,#20213); -#20215=PLANE('',#20214); -#20217=ORIENTED_EDGE('',*,*,#20216,.F.); -#20219=ORIENTED_EDGE('',*,*,#20218,.F.); -#20221=ORIENTED_EDGE('',*,*,#20220,.F.); -#20223=ORIENTED_EDGE('',*,*,#20222,.F.); -#20224=EDGE_LOOP('',(#20217,#20219,#20221,#20223)); -#20225=FACE_OUTER_BOUND('',#20224,.F.); -#20227=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20228=DIRECTION('',(0.E0,0.E0,1.E0)); -#20229=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20230=AXIS2_PLACEMENT_3D('',#20227,#20228,#20229); -#20231=PLANE('',#20230); -#20233=ORIENTED_EDGE('',*,*,#20232,.F.); -#20235=ORIENTED_EDGE('',*,*,#20234,.F.); -#20237=ORIENTED_EDGE('',*,*,#20236,.F.); -#20239=ORIENTED_EDGE('',*,*,#20238,.F.); -#20240=EDGE_LOOP('',(#20233,#20235,#20237,#20239)); -#20241=FACE_OUTER_BOUND('',#20240,.F.); -#20243=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20244=DIRECTION('',(0.E0,0.E0,1.E0)); -#20245=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20246=AXIS2_PLACEMENT_3D('',#20243,#20244,#20245); -#20247=PLANE('',#20246); -#20249=ORIENTED_EDGE('',*,*,#20248,.F.); -#20251=ORIENTED_EDGE('',*,*,#20250,.F.); -#20253=ORIENTED_EDGE('',*,*,#20252,.F.); -#20255=ORIENTED_EDGE('',*,*,#20254,.F.); -#20256=EDGE_LOOP('',(#20249,#20251,#20253,#20255)); -#20257=FACE_OUTER_BOUND('',#20256,.F.); -#20259=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#20260=DIRECTION('',(0.E0,0.E0,1.E0)); -#20261=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20262=AXIS2_PLACEMENT_3D('',#20259,#20260,#20261); -#20263=PLANE('',#20262); -#20265=ORIENTED_EDGE('',*,*,#20264,.F.); -#20267=ORIENTED_EDGE('',*,*,#20266,.F.); -#20269=ORIENTED_EDGE('',*,*,#20268,.F.); -#20271=ORIENTED_EDGE('',*,*,#20270,.F.); -#20272=EDGE_LOOP('',(#20265,#20267,#20269,#20271)); -#20273=FACE_OUTER_BOUND('',#20272,.F.); -#20275=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.311724784545E0)); -#20276=DIRECTION('',(0.E0,1.E0,0.E0)); -#20277=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20278=AXIS2_PLACEMENT_3D('',#20275,#20276,#20277); -#20279=PLANE('',#20278); -#20280=ORIENTED_EDGE('',*,*,#12090,.F.); -#20282=ORIENTED_EDGE('',*,*,#20281,.T.); -#20283=ORIENTED_EDGE('',*,*,#19992,.T.); -#20285=ORIENTED_EDGE('',*,*,#20284,.F.); -#20286=EDGE_LOOP('',(#20280,#20282,#20283,#20285)); -#20287=FACE_OUTER_BOUND('',#20286,.F.); -#20289=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.311724784545E0)); -#20290=DIRECTION('',(1.E0,0.E0,0.E0)); -#20291=DIRECTION('',(0.E0,1.E0,0.E0)); -#20292=AXIS2_PLACEMENT_3D('',#20289,#20290,#20291); -#20293=PLANE('',#20292); -#20294=ORIENTED_EDGE('',*,*,#12096,.F.); -#20296=ORIENTED_EDGE('',*,*,#20295,.T.); -#20297=ORIENTED_EDGE('',*,*,#19994,.T.); -#20298=ORIENTED_EDGE('',*,*,#20281,.F.); -#20299=EDGE_LOOP('',(#20294,#20296,#20297,#20298)); -#20300=FACE_OUTER_BOUND('',#20299,.F.); -#20302=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.311724784545E0)); -#20303=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20304=DIRECTION('',(1.E0,0.E0,0.E0)); -#20305=AXIS2_PLACEMENT_3D('',#20302,#20303,#20304); -#20306=PLANE('',#20305); -#20307=ORIENTED_EDGE('',*,*,#12094,.F.); -#20309=ORIENTED_EDGE('',*,*,#20308,.T.); -#20310=ORIENTED_EDGE('',*,*,#19996,.T.); -#20311=ORIENTED_EDGE('',*,*,#20295,.F.); -#20312=EDGE_LOOP('',(#20307,#20309,#20310,#20311)); -#20313=FACE_OUTER_BOUND('',#20312,.F.); -#20315=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.311724784545E0)); -#20316=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20317=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20318=AXIS2_PLACEMENT_3D('',#20315,#20316,#20317); -#20319=PLANE('',#20318); -#20320=ORIENTED_EDGE('',*,*,#12092,.F.); -#20321=ORIENTED_EDGE('',*,*,#20284,.T.); -#20322=ORIENTED_EDGE('',*,*,#19998,.T.); -#20323=ORIENTED_EDGE('',*,*,#20308,.F.); -#20324=EDGE_LOOP('',(#20320,#20321,#20322,#20323)); -#20325=FACE_OUTER_BOUND('',#20324,.F.); -#20327=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.311724784545E0)); -#20328=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20329=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20330=AXIS2_PLACEMENT_3D('',#20327,#20328,#20329); -#20331=PLANE('',#20330); -#20332=ORIENTED_EDGE('',*,*,#12100,.F.); -#20334=ORIENTED_EDGE('',*,*,#20333,.T.); -#20335=ORIENTED_EDGE('',*,*,#20008,.T.); -#20337=ORIENTED_EDGE('',*,*,#20336,.F.); -#20338=EDGE_LOOP('',(#20332,#20334,#20335,#20337)); -#20339=FACE_OUTER_BOUND('',#20338,.F.); -#20341=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.311724784545E0)); -#20342=DIRECTION('',(0.E0,1.E0,0.E0)); -#20343=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20344=AXIS2_PLACEMENT_3D('',#20341,#20342,#20343); -#20345=PLANE('',#20344); -#20346=ORIENTED_EDGE('',*,*,#12106,.F.); -#20348=ORIENTED_EDGE('',*,*,#20347,.T.); -#20349=ORIENTED_EDGE('',*,*,#20010,.T.); -#20350=ORIENTED_EDGE('',*,*,#20333,.F.); -#20351=EDGE_LOOP('',(#20346,#20348,#20349,#20350)); -#20352=FACE_OUTER_BOUND('',#20351,.F.); -#20354=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.311724784545E0)); -#20355=DIRECTION('',(1.E0,0.E0,0.E0)); -#20356=DIRECTION('',(0.E0,1.E0,0.E0)); -#20357=AXIS2_PLACEMENT_3D('',#20354,#20355,#20356); -#20358=PLANE('',#20357); -#20359=ORIENTED_EDGE('',*,*,#12104,.F.); -#20361=ORIENTED_EDGE('',*,*,#20360,.T.); -#20362=ORIENTED_EDGE('',*,*,#20012,.T.); -#20363=ORIENTED_EDGE('',*,*,#20347,.F.); -#20364=EDGE_LOOP('',(#20359,#20361,#20362,#20363)); -#20365=FACE_OUTER_BOUND('',#20364,.F.); -#20367=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.311724784545E0)); -#20368=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20369=DIRECTION('',(1.E0,0.E0,0.E0)); -#20370=AXIS2_PLACEMENT_3D('',#20367,#20368,#20369); -#20371=PLANE('',#20370); -#20372=ORIENTED_EDGE('',*,*,#12102,.F.); -#20373=ORIENTED_EDGE('',*,*,#20336,.T.); -#20374=ORIENTED_EDGE('',*,*,#20014,.T.); -#20375=ORIENTED_EDGE('',*,*,#20360,.F.); -#20376=EDGE_LOOP('',(#20372,#20373,#20374,#20375)); -#20377=FACE_OUTER_BOUND('',#20376,.F.); -#20379=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.311724784545E0)); -#20380=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20381=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20382=AXIS2_PLACEMENT_3D('',#20379,#20380,#20381); -#20383=PLANE('',#20382); -#20384=ORIENTED_EDGE('',*,*,#12110,.F.); -#20386=ORIENTED_EDGE('',*,*,#20385,.T.); -#20387=ORIENTED_EDGE('',*,*,#20024,.T.); -#20389=ORIENTED_EDGE('',*,*,#20388,.F.); -#20390=EDGE_LOOP('',(#20384,#20386,#20387,#20389)); -#20391=FACE_OUTER_BOUND('',#20390,.F.); -#20393=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.311724784545E0)); -#20394=DIRECTION('',(0.E0,1.E0,0.E0)); -#20395=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20396=AXIS2_PLACEMENT_3D('',#20393,#20394,#20395); -#20397=PLANE('',#20396); -#20398=ORIENTED_EDGE('',*,*,#12116,.F.); -#20400=ORIENTED_EDGE('',*,*,#20399,.T.); -#20401=ORIENTED_EDGE('',*,*,#20026,.T.); -#20402=ORIENTED_EDGE('',*,*,#20385,.F.); -#20403=EDGE_LOOP('',(#20398,#20400,#20401,#20402)); -#20404=FACE_OUTER_BOUND('',#20403,.F.); -#20406=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.311724784545E0)); -#20407=DIRECTION('',(1.E0,0.E0,0.E0)); -#20408=DIRECTION('',(0.E0,1.E0,0.E0)); -#20409=AXIS2_PLACEMENT_3D('',#20406,#20407,#20408); -#20410=PLANE('',#20409); -#20411=ORIENTED_EDGE('',*,*,#12114,.F.); -#20413=ORIENTED_EDGE('',*,*,#20412,.T.); -#20414=ORIENTED_EDGE('',*,*,#20028,.T.); -#20415=ORIENTED_EDGE('',*,*,#20399,.F.); -#20416=EDGE_LOOP('',(#20411,#20413,#20414,#20415)); -#20417=FACE_OUTER_BOUND('',#20416,.F.); -#20419=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.311724784545E0)); -#20420=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20421=DIRECTION('',(1.E0,0.E0,0.E0)); -#20422=AXIS2_PLACEMENT_3D('',#20419,#20420,#20421); -#20423=PLANE('',#20422); -#20424=ORIENTED_EDGE('',*,*,#12112,.F.); -#20425=ORIENTED_EDGE('',*,*,#20388,.T.); -#20426=ORIENTED_EDGE('',*,*,#20030,.T.); -#20427=ORIENTED_EDGE('',*,*,#20412,.F.); -#20428=EDGE_LOOP('',(#20424,#20425,#20426,#20427)); -#20429=FACE_OUTER_BOUND('',#20428,.F.); -#20431=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.311724784545E0)); -#20432=DIRECTION('',(0.E0,1.E0,0.E0)); -#20433=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20434=AXIS2_PLACEMENT_3D('',#20431,#20432,#20433); -#20435=PLANE('',#20434); -#20436=ORIENTED_EDGE('',*,*,#12120,.F.); -#20438=ORIENTED_EDGE('',*,*,#20437,.T.); -#20439=ORIENTED_EDGE('',*,*,#20040,.T.); -#20441=ORIENTED_EDGE('',*,*,#20440,.F.); -#20442=EDGE_LOOP('',(#20436,#20438,#20439,#20441)); -#20443=FACE_OUTER_BOUND('',#20442,.F.); -#20445=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.311724784545E0)); -#20446=DIRECTION('',(1.E0,0.E0,0.E0)); -#20447=DIRECTION('',(0.E0,1.E0,0.E0)); -#20448=AXIS2_PLACEMENT_3D('',#20445,#20446,#20447); -#20449=PLANE('',#20448); -#20450=ORIENTED_EDGE('',*,*,#12126,.F.); -#20452=ORIENTED_EDGE('',*,*,#20451,.T.); -#20453=ORIENTED_EDGE('',*,*,#20042,.T.); -#20454=ORIENTED_EDGE('',*,*,#20437,.F.); -#20455=EDGE_LOOP('',(#20450,#20452,#20453,#20454)); -#20456=FACE_OUTER_BOUND('',#20455,.F.); -#20458=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.311724784545E0)); -#20459=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20460=DIRECTION('',(1.E0,0.E0,0.E0)); -#20461=AXIS2_PLACEMENT_3D('',#20458,#20459,#20460); -#20462=PLANE('',#20461); -#20463=ORIENTED_EDGE('',*,*,#12124,.F.); -#20465=ORIENTED_EDGE('',*,*,#20464,.T.); -#20466=ORIENTED_EDGE('',*,*,#20044,.T.); -#20467=ORIENTED_EDGE('',*,*,#20451,.F.); -#20468=EDGE_LOOP('',(#20463,#20465,#20466,#20467)); -#20469=FACE_OUTER_BOUND('',#20468,.F.); -#20471=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.311724784545E0)); -#20472=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20473=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20474=AXIS2_PLACEMENT_3D('',#20471,#20472,#20473); -#20475=PLANE('',#20474); -#20476=ORIENTED_EDGE('',*,*,#12122,.F.); -#20477=ORIENTED_EDGE('',*,*,#20440,.T.); -#20478=ORIENTED_EDGE('',*,*,#20046,.T.); -#20479=ORIENTED_EDGE('',*,*,#20464,.F.); -#20480=EDGE_LOOP('',(#20476,#20477,#20478,#20479)); -#20481=FACE_OUTER_BOUND('',#20480,.F.); -#20483=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.311724784545E0)); -#20484=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20485=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20486=AXIS2_PLACEMENT_3D('',#20483,#20484,#20485); -#20487=PLANE('',#20486); -#20488=ORIENTED_EDGE('',*,*,#12130,.F.); -#20490=ORIENTED_EDGE('',*,*,#20489,.T.); -#20491=ORIENTED_EDGE('',*,*,#20056,.T.); -#20493=ORIENTED_EDGE('',*,*,#20492,.F.); -#20494=EDGE_LOOP('',(#20488,#20490,#20491,#20493)); -#20495=FACE_OUTER_BOUND('',#20494,.F.); -#20497=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.311724784545E0)); -#20498=DIRECTION('',(0.E0,1.E0,0.E0)); -#20499=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20500=AXIS2_PLACEMENT_3D('',#20497,#20498,#20499); -#20501=PLANE('',#20500); -#20502=ORIENTED_EDGE('',*,*,#12136,.F.); -#20504=ORIENTED_EDGE('',*,*,#20503,.T.); -#20505=ORIENTED_EDGE('',*,*,#20058,.T.); -#20506=ORIENTED_EDGE('',*,*,#20489,.F.); -#20507=EDGE_LOOP('',(#20502,#20504,#20505,#20506)); -#20508=FACE_OUTER_BOUND('',#20507,.F.); -#20510=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.311724784545E0)); -#20511=DIRECTION('',(1.E0,0.E0,0.E0)); -#20512=DIRECTION('',(0.E0,1.E0,0.E0)); -#20513=AXIS2_PLACEMENT_3D('',#20510,#20511,#20512); -#20514=PLANE('',#20513); -#20515=ORIENTED_EDGE('',*,*,#12134,.F.); -#20517=ORIENTED_EDGE('',*,*,#20516,.T.); -#20518=ORIENTED_EDGE('',*,*,#20060,.T.); -#20519=ORIENTED_EDGE('',*,*,#20503,.F.); -#20520=EDGE_LOOP('',(#20515,#20517,#20518,#20519)); -#20521=FACE_OUTER_BOUND('',#20520,.F.); -#20523=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.311724784545E0)); -#20524=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20525=DIRECTION('',(1.E0,0.E0,0.E0)); -#20526=AXIS2_PLACEMENT_3D('',#20523,#20524,#20525); -#20527=PLANE('',#20526); -#20528=ORIENTED_EDGE('',*,*,#12132,.F.); -#20529=ORIENTED_EDGE('',*,*,#20492,.T.); -#20530=ORIENTED_EDGE('',*,*,#20062,.T.); -#20531=ORIENTED_EDGE('',*,*,#20516,.F.); -#20532=EDGE_LOOP('',(#20528,#20529,#20530,#20531)); -#20533=FACE_OUTER_BOUND('',#20532,.F.); -#20535=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.311724784545E0)); -#20536=DIRECTION('',(0.E0,1.E0,0.E0)); -#20537=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20538=AXIS2_PLACEMENT_3D('',#20535,#20536,#20537); -#20539=PLANE('',#20538); -#20540=ORIENTED_EDGE('',*,*,#12140,.F.); -#20542=ORIENTED_EDGE('',*,*,#20541,.T.); -#20543=ORIENTED_EDGE('',*,*,#20072,.T.); -#20545=ORIENTED_EDGE('',*,*,#20544,.F.); -#20546=EDGE_LOOP('',(#20540,#20542,#20543,#20545)); -#20547=FACE_OUTER_BOUND('',#20546,.F.); -#20549=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.311724784545E0)); -#20550=DIRECTION('',(1.E0,0.E0,0.E0)); -#20551=DIRECTION('',(0.E0,1.E0,0.E0)); -#20552=AXIS2_PLACEMENT_3D('',#20549,#20550,#20551); -#20553=PLANE('',#20552); -#20554=ORIENTED_EDGE('',*,*,#12146,.F.); -#20556=ORIENTED_EDGE('',*,*,#20555,.T.); -#20557=ORIENTED_EDGE('',*,*,#20074,.T.); -#20558=ORIENTED_EDGE('',*,*,#20541,.F.); -#20559=EDGE_LOOP('',(#20554,#20556,#20557,#20558)); -#20560=FACE_OUTER_BOUND('',#20559,.F.); -#20562=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.311724784545E0)); -#20563=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20564=DIRECTION('',(1.E0,0.E0,0.E0)); -#20565=AXIS2_PLACEMENT_3D('',#20562,#20563,#20564); -#20566=PLANE('',#20565); -#20567=ORIENTED_EDGE('',*,*,#12144,.F.); -#20569=ORIENTED_EDGE('',*,*,#20568,.T.); -#20570=ORIENTED_EDGE('',*,*,#20076,.T.); -#20571=ORIENTED_EDGE('',*,*,#20555,.F.); -#20572=EDGE_LOOP('',(#20567,#20569,#20570,#20571)); -#20573=FACE_OUTER_BOUND('',#20572,.F.); -#20575=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.311724784545E0)); -#20576=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20577=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20578=AXIS2_PLACEMENT_3D('',#20575,#20576,#20577); -#20579=PLANE('',#20578); -#20580=ORIENTED_EDGE('',*,*,#12142,.F.); -#20581=ORIENTED_EDGE('',*,*,#20544,.T.); -#20582=ORIENTED_EDGE('',*,*,#20078,.T.); -#20583=ORIENTED_EDGE('',*,*,#20568,.F.); -#20584=EDGE_LOOP('',(#20580,#20581,#20582,#20583)); -#20585=FACE_OUTER_BOUND('',#20584,.F.); -#20587=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.311724784545E0)); -#20588=DIRECTION('',(0.E0,1.E0,0.E0)); -#20589=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20590=AXIS2_PLACEMENT_3D('',#20587,#20588,#20589); -#20591=PLANE('',#20590); -#20592=ORIENTED_EDGE('',*,*,#12150,.F.); -#20594=ORIENTED_EDGE('',*,*,#20593,.T.); -#20595=ORIENTED_EDGE('',*,*,#20088,.T.); -#20597=ORIENTED_EDGE('',*,*,#20596,.F.); -#20598=EDGE_LOOP('',(#20592,#20594,#20595,#20597)); -#20599=FACE_OUTER_BOUND('',#20598,.F.); -#20601=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.311724784545E0)); -#20602=DIRECTION('',(1.E0,0.E0,0.E0)); -#20603=DIRECTION('',(0.E0,1.E0,0.E0)); -#20604=AXIS2_PLACEMENT_3D('',#20601,#20602,#20603); -#20605=PLANE('',#20604); -#20606=ORIENTED_EDGE('',*,*,#12156,.F.); -#20608=ORIENTED_EDGE('',*,*,#20607,.T.); -#20609=ORIENTED_EDGE('',*,*,#20090,.T.); -#20610=ORIENTED_EDGE('',*,*,#20593,.F.); -#20611=EDGE_LOOP('',(#20606,#20608,#20609,#20610)); -#20612=FACE_OUTER_BOUND('',#20611,.F.); -#20614=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.311724784545E0)); -#20615=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20616=DIRECTION('',(1.E0,0.E0,0.E0)); -#20617=AXIS2_PLACEMENT_3D('',#20614,#20615,#20616); -#20618=PLANE('',#20617); -#20619=ORIENTED_EDGE('',*,*,#12154,.F.); -#20621=ORIENTED_EDGE('',*,*,#20620,.T.); -#20622=ORIENTED_EDGE('',*,*,#20092,.T.); -#20623=ORIENTED_EDGE('',*,*,#20607,.F.); -#20624=EDGE_LOOP('',(#20619,#20621,#20622,#20623)); -#20625=FACE_OUTER_BOUND('',#20624,.F.); -#20627=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.311724784545E0)); -#20628=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20629=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20630=AXIS2_PLACEMENT_3D('',#20627,#20628,#20629); -#20631=PLANE('',#20630); -#20632=ORIENTED_EDGE('',*,*,#12152,.F.); -#20633=ORIENTED_EDGE('',*,*,#20596,.T.); -#20634=ORIENTED_EDGE('',*,*,#20094,.T.); -#20635=ORIENTED_EDGE('',*,*,#20620,.F.); -#20636=EDGE_LOOP('',(#20632,#20633,#20634,#20635)); -#20637=FACE_OUTER_BOUND('',#20636,.F.); -#20639=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.311724784545E0)); -#20640=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20641=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20642=AXIS2_PLACEMENT_3D('',#20639,#20640,#20641); -#20643=PLANE('',#20642); -#20644=ORIENTED_EDGE('',*,*,#12160,.F.); -#20646=ORIENTED_EDGE('',*,*,#20645,.T.); -#20647=ORIENTED_EDGE('',*,*,#20104,.T.); -#20649=ORIENTED_EDGE('',*,*,#20648,.F.); -#20650=EDGE_LOOP('',(#20644,#20646,#20647,#20649)); -#20651=FACE_OUTER_BOUND('',#20650,.F.); -#20653=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.311724784545E0)); -#20654=DIRECTION('',(0.E0,1.E0,0.E0)); -#20655=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20656=AXIS2_PLACEMENT_3D('',#20653,#20654,#20655); -#20657=PLANE('',#20656); -#20658=ORIENTED_EDGE('',*,*,#12166,.F.); -#20660=ORIENTED_EDGE('',*,*,#20659,.T.); -#20661=ORIENTED_EDGE('',*,*,#20106,.T.); -#20662=ORIENTED_EDGE('',*,*,#20645,.F.); -#20663=EDGE_LOOP('',(#20658,#20660,#20661,#20662)); -#20664=FACE_OUTER_BOUND('',#20663,.F.); -#20666=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.311724784545E0)); -#20667=DIRECTION('',(1.E0,0.E0,0.E0)); -#20668=DIRECTION('',(0.E0,1.E0,0.E0)); -#20669=AXIS2_PLACEMENT_3D('',#20666,#20667,#20668); -#20670=PLANE('',#20669); -#20671=ORIENTED_EDGE('',*,*,#12164,.F.); -#20673=ORIENTED_EDGE('',*,*,#20672,.T.); -#20674=ORIENTED_EDGE('',*,*,#20108,.T.); -#20675=ORIENTED_EDGE('',*,*,#20659,.F.); -#20676=EDGE_LOOP('',(#20671,#20673,#20674,#20675)); -#20677=FACE_OUTER_BOUND('',#20676,.F.); -#20679=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.311724784545E0)); -#20680=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20681=DIRECTION('',(1.E0,0.E0,0.E0)); -#20682=AXIS2_PLACEMENT_3D('',#20679,#20680,#20681); -#20683=PLANE('',#20682); -#20684=ORIENTED_EDGE('',*,*,#12162,.F.); -#20685=ORIENTED_EDGE('',*,*,#20648,.T.); -#20686=ORIENTED_EDGE('',*,*,#20110,.T.); -#20687=ORIENTED_EDGE('',*,*,#20672,.F.); -#20688=EDGE_LOOP('',(#20684,#20685,#20686,#20687)); -#20689=FACE_OUTER_BOUND('',#20688,.F.); -#20691=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.311724784545E0)); -#20692=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20693=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20694=AXIS2_PLACEMENT_3D('',#20691,#20692,#20693); -#20695=PLANE('',#20694); -#20696=ORIENTED_EDGE('',*,*,#12170,.F.); -#20698=ORIENTED_EDGE('',*,*,#20697,.T.); -#20699=ORIENTED_EDGE('',*,*,#20120,.T.); -#20701=ORIENTED_EDGE('',*,*,#20700,.F.); -#20702=EDGE_LOOP('',(#20696,#20698,#20699,#20701)); -#20703=FACE_OUTER_BOUND('',#20702,.F.); -#20705=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.311724784545E0)); -#20706=DIRECTION('',(0.E0,1.E0,0.E0)); -#20707=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20708=AXIS2_PLACEMENT_3D('',#20705,#20706,#20707); -#20709=PLANE('',#20708); -#20710=ORIENTED_EDGE('',*,*,#12176,.F.); -#20712=ORIENTED_EDGE('',*,*,#20711,.T.); -#20713=ORIENTED_EDGE('',*,*,#20122,.T.); -#20714=ORIENTED_EDGE('',*,*,#20697,.F.); -#20715=EDGE_LOOP('',(#20710,#20712,#20713,#20714)); -#20716=FACE_OUTER_BOUND('',#20715,.F.); -#20718=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.311724784545E0)); -#20719=DIRECTION('',(1.E0,0.E0,0.E0)); -#20720=DIRECTION('',(0.E0,1.E0,0.E0)); -#20721=AXIS2_PLACEMENT_3D('',#20718,#20719,#20720); -#20722=PLANE('',#20721); -#20723=ORIENTED_EDGE('',*,*,#12174,.F.); -#20725=ORIENTED_EDGE('',*,*,#20724,.T.); -#20726=ORIENTED_EDGE('',*,*,#20124,.T.); -#20727=ORIENTED_EDGE('',*,*,#20711,.F.); -#20728=EDGE_LOOP('',(#20723,#20725,#20726,#20727)); -#20729=FACE_OUTER_BOUND('',#20728,.F.); -#20731=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.311724784545E0)); -#20732=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20733=DIRECTION('',(1.E0,0.E0,0.E0)); -#20734=AXIS2_PLACEMENT_3D('',#20731,#20732,#20733); -#20735=PLANE('',#20734); -#20736=ORIENTED_EDGE('',*,*,#12172,.F.); -#20737=ORIENTED_EDGE('',*,*,#20700,.T.); -#20738=ORIENTED_EDGE('',*,*,#20126,.T.); -#20739=ORIENTED_EDGE('',*,*,#20724,.F.); -#20740=EDGE_LOOP('',(#20736,#20737,#20738,#20739)); -#20741=FACE_OUTER_BOUND('',#20740,.F.); -#20743=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.311724784545E0)); -#20744=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20745=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20746=AXIS2_PLACEMENT_3D('',#20743,#20744,#20745); -#20747=PLANE('',#20746); -#20748=ORIENTED_EDGE('',*,*,#12180,.F.); -#20750=ORIENTED_EDGE('',*,*,#20749,.T.); -#20751=ORIENTED_EDGE('',*,*,#20136,.T.); -#20753=ORIENTED_EDGE('',*,*,#20752,.F.); -#20754=EDGE_LOOP('',(#20748,#20750,#20751,#20753)); -#20755=FACE_OUTER_BOUND('',#20754,.F.); -#20757=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.311724784545E0)); -#20758=DIRECTION('',(0.E0,1.E0,0.E0)); -#20759=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20760=AXIS2_PLACEMENT_3D('',#20757,#20758,#20759); -#20761=PLANE('',#20760); -#20762=ORIENTED_EDGE('',*,*,#12186,.F.); -#20764=ORIENTED_EDGE('',*,*,#20763,.T.); -#20765=ORIENTED_EDGE('',*,*,#20138,.T.); -#20766=ORIENTED_EDGE('',*,*,#20749,.F.); -#20767=EDGE_LOOP('',(#20762,#20764,#20765,#20766)); -#20768=FACE_OUTER_BOUND('',#20767,.F.); -#20770=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.311724784545E0)); -#20771=DIRECTION('',(1.E0,0.E0,0.E0)); -#20772=DIRECTION('',(0.E0,1.E0,0.E0)); -#20773=AXIS2_PLACEMENT_3D('',#20770,#20771,#20772); -#20774=PLANE('',#20773); -#20775=ORIENTED_EDGE('',*,*,#12184,.F.); -#20777=ORIENTED_EDGE('',*,*,#20776,.T.); -#20778=ORIENTED_EDGE('',*,*,#20140,.T.); -#20779=ORIENTED_EDGE('',*,*,#20763,.F.); -#20780=EDGE_LOOP('',(#20775,#20777,#20778,#20779)); -#20781=FACE_OUTER_BOUND('',#20780,.F.); -#20783=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.311724784545E0)); -#20784=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20785=DIRECTION('',(1.E0,0.E0,0.E0)); -#20786=AXIS2_PLACEMENT_3D('',#20783,#20784,#20785); -#20787=PLANE('',#20786); -#20788=ORIENTED_EDGE('',*,*,#12182,.F.); -#20789=ORIENTED_EDGE('',*,*,#20752,.T.); -#20790=ORIENTED_EDGE('',*,*,#20142,.T.); -#20791=ORIENTED_EDGE('',*,*,#20776,.F.); -#20792=EDGE_LOOP('',(#20788,#20789,#20790,#20791)); -#20793=FACE_OUTER_BOUND('',#20792,.F.); -#20795=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.311724784545E0)); -#20796=DIRECTION('',(0.E0,1.E0,0.E0)); -#20797=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20798=AXIS2_PLACEMENT_3D('',#20795,#20796,#20797); -#20799=PLANE('',#20798); -#20800=ORIENTED_EDGE('',*,*,#12190,.F.); -#20802=ORIENTED_EDGE('',*,*,#20801,.T.); -#20803=ORIENTED_EDGE('',*,*,#20152,.T.); -#20805=ORIENTED_EDGE('',*,*,#20804,.F.); -#20806=EDGE_LOOP('',(#20800,#20802,#20803,#20805)); -#20807=FACE_OUTER_BOUND('',#20806,.F.); -#20809=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.311724784545E0)); -#20810=DIRECTION('',(1.E0,0.E0,0.E0)); -#20811=DIRECTION('',(0.E0,1.E0,0.E0)); -#20812=AXIS2_PLACEMENT_3D('',#20809,#20810,#20811); -#20813=PLANE('',#20812); -#20814=ORIENTED_EDGE('',*,*,#12196,.F.); -#20816=ORIENTED_EDGE('',*,*,#20815,.T.); -#20817=ORIENTED_EDGE('',*,*,#20154,.T.); -#20818=ORIENTED_EDGE('',*,*,#20801,.F.); -#20819=EDGE_LOOP('',(#20814,#20816,#20817,#20818)); -#20820=FACE_OUTER_BOUND('',#20819,.F.); -#20822=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.311724784545E0)); -#20823=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20824=DIRECTION('',(1.E0,0.E0,0.E0)); -#20825=AXIS2_PLACEMENT_3D('',#20822,#20823,#20824); -#20826=PLANE('',#20825); -#20827=ORIENTED_EDGE('',*,*,#12194,.F.); -#20829=ORIENTED_EDGE('',*,*,#20828,.T.); -#20830=ORIENTED_EDGE('',*,*,#20156,.T.); -#20831=ORIENTED_EDGE('',*,*,#20815,.F.); -#20832=EDGE_LOOP('',(#20827,#20829,#20830,#20831)); -#20833=FACE_OUTER_BOUND('',#20832,.F.); -#20835=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.311724784545E0)); -#20836=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20837=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20838=AXIS2_PLACEMENT_3D('',#20835,#20836,#20837); -#20839=PLANE('',#20838); -#20840=ORIENTED_EDGE('',*,*,#12192,.F.); -#20841=ORIENTED_EDGE('',*,*,#20804,.T.); -#20842=ORIENTED_EDGE('',*,*,#20158,.T.); -#20843=ORIENTED_EDGE('',*,*,#20828,.F.); -#20844=EDGE_LOOP('',(#20840,#20841,#20842,#20843)); -#20845=FACE_OUTER_BOUND('',#20844,.F.); -#20847=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.311724784545E0)); -#20848=DIRECTION('',(0.E0,1.E0,0.E0)); -#20849=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20850=AXIS2_PLACEMENT_3D('',#20847,#20848,#20849); -#20851=PLANE('',#20850); -#20852=ORIENTED_EDGE('',*,*,#12200,.F.); -#20854=ORIENTED_EDGE('',*,*,#20853,.T.); -#20855=ORIENTED_EDGE('',*,*,#20168,.T.); -#20857=ORIENTED_EDGE('',*,*,#20856,.F.); -#20858=EDGE_LOOP('',(#20852,#20854,#20855,#20857)); -#20859=FACE_OUTER_BOUND('',#20858,.F.); -#20861=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.311724784545E0)); -#20862=DIRECTION('',(1.E0,0.E0,0.E0)); -#20863=DIRECTION('',(0.E0,1.E0,0.E0)); -#20864=AXIS2_PLACEMENT_3D('',#20861,#20862,#20863); -#20865=PLANE('',#20864); -#20866=ORIENTED_EDGE('',*,*,#12206,.F.); -#20868=ORIENTED_EDGE('',*,*,#20867,.T.); -#20869=ORIENTED_EDGE('',*,*,#20170,.T.); -#20870=ORIENTED_EDGE('',*,*,#20853,.F.); -#20871=EDGE_LOOP('',(#20866,#20868,#20869,#20870)); -#20872=FACE_OUTER_BOUND('',#20871,.F.); -#20874=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.311724784545E0)); -#20875=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20876=DIRECTION('',(1.E0,0.E0,0.E0)); -#20877=AXIS2_PLACEMENT_3D('',#20874,#20875,#20876); -#20878=PLANE('',#20877); -#20879=ORIENTED_EDGE('',*,*,#12204,.F.); -#20881=ORIENTED_EDGE('',*,*,#20880,.T.); -#20882=ORIENTED_EDGE('',*,*,#20172,.T.); -#20883=ORIENTED_EDGE('',*,*,#20867,.F.); -#20884=EDGE_LOOP('',(#20879,#20881,#20882,#20883)); -#20885=FACE_OUTER_BOUND('',#20884,.F.); -#20887=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.311724784545E0)); -#20888=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20889=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20890=AXIS2_PLACEMENT_3D('',#20887,#20888,#20889); -#20891=PLANE('',#20890); -#20892=ORIENTED_EDGE('',*,*,#12202,.F.); -#20893=ORIENTED_EDGE('',*,*,#20856,.T.); -#20894=ORIENTED_EDGE('',*,*,#20174,.T.); -#20895=ORIENTED_EDGE('',*,*,#20880,.F.); -#20896=EDGE_LOOP('',(#20892,#20893,#20894,#20895)); -#20897=FACE_OUTER_BOUND('',#20896,.F.); -#20899=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.311724784545E0)); -#20900=DIRECTION('',(0.E0,1.E0,0.E0)); -#20901=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20902=AXIS2_PLACEMENT_3D('',#20899,#20900,#20901); -#20903=PLANE('',#20902); -#20904=ORIENTED_EDGE('',*,*,#12210,.F.); -#20906=ORIENTED_EDGE('',*,*,#20905,.T.); -#20907=ORIENTED_EDGE('',*,*,#20184,.T.); -#20909=ORIENTED_EDGE('',*,*,#20908,.F.); -#20910=EDGE_LOOP('',(#20904,#20906,#20907,#20909)); -#20911=FACE_OUTER_BOUND('',#20910,.F.); -#20913=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.311724784545E0)); -#20914=DIRECTION('',(1.E0,0.E0,0.E0)); -#20915=DIRECTION('',(0.E0,1.E0,0.E0)); -#20916=AXIS2_PLACEMENT_3D('',#20913,#20914,#20915); -#20917=PLANE('',#20916); -#20918=ORIENTED_EDGE('',*,*,#12216,.F.); -#20920=ORIENTED_EDGE('',*,*,#20919,.T.); -#20921=ORIENTED_EDGE('',*,*,#20186,.T.); -#20922=ORIENTED_EDGE('',*,*,#20905,.F.); -#20923=EDGE_LOOP('',(#20918,#20920,#20921,#20922)); -#20924=FACE_OUTER_BOUND('',#20923,.F.); -#20926=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.311724784545E0)); -#20927=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20928=DIRECTION('',(1.E0,0.E0,0.E0)); -#20929=AXIS2_PLACEMENT_3D('',#20926,#20927,#20928); -#20930=PLANE('',#20929); -#20931=ORIENTED_EDGE('',*,*,#12214,.F.); -#20933=ORIENTED_EDGE('',*,*,#20932,.T.); -#20934=ORIENTED_EDGE('',*,*,#20188,.T.); -#20935=ORIENTED_EDGE('',*,*,#20919,.F.); -#20936=EDGE_LOOP('',(#20931,#20933,#20934,#20935)); -#20937=FACE_OUTER_BOUND('',#20936,.F.); -#20939=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.311724784545E0)); -#20940=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20941=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20942=AXIS2_PLACEMENT_3D('',#20939,#20940,#20941); -#20943=PLANE('',#20942); -#20944=ORIENTED_EDGE('',*,*,#12212,.F.); -#20945=ORIENTED_EDGE('',*,*,#20908,.T.); -#20946=ORIENTED_EDGE('',*,*,#20190,.T.); -#20947=ORIENTED_EDGE('',*,*,#20932,.F.); -#20948=EDGE_LOOP('',(#20944,#20945,#20946,#20947)); -#20949=FACE_OUTER_BOUND('',#20948,.F.); -#20951=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.311724784545E0)); -#20952=DIRECTION('',(0.E0,1.E0,0.E0)); -#20953=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20954=AXIS2_PLACEMENT_3D('',#20951,#20952,#20953); -#20955=PLANE('',#20954); -#20956=ORIENTED_EDGE('',*,*,#12220,.F.); -#20958=ORIENTED_EDGE('',*,*,#20957,.T.); -#20959=ORIENTED_EDGE('',*,*,#20200,.T.); -#20961=ORIENTED_EDGE('',*,*,#20960,.F.); -#20962=EDGE_LOOP('',(#20956,#20958,#20959,#20961)); -#20963=FACE_OUTER_BOUND('',#20962,.F.); -#20965=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.311724784545E0)); -#20966=DIRECTION('',(1.E0,0.E0,0.E0)); -#20967=DIRECTION('',(0.E0,1.E0,0.E0)); -#20968=AXIS2_PLACEMENT_3D('',#20965,#20966,#20967); -#20969=PLANE('',#20968); -#20970=ORIENTED_EDGE('',*,*,#12226,.F.); -#20972=ORIENTED_EDGE('',*,*,#20971,.T.); -#20973=ORIENTED_EDGE('',*,*,#20202,.T.); -#20974=ORIENTED_EDGE('',*,*,#20957,.F.); -#20975=EDGE_LOOP('',(#20970,#20972,#20973,#20974)); -#20976=FACE_OUTER_BOUND('',#20975,.F.); -#20978=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.311724784545E0)); -#20979=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20980=DIRECTION('',(1.E0,0.E0,0.E0)); -#20981=AXIS2_PLACEMENT_3D('',#20978,#20979,#20980); -#20982=PLANE('',#20981); -#20983=ORIENTED_EDGE('',*,*,#12224,.F.); -#20985=ORIENTED_EDGE('',*,*,#20984,.T.); -#20986=ORIENTED_EDGE('',*,*,#20204,.T.); -#20987=ORIENTED_EDGE('',*,*,#20971,.F.); -#20988=EDGE_LOOP('',(#20983,#20985,#20986,#20987)); -#20989=FACE_OUTER_BOUND('',#20988,.F.); -#20991=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.311724784545E0)); -#20992=DIRECTION('',(-1.E0,0.E0,0.E0)); -#20993=DIRECTION('',(0.E0,-1.E0,0.E0)); -#20994=AXIS2_PLACEMENT_3D('',#20991,#20992,#20993); -#20995=PLANE('',#20994); -#20996=ORIENTED_EDGE('',*,*,#12222,.F.); -#20997=ORIENTED_EDGE('',*,*,#20960,.T.); -#20998=ORIENTED_EDGE('',*,*,#20206,.T.); -#20999=ORIENTED_EDGE('',*,*,#20984,.F.); -#21000=EDGE_LOOP('',(#20996,#20997,#20998,#20999)); -#21001=FACE_OUTER_BOUND('',#21000,.F.); -#21003=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.311724784545E0)); -#21004=DIRECTION('',(0.E0,1.E0,0.E0)); -#21005=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21006=AXIS2_PLACEMENT_3D('',#21003,#21004,#21005); -#21007=PLANE('',#21006); -#21008=ORIENTED_EDGE('',*,*,#12230,.F.); -#21010=ORIENTED_EDGE('',*,*,#21009,.T.); -#21011=ORIENTED_EDGE('',*,*,#20216,.T.); -#21013=ORIENTED_EDGE('',*,*,#21012,.F.); -#21014=EDGE_LOOP('',(#21008,#21010,#21011,#21013)); -#21015=FACE_OUTER_BOUND('',#21014,.F.); -#21017=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.311724784545E0)); -#21018=DIRECTION('',(1.E0,0.E0,0.E0)); -#21019=DIRECTION('',(0.E0,1.E0,0.E0)); -#21020=AXIS2_PLACEMENT_3D('',#21017,#21018,#21019); -#21021=PLANE('',#21020); -#21022=ORIENTED_EDGE('',*,*,#12236,.F.); -#21024=ORIENTED_EDGE('',*,*,#21023,.T.); -#21025=ORIENTED_EDGE('',*,*,#20218,.T.); -#21026=ORIENTED_EDGE('',*,*,#21009,.F.); -#21027=EDGE_LOOP('',(#21022,#21024,#21025,#21026)); -#21028=FACE_OUTER_BOUND('',#21027,.F.); -#21030=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.311724784545E0)); -#21031=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21032=DIRECTION('',(1.E0,0.E0,0.E0)); -#21033=AXIS2_PLACEMENT_3D('',#21030,#21031,#21032); -#21034=PLANE('',#21033); -#21035=ORIENTED_EDGE('',*,*,#12234,.F.); -#21037=ORIENTED_EDGE('',*,*,#21036,.T.); -#21038=ORIENTED_EDGE('',*,*,#20220,.T.); -#21039=ORIENTED_EDGE('',*,*,#21023,.F.); -#21040=EDGE_LOOP('',(#21035,#21037,#21038,#21039)); -#21041=FACE_OUTER_BOUND('',#21040,.F.); -#21043=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.311724784545E0)); -#21044=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21045=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21046=AXIS2_PLACEMENT_3D('',#21043,#21044,#21045); -#21047=PLANE('',#21046); -#21048=ORIENTED_EDGE('',*,*,#12232,.F.); -#21049=ORIENTED_EDGE('',*,*,#21012,.T.); -#21050=ORIENTED_EDGE('',*,*,#20222,.T.); -#21051=ORIENTED_EDGE('',*,*,#21036,.F.); -#21052=EDGE_LOOP('',(#21048,#21049,#21050,#21051)); -#21053=FACE_OUTER_BOUND('',#21052,.F.); -#21055=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.311724784545E0)); -#21056=DIRECTION('',(0.E0,1.E0,0.E0)); -#21057=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21058=AXIS2_PLACEMENT_3D('',#21055,#21056,#21057); -#21059=PLANE('',#21058); -#21060=ORIENTED_EDGE('',*,*,#12240,.F.); -#21062=ORIENTED_EDGE('',*,*,#21061,.T.); -#21063=ORIENTED_EDGE('',*,*,#20232,.T.); -#21065=ORIENTED_EDGE('',*,*,#21064,.F.); -#21066=EDGE_LOOP('',(#21060,#21062,#21063,#21065)); -#21067=FACE_OUTER_BOUND('',#21066,.F.); -#21069=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.311724784545E0)); -#21070=DIRECTION('',(1.E0,0.E0,0.E0)); -#21071=DIRECTION('',(0.E0,1.E0,0.E0)); -#21072=AXIS2_PLACEMENT_3D('',#21069,#21070,#21071); -#21073=PLANE('',#21072); -#21074=ORIENTED_EDGE('',*,*,#12246,.F.); -#21076=ORIENTED_EDGE('',*,*,#21075,.T.); -#21077=ORIENTED_EDGE('',*,*,#20234,.T.); -#21078=ORIENTED_EDGE('',*,*,#21061,.F.); -#21079=EDGE_LOOP('',(#21074,#21076,#21077,#21078)); -#21080=FACE_OUTER_BOUND('',#21079,.F.); -#21082=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.311724784545E0)); -#21083=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21084=DIRECTION('',(1.E0,0.E0,0.E0)); -#21085=AXIS2_PLACEMENT_3D('',#21082,#21083,#21084); -#21086=PLANE('',#21085); -#21087=ORIENTED_EDGE('',*,*,#12244,.F.); -#21089=ORIENTED_EDGE('',*,*,#21088,.T.); -#21090=ORIENTED_EDGE('',*,*,#20236,.T.); -#21091=ORIENTED_EDGE('',*,*,#21075,.F.); -#21092=EDGE_LOOP('',(#21087,#21089,#21090,#21091)); -#21093=FACE_OUTER_BOUND('',#21092,.F.); -#21095=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.311724784545E0)); -#21096=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21097=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21098=AXIS2_PLACEMENT_3D('',#21095,#21096,#21097); -#21099=PLANE('',#21098); -#21100=ORIENTED_EDGE('',*,*,#12242,.F.); -#21101=ORIENTED_EDGE('',*,*,#21064,.T.); -#21102=ORIENTED_EDGE('',*,*,#20238,.T.); -#21103=ORIENTED_EDGE('',*,*,#21088,.F.); -#21104=EDGE_LOOP('',(#21100,#21101,#21102,#21103)); -#21105=FACE_OUTER_BOUND('',#21104,.F.); -#21107=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.311724784545E0)); -#21108=DIRECTION('',(0.E0,1.E0,0.E0)); -#21109=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21110=AXIS2_PLACEMENT_3D('',#21107,#21108,#21109); -#21111=PLANE('',#21110); -#21112=ORIENTED_EDGE('',*,*,#12250,.F.); -#21114=ORIENTED_EDGE('',*,*,#21113,.T.); -#21115=ORIENTED_EDGE('',*,*,#20248,.T.); -#21117=ORIENTED_EDGE('',*,*,#21116,.F.); -#21118=EDGE_LOOP('',(#21112,#21114,#21115,#21117)); -#21119=FACE_OUTER_BOUND('',#21118,.F.); -#21121=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.311724784545E0)); -#21122=DIRECTION('',(1.E0,0.E0,0.E0)); -#21123=DIRECTION('',(0.E0,1.E0,0.E0)); -#21124=AXIS2_PLACEMENT_3D('',#21121,#21122,#21123); -#21125=PLANE('',#21124); -#21126=ORIENTED_EDGE('',*,*,#12256,.F.); -#21128=ORIENTED_EDGE('',*,*,#21127,.T.); -#21129=ORIENTED_EDGE('',*,*,#20250,.T.); -#21130=ORIENTED_EDGE('',*,*,#21113,.F.); -#21131=EDGE_LOOP('',(#21126,#21128,#21129,#21130)); -#21132=FACE_OUTER_BOUND('',#21131,.F.); -#21134=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.311724784545E0)); -#21135=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21136=DIRECTION('',(1.E0,0.E0,0.E0)); -#21137=AXIS2_PLACEMENT_3D('',#21134,#21135,#21136); -#21138=PLANE('',#21137); -#21139=ORIENTED_EDGE('',*,*,#12254,.F.); -#21141=ORIENTED_EDGE('',*,*,#21140,.T.); -#21142=ORIENTED_EDGE('',*,*,#20252,.T.); -#21143=ORIENTED_EDGE('',*,*,#21127,.F.); -#21144=EDGE_LOOP('',(#21139,#21141,#21142,#21143)); -#21145=FACE_OUTER_BOUND('',#21144,.F.); -#21147=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.311724784545E0)); -#21148=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21149=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21150=AXIS2_PLACEMENT_3D('',#21147,#21148,#21149); -#21151=PLANE('',#21150); -#21152=ORIENTED_EDGE('',*,*,#12252,.F.); -#21153=ORIENTED_EDGE('',*,*,#21116,.T.); -#21154=ORIENTED_EDGE('',*,*,#20254,.T.); -#21155=ORIENTED_EDGE('',*,*,#21140,.F.); -#21156=EDGE_LOOP('',(#21152,#21153,#21154,#21155)); -#21157=FACE_OUTER_BOUND('',#21156,.F.); -#21159=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.311724784545E0)); -#21160=DIRECTION('',(0.E0,1.E0,0.E0)); -#21161=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21162=AXIS2_PLACEMENT_3D('',#21159,#21160,#21161); -#21163=PLANE('',#21162); -#21164=ORIENTED_EDGE('',*,*,#12260,.F.); -#21166=ORIENTED_EDGE('',*,*,#21165,.T.); -#21167=ORIENTED_EDGE('',*,*,#20264,.T.); -#21169=ORIENTED_EDGE('',*,*,#21168,.F.); -#21170=EDGE_LOOP('',(#21164,#21166,#21167,#21169)); -#21171=FACE_OUTER_BOUND('',#21170,.F.); -#21173=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.311724784545E0)); -#21174=DIRECTION('',(1.E0,0.E0,0.E0)); -#21175=DIRECTION('',(0.E0,1.E0,0.E0)); -#21176=AXIS2_PLACEMENT_3D('',#21173,#21174,#21175); -#21177=PLANE('',#21176); -#21178=ORIENTED_EDGE('',*,*,#12266,.F.); -#21180=ORIENTED_EDGE('',*,*,#21179,.T.); -#21181=ORIENTED_EDGE('',*,*,#20266,.T.); -#21182=ORIENTED_EDGE('',*,*,#21165,.F.); -#21183=EDGE_LOOP('',(#21178,#21180,#21181,#21182)); -#21184=FACE_OUTER_BOUND('',#21183,.F.); -#21186=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.311724784545E0)); -#21187=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21188=DIRECTION('',(1.E0,0.E0,0.E0)); -#21189=AXIS2_PLACEMENT_3D('',#21186,#21187,#21188); -#21190=PLANE('',#21189); -#21191=ORIENTED_EDGE('',*,*,#12264,.F.); -#21193=ORIENTED_EDGE('',*,*,#21192,.T.); -#21194=ORIENTED_EDGE('',*,*,#20268,.T.); -#21195=ORIENTED_EDGE('',*,*,#21179,.F.); -#21196=EDGE_LOOP('',(#21191,#21193,#21194,#21195)); -#21197=FACE_OUTER_BOUND('',#21196,.F.); -#21199=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.311724784545E0)); -#21200=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21201=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21202=AXIS2_PLACEMENT_3D('',#21199,#21200,#21201); -#21203=PLANE('',#21202); -#21204=ORIENTED_EDGE('',*,*,#12262,.F.); -#21205=ORIENTED_EDGE('',*,*,#21168,.T.); -#21206=ORIENTED_EDGE('',*,*,#20270,.T.); -#21207=ORIENTED_EDGE('',*,*,#21192,.F.); -#21208=EDGE_LOOP('',(#21204,#21205,#21206,#21207)); -#21209=FACE_OUTER_BOUND('',#21208,.F.); -#21211=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,4.311724784545E0)); -#21212=DIRECTION('',(1.E0,0.E0,0.E0)); -#21213=DIRECTION('',(0.E0,1.E0,0.E0)); -#21214=AXIS2_PLACEMENT_3D('',#21211,#21212,#21213); -#21215=PLANE('',#21214); -#21216=ORIENTED_EDGE('',*,*,#12270,.F.); -#21218=ORIENTED_EDGE('',*,*,#21217,.T.); -#21220=ORIENTED_EDGE('',*,*,#21219,.T.); -#21222=ORIENTED_EDGE('',*,*,#21221,.F.); -#21223=EDGE_LOOP('',(#21216,#21218,#21220,#21222)); -#21224=FACE_OUTER_BOUND('',#21223,.F.); -#21226=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,4.311724784545E0)); -#21227=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21228=DIRECTION('',(1.E0,0.E0,0.E0)); -#21229=AXIS2_PLACEMENT_3D('',#21226,#21227,#21228); -#21230=PLANE('',#21229); -#21231=ORIENTED_EDGE('',*,*,#12276,.F.); -#21233=ORIENTED_EDGE('',*,*,#21232,.T.); -#21235=ORIENTED_EDGE('',*,*,#21234,.T.); -#21236=ORIENTED_EDGE('',*,*,#21217,.F.); -#21237=EDGE_LOOP('',(#21231,#21233,#21235,#21236)); -#21238=FACE_OUTER_BOUND('',#21237,.F.); -#21240=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,4.311724784545E0)); -#21241=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21242=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21243=AXIS2_PLACEMENT_3D('',#21240,#21241,#21242); -#21244=PLANE('',#21243); -#21245=ORIENTED_EDGE('',*,*,#12274,.F.); -#21247=ORIENTED_EDGE('',*,*,#21246,.T.); -#21249=ORIENTED_EDGE('',*,*,#21248,.T.); -#21250=ORIENTED_EDGE('',*,*,#21232,.F.); -#21251=EDGE_LOOP('',(#21245,#21247,#21249,#21250)); -#21252=FACE_OUTER_BOUND('',#21251,.F.); -#21254=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,4.311724784545E0)); -#21255=DIRECTION('',(0.E0,1.E0,0.E0)); -#21256=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21257=AXIS2_PLACEMENT_3D('',#21254,#21255,#21256); -#21258=PLANE('',#21257); -#21259=ORIENTED_EDGE('',*,*,#12272,.F.); -#21260=ORIENTED_EDGE('',*,*,#21221,.T.); -#21262=ORIENTED_EDGE('',*,*,#21261,.T.); -#21263=ORIENTED_EDGE('',*,*,#21246,.F.); -#21264=EDGE_LOOP('',(#21259,#21260,#21262,#21263)); -#21265=FACE_OUTER_BOUND('',#21264,.F.); -#21267=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#21268=DIRECTION('',(0.E0,0.E0,1.E0)); -#21269=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21270=AXIS2_PLACEMENT_3D('',#21267,#21268,#21269); -#21271=PLANE('',#21270); -#21272=ORIENTED_EDGE('',*,*,#21219,.F.); -#21273=ORIENTED_EDGE('',*,*,#21234,.F.); -#21274=ORIENTED_EDGE('',*,*,#21248,.F.); -#21275=ORIENTED_EDGE('',*,*,#21261,.F.); -#21276=EDGE_LOOP('',(#21272,#21273,#21274,#21275)); -#21277=FACE_OUTER_BOUND('',#21276,.F.); -#21279=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#21280=DIRECTION('',(0.E0,0.E0,1.E0)); -#21281=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21282=AXIS2_PLACEMENT_3D('',#21279,#21280,#21281); -#21283=PLANE('',#21282); -#21285=ORIENTED_EDGE('',*,*,#21284,.F.); -#21287=ORIENTED_EDGE('',*,*,#21286,.F.); -#21289=ORIENTED_EDGE('',*,*,#21288,.F.); -#21291=ORIENTED_EDGE('',*,*,#21290,.F.); -#21292=EDGE_LOOP('',(#21285,#21287,#21289,#21291)); -#21293=FACE_OUTER_BOUND('',#21292,.F.); -#21295=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#21296=DIRECTION('',(0.E0,0.E0,1.E0)); -#21297=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21298=AXIS2_PLACEMENT_3D('',#21295,#21296,#21297); -#21299=PLANE('',#21298); -#21301=ORIENTED_EDGE('',*,*,#21300,.F.); -#21303=ORIENTED_EDGE('',*,*,#21302,.F.); -#21305=ORIENTED_EDGE('',*,*,#21304,.F.); -#21307=ORIENTED_EDGE('',*,*,#21306,.F.); -#21308=EDGE_LOOP('',(#21301,#21303,#21305,#21307)); -#21309=FACE_OUTER_BOUND('',#21308,.F.); -#21311=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#21312=DIRECTION('',(0.E0,0.E0,1.E0)); -#21313=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21314=AXIS2_PLACEMENT_3D('',#21311,#21312,#21313); -#21315=PLANE('',#21314); -#21317=ORIENTED_EDGE('',*,*,#21316,.F.); -#21319=ORIENTED_EDGE('',*,*,#21318,.F.); -#21321=ORIENTED_EDGE('',*,*,#21320,.F.); -#21323=ORIENTED_EDGE('',*,*,#21322,.F.); -#21324=EDGE_LOOP('',(#21317,#21319,#21321,#21323)); -#21325=FACE_OUTER_BOUND('',#21324,.F.); -#21327=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#21328=DIRECTION('',(0.E0,0.E0,1.E0)); -#21329=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21330=AXIS2_PLACEMENT_3D('',#21327,#21328,#21329); -#21331=PLANE('',#21330); -#21333=ORIENTED_EDGE('',*,*,#21332,.F.); -#21335=ORIENTED_EDGE('',*,*,#21334,.F.); -#21337=ORIENTED_EDGE('',*,*,#21336,.F.); -#21339=ORIENTED_EDGE('',*,*,#21338,.F.); -#21340=EDGE_LOOP('',(#21333,#21335,#21337,#21339)); -#21341=FACE_OUTER_BOUND('',#21340,.F.); -#21343=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#21344=DIRECTION('',(0.E0,0.E0,1.E0)); -#21345=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21346=AXIS2_PLACEMENT_3D('',#21343,#21344,#21345); -#21347=PLANE('',#21346); -#21349=ORIENTED_EDGE('',*,*,#21348,.F.); -#21351=ORIENTED_EDGE('',*,*,#21350,.F.); -#21353=ORIENTED_EDGE('',*,*,#21352,.F.); -#21355=ORIENTED_EDGE('',*,*,#21354,.F.); -#21356=EDGE_LOOP('',(#21349,#21351,#21353,#21355)); -#21357=FACE_OUTER_BOUND('',#21356,.F.); -#21359=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#21360=DIRECTION('',(0.E0,0.E0,1.E0)); -#21361=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21362=AXIS2_PLACEMENT_3D('',#21359,#21360,#21361); -#21363=PLANE('',#21362); -#21365=ORIENTED_EDGE('',*,*,#21364,.F.); -#21367=ORIENTED_EDGE('',*,*,#21366,.F.); -#21369=ORIENTED_EDGE('',*,*,#21368,.F.); -#21371=ORIENTED_EDGE('',*,*,#21370,.F.); -#21372=EDGE_LOOP('',(#21365,#21367,#21369,#21371)); -#21373=FACE_OUTER_BOUND('',#21372,.F.); -#21375=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#21376=DIRECTION('',(0.E0,0.E0,1.E0)); -#21377=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21378=AXIS2_PLACEMENT_3D('',#21375,#21376,#21377); -#21379=PLANE('',#21378); -#21381=ORIENTED_EDGE('',*,*,#21380,.F.); -#21383=ORIENTED_EDGE('',*,*,#21382,.F.); -#21385=ORIENTED_EDGE('',*,*,#21384,.F.); -#21387=ORIENTED_EDGE('',*,*,#21386,.F.); -#21388=EDGE_LOOP('',(#21381,#21383,#21385,#21387)); -#21389=FACE_OUTER_BOUND('',#21388,.F.); -#21391=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,4.311724784545E0)); -#21392=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21393=DIRECTION('',(1.E0,0.E0,0.E0)); -#21394=AXIS2_PLACEMENT_3D('',#21391,#21392,#21393); -#21395=PLANE('',#21394); -#21396=ORIENTED_EDGE('',*,*,#12280,.F.); -#21398=ORIENTED_EDGE('',*,*,#21397,.T.); -#21399=ORIENTED_EDGE('',*,*,#21284,.T.); -#21401=ORIENTED_EDGE('',*,*,#21400,.F.); -#21402=EDGE_LOOP('',(#21396,#21398,#21399,#21401)); -#21403=FACE_OUTER_BOUND('',#21402,.F.); -#21405=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,4.311724784545E0)); -#21406=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21407=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21408=AXIS2_PLACEMENT_3D('',#21405,#21406,#21407); -#21409=PLANE('',#21408); -#21410=ORIENTED_EDGE('',*,*,#12286,.F.); -#21412=ORIENTED_EDGE('',*,*,#21411,.T.); -#21413=ORIENTED_EDGE('',*,*,#21286,.T.); -#21414=ORIENTED_EDGE('',*,*,#21397,.F.); -#21415=EDGE_LOOP('',(#21410,#21412,#21413,#21414)); -#21416=FACE_OUTER_BOUND('',#21415,.F.); -#21418=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,4.311724784545E0)); -#21419=DIRECTION('',(0.E0,1.E0,0.E0)); -#21420=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21421=AXIS2_PLACEMENT_3D('',#21418,#21419,#21420); -#21422=PLANE('',#21421); -#21423=ORIENTED_EDGE('',*,*,#12284,.F.); -#21425=ORIENTED_EDGE('',*,*,#21424,.T.); -#21426=ORIENTED_EDGE('',*,*,#21288,.T.); -#21427=ORIENTED_EDGE('',*,*,#21411,.F.); -#21428=EDGE_LOOP('',(#21423,#21425,#21426,#21427)); -#21429=FACE_OUTER_BOUND('',#21428,.F.); -#21431=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,4.311724784545E0)); -#21432=DIRECTION('',(1.E0,0.E0,0.E0)); -#21433=DIRECTION('',(0.E0,1.E0,0.E0)); -#21434=AXIS2_PLACEMENT_3D('',#21431,#21432,#21433); -#21435=PLANE('',#21434); -#21436=ORIENTED_EDGE('',*,*,#12282,.F.); -#21437=ORIENTED_EDGE('',*,*,#21400,.T.); -#21438=ORIENTED_EDGE('',*,*,#21290,.T.); -#21439=ORIENTED_EDGE('',*,*,#21424,.F.); -#21440=EDGE_LOOP('',(#21436,#21437,#21438,#21439)); -#21441=FACE_OUTER_BOUND('',#21440,.F.); -#21443=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,4.311724784545E0)); -#21444=DIRECTION('',(1.E0,0.E0,0.E0)); -#21445=DIRECTION('',(0.E0,1.E0,0.E0)); -#21446=AXIS2_PLACEMENT_3D('',#21443,#21444,#21445); -#21447=PLANE('',#21446); -#21448=ORIENTED_EDGE('',*,*,#12290,.F.); -#21450=ORIENTED_EDGE('',*,*,#21449,.T.); -#21451=ORIENTED_EDGE('',*,*,#21300,.T.); -#21453=ORIENTED_EDGE('',*,*,#21452,.F.); -#21454=EDGE_LOOP('',(#21448,#21450,#21451,#21453)); -#21455=FACE_OUTER_BOUND('',#21454,.F.); -#21457=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,4.311724784545E0)); -#21458=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21459=DIRECTION('',(1.E0,0.E0,0.E0)); -#21460=AXIS2_PLACEMENT_3D('',#21457,#21458,#21459); -#21461=PLANE('',#21460); -#21462=ORIENTED_EDGE('',*,*,#12296,.F.); -#21464=ORIENTED_EDGE('',*,*,#21463,.T.); -#21465=ORIENTED_EDGE('',*,*,#21302,.T.); -#21466=ORIENTED_EDGE('',*,*,#21449,.F.); -#21467=EDGE_LOOP('',(#21462,#21464,#21465,#21466)); -#21468=FACE_OUTER_BOUND('',#21467,.F.); -#21470=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,4.311724784545E0)); -#21471=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21472=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21473=AXIS2_PLACEMENT_3D('',#21470,#21471,#21472); -#21474=PLANE('',#21473); -#21475=ORIENTED_EDGE('',*,*,#12294,.F.); -#21477=ORIENTED_EDGE('',*,*,#21476,.T.); -#21478=ORIENTED_EDGE('',*,*,#21304,.T.); -#21479=ORIENTED_EDGE('',*,*,#21463,.F.); -#21480=EDGE_LOOP('',(#21475,#21477,#21478,#21479)); -#21481=FACE_OUTER_BOUND('',#21480,.F.); -#21483=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,4.311724784545E0)); -#21484=DIRECTION('',(0.E0,1.E0,0.E0)); -#21485=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21486=AXIS2_PLACEMENT_3D('',#21483,#21484,#21485); -#21487=PLANE('',#21486); -#21488=ORIENTED_EDGE('',*,*,#12292,.F.); -#21489=ORIENTED_EDGE('',*,*,#21452,.T.); -#21490=ORIENTED_EDGE('',*,*,#21306,.T.); -#21491=ORIENTED_EDGE('',*,*,#21476,.F.); -#21492=EDGE_LOOP('',(#21488,#21489,#21490,#21491)); -#21493=FACE_OUTER_BOUND('',#21492,.F.); -#21495=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,4.311724784545E0)); -#21496=DIRECTION('',(0.E0,1.E0,0.E0)); -#21497=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21498=AXIS2_PLACEMENT_3D('',#21495,#21496,#21497); -#21499=PLANE('',#21498); -#21500=ORIENTED_EDGE('',*,*,#12300,.F.); -#21502=ORIENTED_EDGE('',*,*,#21501,.T.); -#21503=ORIENTED_EDGE('',*,*,#21316,.T.); -#21505=ORIENTED_EDGE('',*,*,#21504,.F.); -#21506=EDGE_LOOP('',(#21500,#21502,#21503,#21505)); -#21507=FACE_OUTER_BOUND('',#21506,.F.); -#21509=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,4.311724784545E0)); -#21510=DIRECTION('',(1.E0,0.E0,0.E0)); -#21511=DIRECTION('',(0.E0,1.E0,0.E0)); -#21512=AXIS2_PLACEMENT_3D('',#21509,#21510,#21511); -#21513=PLANE('',#21512); -#21514=ORIENTED_EDGE('',*,*,#12306,.F.); -#21516=ORIENTED_EDGE('',*,*,#21515,.T.); -#21517=ORIENTED_EDGE('',*,*,#21318,.T.); -#21518=ORIENTED_EDGE('',*,*,#21501,.F.); -#21519=EDGE_LOOP('',(#21514,#21516,#21517,#21518)); -#21520=FACE_OUTER_BOUND('',#21519,.F.); -#21522=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,4.311724784545E0)); -#21523=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21524=DIRECTION('',(1.E0,0.E0,0.E0)); -#21525=AXIS2_PLACEMENT_3D('',#21522,#21523,#21524); -#21526=PLANE('',#21525); -#21527=ORIENTED_EDGE('',*,*,#12304,.F.); -#21529=ORIENTED_EDGE('',*,*,#21528,.T.); -#21530=ORIENTED_EDGE('',*,*,#21320,.T.); -#21531=ORIENTED_EDGE('',*,*,#21515,.F.); -#21532=EDGE_LOOP('',(#21527,#21529,#21530,#21531)); -#21533=FACE_OUTER_BOUND('',#21532,.F.); -#21535=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,4.311724784545E0)); -#21536=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21537=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21538=AXIS2_PLACEMENT_3D('',#21535,#21536,#21537); -#21539=PLANE('',#21538); -#21540=ORIENTED_EDGE('',*,*,#12302,.F.); -#21541=ORIENTED_EDGE('',*,*,#21504,.T.); -#21542=ORIENTED_EDGE('',*,*,#21322,.T.); -#21543=ORIENTED_EDGE('',*,*,#21528,.F.); -#21544=EDGE_LOOP('',(#21540,#21541,#21542,#21543)); -#21545=FACE_OUTER_BOUND('',#21544,.F.); -#21547=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,4.311724784545E0)); -#21548=DIRECTION('',(0.E0,1.E0,0.E0)); -#21549=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21550=AXIS2_PLACEMENT_3D('',#21547,#21548,#21549); -#21551=PLANE('',#21550); -#21552=ORIENTED_EDGE('',*,*,#12310,.F.); -#21554=ORIENTED_EDGE('',*,*,#21553,.T.); -#21555=ORIENTED_EDGE('',*,*,#21332,.T.); -#21557=ORIENTED_EDGE('',*,*,#21556,.F.); -#21558=EDGE_LOOP('',(#21552,#21554,#21555,#21557)); -#21559=FACE_OUTER_BOUND('',#21558,.F.); -#21561=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,4.311724784545E0)); -#21562=DIRECTION('',(1.E0,0.E0,0.E0)); -#21563=DIRECTION('',(0.E0,1.E0,0.E0)); -#21564=AXIS2_PLACEMENT_3D('',#21561,#21562,#21563); -#21565=PLANE('',#21564); -#21566=ORIENTED_EDGE('',*,*,#12316,.F.); -#21568=ORIENTED_EDGE('',*,*,#21567,.T.); -#21569=ORIENTED_EDGE('',*,*,#21334,.T.); -#21570=ORIENTED_EDGE('',*,*,#21553,.F.); -#21571=EDGE_LOOP('',(#21566,#21568,#21569,#21570)); -#21572=FACE_OUTER_BOUND('',#21571,.F.); -#21574=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,4.311724784545E0)); -#21575=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21576=DIRECTION('',(1.E0,0.E0,0.E0)); -#21577=AXIS2_PLACEMENT_3D('',#21574,#21575,#21576); -#21578=PLANE('',#21577); -#21579=ORIENTED_EDGE('',*,*,#12314,.F.); -#21581=ORIENTED_EDGE('',*,*,#21580,.T.); -#21582=ORIENTED_EDGE('',*,*,#21336,.T.); -#21583=ORIENTED_EDGE('',*,*,#21567,.F.); -#21584=EDGE_LOOP('',(#21579,#21581,#21582,#21583)); -#21585=FACE_OUTER_BOUND('',#21584,.F.); -#21587=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,4.311724784545E0)); -#21588=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21589=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21590=AXIS2_PLACEMENT_3D('',#21587,#21588,#21589); -#21591=PLANE('',#21590); -#21592=ORIENTED_EDGE('',*,*,#12312,.F.); -#21593=ORIENTED_EDGE('',*,*,#21556,.T.); -#21594=ORIENTED_EDGE('',*,*,#21338,.T.); -#21595=ORIENTED_EDGE('',*,*,#21580,.F.); -#21596=EDGE_LOOP('',(#21592,#21593,#21594,#21595)); -#21597=FACE_OUTER_BOUND('',#21596,.F.); -#21599=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,4.311724784545E0)); -#21600=DIRECTION('',(0.E0,1.E0,0.E0)); -#21601=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21602=AXIS2_PLACEMENT_3D('',#21599,#21600,#21601); -#21603=PLANE('',#21602); -#21604=ORIENTED_EDGE('',*,*,#12320,.F.); -#21606=ORIENTED_EDGE('',*,*,#21605,.T.); -#21607=ORIENTED_EDGE('',*,*,#21348,.T.); -#21609=ORIENTED_EDGE('',*,*,#21608,.F.); -#21610=EDGE_LOOP('',(#21604,#21606,#21607,#21609)); -#21611=FACE_OUTER_BOUND('',#21610,.F.); -#21613=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,4.311724784545E0)); -#21614=DIRECTION('',(1.E0,0.E0,0.E0)); -#21615=DIRECTION('',(0.E0,1.E0,0.E0)); -#21616=AXIS2_PLACEMENT_3D('',#21613,#21614,#21615); -#21617=PLANE('',#21616); -#21618=ORIENTED_EDGE('',*,*,#12326,.F.); -#21620=ORIENTED_EDGE('',*,*,#21619,.T.); -#21621=ORIENTED_EDGE('',*,*,#21350,.T.); -#21622=ORIENTED_EDGE('',*,*,#21605,.F.); -#21623=EDGE_LOOP('',(#21618,#21620,#21621,#21622)); -#21624=FACE_OUTER_BOUND('',#21623,.F.); -#21626=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,4.311724784545E0)); -#21627=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21628=DIRECTION('',(1.E0,0.E0,0.E0)); -#21629=AXIS2_PLACEMENT_3D('',#21626,#21627,#21628); -#21630=PLANE('',#21629); -#21631=ORIENTED_EDGE('',*,*,#12324,.F.); -#21633=ORIENTED_EDGE('',*,*,#21632,.T.); -#21634=ORIENTED_EDGE('',*,*,#21352,.T.); -#21635=ORIENTED_EDGE('',*,*,#21619,.F.); -#21636=EDGE_LOOP('',(#21631,#21633,#21634,#21635)); -#21637=FACE_OUTER_BOUND('',#21636,.F.); -#21639=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,4.311724784545E0)); -#21640=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21641=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21642=AXIS2_PLACEMENT_3D('',#21639,#21640,#21641); -#21643=PLANE('',#21642); -#21644=ORIENTED_EDGE('',*,*,#12322,.F.); -#21645=ORIENTED_EDGE('',*,*,#21608,.T.); -#21646=ORIENTED_EDGE('',*,*,#21354,.T.); -#21647=ORIENTED_EDGE('',*,*,#21632,.F.); -#21648=EDGE_LOOP('',(#21644,#21645,#21646,#21647)); -#21649=FACE_OUTER_BOUND('',#21648,.F.); -#21651=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,4.311724784545E0)); -#21652=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21653=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21654=AXIS2_PLACEMENT_3D('',#21651,#21652,#21653); -#21655=PLANE('',#21654); -#21656=ORIENTED_EDGE('',*,*,#12330,.F.); -#21658=ORIENTED_EDGE('',*,*,#21657,.T.); -#21659=ORIENTED_EDGE('',*,*,#21364,.T.); -#21661=ORIENTED_EDGE('',*,*,#21660,.F.); -#21662=EDGE_LOOP('',(#21656,#21658,#21659,#21661)); -#21663=FACE_OUTER_BOUND('',#21662,.F.); -#21665=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,4.311724784545E0)); -#21666=DIRECTION('',(0.E0,1.E0,0.E0)); -#21667=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21668=AXIS2_PLACEMENT_3D('',#21665,#21666,#21667); -#21669=PLANE('',#21668); -#21670=ORIENTED_EDGE('',*,*,#12336,.F.); -#21672=ORIENTED_EDGE('',*,*,#21671,.T.); -#21673=ORIENTED_EDGE('',*,*,#21366,.T.); -#21674=ORIENTED_EDGE('',*,*,#21657,.F.); -#21675=EDGE_LOOP('',(#21670,#21672,#21673,#21674)); -#21676=FACE_OUTER_BOUND('',#21675,.F.); -#21678=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,4.311724784545E0)); -#21679=DIRECTION('',(1.E0,0.E0,0.E0)); -#21680=DIRECTION('',(0.E0,1.E0,0.E0)); -#21681=AXIS2_PLACEMENT_3D('',#21678,#21679,#21680); -#21682=PLANE('',#21681); -#21683=ORIENTED_EDGE('',*,*,#12334,.F.); -#21685=ORIENTED_EDGE('',*,*,#21684,.T.); -#21686=ORIENTED_EDGE('',*,*,#21368,.T.); -#21687=ORIENTED_EDGE('',*,*,#21671,.F.); -#21688=EDGE_LOOP('',(#21683,#21685,#21686,#21687)); -#21689=FACE_OUTER_BOUND('',#21688,.F.); -#21691=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,4.311724784545E0)); -#21692=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21693=DIRECTION('',(1.E0,0.E0,0.E0)); -#21694=AXIS2_PLACEMENT_3D('',#21691,#21692,#21693); -#21695=PLANE('',#21694); -#21696=ORIENTED_EDGE('',*,*,#12332,.F.); -#21697=ORIENTED_EDGE('',*,*,#21660,.T.); -#21698=ORIENTED_EDGE('',*,*,#21370,.T.); -#21699=ORIENTED_EDGE('',*,*,#21684,.F.); -#21700=EDGE_LOOP('',(#21696,#21697,#21698,#21699)); -#21701=FACE_OUTER_BOUND('',#21700,.F.); -#21703=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,4.311724784545E0)); -#21704=DIRECTION('',(0.E0,1.E0,0.E0)); -#21705=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21706=AXIS2_PLACEMENT_3D('',#21703,#21704,#21705); -#21707=PLANE('',#21706); -#21708=ORIENTED_EDGE('',*,*,#12340,.F.); -#21710=ORIENTED_EDGE('',*,*,#21709,.T.); -#21711=ORIENTED_EDGE('',*,*,#21380,.T.); -#21713=ORIENTED_EDGE('',*,*,#21712,.F.); -#21714=EDGE_LOOP('',(#21708,#21710,#21711,#21713)); -#21715=FACE_OUTER_BOUND('',#21714,.F.); -#21717=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,4.311724784545E0)); -#21718=DIRECTION('',(1.E0,0.E0,0.E0)); -#21719=DIRECTION('',(0.E0,1.E0,0.E0)); -#21720=AXIS2_PLACEMENT_3D('',#21717,#21718,#21719); -#21721=PLANE('',#21720); -#21722=ORIENTED_EDGE('',*,*,#12346,.F.); -#21724=ORIENTED_EDGE('',*,*,#21723,.T.); -#21725=ORIENTED_EDGE('',*,*,#21382,.T.); -#21726=ORIENTED_EDGE('',*,*,#21709,.F.); -#21727=EDGE_LOOP('',(#21722,#21724,#21725,#21726)); -#21728=FACE_OUTER_BOUND('',#21727,.F.); -#21730=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,4.311724784545E0)); -#21731=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21732=DIRECTION('',(1.E0,0.E0,0.E0)); -#21733=AXIS2_PLACEMENT_3D('',#21730,#21731,#21732); -#21734=PLANE('',#21733); -#21735=ORIENTED_EDGE('',*,*,#12344,.F.); -#21737=ORIENTED_EDGE('',*,*,#21736,.T.); -#21738=ORIENTED_EDGE('',*,*,#21384,.T.); -#21739=ORIENTED_EDGE('',*,*,#21723,.F.); -#21740=EDGE_LOOP('',(#21735,#21737,#21738,#21739)); -#21741=FACE_OUTER_BOUND('',#21740,.F.); -#21743=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,4.311724784545E0)); -#21744=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21745=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21746=AXIS2_PLACEMENT_3D('',#21743,#21744,#21745); -#21747=PLANE('',#21746); -#21748=ORIENTED_EDGE('',*,*,#12342,.F.); -#21749=ORIENTED_EDGE('',*,*,#21712,.T.); -#21750=ORIENTED_EDGE('',*,*,#21386,.T.); -#21751=ORIENTED_EDGE('',*,*,#21736,.F.); -#21752=EDGE_LOOP('',(#21748,#21749,#21750,#21751)); -#21753=FACE_OUTER_BOUND('',#21752,.F.); -#21755=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,4.311724784545E0)); -#21756=DIRECTION('',(0.E0,1.E0,0.E0)); -#21757=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21758=AXIS2_PLACEMENT_3D('',#21755,#21756,#21757); -#21759=PLANE('',#21758); -#21760=ORIENTED_EDGE('',*,*,#12350,.F.); -#21762=ORIENTED_EDGE('',*,*,#21761,.T.); -#21764=ORIENTED_EDGE('',*,*,#21763,.T.); -#21766=ORIENTED_EDGE('',*,*,#21765,.F.); -#21767=EDGE_LOOP('',(#21760,#21762,#21764,#21766)); -#21768=FACE_OUTER_BOUND('',#21767,.F.); -#21770=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,4.311724784545E0)); -#21771=DIRECTION('',(1.E0,0.E0,0.E0)); -#21772=DIRECTION('',(0.E0,1.E0,0.E0)); -#21773=AXIS2_PLACEMENT_3D('',#21770,#21771,#21772); -#21774=PLANE('',#21773); -#21775=ORIENTED_EDGE('',*,*,#12356,.F.); -#21777=ORIENTED_EDGE('',*,*,#21776,.T.); -#21779=ORIENTED_EDGE('',*,*,#21778,.T.); -#21780=ORIENTED_EDGE('',*,*,#21761,.F.); -#21781=EDGE_LOOP('',(#21775,#21777,#21779,#21780)); -#21782=FACE_OUTER_BOUND('',#21781,.F.); -#21784=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,4.311724784545E0)); -#21785=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21786=DIRECTION('',(1.E0,0.E0,0.E0)); -#21787=AXIS2_PLACEMENT_3D('',#21784,#21785,#21786); -#21788=PLANE('',#21787); -#21789=ORIENTED_EDGE('',*,*,#12354,.F.); -#21791=ORIENTED_EDGE('',*,*,#21790,.T.); -#21793=ORIENTED_EDGE('',*,*,#21792,.T.); -#21794=ORIENTED_EDGE('',*,*,#21776,.F.); -#21795=EDGE_LOOP('',(#21789,#21791,#21793,#21794)); -#21796=FACE_OUTER_BOUND('',#21795,.F.); -#21798=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,4.311724784545E0)); -#21799=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21800=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21801=AXIS2_PLACEMENT_3D('',#21798,#21799,#21800); -#21802=PLANE('',#21801); -#21803=ORIENTED_EDGE('',*,*,#12352,.F.); -#21804=ORIENTED_EDGE('',*,*,#21765,.T.); -#21806=ORIENTED_EDGE('',*,*,#21805,.T.); -#21807=ORIENTED_EDGE('',*,*,#21790,.F.); -#21808=EDGE_LOOP('',(#21803,#21804,#21806,#21807)); -#21809=FACE_OUTER_BOUND('',#21808,.F.); -#21811=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#21812=DIRECTION('',(0.E0,0.E0,1.E0)); -#21813=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21814=AXIS2_PLACEMENT_3D('',#21811,#21812,#21813); -#21815=PLANE('',#21814); -#21816=ORIENTED_EDGE('',*,*,#21763,.F.); -#21817=ORIENTED_EDGE('',*,*,#21778,.F.); -#21818=ORIENTED_EDGE('',*,*,#21792,.F.); -#21819=ORIENTED_EDGE('',*,*,#21805,.F.); -#21820=EDGE_LOOP('',(#21816,#21817,#21818,#21819)); -#21821=FACE_OUTER_BOUND('',#21820,.F.); -#21823=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#21824=DIRECTION('',(0.E0,0.E0,1.E0)); -#21825=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21826=AXIS2_PLACEMENT_3D('',#21823,#21824,#21825); -#21827=PLANE('',#21826); -#21829=ORIENTED_EDGE('',*,*,#21828,.F.); -#21831=ORIENTED_EDGE('',*,*,#21830,.F.); -#21833=ORIENTED_EDGE('',*,*,#21832,.F.); -#21835=ORIENTED_EDGE('',*,*,#21834,.F.); -#21836=EDGE_LOOP('',(#21829,#21831,#21833,#21835)); -#21837=FACE_OUTER_BOUND('',#21836,.F.); -#21839=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#21840=DIRECTION('',(0.E0,0.E0,1.E0)); -#21841=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21842=AXIS2_PLACEMENT_3D('',#21839,#21840,#21841); -#21843=PLANE('',#21842); -#21845=ORIENTED_EDGE('',*,*,#21844,.F.); -#21847=ORIENTED_EDGE('',*,*,#21846,.F.); -#21849=ORIENTED_EDGE('',*,*,#21848,.F.); -#21851=ORIENTED_EDGE('',*,*,#21850,.F.); -#21852=EDGE_LOOP('',(#21845,#21847,#21849,#21851)); -#21853=FACE_OUTER_BOUND('',#21852,.F.); -#21855=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#21856=DIRECTION('',(0.E0,0.E0,1.E0)); -#21857=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21858=AXIS2_PLACEMENT_3D('',#21855,#21856,#21857); -#21859=PLANE('',#21858); -#21861=ORIENTED_EDGE('',*,*,#21860,.F.); -#21863=ORIENTED_EDGE('',*,*,#21862,.F.); -#21865=ORIENTED_EDGE('',*,*,#21864,.F.); -#21867=ORIENTED_EDGE('',*,*,#21866,.F.); -#21868=EDGE_LOOP('',(#21861,#21863,#21865,#21867)); -#21869=FACE_OUTER_BOUND('',#21868,.F.); -#21871=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#21872=DIRECTION('',(0.E0,0.E0,1.E0)); -#21873=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21874=AXIS2_PLACEMENT_3D('',#21871,#21872,#21873); -#21875=PLANE('',#21874); -#21877=ORIENTED_EDGE('',*,*,#21876,.F.); -#21879=ORIENTED_EDGE('',*,*,#21878,.F.); -#21881=ORIENTED_EDGE('',*,*,#21880,.F.); -#21883=ORIENTED_EDGE('',*,*,#21882,.F.); -#21884=EDGE_LOOP('',(#21877,#21879,#21881,#21883)); -#21885=FACE_OUTER_BOUND('',#21884,.F.); -#21887=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,4.311724784545E0)); -#21888=DIRECTION('',(0.E0,1.E0,0.E0)); -#21889=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21890=AXIS2_PLACEMENT_3D('',#21887,#21888,#21889); -#21891=PLANE('',#21890); -#21892=ORIENTED_EDGE('',*,*,#12360,.F.); -#21894=ORIENTED_EDGE('',*,*,#21893,.T.); -#21895=ORIENTED_EDGE('',*,*,#21828,.T.); -#21897=ORIENTED_EDGE('',*,*,#21896,.F.); -#21898=EDGE_LOOP('',(#21892,#21894,#21895,#21897)); -#21899=FACE_OUTER_BOUND('',#21898,.F.); -#21901=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,4.311724784545E0)); -#21902=DIRECTION('',(1.E0,0.E0,0.E0)); -#21903=DIRECTION('',(0.E0,1.E0,0.E0)); -#21904=AXIS2_PLACEMENT_3D('',#21901,#21902,#21903); -#21905=PLANE('',#21904); -#21906=ORIENTED_EDGE('',*,*,#12366,.F.); -#21908=ORIENTED_EDGE('',*,*,#21907,.T.); -#21909=ORIENTED_EDGE('',*,*,#21830,.T.); -#21910=ORIENTED_EDGE('',*,*,#21893,.F.); -#21911=EDGE_LOOP('',(#21906,#21908,#21909,#21910)); -#21912=FACE_OUTER_BOUND('',#21911,.F.); -#21914=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,4.311724784545E0)); -#21915=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21916=DIRECTION('',(1.E0,0.E0,0.E0)); -#21917=AXIS2_PLACEMENT_3D('',#21914,#21915,#21916); -#21918=PLANE('',#21917); -#21919=ORIENTED_EDGE('',*,*,#12364,.F.); -#21921=ORIENTED_EDGE('',*,*,#21920,.T.); -#21922=ORIENTED_EDGE('',*,*,#21832,.T.); -#21923=ORIENTED_EDGE('',*,*,#21907,.F.); -#21924=EDGE_LOOP('',(#21919,#21921,#21922,#21923)); -#21925=FACE_OUTER_BOUND('',#21924,.F.); -#21927=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,4.311724784545E0)); -#21928=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21929=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21930=AXIS2_PLACEMENT_3D('',#21927,#21928,#21929); -#21931=PLANE('',#21930); -#21932=ORIENTED_EDGE('',*,*,#12362,.F.); -#21933=ORIENTED_EDGE('',*,*,#21896,.T.); -#21934=ORIENTED_EDGE('',*,*,#21834,.T.); -#21935=ORIENTED_EDGE('',*,*,#21920,.F.); -#21936=EDGE_LOOP('',(#21932,#21933,#21934,#21935)); -#21937=FACE_OUTER_BOUND('',#21936,.F.); -#21939=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,4.311724784545E0)); -#21940=DIRECTION('',(1.E0,0.E0,0.E0)); -#21941=DIRECTION('',(0.E0,1.E0,0.E0)); -#21942=AXIS2_PLACEMENT_3D('',#21939,#21940,#21941); -#21943=PLANE('',#21942); -#21944=ORIENTED_EDGE('',*,*,#12370,.F.); -#21946=ORIENTED_EDGE('',*,*,#21945,.T.); -#21947=ORIENTED_EDGE('',*,*,#21844,.T.); -#21949=ORIENTED_EDGE('',*,*,#21948,.F.); -#21950=EDGE_LOOP('',(#21944,#21946,#21947,#21949)); -#21951=FACE_OUTER_BOUND('',#21950,.F.); -#21953=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,4.311724784545E0)); -#21954=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21955=DIRECTION('',(1.E0,0.E0,0.E0)); -#21956=AXIS2_PLACEMENT_3D('',#21953,#21954,#21955); -#21957=PLANE('',#21956); -#21958=ORIENTED_EDGE('',*,*,#12376,.F.); -#21960=ORIENTED_EDGE('',*,*,#21959,.T.); -#21961=ORIENTED_EDGE('',*,*,#21846,.T.); -#21962=ORIENTED_EDGE('',*,*,#21945,.F.); -#21963=EDGE_LOOP('',(#21958,#21960,#21961,#21962)); -#21964=FACE_OUTER_BOUND('',#21963,.F.); -#21966=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,4.311724784545E0)); -#21967=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21968=DIRECTION('',(0.E0,-1.E0,0.E0)); -#21969=AXIS2_PLACEMENT_3D('',#21966,#21967,#21968); -#21970=PLANE('',#21969); -#21971=ORIENTED_EDGE('',*,*,#12374,.F.); -#21973=ORIENTED_EDGE('',*,*,#21972,.T.); -#21974=ORIENTED_EDGE('',*,*,#21848,.T.); -#21975=ORIENTED_EDGE('',*,*,#21959,.F.); -#21976=EDGE_LOOP('',(#21971,#21973,#21974,#21975)); -#21977=FACE_OUTER_BOUND('',#21976,.F.); -#21979=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,4.311724784545E0)); -#21980=DIRECTION('',(0.E0,1.E0,0.E0)); -#21981=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21982=AXIS2_PLACEMENT_3D('',#21979,#21980,#21981); -#21983=PLANE('',#21982); -#21984=ORIENTED_EDGE('',*,*,#12372,.F.); -#21985=ORIENTED_EDGE('',*,*,#21948,.T.); -#21986=ORIENTED_EDGE('',*,*,#21850,.T.); -#21987=ORIENTED_EDGE('',*,*,#21972,.F.); -#21988=EDGE_LOOP('',(#21984,#21985,#21986,#21987)); -#21989=FACE_OUTER_BOUND('',#21988,.F.); -#21991=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,4.311724784545E0)); -#21992=DIRECTION('',(0.E0,1.E0,0.E0)); -#21993=DIRECTION('',(-1.E0,0.E0,0.E0)); -#21994=AXIS2_PLACEMENT_3D('',#21991,#21992,#21993); -#21995=PLANE('',#21994); -#21996=ORIENTED_EDGE('',*,*,#12380,.F.); -#21998=ORIENTED_EDGE('',*,*,#21997,.T.); -#21999=ORIENTED_EDGE('',*,*,#21860,.T.); -#22001=ORIENTED_EDGE('',*,*,#22000,.F.); -#22002=EDGE_LOOP('',(#21996,#21998,#21999,#22001)); -#22003=FACE_OUTER_BOUND('',#22002,.F.); -#22005=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,4.311724784545E0)); -#22006=DIRECTION('',(1.E0,0.E0,0.E0)); -#22007=DIRECTION('',(0.E0,1.E0,0.E0)); -#22008=AXIS2_PLACEMENT_3D('',#22005,#22006,#22007); -#22009=PLANE('',#22008); -#22010=ORIENTED_EDGE('',*,*,#12386,.F.); -#22012=ORIENTED_EDGE('',*,*,#22011,.T.); -#22013=ORIENTED_EDGE('',*,*,#21862,.T.); -#22014=ORIENTED_EDGE('',*,*,#21997,.F.); -#22015=EDGE_LOOP('',(#22010,#22012,#22013,#22014)); -#22016=FACE_OUTER_BOUND('',#22015,.F.); -#22018=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,4.311724784545E0)); -#22019=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22020=DIRECTION('',(1.E0,0.E0,0.E0)); -#22021=AXIS2_PLACEMENT_3D('',#22018,#22019,#22020); -#22022=PLANE('',#22021); -#22023=ORIENTED_EDGE('',*,*,#12384,.F.); -#22025=ORIENTED_EDGE('',*,*,#22024,.T.); -#22026=ORIENTED_EDGE('',*,*,#21864,.T.); -#22027=ORIENTED_EDGE('',*,*,#22011,.F.); -#22028=EDGE_LOOP('',(#22023,#22025,#22026,#22027)); -#22029=FACE_OUTER_BOUND('',#22028,.F.); -#22031=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,4.311724784545E0)); -#22032=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22033=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22034=AXIS2_PLACEMENT_3D('',#22031,#22032,#22033); -#22035=PLANE('',#22034); -#22036=ORIENTED_EDGE('',*,*,#12382,.F.); -#22037=ORIENTED_EDGE('',*,*,#22000,.T.); -#22038=ORIENTED_EDGE('',*,*,#21866,.T.); -#22039=ORIENTED_EDGE('',*,*,#22024,.F.); -#22040=EDGE_LOOP('',(#22036,#22037,#22038,#22039)); -#22041=FACE_OUTER_BOUND('',#22040,.F.); -#22043=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,4.311724784545E0)); -#22044=DIRECTION('',(0.E0,1.E0,0.E0)); -#22045=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22046=AXIS2_PLACEMENT_3D('',#22043,#22044,#22045); -#22047=PLANE('',#22046); -#22048=ORIENTED_EDGE('',*,*,#12390,.F.); -#22050=ORIENTED_EDGE('',*,*,#22049,.T.); -#22051=ORIENTED_EDGE('',*,*,#21876,.T.); -#22053=ORIENTED_EDGE('',*,*,#22052,.F.); -#22054=EDGE_LOOP('',(#22048,#22050,#22051,#22053)); -#22055=FACE_OUTER_BOUND('',#22054,.F.); -#22057=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,4.311724784545E0)); -#22058=DIRECTION('',(1.E0,0.E0,0.E0)); -#22059=DIRECTION('',(0.E0,1.E0,0.E0)); -#22060=AXIS2_PLACEMENT_3D('',#22057,#22058,#22059); -#22061=PLANE('',#22060); -#22062=ORIENTED_EDGE('',*,*,#12396,.F.); -#22064=ORIENTED_EDGE('',*,*,#22063,.T.); -#22065=ORIENTED_EDGE('',*,*,#21878,.T.); -#22066=ORIENTED_EDGE('',*,*,#22049,.F.); -#22067=EDGE_LOOP('',(#22062,#22064,#22065,#22066)); -#22068=FACE_OUTER_BOUND('',#22067,.F.); -#22070=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,4.311724784545E0)); -#22071=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22072=DIRECTION('',(1.E0,0.E0,0.E0)); -#22073=AXIS2_PLACEMENT_3D('',#22070,#22071,#22072); -#22074=PLANE('',#22073); -#22075=ORIENTED_EDGE('',*,*,#12394,.F.); -#22077=ORIENTED_EDGE('',*,*,#22076,.T.); -#22078=ORIENTED_EDGE('',*,*,#21880,.T.); -#22079=ORIENTED_EDGE('',*,*,#22063,.F.); -#22080=EDGE_LOOP('',(#22075,#22077,#22078,#22079)); -#22081=FACE_OUTER_BOUND('',#22080,.F.); -#22083=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,4.311724784545E0)); -#22084=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22085=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22086=AXIS2_PLACEMENT_3D('',#22083,#22084,#22085); -#22087=PLANE('',#22086); -#22088=ORIENTED_EDGE('',*,*,#12392,.F.); -#22089=ORIENTED_EDGE('',*,*,#22052,.T.); -#22090=ORIENTED_EDGE('',*,*,#21882,.T.); -#22091=ORIENTED_EDGE('',*,*,#22076,.F.); -#22092=EDGE_LOOP('',(#22088,#22089,#22090,#22091)); -#22093=FACE_OUTER_BOUND('',#22092,.F.); -#22095=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,4.311724784545E0)); -#22096=DIRECTION('',(0.E0,1.E0,0.E0)); -#22097=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22098=AXIS2_PLACEMENT_3D('',#22095,#22096,#22097); -#22099=PLANE('',#22098); -#22100=ORIENTED_EDGE('',*,*,#12400,.F.); -#22102=ORIENTED_EDGE('',*,*,#22101,.T.); -#22104=ORIENTED_EDGE('',*,*,#22103,.T.); -#22106=ORIENTED_EDGE('',*,*,#22105,.F.); -#22107=EDGE_LOOP('',(#22100,#22102,#22104,#22106)); -#22108=FACE_OUTER_BOUND('',#22107,.F.); -#22110=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,4.311724784545E0)); -#22111=DIRECTION('',(1.E0,0.E0,0.E0)); -#22112=DIRECTION('',(0.E0,1.E0,0.E0)); -#22113=AXIS2_PLACEMENT_3D('',#22110,#22111,#22112); -#22114=PLANE('',#22113); -#22115=ORIENTED_EDGE('',*,*,#12406,.F.); -#22117=ORIENTED_EDGE('',*,*,#22116,.T.); -#22119=ORIENTED_EDGE('',*,*,#22118,.T.); -#22120=ORIENTED_EDGE('',*,*,#22101,.F.); -#22121=EDGE_LOOP('',(#22115,#22117,#22119,#22120)); -#22122=FACE_OUTER_BOUND('',#22121,.F.); -#22124=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,4.311724784545E0)); -#22125=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22126=DIRECTION('',(1.E0,0.E0,0.E0)); -#22127=AXIS2_PLACEMENT_3D('',#22124,#22125,#22126); -#22128=PLANE('',#22127); -#22129=ORIENTED_EDGE('',*,*,#12404,.F.); -#22131=ORIENTED_EDGE('',*,*,#22130,.T.); -#22133=ORIENTED_EDGE('',*,*,#22132,.T.); -#22134=ORIENTED_EDGE('',*,*,#22116,.F.); -#22135=EDGE_LOOP('',(#22129,#22131,#22133,#22134)); -#22136=FACE_OUTER_BOUND('',#22135,.F.); -#22138=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,4.311724784545E0)); -#22139=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22140=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22141=AXIS2_PLACEMENT_3D('',#22138,#22139,#22140); -#22142=PLANE('',#22141); -#22143=ORIENTED_EDGE('',*,*,#12402,.F.); -#22144=ORIENTED_EDGE('',*,*,#22105,.T.); -#22146=ORIENTED_EDGE('',*,*,#22145,.T.); -#22147=ORIENTED_EDGE('',*,*,#22130,.F.); -#22148=EDGE_LOOP('',(#22143,#22144,#22146,#22147)); -#22149=FACE_OUTER_BOUND('',#22148,.F.); -#22151=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); -#22152=DIRECTION('',(0.E0,0.E0,1.E0)); -#22153=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22154=AXIS2_PLACEMENT_3D('',#22151,#22152,#22153); -#22155=PLANE('',#22154); -#22156=ORIENTED_EDGE('',*,*,#22103,.F.); -#22157=ORIENTED_EDGE('',*,*,#22118,.F.); -#22158=ORIENTED_EDGE('',*,*,#22132,.F.); -#22159=ORIENTED_EDGE('',*,*,#22145,.F.); -#22160=EDGE_LOOP('',(#22156,#22157,#22158,#22159)); -#22161=FACE_OUTER_BOUND('',#22160,.F.); -#22163=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); -#22164=DIRECTION('',(0.E0,0.E0,1.E0)); -#22165=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22166=AXIS2_PLACEMENT_3D('',#22163,#22164,#22165); -#22167=PLANE('',#22166); -#22169=ORIENTED_EDGE('',*,*,#22168,.F.); -#22171=ORIENTED_EDGE('',*,*,#22170,.F.); -#22173=ORIENTED_EDGE('',*,*,#22172,.F.); -#22175=ORIENTED_EDGE('',*,*,#22174,.F.); -#22176=EDGE_LOOP('',(#22169,#22171,#22173,#22175)); -#22177=FACE_OUTER_BOUND('',#22176,.F.); -#22179=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,4.311724784545E0)); -#22180=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22181=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22182=AXIS2_PLACEMENT_3D('',#22179,#22180,#22181); -#22183=PLANE('',#22182); -#22184=ORIENTED_EDGE('',*,*,#12410,.F.); -#22186=ORIENTED_EDGE('',*,*,#22185,.T.); -#22187=ORIENTED_EDGE('',*,*,#22168,.T.); -#22189=ORIENTED_EDGE('',*,*,#22188,.F.); -#22190=EDGE_LOOP('',(#22184,#22186,#22187,#22189)); -#22191=FACE_OUTER_BOUND('',#22190,.F.); -#22193=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,4.311724784545E0)); -#22194=DIRECTION('',(0.E0,1.E0,0.E0)); -#22195=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22196=AXIS2_PLACEMENT_3D('',#22193,#22194,#22195); -#22197=PLANE('',#22196); -#22198=ORIENTED_EDGE('',*,*,#12416,.F.); -#22200=ORIENTED_EDGE('',*,*,#22199,.T.); -#22201=ORIENTED_EDGE('',*,*,#22170,.T.); -#22202=ORIENTED_EDGE('',*,*,#22185,.F.); -#22203=EDGE_LOOP('',(#22198,#22200,#22201,#22202)); -#22204=FACE_OUTER_BOUND('',#22203,.F.); -#22206=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,4.311724784545E0)); -#22207=DIRECTION('',(1.E0,0.E0,0.E0)); -#22208=DIRECTION('',(0.E0,1.E0,0.E0)); -#22209=AXIS2_PLACEMENT_3D('',#22206,#22207,#22208); -#22210=PLANE('',#22209); -#22211=ORIENTED_EDGE('',*,*,#12414,.F.); -#22213=ORIENTED_EDGE('',*,*,#22212,.T.); -#22214=ORIENTED_EDGE('',*,*,#22172,.T.); -#22215=ORIENTED_EDGE('',*,*,#22199,.F.); -#22216=EDGE_LOOP('',(#22211,#22213,#22214,#22215)); -#22217=FACE_OUTER_BOUND('',#22216,.F.); -#22219=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,4.311724784545E0)); -#22220=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22221=DIRECTION('',(1.E0,0.E0,0.E0)); -#22222=AXIS2_PLACEMENT_3D('',#22219,#22220,#22221); -#22223=PLANE('',#22222); -#22224=ORIENTED_EDGE('',*,*,#12412,.F.); -#22225=ORIENTED_EDGE('',*,*,#22188,.T.); -#22226=ORIENTED_EDGE('',*,*,#22174,.T.); -#22227=ORIENTED_EDGE('',*,*,#22212,.F.); -#22228=EDGE_LOOP('',(#22224,#22225,#22226,#22227)); -#22229=FACE_OUTER_BOUND('',#22228,.F.); -#22231=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.311724784545E0)); -#22232=DIRECTION('',(0.E0,1.E0,0.E0)); -#22233=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22234=AXIS2_PLACEMENT_3D('',#22231,#22232,#22233); -#22235=PLANE('',#22234); -#22236=ORIENTED_EDGE('',*,*,#12420,.F.); -#22238=ORIENTED_EDGE('',*,*,#22237,.T.); -#22240=ORIENTED_EDGE('',*,*,#22239,.T.); -#22242=ORIENTED_EDGE('',*,*,#22241,.F.); -#22243=EDGE_LOOP('',(#22236,#22238,#22240,#22242)); -#22244=FACE_OUTER_BOUND('',#22243,.F.); -#22246=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.311724784545E0)); -#22247=DIRECTION('',(1.E0,0.E0,0.E0)); -#22248=DIRECTION('',(0.E0,1.E0,0.E0)); -#22249=AXIS2_PLACEMENT_3D('',#22246,#22247,#22248); -#22250=PLANE('',#22249); -#22251=ORIENTED_EDGE('',*,*,#12434,.F.); -#22253=ORIENTED_EDGE('',*,*,#22252,.T.); -#22255=ORIENTED_EDGE('',*,*,#22254,.T.); -#22257=ORIENTED_EDGE('',*,*,#22256,.F.); -#22258=EDGE_LOOP('',(#22251,#22253,#22255,#22257)); -#22259=FACE_OUTER_BOUND('',#22258,.F.); -#22261=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.311724784545E0)); -#22262=DIRECTION('',(1.E0,0.E0,0.E0)); -#22263=DIRECTION('',(0.E0,1.E0,0.E0)); -#22264=AXIS2_PLACEMENT_3D('',#22261,#22262,#22263); -#22265=PLANE('',#22264); -#22266=ORIENTED_EDGE('',*,*,#12442,.F.); -#22268=ORIENTED_EDGE('',*,*,#22267,.T.); -#22270=ORIENTED_EDGE('',*,*,#22269,.T.); -#22271=ORIENTED_EDGE('',*,*,#22237,.F.); -#22272=EDGE_LOOP('',(#22266,#22268,#22270,#22271)); -#22273=FACE_OUTER_BOUND('',#22272,.F.); -#22275=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.311724784545E0)); -#22276=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22277=DIRECTION('',(1.E0,0.E0,0.E0)); -#22278=AXIS2_PLACEMENT_3D('',#22275,#22276,#22277); -#22279=PLANE('',#22278); -#22280=ORIENTED_EDGE('',*,*,#12432,.F.); -#22282=ORIENTED_EDGE('',*,*,#22281,.T.); -#22284=ORIENTED_EDGE('',*,*,#22283,.T.); -#22285=ORIENTED_EDGE('',*,*,#22252,.F.); -#22286=EDGE_LOOP('',(#22280,#22282,#22284,#22285)); -#22287=FACE_OUTER_BOUND('',#22286,.F.); -#22289=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.311724784545E0)); -#22290=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22291=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22292=AXIS2_PLACEMENT_3D('',#22289,#22290,#22291); -#22293=PLANE('',#22292); -#22294=ORIENTED_EDGE('',*,*,#12422,.F.); -#22295=ORIENTED_EDGE('',*,*,#22241,.T.); -#22297=ORIENTED_EDGE('',*,*,#22296,.T.); -#22299=ORIENTED_EDGE('',*,*,#22298,.F.); -#22300=EDGE_LOOP('',(#22294,#22295,#22297,#22299)); -#22301=FACE_OUTER_BOUND('',#22300,.F.); -#22303=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.311724784545E0)); -#22304=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22305=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22306=AXIS2_PLACEMENT_3D('',#22303,#22304,#22305); -#22307=PLANE('',#22306); -#22308=ORIENTED_EDGE('',*,*,#12430,.F.); -#22310=ORIENTED_EDGE('',*,*,#22309,.T.); -#22312=ORIENTED_EDGE('',*,*,#22311,.T.); -#22313=ORIENTED_EDGE('',*,*,#22281,.F.); -#22314=EDGE_LOOP('',(#22308,#22310,#22312,#22313)); -#22315=FACE_OUTER_BOUND('',#22314,.F.); -#22317=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22318=DIRECTION('',(0.E0,0.E0,1.E0)); -#22319=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22320=AXIS2_PLACEMENT_3D('',#22317,#22318,#22319); -#22321=PLANE('',#22320); -#22323=ORIENTED_EDGE('',*,*,#22322,.F.); -#22324=ORIENTED_EDGE('',*,*,#22296,.F.); -#22325=ORIENTED_EDGE('',*,*,#22239,.F.); -#22326=ORIENTED_EDGE('',*,*,#22269,.F.); -#22327=EDGE_LOOP('',(#22323,#22324,#22325,#22326)); -#22328=FACE_OUTER_BOUND('',#22327,.F.); -#22330=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22331=DIRECTION('',(0.E0,0.E0,1.E0)); -#22332=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22333=AXIS2_PLACEMENT_3D('',#22330,#22331,#22332); -#22334=PLANE('',#22333); -#22336=ORIENTED_EDGE('',*,*,#22335,.T.); -#22337=ORIENTED_EDGE('',*,*,#22254,.F.); -#22338=ORIENTED_EDGE('',*,*,#22283,.F.); -#22339=ORIENTED_EDGE('',*,*,#22311,.F.); -#22340=EDGE_LOOP('',(#22336,#22337,#22338,#22339)); -#22341=FACE_OUTER_BOUND('',#22340,.F.); -#22343=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22344=DIRECTION('',(0.E0,0.E0,1.E0)); -#22345=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22346=AXIS2_PLACEMENT_3D('',#22343,#22344,#22345); -#22347=PLANE('',#22346); -#22349=ORIENTED_EDGE('',*,*,#22348,.T.); -#22351=ORIENTED_EDGE('',*,*,#22350,.F.); -#22353=ORIENTED_EDGE('',*,*,#22352,.F.); -#22355=ORIENTED_EDGE('',*,*,#22354,.F.); -#22356=EDGE_LOOP('',(#22349,#22351,#22353,#22355)); -#22357=FACE_OUTER_BOUND('',#22356,.F.); -#22359=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22360=DIRECTION('',(0.E0,0.E0,1.E0)); -#22361=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22362=AXIS2_PLACEMENT_3D('',#22359,#22360,#22361); -#22363=PLANE('',#22362); -#22365=ORIENTED_EDGE('',*,*,#22364,.F.); -#22367=ORIENTED_EDGE('',*,*,#22366,.F.); -#22369=ORIENTED_EDGE('',*,*,#22368,.F.); -#22371=ORIENTED_EDGE('',*,*,#22370,.F.); -#22372=EDGE_LOOP('',(#22365,#22367,#22369,#22371)); -#22373=FACE_OUTER_BOUND('',#22372,.F.); -#22375=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,4.311724784545E0)); -#22376=DIRECTION('',(0.E0,1.E0,0.E0)); -#22377=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22378=AXIS2_PLACEMENT_3D('',#22375,#22376,#22377); -#22379=PLANE('',#22378); -#22380=ORIENTED_EDGE('',*,*,#22322,.T.); -#22381=ORIENTED_EDGE('',*,*,#22267,.F.); -#22382=ORIENTED_EDGE('',*,*,#12440,.F.); -#22384=ORIENTED_EDGE('',*,*,#22383,.T.); -#22386=ORIENTED_EDGE('',*,*,#22385,.T.); -#22388=ORIENTED_EDGE('',*,*,#22387,.F.); -#22389=ORIENTED_EDGE('',*,*,#12424,.F.); -#22390=ORIENTED_EDGE('',*,*,#22298,.T.); -#22391=EDGE_LOOP('',(#22380,#22381,#22382,#22384,#22386,#22388,#22389,#22390)); -#22392=FACE_OUTER_BOUND('',#22391,.F.); -#22394=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,4.311724784545E0)); -#22395=DIRECTION('',(1.E0,0.E0,0.E0)); -#22396=DIRECTION('',(0.E0,1.E0,0.E0)); -#22397=AXIS2_PLACEMENT_3D('',#22394,#22395,#22396); -#22398=PLANE('',#22397); -#22399=ORIENTED_EDGE('',*,*,#12438,.F.); -#22401=ORIENTED_EDGE('',*,*,#22400,.T.); -#22403=ORIENTED_EDGE('',*,*,#22402,.T.); -#22404=ORIENTED_EDGE('',*,*,#22383,.F.); -#22405=EDGE_LOOP('',(#22399,#22401,#22403,#22404)); -#22406=FACE_OUTER_BOUND('',#22405,.F.); -#22408=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,4.311724784545E0)); -#22409=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22410=DIRECTION('',(1.E0,0.E0,0.E0)); -#22411=AXIS2_PLACEMENT_3D('',#22408,#22409,#22410); -#22412=PLANE('',#22411); -#22413=ORIENTED_EDGE('',*,*,#22335,.F.); -#22414=ORIENTED_EDGE('',*,*,#22309,.F.); -#22415=ORIENTED_EDGE('',*,*,#12428,.F.); -#22417=ORIENTED_EDGE('',*,*,#22416,.T.); -#22419=ORIENTED_EDGE('',*,*,#22418,.T.); -#22420=ORIENTED_EDGE('',*,*,#22400,.F.); -#22421=ORIENTED_EDGE('',*,*,#12436,.F.); -#22422=ORIENTED_EDGE('',*,*,#22256,.T.); -#22423=EDGE_LOOP('',(#22413,#22414,#22415,#22417,#22419,#22420,#22421,#22422)); -#22424=FACE_OUTER_BOUND('',#22423,.F.); -#22426=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,4.311724784545E0)); -#22427=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22428=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22429=AXIS2_PLACEMENT_3D('',#22426,#22427,#22428); -#22430=PLANE('',#22429); -#22431=ORIENTED_EDGE('',*,*,#12426,.F.); -#22432=ORIENTED_EDGE('',*,*,#22387,.T.); -#22434=ORIENTED_EDGE('',*,*,#22433,.T.); -#22435=ORIENTED_EDGE('',*,*,#22416,.F.); -#22436=EDGE_LOOP('',(#22431,#22432,#22434,#22435)); -#22437=FACE_OUTER_BOUND('',#22436,.F.); -#22439=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#22440=DIRECTION('',(0.E0,0.E0,1.E0)); -#22441=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22442=AXIS2_PLACEMENT_3D('',#22439,#22440,#22441); -#22443=PLANE('',#22442); -#22444=ORIENTED_EDGE('',*,*,#22385,.F.); -#22445=ORIENTED_EDGE('',*,*,#22402,.F.); -#22446=ORIENTED_EDGE('',*,*,#22418,.F.); -#22447=ORIENTED_EDGE('',*,*,#22433,.F.); -#22448=EDGE_LOOP('',(#22444,#22445,#22446,#22447)); -#22449=FACE_OUTER_BOUND('',#22448,.F.); -#22451=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#22452=DIRECTION('',(0.E0,0.E0,1.E0)); -#22453=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22454=AXIS2_PLACEMENT_3D('',#22451,#22452,#22453); -#22455=PLANE('',#22454); -#22457=ORIENTED_EDGE('',*,*,#22456,.F.); -#22459=ORIENTED_EDGE('',*,*,#22458,.F.); -#22461=ORIENTED_EDGE('',*,*,#22460,.F.); -#22463=ORIENTED_EDGE('',*,*,#22462,.F.); -#22464=EDGE_LOOP('',(#22457,#22459,#22461,#22463)); -#22465=FACE_OUTER_BOUND('',#22464,.F.); -#22467=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,4.311724784545E0)); -#22468=DIRECTION('',(0.E0,1.E0,0.E0)); -#22469=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22470=AXIS2_PLACEMENT_3D('',#22467,#22468,#22469); -#22471=PLANE('',#22470); -#22472=ORIENTED_EDGE('',*,*,#22348,.F.); -#22474=ORIENTED_EDGE('',*,*,#22473,.F.); -#22475=ORIENTED_EDGE('',*,*,#12452,.F.); -#22477=ORIENTED_EDGE('',*,*,#22476,.T.); -#22478=ORIENTED_EDGE('',*,*,#22456,.T.); -#22480=ORIENTED_EDGE('',*,*,#22479,.F.); -#22481=ORIENTED_EDGE('',*,*,#12460,.F.); -#22483=ORIENTED_EDGE('',*,*,#22482,.T.); -#22484=EDGE_LOOP('',(#22472,#22474,#22475,#22477,#22478,#22480,#22481,#22483)); -#22485=FACE_OUTER_BOUND('',#22484,.F.); -#22487=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.311724784545E0)); -#22488=DIRECTION('',(1.E0,0.E0,0.E0)); -#22489=DIRECTION('',(0.E0,1.E0,0.E0)); -#22490=AXIS2_PLACEMENT_3D('',#22487,#22488,#22489); -#22491=PLANE('',#22490); -#22492=ORIENTED_EDGE('',*,*,#12446,.F.); -#22494=ORIENTED_EDGE('',*,*,#22493,.T.); -#22495=ORIENTED_EDGE('',*,*,#22366,.T.); -#22497=ORIENTED_EDGE('',*,*,#22496,.F.); -#22498=EDGE_LOOP('',(#22492,#22494,#22495,#22497)); -#22499=FACE_OUTER_BOUND('',#22498,.F.); -#22501=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.311724784545E0)); -#22502=DIRECTION('',(1.E0,0.E0,0.E0)); -#22503=DIRECTION('',(0.E0,1.E0,0.E0)); -#22504=AXIS2_PLACEMENT_3D('',#22501,#22502,#22503); -#22505=PLANE('',#22504); -#22506=ORIENTED_EDGE('',*,*,#12454,.F.); -#22507=ORIENTED_EDGE('',*,*,#22473,.T.); -#22508=ORIENTED_EDGE('',*,*,#22354,.T.); -#22510=ORIENTED_EDGE('',*,*,#22509,.F.); -#22511=EDGE_LOOP('',(#22506,#22507,#22508,#22510)); -#22512=FACE_OUTER_BOUND('',#22511,.F.); -#22514=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.311724784545E0)); -#22515=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22516=DIRECTION('',(1.E0,0.E0,0.E0)); -#22517=AXIS2_PLACEMENT_3D('',#22514,#22515,#22516); -#22518=PLANE('',#22517); -#22519=ORIENTED_EDGE('',*,*,#12468,.F.); -#22521=ORIENTED_EDGE('',*,*,#22520,.T.); -#22522=ORIENTED_EDGE('',*,*,#22368,.T.); -#22523=ORIENTED_EDGE('',*,*,#22493,.F.); -#22524=EDGE_LOOP('',(#22519,#22521,#22522,#22523)); -#22525=FACE_OUTER_BOUND('',#22524,.F.); -#22527=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.311724784545E0)); -#22528=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22529=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22530=AXIS2_PLACEMENT_3D('',#22527,#22528,#22529); -#22531=PLANE('',#22530); -#22532=ORIENTED_EDGE('',*,*,#12458,.F.); -#22534=ORIENTED_EDGE('',*,*,#22533,.T.); -#22535=ORIENTED_EDGE('',*,*,#22350,.T.); -#22536=ORIENTED_EDGE('',*,*,#22482,.F.); -#22537=EDGE_LOOP('',(#22532,#22534,#22535,#22536)); -#22538=FACE_OUTER_BOUND('',#22537,.F.); -#22540=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.311724784545E0)); -#22541=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22542=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22543=AXIS2_PLACEMENT_3D('',#22540,#22541,#22542); -#22544=PLANE('',#22543); -#22545=ORIENTED_EDGE('',*,*,#12466,.F.); -#22547=ORIENTED_EDGE('',*,*,#22546,.T.); -#22548=ORIENTED_EDGE('',*,*,#22370,.T.); -#22549=ORIENTED_EDGE('',*,*,#22520,.F.); -#22550=EDGE_LOOP('',(#22545,#22547,#22548,#22549)); -#22551=FACE_OUTER_BOUND('',#22550,.F.); -#22553=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.311724784545E0)); -#22554=DIRECTION('',(0.E0,1.E0,0.E0)); -#22555=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22556=AXIS2_PLACEMENT_3D('',#22553,#22554,#22555); -#22557=PLANE('',#22556); -#22558=ORIENTED_EDGE('',*,*,#12456,.F.); -#22559=ORIENTED_EDGE('',*,*,#22509,.T.); -#22560=ORIENTED_EDGE('',*,*,#22352,.T.); -#22561=ORIENTED_EDGE('',*,*,#22533,.F.); -#22562=EDGE_LOOP('',(#22558,#22559,#22560,#22561)); -#22563=FACE_OUTER_BOUND('',#22562,.F.); -#22565=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,4.311724784545E0)); -#22566=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22567=DIRECTION('',(1.E0,0.E0,0.E0)); -#22568=AXIS2_PLACEMENT_3D('',#22565,#22566,#22567); -#22569=PLANE('',#22568); -#22570=ORIENTED_EDGE('',*,*,#22364,.T.); -#22571=ORIENTED_EDGE('',*,*,#22546,.F.); -#22572=ORIENTED_EDGE('',*,*,#12464,.F.); -#22574=ORIENTED_EDGE('',*,*,#22573,.T.); -#22575=ORIENTED_EDGE('',*,*,#22460,.T.); -#22577=ORIENTED_EDGE('',*,*,#22576,.F.); -#22578=ORIENTED_EDGE('',*,*,#12448,.F.); -#22579=ORIENTED_EDGE('',*,*,#22496,.T.); -#22580=EDGE_LOOP('',(#22570,#22571,#22572,#22574,#22575,#22577,#22578,#22579)); -#22581=FACE_OUTER_BOUND('',#22580,.F.); -#22583=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,4.311724784545E0)); -#22584=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22585=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22586=AXIS2_PLACEMENT_3D('',#22583,#22584,#22585); -#22587=PLANE('',#22586); -#22588=ORIENTED_EDGE('',*,*,#12462,.F.); -#22589=ORIENTED_EDGE('',*,*,#22479,.T.); -#22590=ORIENTED_EDGE('',*,*,#22462,.T.); -#22591=ORIENTED_EDGE('',*,*,#22573,.F.); -#22592=EDGE_LOOP('',(#22588,#22589,#22590,#22591)); -#22593=FACE_OUTER_BOUND('',#22592,.F.); -#22595=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,4.311724784545E0)); -#22596=DIRECTION('',(1.E0,0.E0,0.E0)); -#22597=DIRECTION('',(0.E0,1.E0,0.E0)); -#22598=AXIS2_PLACEMENT_3D('',#22595,#22596,#22597); -#22599=PLANE('',#22598); -#22600=ORIENTED_EDGE('',*,*,#12450,.F.); -#22601=ORIENTED_EDGE('',*,*,#22576,.T.); -#22602=ORIENTED_EDGE('',*,*,#22458,.T.); -#22603=ORIENTED_EDGE('',*,*,#22476,.F.); -#22604=EDGE_LOOP('',(#22600,#22601,#22602,#22603)); -#22605=FACE_OUTER_BOUND('',#22604,.F.); -#22607=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.311724784545E0)); -#22608=DIRECTION('',(1.E0,0.E0,0.E0)); -#22609=DIRECTION('',(0.E0,1.E0,0.E0)); -#22610=AXIS2_PLACEMENT_3D('',#22607,#22608,#22609); -#22611=PLANE('',#22610); -#22612=ORIENTED_EDGE('',*,*,#12472,.F.); -#22614=ORIENTED_EDGE('',*,*,#22613,.T.); -#22616=ORIENTED_EDGE('',*,*,#22615,.T.); -#22618=ORIENTED_EDGE('',*,*,#22617,.F.); -#22619=EDGE_LOOP('',(#22612,#22614,#22616,#22618)); -#22620=FACE_OUTER_BOUND('',#22619,.F.); -#22622=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.311724784545E0)); -#22623=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22624=DIRECTION('',(1.E0,0.E0,0.E0)); -#22625=AXIS2_PLACEMENT_3D('',#22622,#22623,#22624); -#22626=PLANE('',#22625); -#22627=ORIENTED_EDGE('',*,*,#12502,.F.); -#22629=ORIENTED_EDGE('',*,*,#22628,.T.); -#22631=ORIENTED_EDGE('',*,*,#22630,.T.); -#22632=ORIENTED_EDGE('',*,*,#22613,.F.); -#22633=EDGE_LOOP('',(#22627,#22629,#22631,#22632)); -#22634=FACE_OUTER_BOUND('',#22633,.F.); -#22636=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,4.311724784545E0)); -#22637=DIRECTION('',(1.E0,0.E0,0.E0)); -#22638=DIRECTION('',(0.E0,1.E0,0.E0)); -#22639=AXIS2_PLACEMENT_3D('',#22636,#22637,#22638); -#22640=PLANE('',#22639); -#22642=ORIENTED_EDGE('',*,*,#22641,.F.); -#22644=ORIENTED_EDGE('',*,*,#22643,.F.); -#22645=ORIENTED_EDGE('',*,*,#12492,.F.); -#22647=ORIENTED_EDGE('',*,*,#22646,.T.); -#22649=ORIENTED_EDGE('',*,*,#22648,.T.); -#22651=ORIENTED_EDGE('',*,*,#22650,.F.); -#22652=ORIENTED_EDGE('',*,*,#12476,.F.); -#22654=ORIENTED_EDGE('',*,*,#22653,.T.); -#22656=ORIENTED_EDGE('',*,*,#22655,.F.); -#22657=ORIENTED_EDGE('',*,*,#22628,.F.); -#22658=ORIENTED_EDGE('',*,*,#12500,.F.); -#22660=ORIENTED_EDGE('',*,*,#22659,.T.); -#22661=EDGE_LOOP('',(#22642,#22644,#22645,#22647,#22649,#22651,#22652,#22654, -#22656,#22657,#22658,#22660)); -#22662=FACE_OUTER_BOUND('',#22661,.F.); -#22664=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22665=DIRECTION('',(0.E0,0.E0,1.E0)); -#22666=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22667=AXIS2_PLACEMENT_3D('',#22664,#22665,#22666); -#22668=PLANE('',#22667); -#22670=ORIENTED_EDGE('',*,*,#22669,.T.); -#22672=ORIENTED_EDGE('',*,*,#22671,.F.); -#22674=ORIENTED_EDGE('',*,*,#22673,.F.); -#22676=ORIENTED_EDGE('',*,*,#22675,.F.); -#22677=EDGE_LOOP('',(#22670,#22672,#22674,#22676)); -#22678=FACE_OUTER_BOUND('',#22677,.F.); -#22680=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22681=DIRECTION('',(0.E0,0.E0,1.E0)); -#22682=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22683=AXIS2_PLACEMENT_3D('',#22680,#22681,#22682); -#22684=PLANE('',#22683); -#22686=ORIENTED_EDGE('',*,*,#22685,.T.); -#22688=ORIENTED_EDGE('',*,*,#22687,.F.); -#22690=ORIENTED_EDGE('',*,*,#22689,.F.); -#22692=ORIENTED_EDGE('',*,*,#22691,.F.); -#22693=EDGE_LOOP('',(#22686,#22688,#22690,#22692)); -#22694=FACE_OUTER_BOUND('',#22693,.F.); -#22696=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22697=DIRECTION('',(0.E0,0.E0,1.E0)); -#22698=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22699=AXIS2_PLACEMENT_3D('',#22696,#22697,#22698); -#22700=PLANE('',#22699); -#22702=ORIENTED_EDGE('',*,*,#22701,.F.); -#22704=ORIENTED_EDGE('',*,*,#22703,.F.); -#22706=ORIENTED_EDGE('',*,*,#22705,.F.); -#22708=ORIENTED_EDGE('',*,*,#22707,.F.); -#22709=EDGE_LOOP('',(#22702,#22704,#22706,#22708)); -#22710=FACE_OUTER_BOUND('',#22709,.F.); -#22712=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22713=DIRECTION('',(0.E0,0.E0,1.E0)); -#22714=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22715=AXIS2_PLACEMENT_3D('',#22712,#22713,#22714); -#22716=PLANE('',#22715); -#22718=ORIENTED_EDGE('',*,*,#22717,.T.); -#22720=ORIENTED_EDGE('',*,*,#22719,.F.); -#22722=ORIENTED_EDGE('',*,*,#22721,.F.); -#22724=ORIENTED_EDGE('',*,*,#22723,.F.); -#22725=EDGE_LOOP('',(#22718,#22720,#22722,#22724)); -#22726=FACE_OUTER_BOUND('',#22725,.F.); -#22728=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22729=DIRECTION('',(0.E0,0.E0,1.E0)); -#22730=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22731=AXIS2_PLACEMENT_3D('',#22728,#22729,#22730); -#22732=PLANE('',#22731); -#22734=ORIENTED_EDGE('',*,*,#22733,.F.); -#22736=ORIENTED_EDGE('',*,*,#22735,.F.); -#22738=ORIENTED_EDGE('',*,*,#22737,.F.); -#22740=ORIENTED_EDGE('',*,*,#22739,.F.); -#22741=EDGE_LOOP('',(#22734,#22736,#22738,#22740)); -#22742=FACE_OUTER_BOUND('',#22741,.F.); -#22744=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22745=DIRECTION('',(0.E0,0.E0,1.E0)); -#22746=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22747=AXIS2_PLACEMENT_3D('',#22744,#22745,#22746); -#22748=PLANE('',#22747); -#22750=ORIENTED_EDGE('',*,*,#22749,.F.); -#22752=ORIENTED_EDGE('',*,*,#22751,.F.); -#22754=ORIENTED_EDGE('',*,*,#22753,.F.); -#22756=ORIENTED_EDGE('',*,*,#22755,.F.); -#22757=EDGE_LOOP('',(#22750,#22752,#22754,#22756)); -#22758=FACE_OUTER_BOUND('',#22757,.F.); -#22760=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22761=DIRECTION('',(0.E0,0.E0,1.E0)); -#22762=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22763=AXIS2_PLACEMENT_3D('',#22760,#22761,#22762); -#22764=PLANE('',#22763); -#22766=ORIENTED_EDGE('',*,*,#22765,.T.); -#22768=ORIENTED_EDGE('',*,*,#22767,.F.); -#22770=ORIENTED_EDGE('',*,*,#22769,.F.); -#22772=ORIENTED_EDGE('',*,*,#22771,.F.); -#22773=EDGE_LOOP('',(#22766,#22768,#22770,#22772)); -#22774=FACE_OUTER_BOUND('',#22773,.F.); -#22776=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22777=DIRECTION('',(0.E0,0.E0,1.E0)); -#22778=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22779=AXIS2_PLACEMENT_3D('',#22776,#22777,#22778); -#22780=PLANE('',#22779); -#22781=ORIENTED_EDGE('',*,*,#22641,.T.); -#22783=ORIENTED_EDGE('',*,*,#22782,.F.); -#22785=ORIENTED_EDGE('',*,*,#22784,.F.); -#22787=ORIENTED_EDGE('',*,*,#22786,.F.); -#22788=EDGE_LOOP('',(#22781,#22783,#22785,#22787)); -#22789=FACE_OUTER_BOUND('',#22788,.F.); -#22791=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22792=DIRECTION('',(0.E0,0.E0,1.E0)); -#22793=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22794=AXIS2_PLACEMENT_3D('',#22791,#22792,#22793); -#22795=PLANE('',#22794); -#22796=ORIENTED_EDGE('',*,*,#22655,.T.); -#22798=ORIENTED_EDGE('',*,*,#22797,.F.); -#22799=ORIENTED_EDGE('',*,*,#22615,.F.); -#22800=ORIENTED_EDGE('',*,*,#22630,.F.); -#22801=EDGE_LOOP('',(#22796,#22798,#22799,#22800)); -#22802=FACE_OUTER_BOUND('',#22801,.F.); -#22804=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22805=DIRECTION('',(0.E0,0.E0,1.E0)); -#22806=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22807=AXIS2_PLACEMENT_3D('',#22804,#22805,#22806); -#22808=PLANE('',#22807); -#22810=ORIENTED_EDGE('',*,*,#22809,.F.); -#22812=ORIENTED_EDGE('',*,*,#22811,.F.); -#22814=ORIENTED_EDGE('',*,*,#22813,.F.); -#22816=ORIENTED_EDGE('',*,*,#22815,.F.); -#22817=EDGE_LOOP('',(#22810,#22812,#22814,#22816)); -#22818=FACE_OUTER_BOUND('',#22817,.F.); -#22820=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22821=DIRECTION('',(0.E0,0.E0,1.E0)); -#22822=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22823=AXIS2_PLACEMENT_3D('',#22820,#22821,#22822); -#22824=PLANE('',#22823); -#22826=ORIENTED_EDGE('',*,*,#22825,.T.); -#22828=ORIENTED_EDGE('',*,*,#22827,.F.); -#22830=ORIENTED_EDGE('',*,*,#22829,.F.); -#22832=ORIENTED_EDGE('',*,*,#22831,.F.); -#22833=EDGE_LOOP('',(#22826,#22828,#22830,#22832)); -#22834=FACE_OUTER_BOUND('',#22833,.F.); -#22836=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#22837=DIRECTION('',(0.E0,0.E0,1.E0)); -#22838=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22839=AXIS2_PLACEMENT_3D('',#22836,#22837,#22838); -#22840=PLANE('',#22839); -#22842=ORIENTED_EDGE('',*,*,#22841,.T.); -#22844=ORIENTED_EDGE('',*,*,#22843,.F.); -#22846=ORIENTED_EDGE('',*,*,#22845,.F.); -#22848=ORIENTED_EDGE('',*,*,#22847,.F.); -#22849=EDGE_LOOP('',(#22842,#22844,#22846,#22848)); -#22850=FACE_OUTER_BOUND('',#22849,.F.); -#22852=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,4.311724784545E0)); -#22853=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22854=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22855=AXIS2_PLACEMENT_3D('',#22852,#22853,#22854); -#22856=PLANE('',#22855); -#22857=ORIENTED_EDGE('',*,*,#22669,.F.); -#22859=ORIENTED_EDGE('',*,*,#22858,.F.); -#22860=ORIENTED_EDGE('',*,*,#12580,.F.); -#22862=ORIENTED_EDGE('',*,*,#22861,.T.); -#22864=ORIENTED_EDGE('',*,*,#22863,.T.); -#22866=ORIENTED_EDGE('',*,*,#22865,.F.); -#22867=ORIENTED_EDGE('',*,*,#12596,.F.); -#22869=ORIENTED_EDGE('',*,*,#22868,.T.); -#22870=ORIENTED_EDGE('',*,*,#22685,.F.); -#22872=ORIENTED_EDGE('',*,*,#22871,.F.); -#22873=ORIENTED_EDGE('',*,*,#12588,.F.); -#22875=ORIENTED_EDGE('',*,*,#22874,.T.); -#22876=EDGE_LOOP('',(#22857,#22859,#22860,#22862,#22864,#22866,#22867,#22869, -#22870,#22872,#22873,#22875)); -#22877=FACE_OUTER_BOUND('',#22876,.F.); -#22879=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.311724784545E0)); -#22880=DIRECTION('',(0.E0,1.E0,0.E0)); -#22881=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22882=AXIS2_PLACEMENT_3D('',#22879,#22880,#22881); -#22883=PLANE('',#22882); -#22884=ORIENTED_EDGE('',*,*,#12582,.F.); -#22885=ORIENTED_EDGE('',*,*,#22858,.T.); -#22886=ORIENTED_EDGE('',*,*,#22675,.T.); -#22888=ORIENTED_EDGE('',*,*,#22887,.F.); -#22889=EDGE_LOOP('',(#22884,#22885,#22886,#22888)); -#22890=FACE_OUTER_BOUND('',#22889,.F.); -#22892=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.311724784545E0)); -#22893=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22894=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22895=AXIS2_PLACEMENT_3D('',#22892,#22893,#22894); -#22896=PLANE('',#22895); -#22897=ORIENTED_EDGE('',*,*,#12584,.F.); -#22898=ORIENTED_EDGE('',*,*,#22887,.T.); -#22899=ORIENTED_EDGE('',*,*,#22673,.T.); -#22901=ORIENTED_EDGE('',*,*,#22900,.F.); -#22902=EDGE_LOOP('',(#22897,#22898,#22899,#22901)); -#22903=FACE_OUTER_BOUND('',#22902,.F.); -#22905=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.311724784545E0)); -#22906=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22907=DIRECTION('',(1.E0,0.E0,0.E0)); -#22908=AXIS2_PLACEMENT_3D('',#22905,#22906,#22907); -#22909=PLANE('',#22908); -#22910=ORIENTED_EDGE('',*,*,#12586,.F.); -#22911=ORIENTED_EDGE('',*,*,#22900,.T.); -#22912=ORIENTED_EDGE('',*,*,#22671,.T.); -#22913=ORIENTED_EDGE('',*,*,#22874,.F.); -#22914=EDGE_LOOP('',(#22910,#22911,#22912,#22913)); -#22915=FACE_OUTER_BOUND('',#22914,.F.); -#22917=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,4.311724784545E0)); -#22918=DIRECTION('',(0.E0,1.E0,0.E0)); -#22919=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22920=AXIS2_PLACEMENT_3D('',#22917,#22918,#22919); -#22921=PLANE('',#22920); -#22922=ORIENTED_EDGE('',*,*,#12578,.F.); -#22924=ORIENTED_EDGE('',*,*,#22923,.T.); -#22926=ORIENTED_EDGE('',*,*,#22925,.T.); -#22927=ORIENTED_EDGE('',*,*,#22861,.F.); -#22928=EDGE_LOOP('',(#22922,#22924,#22926,#22927)); -#22929=FACE_OUTER_BOUND('',#22928,.F.); -#22931=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,4.311724784545E0)); -#22932=DIRECTION('',(1.E0,0.E0,0.E0)); -#22933=DIRECTION('',(0.E0,1.E0,0.E0)); -#22934=AXIS2_PLACEMENT_3D('',#22931,#22932,#22933); -#22935=PLANE('',#22934); -#22936=ORIENTED_EDGE('',*,*,#22701,.T.); -#22938=ORIENTED_EDGE('',*,*,#22937,.F.); -#22939=ORIENTED_EDGE('',*,*,#12600,.F.); -#22941=ORIENTED_EDGE('',*,*,#22940,.T.); -#22943=ORIENTED_EDGE('',*,*,#22942,.T.); -#22944=ORIENTED_EDGE('',*,*,#22923,.F.); -#22945=ORIENTED_EDGE('',*,*,#12576,.F.); -#22947=ORIENTED_EDGE('',*,*,#22946,.T.); -#22948=EDGE_LOOP('',(#22936,#22938,#22939,#22941,#22943,#22944,#22945,#22947)); -#22949=FACE_OUTER_BOUND('',#22948,.F.); -#22951=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.311724784545E0)); -#22952=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22953=DIRECTION('',(1.E0,0.E0,0.E0)); -#22954=AXIS2_PLACEMENT_3D('',#22951,#22952,#22953); -#22955=PLANE('',#22954); -#22956=ORIENTED_EDGE('',*,*,#12602,.F.); -#22957=ORIENTED_EDGE('',*,*,#22937,.T.); -#22958=ORIENTED_EDGE('',*,*,#22707,.T.); -#22960=ORIENTED_EDGE('',*,*,#22959,.F.); -#22961=EDGE_LOOP('',(#22956,#22957,#22958,#22960)); -#22962=FACE_OUTER_BOUND('',#22961,.F.); -#22964=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.311724784545E0)); -#22965=DIRECTION('',(1.E0,0.E0,0.E0)); -#22966=DIRECTION('',(0.E0,1.E0,0.E0)); -#22967=AXIS2_PLACEMENT_3D('',#22964,#22965,#22966); -#22968=PLANE('',#22967); -#22969=ORIENTED_EDGE('',*,*,#12604,.F.); -#22970=ORIENTED_EDGE('',*,*,#22959,.T.); -#22971=ORIENTED_EDGE('',*,*,#22705,.T.); -#22973=ORIENTED_EDGE('',*,*,#22972,.F.); -#22974=EDGE_LOOP('',(#22969,#22970,#22971,#22973)); -#22975=FACE_OUTER_BOUND('',#22974,.F.); -#22977=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.311724784545E0)); -#22978=DIRECTION('',(0.E0,1.E0,0.E0)); -#22979=DIRECTION('',(-1.E0,0.E0,0.E0)); -#22980=AXIS2_PLACEMENT_3D('',#22977,#22978,#22979); -#22981=PLANE('',#22980); -#22982=ORIENTED_EDGE('',*,*,#12574,.F.); -#22983=ORIENTED_EDGE('',*,*,#22972,.T.); -#22984=ORIENTED_EDGE('',*,*,#22703,.T.); -#22985=ORIENTED_EDGE('',*,*,#22946,.F.); -#22986=EDGE_LOOP('',(#22982,#22983,#22984,#22985)); -#22987=FACE_OUTER_BOUND('',#22986,.F.); -#22989=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,4.311724784545E0)); -#22990=DIRECTION('',(0.E0,-1.E0,0.E0)); -#22991=DIRECTION('',(1.E0,0.E0,0.E0)); -#22992=AXIS2_PLACEMENT_3D('',#22989,#22990,#22991); -#22993=PLANE('',#22992); -#22994=ORIENTED_EDGE('',*,*,#12598,.F.); -#22995=ORIENTED_EDGE('',*,*,#22865,.T.); -#22997=ORIENTED_EDGE('',*,*,#22996,.T.); -#22998=ORIENTED_EDGE('',*,*,#22940,.F.); -#22999=EDGE_LOOP('',(#22994,#22995,#22997,#22998)); -#23000=FACE_OUTER_BOUND('',#22999,.F.); -#23002=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#23003=DIRECTION('',(0.E0,0.E0,1.E0)); -#23004=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23005=AXIS2_PLACEMENT_3D('',#23002,#23003,#23004); -#23006=PLANE('',#23005); -#23007=ORIENTED_EDGE('',*,*,#22863,.F.); -#23008=ORIENTED_EDGE('',*,*,#22925,.F.); -#23009=ORIENTED_EDGE('',*,*,#22942,.F.); -#23010=ORIENTED_EDGE('',*,*,#22996,.F.); -#23011=EDGE_LOOP('',(#23007,#23008,#23009,#23010)); -#23012=FACE_OUTER_BOUND('',#23011,.F.); -#23014=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#23015=DIRECTION('',(0.E0,0.E0,1.E0)); -#23016=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23017=AXIS2_PLACEMENT_3D('',#23014,#23015,#23016); -#23018=PLANE('',#23017); -#23020=ORIENTED_EDGE('',*,*,#23019,.F.); -#23022=ORIENTED_EDGE('',*,*,#23021,.F.); -#23024=ORIENTED_EDGE('',*,*,#23023,.F.); -#23026=ORIENTED_EDGE('',*,*,#23025,.F.); -#23027=EDGE_LOOP('',(#23020,#23022,#23024,#23026)); -#23028=FACE_OUTER_BOUND('',#23027,.F.); -#23030=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#23031=DIRECTION('',(0.E0,0.E0,1.E0)); -#23032=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23033=AXIS2_PLACEMENT_3D('',#23030,#23031,#23032); -#23034=PLANE('',#23033); -#23036=ORIENTED_EDGE('',*,*,#23035,.F.); -#23037=ORIENTED_EDGE('',*,*,#22648,.F.); -#23039=ORIENTED_EDGE('',*,*,#23038,.F.); -#23041=ORIENTED_EDGE('',*,*,#23040,.F.); -#23042=EDGE_LOOP('',(#23036,#23037,#23039,#23041)); -#23043=FACE_OUTER_BOUND('',#23042,.F.); -#23045=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#23046=DIRECTION('',(0.E0,0.E0,1.E0)); -#23047=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23048=AXIS2_PLACEMENT_3D('',#23045,#23046,#23047); -#23049=PLANE('',#23048); -#23051=ORIENTED_EDGE('',*,*,#23050,.F.); -#23053=ORIENTED_EDGE('',*,*,#23052,.F.); -#23055=ORIENTED_EDGE('',*,*,#23054,.F.); -#23057=ORIENTED_EDGE('',*,*,#23056,.F.); -#23058=EDGE_LOOP('',(#23051,#23053,#23055,#23057)); -#23059=FACE_OUTER_BOUND('',#23058,.F.); -#23061=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,4.311724784545E0)); -#23062=DIRECTION('',(1.E0,0.E0,0.E0)); -#23063=DIRECTION('',(0.E0,1.E0,0.E0)); -#23064=AXIS2_PLACEMENT_3D('',#23061,#23062,#23063); -#23065=PLANE('',#23064); -#23066=ORIENTED_EDGE('',*,*,#12566,.F.); -#23068=ORIENTED_EDGE('',*,*,#23067,.T.); -#23069=ORIENTED_EDGE('',*,*,#23019,.T.); -#23071=ORIENTED_EDGE('',*,*,#23070,.F.); -#23072=EDGE_LOOP('',(#23066,#23068,#23069,#23071)); -#23073=FACE_OUTER_BOUND('',#23072,.F.); -#23075=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,4.311724784545E0)); -#23076=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23077=DIRECTION('',(1.E0,0.E0,0.E0)); -#23078=AXIS2_PLACEMENT_3D('',#23075,#23076,#23077); -#23079=PLANE('',#23078); -#23080=ORIENTED_EDGE('',*,*,#22733,.T.); -#23082=ORIENTED_EDGE('',*,*,#23081,.F.); -#23083=ORIENTED_EDGE('',*,*,#12556,.F.); -#23085=ORIENTED_EDGE('',*,*,#23084,.T.); -#23086=ORIENTED_EDGE('',*,*,#22749,.T.); -#23088=ORIENTED_EDGE('',*,*,#23087,.F.); -#23089=ORIENTED_EDGE('',*,*,#12548,.F.); -#23091=ORIENTED_EDGE('',*,*,#23090,.T.); -#23092=ORIENTED_EDGE('',*,*,#23021,.T.); -#23093=ORIENTED_EDGE('',*,*,#23067,.F.); -#23094=ORIENTED_EDGE('',*,*,#12564,.F.); -#23096=ORIENTED_EDGE('',*,*,#23095,.T.); -#23097=EDGE_LOOP('',(#23080,#23082,#23083,#23085,#23086,#23088,#23089,#23091, -#23092,#23093,#23094,#23096)); -#23098=FACE_OUTER_BOUND('',#23097,.F.); -#23100=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.311724784545E0)); -#23101=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23102=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23103=AXIS2_PLACEMENT_3D('',#23100,#23101,#23102); -#23104=PLANE('',#23103); -#23105=ORIENTED_EDGE('',*,*,#12558,.F.); -#23106=ORIENTED_EDGE('',*,*,#23081,.T.); -#23107=ORIENTED_EDGE('',*,*,#22739,.T.); -#23109=ORIENTED_EDGE('',*,*,#23108,.F.); -#23110=EDGE_LOOP('',(#23105,#23106,#23107,#23109)); -#23111=FACE_OUTER_BOUND('',#23110,.F.); -#23113=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.311724784545E0)); -#23114=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23115=DIRECTION('',(1.E0,0.E0,0.E0)); -#23116=AXIS2_PLACEMENT_3D('',#23113,#23114,#23115); -#23117=PLANE('',#23116); -#23118=ORIENTED_EDGE('',*,*,#12560,.F.); -#23119=ORIENTED_EDGE('',*,*,#23108,.T.); -#23120=ORIENTED_EDGE('',*,*,#22737,.T.); -#23122=ORIENTED_EDGE('',*,*,#23121,.F.); -#23123=EDGE_LOOP('',(#23118,#23119,#23120,#23122)); -#23124=FACE_OUTER_BOUND('',#23123,.F.); -#23126=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.311724784545E0)); -#23127=DIRECTION('',(1.E0,0.E0,0.E0)); -#23128=DIRECTION('',(0.E0,1.E0,0.E0)); -#23129=AXIS2_PLACEMENT_3D('',#23126,#23127,#23128); -#23130=PLANE('',#23129); -#23131=ORIENTED_EDGE('',*,*,#12562,.F.); -#23132=ORIENTED_EDGE('',*,*,#23121,.T.); -#23133=ORIENTED_EDGE('',*,*,#22735,.T.); -#23134=ORIENTED_EDGE('',*,*,#23095,.F.); -#23135=EDGE_LOOP('',(#23131,#23132,#23133,#23134)); -#23136=FACE_OUTER_BOUND('',#23135,.F.); -#23138=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.311724784545E0)); -#23139=DIRECTION('',(1.E0,0.E0,0.E0)); -#23140=DIRECTION('',(0.E0,1.E0,0.E0)); -#23141=AXIS2_PLACEMENT_3D('',#23138,#23139,#23140); -#23142=PLANE('',#23141); -#23143=ORIENTED_EDGE('',*,*,#12554,.F.); -#23145=ORIENTED_EDGE('',*,*,#23144,.T.); -#23146=ORIENTED_EDGE('',*,*,#22751,.T.); -#23147=ORIENTED_EDGE('',*,*,#23084,.F.); -#23148=EDGE_LOOP('',(#23143,#23145,#23146,#23147)); -#23149=FACE_OUTER_BOUND('',#23148,.F.); -#23151=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.311724784545E0)); -#23152=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23153=DIRECTION('',(1.E0,0.E0,0.E0)); -#23154=AXIS2_PLACEMENT_3D('',#23151,#23152,#23153); -#23155=PLANE('',#23154); -#23156=ORIENTED_EDGE('',*,*,#12552,.F.); -#23158=ORIENTED_EDGE('',*,*,#23157,.T.); -#23159=ORIENTED_EDGE('',*,*,#22753,.T.); -#23160=ORIENTED_EDGE('',*,*,#23144,.F.); -#23161=EDGE_LOOP('',(#23156,#23158,#23159,#23160)); -#23162=FACE_OUTER_BOUND('',#23161,.F.); -#23164=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.311724784545E0)); -#23165=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23166=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23167=AXIS2_PLACEMENT_3D('',#23164,#23165,#23166); -#23168=PLANE('',#23167); -#23169=ORIENTED_EDGE('',*,*,#12550,.F.); -#23170=ORIENTED_EDGE('',*,*,#23087,.T.); -#23171=ORIENTED_EDGE('',*,*,#22755,.T.); -#23172=ORIENTED_EDGE('',*,*,#23157,.F.); -#23173=EDGE_LOOP('',(#23169,#23170,#23171,#23172)); -#23174=FACE_OUTER_BOUND('',#23173,.F.); -#23176=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,4.311724784545E0)); -#23177=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23178=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23179=AXIS2_PLACEMENT_3D('',#23176,#23177,#23178); -#23180=PLANE('',#23179); -#23181=ORIENTED_EDGE('',*,*,#12546,.F.); -#23183=ORIENTED_EDGE('',*,*,#23182,.T.); -#23184=ORIENTED_EDGE('',*,*,#23023,.T.); -#23185=ORIENTED_EDGE('',*,*,#23090,.F.); -#23186=EDGE_LOOP('',(#23181,#23183,#23184,#23185)); -#23187=FACE_OUTER_BOUND('',#23186,.F.); -#23189=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,4.311724784545E0)); -#23190=DIRECTION('',(0.E0,1.E0,0.E0)); -#23191=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23192=AXIS2_PLACEMENT_3D('',#23189,#23190,#23191); -#23193=PLANE('',#23192); -#23194=ORIENTED_EDGE('',*,*,#22717,.F.); -#23196=ORIENTED_EDGE('',*,*,#23195,.F.); -#23197=ORIENTED_EDGE('',*,*,#12568,.F.); -#23198=ORIENTED_EDGE('',*,*,#23070,.T.); -#23199=ORIENTED_EDGE('',*,*,#23025,.T.); -#23200=ORIENTED_EDGE('',*,*,#23182,.F.); -#23201=ORIENTED_EDGE('',*,*,#12544,.F.); -#23203=ORIENTED_EDGE('',*,*,#23202,.T.); -#23204=EDGE_LOOP('',(#23194,#23196,#23197,#23198,#23199,#23200,#23201,#23203)); -#23205=FACE_OUTER_BOUND('',#23204,.F.); -#23207=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.311724784545E0)); -#23208=DIRECTION('',(1.E0,0.E0,0.E0)); -#23209=DIRECTION('',(0.E0,1.E0,0.E0)); -#23210=AXIS2_PLACEMENT_3D('',#23207,#23208,#23209); -#23211=PLANE('',#23210); -#23212=ORIENTED_EDGE('',*,*,#12570,.F.); -#23213=ORIENTED_EDGE('',*,*,#23195,.T.); -#23214=ORIENTED_EDGE('',*,*,#22723,.T.); -#23216=ORIENTED_EDGE('',*,*,#23215,.F.); -#23217=EDGE_LOOP('',(#23212,#23213,#23214,#23216)); -#23218=FACE_OUTER_BOUND('',#23217,.F.); -#23220=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.311724784545E0)); -#23221=DIRECTION('',(0.E0,1.E0,0.E0)); -#23222=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23223=AXIS2_PLACEMENT_3D('',#23220,#23221,#23222); -#23224=PLANE('',#23223); -#23225=ORIENTED_EDGE('',*,*,#12540,.F.); -#23226=ORIENTED_EDGE('',*,*,#23215,.T.); -#23227=ORIENTED_EDGE('',*,*,#22721,.T.); -#23229=ORIENTED_EDGE('',*,*,#23228,.F.); -#23230=EDGE_LOOP('',(#23225,#23226,#23227,#23229)); -#23231=FACE_OUTER_BOUND('',#23230,.F.); -#23233=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.311724784545E0)); -#23234=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23235=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23236=AXIS2_PLACEMENT_3D('',#23233,#23234,#23235); -#23237=PLANE('',#23236); -#23238=ORIENTED_EDGE('',*,*,#12542,.F.); -#23239=ORIENTED_EDGE('',*,*,#23228,.T.); -#23240=ORIENTED_EDGE('',*,*,#22719,.T.); -#23241=ORIENTED_EDGE('',*,*,#23202,.F.); -#23242=EDGE_LOOP('',(#23238,#23239,#23240,#23241)); -#23243=FACE_OUTER_BOUND('',#23242,.F.); -#23245=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,4.311724784545E0)); -#23246=DIRECTION('',(0.E0,1.E0,0.E0)); -#23247=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23248=AXIS2_PLACEMENT_3D('',#23245,#23246,#23247); -#23249=PLANE('',#23248); -#23250=ORIENTED_EDGE('',*,*,#12478,.F.); -#23251=ORIENTED_EDGE('',*,*,#22650,.T.); -#23252=ORIENTED_EDGE('',*,*,#23035,.T.); -#23254=ORIENTED_EDGE('',*,*,#23253,.F.); -#23255=EDGE_LOOP('',(#23250,#23251,#23252,#23254)); -#23256=FACE_OUTER_BOUND('',#23255,.F.); -#23258=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,4.311724784545E0)); -#23259=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23260=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23261=AXIS2_PLACEMENT_3D('',#23258,#23259,#23260); -#23262=PLANE('',#23261); -#23263=ORIENTED_EDGE('',*,*,#22765,.F.); -#23265=ORIENTED_EDGE('',*,*,#23264,.F.); -#23266=ORIENTED_EDGE('',*,*,#12480,.F.); -#23267=ORIENTED_EDGE('',*,*,#23253,.T.); -#23268=ORIENTED_EDGE('',*,*,#23040,.T.); -#23270=ORIENTED_EDGE('',*,*,#23269,.F.); -#23271=ORIENTED_EDGE('',*,*,#12488,.F.); -#23273=ORIENTED_EDGE('',*,*,#23272,.T.); -#23274=EDGE_LOOP('',(#23263,#23265,#23266,#23267,#23268,#23270,#23271,#23273)); -#23275=FACE_OUTER_BOUND('',#23274,.F.); -#23277=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.311724784545E0)); -#23278=DIRECTION('',(0.E0,1.E0,0.E0)); -#23279=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23280=AXIS2_PLACEMENT_3D('',#23277,#23278,#23279); -#23281=PLANE('',#23280); -#23282=ORIENTED_EDGE('',*,*,#12482,.F.); -#23283=ORIENTED_EDGE('',*,*,#23264,.T.); -#23284=ORIENTED_EDGE('',*,*,#22771,.T.); -#23286=ORIENTED_EDGE('',*,*,#23285,.F.); -#23287=EDGE_LOOP('',(#23282,#23283,#23284,#23286)); -#23288=FACE_OUTER_BOUND('',#23287,.F.); -#23290=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.311724784545E0)); -#23291=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23292=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23293=AXIS2_PLACEMENT_3D('',#23290,#23291,#23292); -#23294=PLANE('',#23293); -#23295=ORIENTED_EDGE('',*,*,#12484,.F.); -#23296=ORIENTED_EDGE('',*,*,#23285,.T.); -#23297=ORIENTED_EDGE('',*,*,#22769,.T.); -#23299=ORIENTED_EDGE('',*,*,#23298,.F.); -#23300=EDGE_LOOP('',(#23295,#23296,#23297,#23299)); -#23301=FACE_OUTER_BOUND('',#23300,.F.); -#23303=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.311724784545E0)); -#23304=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23305=DIRECTION('',(1.E0,0.E0,0.E0)); -#23306=AXIS2_PLACEMENT_3D('',#23303,#23304,#23305); -#23307=PLANE('',#23306); -#23308=ORIENTED_EDGE('',*,*,#12486,.F.); -#23309=ORIENTED_EDGE('',*,*,#23298,.T.); -#23310=ORIENTED_EDGE('',*,*,#22767,.T.); -#23311=ORIENTED_EDGE('',*,*,#23272,.F.); -#23312=EDGE_LOOP('',(#23308,#23309,#23310,#23311)); -#23313=FACE_OUTER_BOUND('',#23312,.F.); -#23315=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,4.311724784545E0)); -#23316=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23317=DIRECTION('',(1.E0,0.E0,0.E0)); -#23318=AXIS2_PLACEMENT_3D('',#23315,#23316,#23317); -#23319=PLANE('',#23318); -#23320=ORIENTED_EDGE('',*,*,#12490,.F.); -#23321=ORIENTED_EDGE('',*,*,#23269,.T.); -#23322=ORIENTED_EDGE('',*,*,#23038,.T.); -#23323=ORIENTED_EDGE('',*,*,#22646,.F.); -#23324=EDGE_LOOP('',(#23320,#23321,#23322,#23323)); -#23325=FACE_OUTER_BOUND('',#23324,.F.); -#23327=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,4.311724784545E0)); -#23328=DIRECTION('',(0.E0,1.E0,0.E0)); -#23329=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23330=AXIS2_PLACEMENT_3D('',#23327,#23328,#23329); -#23331=PLANE('',#23330); -#23332=ORIENTED_EDGE('',*,*,#12510,.F.); -#23334=ORIENTED_EDGE('',*,*,#23333,.T.); -#23335=ORIENTED_EDGE('',*,*,#23050,.T.); -#23337=ORIENTED_EDGE('',*,*,#23336,.F.); -#23338=EDGE_LOOP('',(#23332,#23334,#23335,#23337)); -#23339=FACE_OUTER_BOUND('',#23338,.F.); -#23341=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,4.311724784545E0)); -#23342=DIRECTION('',(1.E0,0.E0,0.E0)); -#23343=DIRECTION('',(0.E0,1.E0,0.E0)); -#23344=AXIS2_PLACEMENT_3D('',#23341,#23342,#23343); -#23345=PLANE('',#23344); -#23346=ORIENTED_EDGE('',*,*,#22825,.F.); -#23348=ORIENTED_EDGE('',*,*,#23347,.F.); -#23349=ORIENTED_EDGE('',*,*,#12524,.F.); -#23351=ORIENTED_EDGE('',*,*,#23350,.T.); -#23352=ORIENTED_EDGE('',*,*,#23052,.T.); -#23353=ORIENTED_EDGE('',*,*,#23333,.F.); -#23354=ORIENTED_EDGE('',*,*,#12508,.F.); -#23356=ORIENTED_EDGE('',*,*,#23355,.T.); -#23357=ORIENTED_EDGE('',*,*,#22841,.F.); -#23359=ORIENTED_EDGE('',*,*,#23358,.F.); -#23360=ORIENTED_EDGE('',*,*,#12532,.F.); -#23362=ORIENTED_EDGE('',*,*,#23361,.T.); -#23363=EDGE_LOOP('',(#23346,#23348,#23349,#23351,#23352,#23353,#23354,#23356, -#23357,#23359,#23360,#23362)); -#23364=FACE_OUTER_BOUND('',#23363,.F.); -#23366=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.311724784545E0)); -#23367=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23368=DIRECTION('',(1.E0,0.E0,0.E0)); -#23369=AXIS2_PLACEMENT_3D('',#23366,#23367,#23368); -#23370=PLANE('',#23369); -#23371=ORIENTED_EDGE('',*,*,#12526,.F.); -#23372=ORIENTED_EDGE('',*,*,#23347,.T.); -#23373=ORIENTED_EDGE('',*,*,#22831,.T.); -#23375=ORIENTED_EDGE('',*,*,#23374,.F.); -#23376=EDGE_LOOP('',(#23371,#23372,#23373,#23375)); -#23377=FACE_OUTER_BOUND('',#23376,.F.); -#23379=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.311724784545E0)); -#23380=DIRECTION('',(1.E0,0.E0,0.E0)); -#23381=DIRECTION('',(0.E0,1.E0,0.E0)); -#23382=AXIS2_PLACEMENT_3D('',#23379,#23380,#23381); -#23383=PLANE('',#23382); -#23384=ORIENTED_EDGE('',*,*,#12528,.F.); -#23385=ORIENTED_EDGE('',*,*,#23374,.T.); -#23386=ORIENTED_EDGE('',*,*,#22829,.T.); -#23388=ORIENTED_EDGE('',*,*,#23387,.F.); -#23389=EDGE_LOOP('',(#23384,#23385,#23386,#23388)); -#23390=FACE_OUTER_BOUND('',#23389,.F.); -#23392=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.311724784545E0)); -#23393=DIRECTION('',(0.E0,1.E0,0.E0)); -#23394=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23395=AXIS2_PLACEMENT_3D('',#23392,#23393,#23394); -#23396=PLANE('',#23395); -#23397=ORIENTED_EDGE('',*,*,#12530,.F.); -#23398=ORIENTED_EDGE('',*,*,#23387,.T.); -#23399=ORIENTED_EDGE('',*,*,#22827,.T.); -#23400=ORIENTED_EDGE('',*,*,#23361,.F.); -#23401=EDGE_LOOP('',(#23397,#23398,#23399,#23400)); -#23402=FACE_OUTER_BOUND('',#23401,.F.); -#23404=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,4.311724784545E0)); -#23405=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23406=DIRECTION('',(1.E0,0.E0,0.E0)); -#23407=AXIS2_PLACEMENT_3D('',#23404,#23405,#23406); -#23408=PLANE('',#23407); -#23409=ORIENTED_EDGE('',*,*,#12522,.F.); -#23411=ORIENTED_EDGE('',*,*,#23410,.T.); -#23412=ORIENTED_EDGE('',*,*,#23054,.T.); -#23413=ORIENTED_EDGE('',*,*,#23350,.F.); -#23414=EDGE_LOOP('',(#23409,#23411,#23412,#23413)); -#23415=FACE_OUTER_BOUND('',#23414,.F.); -#23417=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,4.311724784545E0)); -#23418=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23419=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23420=AXIS2_PLACEMENT_3D('',#23417,#23418,#23419); -#23421=PLANE('',#23420); -#23422=ORIENTED_EDGE('',*,*,#22809,.T.); -#23424=ORIENTED_EDGE('',*,*,#23423,.F.); -#23425=ORIENTED_EDGE('',*,*,#12512,.F.); -#23426=ORIENTED_EDGE('',*,*,#23336,.T.); -#23427=ORIENTED_EDGE('',*,*,#23056,.T.); -#23428=ORIENTED_EDGE('',*,*,#23410,.F.); -#23429=ORIENTED_EDGE('',*,*,#12520,.F.); -#23431=ORIENTED_EDGE('',*,*,#23430,.T.); -#23432=EDGE_LOOP('',(#23422,#23424,#23425,#23426,#23427,#23428,#23429,#23431)); -#23433=FACE_OUTER_BOUND('',#23432,.F.); -#23435=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.311724784545E0)); -#23436=DIRECTION('',(0.E0,1.E0,0.E0)); -#23437=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23438=AXIS2_PLACEMENT_3D('',#23435,#23436,#23437); -#23439=PLANE('',#23438); -#23440=ORIENTED_EDGE('',*,*,#12514,.F.); -#23441=ORIENTED_EDGE('',*,*,#23423,.T.); -#23442=ORIENTED_EDGE('',*,*,#22815,.T.); -#23444=ORIENTED_EDGE('',*,*,#23443,.F.); -#23445=EDGE_LOOP('',(#23440,#23441,#23442,#23444)); -#23446=FACE_OUTER_BOUND('',#23445,.F.); -#23448=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.311724784545E0)); -#23449=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23450=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23451=AXIS2_PLACEMENT_3D('',#23448,#23449,#23450); -#23452=PLANE('',#23451); -#23453=ORIENTED_EDGE('',*,*,#12516,.F.); -#23454=ORIENTED_EDGE('',*,*,#23443,.T.); -#23455=ORIENTED_EDGE('',*,*,#22813,.T.); -#23457=ORIENTED_EDGE('',*,*,#23456,.F.); -#23458=EDGE_LOOP('',(#23453,#23454,#23455,#23457)); -#23459=FACE_OUTER_BOUND('',#23458,.F.); -#23461=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.311724784545E0)); -#23462=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23463=DIRECTION('',(1.E0,0.E0,0.E0)); -#23464=AXIS2_PLACEMENT_3D('',#23461,#23462,#23463); -#23465=PLANE('',#23464); -#23466=ORIENTED_EDGE('',*,*,#12518,.F.); -#23467=ORIENTED_EDGE('',*,*,#23456,.T.); -#23468=ORIENTED_EDGE('',*,*,#22811,.T.); -#23469=ORIENTED_EDGE('',*,*,#23430,.F.); -#23470=EDGE_LOOP('',(#23466,#23467,#23468,#23469)); -#23471=FACE_OUTER_BOUND('',#23470,.F.); -#23473=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.311724784545E0)); -#23474=DIRECTION('',(0.E0,1.E0,0.E0)); -#23475=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23476=AXIS2_PLACEMENT_3D('',#23473,#23474,#23475); -#23477=PLANE('',#23476); -#23478=ORIENTED_EDGE('',*,*,#12506,.F.); -#23480=ORIENTED_EDGE('',*,*,#23479,.T.); -#23481=ORIENTED_EDGE('',*,*,#22843,.T.); -#23482=ORIENTED_EDGE('',*,*,#23355,.F.); -#23483=EDGE_LOOP('',(#23478,#23480,#23481,#23482)); -#23484=FACE_OUTER_BOUND('',#23483,.F.); -#23486=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.311724784545E0)); -#23487=DIRECTION('',(1.E0,0.E0,0.E0)); -#23488=DIRECTION('',(0.E0,1.E0,0.E0)); -#23489=AXIS2_PLACEMENT_3D('',#23486,#23487,#23488); -#23490=PLANE('',#23489); -#23491=ORIENTED_EDGE('',*,*,#12536,.F.); -#23493=ORIENTED_EDGE('',*,*,#23492,.T.); -#23494=ORIENTED_EDGE('',*,*,#22845,.T.); -#23495=ORIENTED_EDGE('',*,*,#23479,.F.); -#23496=EDGE_LOOP('',(#23491,#23493,#23494,#23495)); -#23497=FACE_OUTER_BOUND('',#23496,.F.); -#23499=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.311724784545E0)); -#23500=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23501=DIRECTION('',(1.E0,0.E0,0.E0)); -#23502=AXIS2_PLACEMENT_3D('',#23499,#23500,#23501); -#23503=PLANE('',#23502); -#23504=ORIENTED_EDGE('',*,*,#12534,.F.); -#23505=ORIENTED_EDGE('',*,*,#23358,.T.); -#23506=ORIENTED_EDGE('',*,*,#22847,.T.); -#23507=ORIENTED_EDGE('',*,*,#23492,.F.); -#23508=EDGE_LOOP('',(#23504,#23505,#23506,#23507)); -#23509=FACE_OUTER_BOUND('',#23508,.F.); -#23511=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.311724784545E0)); -#23512=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23513=DIRECTION('',(1.E0,0.E0,0.E0)); -#23514=AXIS2_PLACEMENT_3D('',#23511,#23512,#23513); -#23515=PLANE('',#23514); -#23516=ORIENTED_EDGE('',*,*,#12594,.F.); -#23518=ORIENTED_EDGE('',*,*,#23517,.T.); -#23519=ORIENTED_EDGE('',*,*,#22687,.T.); -#23520=ORIENTED_EDGE('',*,*,#22868,.F.); -#23521=EDGE_LOOP('',(#23516,#23518,#23519,#23520)); -#23522=FACE_OUTER_BOUND('',#23521,.F.); -#23524=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.311724784545E0)); -#23525=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23526=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23527=AXIS2_PLACEMENT_3D('',#23524,#23525,#23526); -#23528=PLANE('',#23527); -#23529=ORIENTED_EDGE('',*,*,#12592,.F.); -#23531=ORIENTED_EDGE('',*,*,#23530,.T.); -#23532=ORIENTED_EDGE('',*,*,#22689,.T.); -#23533=ORIENTED_EDGE('',*,*,#23517,.F.); -#23534=EDGE_LOOP('',(#23529,#23531,#23532,#23533)); -#23535=FACE_OUTER_BOUND('',#23534,.F.); -#23537=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.311724784545E0)); -#23538=DIRECTION('',(0.E0,1.E0,0.E0)); -#23539=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23540=AXIS2_PLACEMENT_3D('',#23537,#23538,#23539); -#23541=PLANE('',#23540); -#23542=ORIENTED_EDGE('',*,*,#12590,.F.); -#23543=ORIENTED_EDGE('',*,*,#22871,.T.); -#23544=ORIENTED_EDGE('',*,*,#22691,.T.); -#23545=ORIENTED_EDGE('',*,*,#23530,.F.); -#23546=EDGE_LOOP('',(#23542,#23543,#23544,#23545)); -#23547=FACE_OUTER_BOUND('',#23546,.F.); -#23549=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.311724784545E0)); -#23550=DIRECTION('',(0.E0,1.E0,0.E0)); -#23551=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23552=AXIS2_PLACEMENT_3D('',#23549,#23550,#23551); -#23553=PLANE('',#23552); -#23554=ORIENTED_EDGE('',*,*,#12498,.F.); -#23556=ORIENTED_EDGE('',*,*,#23555,.T.); -#23557=ORIENTED_EDGE('',*,*,#22782,.T.); -#23558=ORIENTED_EDGE('',*,*,#22659,.F.); -#23559=EDGE_LOOP('',(#23554,#23556,#23557,#23558)); -#23560=FACE_OUTER_BOUND('',#23559,.F.); -#23562=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.311724784545E0)); -#23563=DIRECTION('',(1.E0,0.E0,0.E0)); -#23564=DIRECTION('',(0.E0,1.E0,0.E0)); -#23565=AXIS2_PLACEMENT_3D('',#23562,#23563,#23564); -#23566=PLANE('',#23565); -#23567=ORIENTED_EDGE('',*,*,#12496,.F.); -#23569=ORIENTED_EDGE('',*,*,#23568,.T.); -#23570=ORIENTED_EDGE('',*,*,#22784,.T.); -#23571=ORIENTED_EDGE('',*,*,#23555,.F.); -#23572=EDGE_LOOP('',(#23567,#23569,#23570,#23571)); -#23573=FACE_OUTER_BOUND('',#23572,.F.); -#23575=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.311724784545E0)); -#23576=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23577=DIRECTION('',(1.E0,0.E0,0.E0)); -#23578=AXIS2_PLACEMENT_3D('',#23575,#23576,#23577); -#23579=PLANE('',#23578); -#23580=ORIENTED_EDGE('',*,*,#12494,.F.); -#23581=ORIENTED_EDGE('',*,*,#22643,.T.); -#23582=ORIENTED_EDGE('',*,*,#22786,.T.); -#23583=ORIENTED_EDGE('',*,*,#23568,.F.); -#23584=EDGE_LOOP('',(#23580,#23581,#23582,#23583)); -#23585=FACE_OUTER_BOUND('',#23584,.F.); -#23587=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.311724784545E0)); -#23588=DIRECTION('',(0.E0,1.E0,0.E0)); -#23589=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23590=AXIS2_PLACEMENT_3D('',#23587,#23588,#23589); -#23591=PLANE('',#23590); -#23592=ORIENTED_EDGE('',*,*,#12474,.F.); -#23593=ORIENTED_EDGE('',*,*,#22617,.T.); -#23594=ORIENTED_EDGE('',*,*,#22797,.T.); -#23595=ORIENTED_EDGE('',*,*,#22653,.F.); -#23596=EDGE_LOOP('',(#23592,#23593,#23594,#23595)); -#23597=FACE_OUTER_BOUND('',#23596,.F.); -#23599=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.311724784545E0)); -#23600=DIRECTION('',(0.E0,1.E0,0.E0)); -#23601=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23602=AXIS2_PLACEMENT_3D('',#23599,#23600,#23601); -#23603=PLANE('',#23602); -#23604=ORIENTED_EDGE('',*,*,#12608,.F.); -#23606=ORIENTED_EDGE('',*,*,#23605,.T.); -#23608=ORIENTED_EDGE('',*,*,#23607,.T.); -#23610=ORIENTED_EDGE('',*,*,#23609,.F.); -#23611=EDGE_LOOP('',(#23604,#23606,#23608,#23610)); -#23612=FACE_OUTER_BOUND('',#23611,.F.); -#23614=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.311724784545E0)); -#23615=DIRECTION('',(0.E0,1.E0,0.E0)); -#23616=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23617=AXIS2_PLACEMENT_3D('',#23614,#23615,#23616); -#23618=PLANE('',#23617); -#23619=ORIENTED_EDGE('',*,*,#12616,.F.); -#23621=ORIENTED_EDGE('',*,*,#23620,.T.); -#23623=ORIENTED_EDGE('',*,*,#23622,.T.); -#23625=ORIENTED_EDGE('',*,*,#23624,.F.); -#23626=EDGE_LOOP('',(#23619,#23621,#23623,#23625)); -#23627=FACE_OUTER_BOUND('',#23626,.F.); -#23629=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.311724784545E0)); -#23630=DIRECTION('',(1.E0,0.E0,0.E0)); -#23631=DIRECTION('',(0.E0,1.E0,0.E0)); -#23632=AXIS2_PLACEMENT_3D('',#23629,#23630,#23631); -#23633=PLANE('',#23632); -#23634=ORIENTED_EDGE('',*,*,#12630,.F.); -#23636=ORIENTED_EDGE('',*,*,#23635,.T.); -#23638=ORIENTED_EDGE('',*,*,#23637,.T.); -#23639=ORIENTED_EDGE('',*,*,#23605,.F.); -#23640=EDGE_LOOP('',(#23634,#23636,#23638,#23639)); -#23641=FACE_OUTER_BOUND('',#23640,.F.); -#23643=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.311724784545E0)); -#23644=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23645=DIRECTION('',(1.E0,0.E0,0.E0)); -#23646=AXIS2_PLACEMENT_3D('',#23643,#23644,#23645); -#23647=PLANE('',#23646); -#23648=ORIENTED_EDGE('',*,*,#12620,.F.); -#23650=ORIENTED_EDGE('',*,*,#23649,.T.); -#23652=ORIENTED_EDGE('',*,*,#23651,.T.); -#23654=ORIENTED_EDGE('',*,*,#23653,.F.); -#23655=EDGE_LOOP('',(#23648,#23650,#23652,#23654)); -#23656=FACE_OUTER_BOUND('',#23655,.F.); -#23658=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.311724784545E0)); -#23659=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23660=DIRECTION('',(1.E0,0.E0,0.E0)); -#23661=AXIS2_PLACEMENT_3D('',#23658,#23659,#23660); -#23662=PLANE('',#23661); -#23663=ORIENTED_EDGE('',*,*,#12628,.F.); -#23665=ORIENTED_EDGE('',*,*,#23664,.T.); -#23667=ORIENTED_EDGE('',*,*,#23666,.T.); -#23668=ORIENTED_EDGE('',*,*,#23635,.F.); -#23669=EDGE_LOOP('',(#23663,#23665,#23667,#23668)); -#23670=FACE_OUTER_BOUND('',#23669,.F.); -#23672=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.311724784545E0)); -#23673=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23674=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23675=AXIS2_PLACEMENT_3D('',#23672,#23673,#23674); -#23676=PLANE('',#23675); -#23677=ORIENTED_EDGE('',*,*,#12618,.F.); -#23678=ORIENTED_EDGE('',*,*,#23624,.T.); -#23680=ORIENTED_EDGE('',*,*,#23679,.T.); -#23681=ORIENTED_EDGE('',*,*,#23649,.F.); -#23682=EDGE_LOOP('',(#23677,#23678,#23680,#23681)); -#23683=FACE_OUTER_BOUND('',#23682,.F.); -#23685=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#23686=DIRECTION('',(0.E0,0.E0,1.E0)); -#23687=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23688=AXIS2_PLACEMENT_3D('',#23685,#23686,#23687); -#23689=PLANE('',#23688); -#23691=ORIENTED_EDGE('',*,*,#23690,.T.); -#23692=ORIENTED_EDGE('',*,*,#23651,.F.); -#23693=ORIENTED_EDGE('',*,*,#23679,.F.); -#23694=ORIENTED_EDGE('',*,*,#23622,.F.); -#23695=EDGE_LOOP('',(#23691,#23692,#23693,#23694)); -#23696=FACE_OUTER_BOUND('',#23695,.F.); -#23698=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#23699=DIRECTION('',(0.E0,0.E0,1.E0)); -#23700=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23701=AXIS2_PLACEMENT_3D('',#23698,#23699,#23700); -#23702=PLANE('',#23701); -#23704=ORIENTED_EDGE('',*,*,#23703,.F.); -#23705=ORIENTED_EDGE('',*,*,#23607,.F.); -#23706=ORIENTED_EDGE('',*,*,#23637,.F.); -#23707=ORIENTED_EDGE('',*,*,#23666,.F.); -#23708=EDGE_LOOP('',(#23704,#23705,#23706,#23707)); -#23709=FACE_OUTER_BOUND('',#23708,.F.); -#23711=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,4.311724784545E0)); -#23712=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23713=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23714=AXIS2_PLACEMENT_3D('',#23711,#23712,#23713); -#23715=PLANE('',#23714); -#23716=ORIENTED_EDGE('',*,*,#23690,.F.); -#23717=ORIENTED_EDGE('',*,*,#23620,.F.); -#23718=ORIENTED_EDGE('',*,*,#12614,.F.); -#23720=ORIENTED_EDGE('',*,*,#23719,.T.); -#23722=ORIENTED_EDGE('',*,*,#23721,.T.); -#23724=ORIENTED_EDGE('',*,*,#23723,.F.); -#23725=ORIENTED_EDGE('',*,*,#12622,.F.); -#23726=ORIENTED_EDGE('',*,*,#23653,.T.); -#23727=EDGE_LOOP('',(#23716,#23717,#23718,#23720,#23722,#23724,#23725,#23726)); -#23728=FACE_OUTER_BOUND('',#23727,.F.); -#23730=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,4.311724784545E0)); -#23731=DIRECTION('',(0.E0,1.E0,0.E0)); -#23732=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23733=AXIS2_PLACEMENT_3D('',#23730,#23731,#23732); -#23734=PLANE('',#23733); -#23735=ORIENTED_EDGE('',*,*,#12612,.F.); -#23737=ORIENTED_EDGE('',*,*,#23736,.T.); -#23739=ORIENTED_EDGE('',*,*,#23738,.T.); -#23740=ORIENTED_EDGE('',*,*,#23719,.F.); -#23741=EDGE_LOOP('',(#23735,#23737,#23739,#23740)); -#23742=FACE_OUTER_BOUND('',#23741,.F.); -#23744=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,4.311724784545E0)); -#23745=DIRECTION('',(1.E0,0.E0,0.E0)); -#23746=DIRECTION('',(0.E0,1.E0,0.E0)); -#23747=AXIS2_PLACEMENT_3D('',#23744,#23745,#23746); -#23748=PLANE('',#23747); -#23749=ORIENTED_EDGE('',*,*,#23703,.T.); -#23750=ORIENTED_EDGE('',*,*,#23664,.F.); -#23751=ORIENTED_EDGE('',*,*,#12626,.F.); -#23753=ORIENTED_EDGE('',*,*,#23752,.T.); -#23755=ORIENTED_EDGE('',*,*,#23754,.T.); -#23756=ORIENTED_EDGE('',*,*,#23736,.F.); -#23757=ORIENTED_EDGE('',*,*,#12610,.F.); -#23758=ORIENTED_EDGE('',*,*,#23609,.T.); -#23759=EDGE_LOOP('',(#23749,#23750,#23751,#23753,#23755,#23756,#23757,#23758)); -#23760=FACE_OUTER_BOUND('',#23759,.F.); -#23762=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,4.311724784545E0)); -#23763=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23764=DIRECTION('',(1.E0,0.E0,0.E0)); -#23765=AXIS2_PLACEMENT_3D('',#23762,#23763,#23764); -#23766=PLANE('',#23765); -#23767=ORIENTED_EDGE('',*,*,#12624,.F.); -#23768=ORIENTED_EDGE('',*,*,#23723,.T.); -#23770=ORIENTED_EDGE('',*,*,#23769,.T.); -#23771=ORIENTED_EDGE('',*,*,#23752,.F.); -#23772=EDGE_LOOP('',(#23767,#23768,#23770,#23771)); -#23773=FACE_OUTER_BOUND('',#23772,.F.); -#23775=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); -#23776=DIRECTION('',(0.E0,0.E0,1.E0)); -#23777=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23778=AXIS2_PLACEMENT_3D('',#23775,#23776,#23777); -#23779=PLANE('',#23778); -#23780=ORIENTED_EDGE('',*,*,#23738,.F.); -#23781=ORIENTED_EDGE('',*,*,#23754,.F.); -#23782=ORIENTED_EDGE('',*,*,#23769,.F.); -#23783=ORIENTED_EDGE('',*,*,#23721,.F.); -#23784=EDGE_LOOP('',(#23780,#23781,#23782,#23783)); -#23785=FACE_OUTER_BOUND('',#23784,.F.); -#23787=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.311724784545E0)); -#23788=DIRECTION('',(0.E0,1.E0,0.E0)); -#23789=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23790=AXIS2_PLACEMENT_3D('',#23787,#23788,#23789); -#23791=PLANE('',#23790); -#23792=ORIENTED_EDGE('',*,*,#12634,.F.); -#23794=ORIENTED_EDGE('',*,*,#23793,.T.); -#23796=ORIENTED_EDGE('',*,*,#23795,.T.); -#23798=ORIENTED_EDGE('',*,*,#23797,.F.); -#23799=EDGE_LOOP('',(#23792,#23794,#23796,#23798)); -#23800=FACE_OUTER_BOUND('',#23799,.F.); -#23802=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.311724784545E0)); -#23803=DIRECTION('',(0.E0,1.E0,0.E0)); -#23804=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23805=AXIS2_PLACEMENT_3D('',#23802,#23803,#23804); -#23806=PLANE('',#23805); -#23807=ORIENTED_EDGE('',*,*,#12642,.F.); -#23809=ORIENTED_EDGE('',*,*,#23808,.T.); -#23811=ORIENTED_EDGE('',*,*,#23810,.T.); -#23813=ORIENTED_EDGE('',*,*,#23812,.F.); -#23814=EDGE_LOOP('',(#23807,#23809,#23811,#23813)); -#23815=FACE_OUTER_BOUND('',#23814,.F.); -#23817=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.311724784545E0)); -#23818=DIRECTION('',(1.E0,0.E0,0.E0)); -#23819=DIRECTION('',(0.E0,1.E0,0.E0)); -#23820=AXIS2_PLACEMENT_3D('',#23817,#23818,#23819); -#23821=PLANE('',#23820); -#23822=ORIENTED_EDGE('',*,*,#12680,.F.); -#23824=ORIENTED_EDGE('',*,*,#23823,.T.); -#23826=ORIENTED_EDGE('',*,*,#23825,.T.); -#23827=ORIENTED_EDGE('',*,*,#23793,.F.); -#23828=EDGE_LOOP('',(#23822,#23824,#23826,#23827)); -#23829=FACE_OUTER_BOUND('',#23828,.F.); -#23831=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.311724784545E0)); -#23832=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23833=DIRECTION('',(1.E0,0.E0,0.E0)); -#23834=AXIS2_PLACEMENT_3D('',#23831,#23832,#23833); -#23835=PLANE('',#23834); -#23836=ORIENTED_EDGE('',*,*,#12646,.F.); -#23838=ORIENTED_EDGE('',*,*,#23837,.T.); -#23840=ORIENTED_EDGE('',*,*,#23839,.T.); -#23842=ORIENTED_EDGE('',*,*,#23841,.F.); -#23843=EDGE_LOOP('',(#23836,#23838,#23840,#23842)); -#23844=FACE_OUTER_BOUND('',#23843,.F.); -#23846=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.311724784545E0)); -#23847=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23848=DIRECTION('',(1.E0,0.E0,0.E0)); -#23849=AXIS2_PLACEMENT_3D('',#23846,#23847,#23848); -#23850=PLANE('',#23849); -#23851=ORIENTED_EDGE('',*,*,#12678,.F.); -#23853=ORIENTED_EDGE('',*,*,#23852,.T.); -#23855=ORIENTED_EDGE('',*,*,#23854,.T.); -#23856=ORIENTED_EDGE('',*,*,#23823,.F.); -#23857=EDGE_LOOP('',(#23851,#23853,#23855,#23856)); -#23858=FACE_OUTER_BOUND('',#23857,.F.); -#23860=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.311724784545E0)); -#23861=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23862=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23863=AXIS2_PLACEMENT_3D('',#23860,#23861,#23862); -#23864=PLANE('',#23863); -#23865=ORIENTED_EDGE('',*,*,#12644,.F.); -#23866=ORIENTED_EDGE('',*,*,#23812,.T.); -#23868=ORIENTED_EDGE('',*,*,#23867,.T.); -#23869=ORIENTED_EDGE('',*,*,#23837,.F.); -#23870=EDGE_LOOP('',(#23865,#23866,#23868,#23869)); -#23871=FACE_OUTER_BOUND('',#23870,.F.); -#23873=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#23874=DIRECTION('',(0.E0,0.E0,1.E0)); -#23875=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23876=AXIS2_PLACEMENT_3D('',#23873,#23874,#23875); -#23877=PLANE('',#23876); -#23879=ORIENTED_EDGE('',*,*,#23878,.T.); -#23880=ORIENTED_EDGE('',*,*,#23839,.F.); -#23881=ORIENTED_EDGE('',*,*,#23867,.F.); -#23882=ORIENTED_EDGE('',*,*,#23810,.F.); -#23883=EDGE_LOOP('',(#23879,#23880,#23881,#23882)); -#23884=FACE_OUTER_BOUND('',#23883,.F.); -#23886=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#23887=DIRECTION('',(0.E0,0.E0,1.E0)); -#23888=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23889=AXIS2_PLACEMENT_3D('',#23886,#23887,#23888); -#23890=PLANE('',#23889); -#23892=ORIENTED_EDGE('',*,*,#23891,.T.); -#23894=ORIENTED_EDGE('',*,*,#23893,.F.); -#23896=ORIENTED_EDGE('',*,*,#23895,.F.); -#23898=ORIENTED_EDGE('',*,*,#23897,.F.); -#23899=EDGE_LOOP('',(#23892,#23894,#23896,#23898)); -#23900=FACE_OUTER_BOUND('',#23899,.F.); -#23902=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#23903=DIRECTION('',(0.E0,0.E0,1.E0)); -#23904=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23905=AXIS2_PLACEMENT_3D('',#23902,#23903,#23904); -#23906=PLANE('',#23905); -#23908=ORIENTED_EDGE('',*,*,#23907,.T.); -#23910=ORIENTED_EDGE('',*,*,#23909,.F.); -#23912=ORIENTED_EDGE('',*,*,#23911,.F.); -#23914=ORIENTED_EDGE('',*,*,#23913,.F.); -#23915=EDGE_LOOP('',(#23908,#23910,#23912,#23914)); -#23916=FACE_OUTER_BOUND('',#23915,.F.); -#23918=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#23919=DIRECTION('',(0.E0,0.E0,1.E0)); -#23920=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23921=AXIS2_PLACEMENT_3D('',#23918,#23919,#23920); -#23922=PLANE('',#23921); -#23924=ORIENTED_EDGE('',*,*,#23923,.F.); -#23925=ORIENTED_EDGE('',*,*,#23795,.F.); -#23926=ORIENTED_EDGE('',*,*,#23825,.F.); -#23927=ORIENTED_EDGE('',*,*,#23854,.F.); -#23928=EDGE_LOOP('',(#23924,#23925,#23926,#23927)); -#23929=FACE_OUTER_BOUND('',#23928,.F.); -#23931=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#23932=DIRECTION('',(0.E0,0.E0,1.E0)); -#23933=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23934=AXIS2_PLACEMENT_3D('',#23931,#23932,#23933); -#23935=PLANE('',#23934); -#23937=ORIENTED_EDGE('',*,*,#23936,.F.); -#23939=ORIENTED_EDGE('',*,*,#23938,.F.); -#23941=ORIENTED_EDGE('',*,*,#23940,.F.); -#23943=ORIENTED_EDGE('',*,*,#23942,.F.); -#23944=EDGE_LOOP('',(#23937,#23939,#23941,#23943)); -#23945=FACE_OUTER_BOUND('',#23944,.F.); -#23947=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,4.311724784545E0)); -#23948=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23949=DIRECTION('',(0.E0,-1.E0,0.E0)); -#23950=AXIS2_PLACEMENT_3D('',#23947,#23948,#23949); -#23951=PLANE('',#23950); -#23952=ORIENTED_EDGE('',*,*,#23878,.F.); -#23953=ORIENTED_EDGE('',*,*,#23808,.F.); -#23954=ORIENTED_EDGE('',*,*,#12640,.F.); -#23956=ORIENTED_EDGE('',*,*,#23955,.T.); -#23958=ORIENTED_EDGE('',*,*,#23957,.T.); -#23960=ORIENTED_EDGE('',*,*,#23959,.F.); -#23961=ORIENTED_EDGE('',*,*,#12664,.F.); -#23963=ORIENTED_EDGE('',*,*,#23962,.T.); -#23964=ORIENTED_EDGE('',*,*,#23907,.F.); -#23966=ORIENTED_EDGE('',*,*,#23965,.F.); -#23967=ORIENTED_EDGE('',*,*,#12656,.F.); -#23969=ORIENTED_EDGE('',*,*,#23968,.T.); -#23970=ORIENTED_EDGE('',*,*,#23891,.F.); -#23972=ORIENTED_EDGE('',*,*,#23971,.F.); -#23973=ORIENTED_EDGE('',*,*,#12648,.F.); -#23974=ORIENTED_EDGE('',*,*,#23841,.T.); -#23975=EDGE_LOOP('',(#23952,#23953,#23954,#23956,#23958,#23960,#23961,#23963, -#23964,#23966,#23967,#23969,#23970,#23972,#23973,#23974)); -#23976=FACE_OUTER_BOUND('',#23975,.F.); -#23978=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,4.311724784545E0)); -#23979=DIRECTION('',(0.E0,1.E0,0.E0)); -#23980=DIRECTION('',(-1.E0,0.E0,0.E0)); -#23981=AXIS2_PLACEMENT_3D('',#23978,#23979,#23980); -#23982=PLANE('',#23981); -#23983=ORIENTED_EDGE('',*,*,#12638,.F.); -#23985=ORIENTED_EDGE('',*,*,#23984,.T.); -#23987=ORIENTED_EDGE('',*,*,#23986,.T.); -#23988=ORIENTED_EDGE('',*,*,#23955,.F.); -#23989=EDGE_LOOP('',(#23983,#23985,#23987,#23988)); -#23990=FACE_OUTER_BOUND('',#23989,.F.); -#23992=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,4.311724784545E0)); -#23993=DIRECTION('',(1.E0,0.E0,0.E0)); -#23994=DIRECTION('',(0.E0,1.E0,0.E0)); -#23995=AXIS2_PLACEMENT_3D('',#23992,#23993,#23994); -#23996=PLANE('',#23995); -#23997=ORIENTED_EDGE('',*,*,#23923,.T.); -#23998=ORIENTED_EDGE('',*,*,#23852,.F.); -#23999=ORIENTED_EDGE('',*,*,#12676,.F.); -#24001=ORIENTED_EDGE('',*,*,#24000,.T.); -#24002=ORIENTED_EDGE('',*,*,#23936,.T.); -#24004=ORIENTED_EDGE('',*,*,#24003,.F.); -#24005=ORIENTED_EDGE('',*,*,#12668,.F.); -#24007=ORIENTED_EDGE('',*,*,#24006,.T.); -#24009=ORIENTED_EDGE('',*,*,#24008,.T.); -#24010=ORIENTED_EDGE('',*,*,#23984,.F.); -#24011=ORIENTED_EDGE('',*,*,#12636,.F.); -#24012=ORIENTED_EDGE('',*,*,#23797,.T.); -#24013=EDGE_LOOP('',(#23997,#23998,#23999,#24001,#24002,#24004,#24005,#24007, -#24009,#24010,#24011,#24012)); -#24014=FACE_OUTER_BOUND('',#24013,.F.); -#24016=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.311724784545E0)); -#24017=DIRECTION('',(0.E0,1.E0,0.E0)); -#24018=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24019=AXIS2_PLACEMENT_3D('',#24016,#24017,#24018); -#24020=PLANE('',#24019); -#24021=ORIENTED_EDGE('',*,*,#12674,.F.); -#24023=ORIENTED_EDGE('',*,*,#24022,.T.); -#24024=ORIENTED_EDGE('',*,*,#23938,.T.); -#24025=ORIENTED_EDGE('',*,*,#24000,.F.); -#24026=EDGE_LOOP('',(#24021,#24023,#24024,#24025)); -#24027=FACE_OUTER_BOUND('',#24026,.F.); -#24029=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.311724784545E0)); -#24030=DIRECTION('',(0.E0,1.E0,0.E0)); -#24031=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24032=AXIS2_PLACEMENT_3D('',#24029,#24030,#24031); -#24033=PLANE('',#24032); -#24034=ORIENTED_EDGE('',*,*,#12658,.F.); -#24035=ORIENTED_EDGE('',*,*,#23965,.T.); -#24036=ORIENTED_EDGE('',*,*,#23913,.T.); -#24038=ORIENTED_EDGE('',*,*,#24037,.F.); -#24039=EDGE_LOOP('',(#24034,#24035,#24036,#24038)); -#24040=FACE_OUTER_BOUND('',#24039,.F.); -#24042=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.311724784545E0)); -#24043=DIRECTION('',(1.E0,0.E0,0.E0)); -#24044=DIRECTION('',(0.E0,1.E0,0.E0)); -#24045=AXIS2_PLACEMENT_3D('',#24042,#24043,#24044); -#24046=PLANE('',#24045); -#24047=ORIENTED_EDGE('',*,*,#12672,.F.); -#24049=ORIENTED_EDGE('',*,*,#24048,.T.); -#24050=ORIENTED_EDGE('',*,*,#23940,.T.); -#24051=ORIENTED_EDGE('',*,*,#24022,.F.); -#24052=EDGE_LOOP('',(#24047,#24049,#24050,#24051)); -#24053=FACE_OUTER_BOUND('',#24052,.F.); -#24055=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.311724784545E0)); -#24056=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24057=DIRECTION('',(1.E0,0.E0,0.E0)); -#24058=AXIS2_PLACEMENT_3D('',#24055,#24056,#24057); -#24059=PLANE('',#24058); -#24060=ORIENTED_EDGE('',*,*,#12662,.F.); -#24062=ORIENTED_EDGE('',*,*,#24061,.T.); -#24063=ORIENTED_EDGE('',*,*,#23909,.T.); -#24064=ORIENTED_EDGE('',*,*,#23962,.F.); -#24065=EDGE_LOOP('',(#24060,#24062,#24063,#24064)); -#24066=FACE_OUTER_BOUND('',#24065,.F.); -#24068=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.311724784545E0)); -#24069=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24070=DIRECTION('',(1.E0,0.E0,0.E0)); -#24071=AXIS2_PLACEMENT_3D('',#24068,#24069,#24070); -#24072=PLANE('',#24071); -#24073=ORIENTED_EDGE('',*,*,#12670,.F.); -#24074=ORIENTED_EDGE('',*,*,#24003,.T.); -#24075=ORIENTED_EDGE('',*,*,#23942,.T.); -#24076=ORIENTED_EDGE('',*,*,#24048,.F.); -#24077=EDGE_LOOP('',(#24073,#24074,#24075,#24076)); -#24078=FACE_OUTER_BOUND('',#24077,.F.); -#24080=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.311724784545E0)); -#24081=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24082=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24083=AXIS2_PLACEMENT_3D('',#24080,#24081,#24082); -#24084=PLANE('',#24083); -#24085=ORIENTED_EDGE('',*,*,#12660,.F.); -#24086=ORIENTED_EDGE('',*,*,#24037,.T.); -#24087=ORIENTED_EDGE('',*,*,#23911,.T.); -#24088=ORIENTED_EDGE('',*,*,#24061,.F.); -#24089=EDGE_LOOP('',(#24085,#24086,#24087,#24088)); -#24090=FACE_OUTER_BOUND('',#24089,.F.); -#24092=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,4.311724784545E0)); -#24093=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24094=DIRECTION('',(1.E0,0.E0,0.E0)); -#24095=AXIS2_PLACEMENT_3D('',#24092,#24093,#24094); -#24096=PLANE('',#24095); -#24097=ORIENTED_EDGE('',*,*,#12666,.F.); -#24098=ORIENTED_EDGE('',*,*,#23959,.T.); -#24100=ORIENTED_EDGE('',*,*,#24099,.T.); -#24101=ORIENTED_EDGE('',*,*,#24006,.F.); -#24102=EDGE_LOOP('',(#24097,#24098,#24100,#24101)); -#24103=FACE_OUTER_BOUND('',#24102,.F.); -#24105=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); -#24106=DIRECTION('',(0.E0,0.E0,1.E0)); -#24107=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24108=AXIS2_PLACEMENT_3D('',#24105,#24106,#24107); -#24109=PLANE('',#24108); -#24110=ORIENTED_EDGE('',*,*,#23986,.F.); -#24111=ORIENTED_EDGE('',*,*,#24008,.F.); -#24112=ORIENTED_EDGE('',*,*,#24099,.F.); -#24113=ORIENTED_EDGE('',*,*,#23957,.F.); -#24114=EDGE_LOOP('',(#24110,#24111,#24112,#24113)); -#24115=FACE_OUTER_BOUND('',#24114,.F.); -#24117=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.311724784545E0)); -#24118=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24119=DIRECTION('',(1.E0,0.E0,0.E0)); -#24120=AXIS2_PLACEMENT_3D('',#24117,#24118,#24119); -#24121=PLANE('',#24120); -#24122=ORIENTED_EDGE('',*,*,#12654,.F.); -#24124=ORIENTED_EDGE('',*,*,#24123,.T.); -#24125=ORIENTED_EDGE('',*,*,#23893,.T.); -#24126=ORIENTED_EDGE('',*,*,#23968,.F.); -#24127=EDGE_LOOP('',(#24122,#24124,#24125,#24126)); -#24128=FACE_OUTER_BOUND('',#24127,.F.); -#24130=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.311724784545E0)); -#24131=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24132=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24133=AXIS2_PLACEMENT_3D('',#24130,#24131,#24132); -#24134=PLANE('',#24133); -#24135=ORIENTED_EDGE('',*,*,#12652,.F.); -#24137=ORIENTED_EDGE('',*,*,#24136,.T.); -#24138=ORIENTED_EDGE('',*,*,#23895,.T.); -#24139=ORIENTED_EDGE('',*,*,#24123,.F.); -#24140=EDGE_LOOP('',(#24135,#24137,#24138,#24139)); -#24141=FACE_OUTER_BOUND('',#24140,.F.); -#24143=CARTESIAN_POINT('',(9.6649588E1,6.3672997E1,4.311724784545E0)); -#24144=DIRECTION('',(0.E0,1.E0,0.E0)); -#24145=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24146=AXIS2_PLACEMENT_3D('',#24143,#24144,#24145); -#24147=PLANE('',#24146); -#24148=ORIENTED_EDGE('',*,*,#12650,.F.); -#24149=ORIENTED_EDGE('',*,*,#23971,.T.); -#24150=ORIENTED_EDGE('',*,*,#23897,.T.); -#24151=ORIENTED_EDGE('',*,*,#24136,.F.); -#24152=EDGE_LOOP('',(#24148,#24149,#24150,#24151)); -#24153=FACE_OUTER_BOUND('',#24152,.F.); -#24155=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.311724784545E0)); -#24156=DIRECTION('',(0.E0,1.E0,0.E0)); -#24157=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24158=AXIS2_PLACEMENT_3D('',#24155,#24156,#24157); -#24159=PLANE('',#24158); -#24160=ORIENTED_EDGE('',*,*,#12684,.F.); -#24162=ORIENTED_EDGE('',*,*,#24161,.T.); -#24164=ORIENTED_EDGE('',*,*,#24163,.T.); -#24166=ORIENTED_EDGE('',*,*,#24165,.F.); -#24167=EDGE_LOOP('',(#24160,#24162,#24164,#24166)); -#24168=FACE_OUTER_BOUND('',#24167,.F.); -#24170=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.311724784545E0)); -#24171=DIRECTION('',(1.E0,0.E0,0.E0)); -#24172=DIRECTION('',(0.E0,1.E0,0.E0)); -#24173=AXIS2_PLACEMENT_3D('',#24170,#24171,#24172); -#24174=PLANE('',#24173); -#24175=ORIENTED_EDGE('',*,*,#12690,.F.); -#24177=ORIENTED_EDGE('',*,*,#24176,.T.); -#24179=ORIENTED_EDGE('',*,*,#24178,.T.); -#24180=ORIENTED_EDGE('',*,*,#24161,.F.); -#24181=EDGE_LOOP('',(#24175,#24177,#24179,#24180)); -#24182=FACE_OUTER_BOUND('',#24181,.F.); -#24184=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.311724784545E0)); -#24185=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24186=DIRECTION('',(1.E0,0.E0,0.E0)); -#24187=AXIS2_PLACEMENT_3D('',#24184,#24185,#24186); -#24188=PLANE('',#24187); -#24189=ORIENTED_EDGE('',*,*,#12688,.F.); -#24191=ORIENTED_EDGE('',*,*,#24190,.T.); -#24193=ORIENTED_EDGE('',*,*,#24192,.T.); -#24194=ORIENTED_EDGE('',*,*,#24176,.F.); -#24195=EDGE_LOOP('',(#24189,#24191,#24193,#24194)); -#24196=FACE_OUTER_BOUND('',#24195,.F.); -#24198=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.311724784545E0)); -#24199=DIRECTION('',(-1.E0,1.890742003774E-14,0.E0)); -#24200=DIRECTION('',(-1.890742003774E-14,-1.E0,0.E0)); -#24201=AXIS2_PLACEMENT_3D('',#24198,#24199,#24200); -#24202=PLANE('',#24201); -#24203=ORIENTED_EDGE('',*,*,#12686,.F.); -#24204=ORIENTED_EDGE('',*,*,#24165,.T.); -#24206=ORIENTED_EDGE('',*,*,#24205,.T.); -#24207=ORIENTED_EDGE('',*,*,#24190,.F.); -#24208=EDGE_LOOP('',(#24203,#24204,#24206,#24207)); -#24209=FACE_OUTER_BOUND('',#24208,.F.); -#24211=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#24212=DIRECTION('',(0.E0,0.E0,1.E0)); -#24213=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24214=AXIS2_PLACEMENT_3D('',#24211,#24212,#24213); -#24215=PLANE('',#24214); -#24216=ORIENTED_EDGE('',*,*,#24163,.F.); -#24217=ORIENTED_EDGE('',*,*,#24178,.F.); -#24218=ORIENTED_EDGE('',*,*,#24192,.F.); -#24219=ORIENTED_EDGE('',*,*,#24205,.F.); -#24220=EDGE_LOOP('',(#24216,#24217,#24218,#24219)); -#24221=FACE_OUTER_BOUND('',#24220,.F.); -#24223=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,4.311724784545E0)); -#24224=DIRECTION('',(0.E0,1.E0,0.E0)); -#24225=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24226=AXIS2_PLACEMENT_3D('',#24223,#24224,#24225); -#24227=PLANE('',#24226); -#24228=ORIENTED_EDGE('',*,*,#12694,.F.); -#24230=ORIENTED_EDGE('',*,*,#24229,.T.); -#24232=ORIENTED_EDGE('',*,*,#24231,.T.); -#24234=ORIENTED_EDGE('',*,*,#24233,.F.); -#24235=EDGE_LOOP('',(#24228,#24230,#24232,#24234)); -#24236=FACE_OUTER_BOUND('',#24235,.F.); -#24238=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,4.311724784545E0)); -#24239=DIRECTION('',(1.E0,0.E0,0.E0)); -#24240=DIRECTION('',(0.E0,1.E0,0.E0)); -#24241=AXIS2_PLACEMENT_3D('',#24238,#24239,#24240); -#24242=PLANE('',#24241); -#24243=ORIENTED_EDGE('',*,*,#12700,.F.); -#24245=ORIENTED_EDGE('',*,*,#24244,.T.); -#24247=ORIENTED_EDGE('',*,*,#24246,.T.); -#24248=ORIENTED_EDGE('',*,*,#24229,.F.); -#24249=EDGE_LOOP('',(#24243,#24245,#24247,#24248)); -#24250=FACE_OUTER_BOUND('',#24249,.F.); -#24252=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,4.311724784545E0)); -#24253=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24254=DIRECTION('',(1.E0,0.E0,0.E0)); -#24255=AXIS2_PLACEMENT_3D('',#24252,#24253,#24254); -#24256=PLANE('',#24255); -#24257=ORIENTED_EDGE('',*,*,#12698,.F.); -#24259=ORIENTED_EDGE('',*,*,#24258,.T.); -#24261=ORIENTED_EDGE('',*,*,#24260,.T.); -#24262=ORIENTED_EDGE('',*,*,#24244,.F.); -#24263=EDGE_LOOP('',(#24257,#24259,#24261,#24262)); -#24264=FACE_OUTER_BOUND('',#24263,.F.); -#24266=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,4.311724784545E0)); -#24267=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24268=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24269=AXIS2_PLACEMENT_3D('',#24266,#24267,#24268); -#24270=PLANE('',#24269); -#24271=ORIENTED_EDGE('',*,*,#12696,.F.); -#24272=ORIENTED_EDGE('',*,*,#24233,.T.); -#24274=ORIENTED_EDGE('',*,*,#24273,.T.); -#24275=ORIENTED_EDGE('',*,*,#24258,.F.); -#24276=EDGE_LOOP('',(#24271,#24272,#24274,#24275)); -#24277=FACE_OUTER_BOUND('',#24276,.F.); -#24279=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#24280=DIRECTION('',(0.E0,0.E0,1.E0)); -#24281=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24282=AXIS2_PLACEMENT_3D('',#24279,#24280,#24281); -#24283=PLANE('',#24282); -#24284=ORIENTED_EDGE('',*,*,#24231,.F.); -#24285=ORIENTED_EDGE('',*,*,#24246,.F.); -#24286=ORIENTED_EDGE('',*,*,#24260,.F.); -#24287=ORIENTED_EDGE('',*,*,#24273,.F.); -#24288=EDGE_LOOP('',(#24284,#24285,#24286,#24287)); -#24289=FACE_OUTER_BOUND('',#24288,.F.); -#24291=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.311724784545E0)); -#24292=DIRECTION('',(0.E0,1.E0,0.E0)); -#24293=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24294=AXIS2_PLACEMENT_3D('',#24291,#24292,#24293); -#24295=PLANE('',#24294); -#24296=ORIENTED_EDGE('',*,*,#12704,.F.); -#24298=ORIENTED_EDGE('',*,*,#24297,.T.); -#24300=ORIENTED_EDGE('',*,*,#24299,.T.); -#24302=ORIENTED_EDGE('',*,*,#24301,.F.); -#24303=EDGE_LOOP('',(#24296,#24298,#24300,#24302)); -#24304=FACE_OUTER_BOUND('',#24303,.F.); -#24306=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.311724784545E0)); -#24307=DIRECTION('',(1.E0,0.E0,0.E0)); -#24308=DIRECTION('',(0.E0,1.E0,0.E0)); -#24309=AXIS2_PLACEMENT_3D('',#24306,#24307,#24308); -#24310=PLANE('',#24309); -#24311=ORIENTED_EDGE('',*,*,#12742,.F.); -#24313=ORIENTED_EDGE('',*,*,#24312,.T.); -#24315=ORIENTED_EDGE('',*,*,#24314,.T.); -#24317=ORIENTED_EDGE('',*,*,#24316,.F.); -#24318=EDGE_LOOP('',(#24311,#24313,#24315,#24317)); -#24319=FACE_OUTER_BOUND('',#24318,.F.); -#24321=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.311724784545E0)); -#24322=DIRECTION('',(1.E0,0.E0,0.E0)); -#24323=DIRECTION('',(0.E0,1.E0,0.E0)); -#24324=AXIS2_PLACEMENT_3D('',#24321,#24322,#24323); -#24325=PLANE('',#24324); -#24326=ORIENTED_EDGE('',*,*,#12750,.F.); -#24328=ORIENTED_EDGE('',*,*,#24327,.T.); -#24330=ORIENTED_EDGE('',*,*,#24329,.T.); -#24331=ORIENTED_EDGE('',*,*,#24297,.F.); -#24332=EDGE_LOOP('',(#24326,#24328,#24330,#24331)); -#24333=FACE_OUTER_BOUND('',#24332,.F.); -#24335=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.311724784545E0)); -#24336=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24337=DIRECTION('',(1.E0,0.E0,0.E0)); -#24338=AXIS2_PLACEMENT_3D('',#24335,#24336,#24337); -#24339=PLANE('',#24338); -#24340=ORIENTED_EDGE('',*,*,#12740,.F.); -#24342=ORIENTED_EDGE('',*,*,#24341,.T.); -#24344=ORIENTED_EDGE('',*,*,#24343,.T.); -#24345=ORIENTED_EDGE('',*,*,#24312,.F.); -#24346=EDGE_LOOP('',(#24340,#24342,#24344,#24345)); -#24347=FACE_OUTER_BOUND('',#24346,.F.); -#24349=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.311724784545E0)); -#24350=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24351=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24352=AXIS2_PLACEMENT_3D('',#24349,#24350,#24351); -#24353=PLANE('',#24352); -#24354=ORIENTED_EDGE('',*,*,#12706,.F.); -#24355=ORIENTED_EDGE('',*,*,#24301,.T.); -#24357=ORIENTED_EDGE('',*,*,#24356,.T.); -#24359=ORIENTED_EDGE('',*,*,#24358,.F.); -#24360=EDGE_LOOP('',(#24354,#24355,#24357,#24359)); -#24361=FACE_OUTER_BOUND('',#24360,.F.); -#24363=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.311724784545E0)); -#24364=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24365=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24366=AXIS2_PLACEMENT_3D('',#24363,#24364,#24365); -#24367=PLANE('',#24366); -#24368=ORIENTED_EDGE('',*,*,#12738,.F.); -#24370=ORIENTED_EDGE('',*,*,#24369,.T.); -#24372=ORIENTED_EDGE('',*,*,#24371,.T.); -#24373=ORIENTED_EDGE('',*,*,#24341,.F.); -#24374=EDGE_LOOP('',(#24368,#24370,#24372,#24373)); -#24375=FACE_OUTER_BOUND('',#24374,.F.); -#24377=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#24378=DIRECTION('',(0.E0,0.E0,1.E0)); -#24379=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24380=AXIS2_PLACEMENT_3D('',#24377,#24378,#24379); -#24381=PLANE('',#24380); -#24383=ORIENTED_EDGE('',*,*,#24382,.F.); -#24385=ORIENTED_EDGE('',*,*,#24384,.F.); -#24387=ORIENTED_EDGE('',*,*,#24386,.F.); -#24389=ORIENTED_EDGE('',*,*,#24388,.F.); -#24390=EDGE_LOOP('',(#24383,#24385,#24387,#24389)); -#24391=FACE_OUTER_BOUND('',#24390,.F.); -#24393=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#24394=DIRECTION('',(0.E0,0.E0,1.E0)); -#24395=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24396=AXIS2_PLACEMENT_3D('',#24393,#24394,#24395); -#24397=PLANE('',#24396); -#24399=ORIENTED_EDGE('',*,*,#24398,.F.); -#24400=ORIENTED_EDGE('',*,*,#24356,.F.); -#24401=ORIENTED_EDGE('',*,*,#24299,.F.); -#24402=ORIENTED_EDGE('',*,*,#24329,.F.); -#24403=EDGE_LOOP('',(#24399,#24400,#24401,#24402)); -#24404=FACE_OUTER_BOUND('',#24403,.F.); -#24406=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#24407=DIRECTION('',(0.E0,0.E0,1.E0)); -#24408=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24409=AXIS2_PLACEMENT_3D('',#24406,#24407,#24408); -#24410=PLANE('',#24409); -#24412=ORIENTED_EDGE('',*,*,#24411,.T.); -#24414=ORIENTED_EDGE('',*,*,#24413,.F.); -#24416=ORIENTED_EDGE('',*,*,#24415,.F.); -#24418=ORIENTED_EDGE('',*,*,#24417,.F.); -#24419=EDGE_LOOP('',(#24412,#24414,#24416,#24418)); -#24420=FACE_OUTER_BOUND('',#24419,.F.); -#24422=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#24423=DIRECTION('',(0.E0,0.E0,1.E0)); -#24424=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24425=AXIS2_PLACEMENT_3D('',#24422,#24423,#24424); -#24426=PLANE('',#24425); -#24428=ORIENTED_EDGE('',*,*,#24427,.T.); -#24430=ORIENTED_EDGE('',*,*,#24429,.F.); -#24432=ORIENTED_EDGE('',*,*,#24431,.F.); -#24434=ORIENTED_EDGE('',*,*,#24433,.F.); -#24435=EDGE_LOOP('',(#24428,#24430,#24432,#24434)); -#24436=FACE_OUTER_BOUND('',#24435,.F.); -#24438=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); -#24439=DIRECTION('',(0.E0,0.E0,1.E0)); -#24440=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24441=AXIS2_PLACEMENT_3D('',#24438,#24439,#24440); -#24442=PLANE('',#24441); -#24444=ORIENTED_EDGE('',*,*,#24443,.T.); -#24445=ORIENTED_EDGE('',*,*,#24314,.F.); -#24446=ORIENTED_EDGE('',*,*,#24343,.F.); -#24447=ORIENTED_EDGE('',*,*,#24371,.F.); -#24448=EDGE_LOOP('',(#24444,#24445,#24446,#24447)); -#24449=FACE_OUTER_BOUND('',#24448,.F.); -#24451=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,4.311724784545E0)); -#24452=DIRECTION('',(0.E0,1.E0,0.E0)); -#24453=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24454=AXIS2_PLACEMENT_3D('',#24451,#24452,#24453); -#24455=PLANE('',#24454); -#24456=ORIENTED_EDGE('',*,*,#24382,.T.); -#24458=ORIENTED_EDGE('',*,*,#24457,.F.); -#24459=ORIENTED_EDGE('',*,*,#12708,.F.); -#24460=ORIENTED_EDGE('',*,*,#24358,.T.); -#24461=ORIENTED_EDGE('',*,*,#24398,.T.); -#24462=ORIENTED_EDGE('',*,*,#24327,.F.); -#24463=ORIENTED_EDGE('',*,*,#12748,.F.); -#24465=ORIENTED_EDGE('',*,*,#24464,.T.); -#24467=ORIENTED_EDGE('',*,*,#24466,.T.); -#24469=ORIENTED_EDGE('',*,*,#24468,.F.); -#24470=ORIENTED_EDGE('',*,*,#12716,.F.); -#24472=ORIENTED_EDGE('',*,*,#24471,.T.); -#24473=EDGE_LOOP('',(#24456,#24458,#24459,#24460,#24461,#24462,#24463,#24465, -#24467,#24469,#24470,#24472)); -#24474=FACE_OUTER_BOUND('',#24473,.F.); -#24476=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.311724784545E0)); -#24477=DIRECTION('',(1.E0,0.E0,0.E0)); -#24478=DIRECTION('',(0.E0,1.E0,0.E0)); -#24479=AXIS2_PLACEMENT_3D('',#24476,#24477,#24478); -#24480=PLANE('',#24479); -#24481=ORIENTED_EDGE('',*,*,#12726,.F.); -#24483=ORIENTED_EDGE('',*,*,#24482,.T.); -#24484=ORIENTED_EDGE('',*,*,#24413,.T.); -#24486=ORIENTED_EDGE('',*,*,#24485,.F.); -#24487=EDGE_LOOP('',(#24481,#24483,#24484,#24486)); -#24488=FACE_OUTER_BOUND('',#24487,.F.); -#24490=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.311724784545E0)); -#24491=DIRECTION('',(1.E0,0.E0,0.E0)); -#24492=DIRECTION('',(0.E0,1.E0,0.E0)); -#24493=AXIS2_PLACEMENT_3D('',#24490,#24491,#24492); -#24494=PLANE('',#24493); -#24495=ORIENTED_EDGE('',*,*,#12710,.F.); -#24496=ORIENTED_EDGE('',*,*,#24457,.T.); -#24497=ORIENTED_EDGE('',*,*,#24388,.T.); -#24499=ORIENTED_EDGE('',*,*,#24498,.F.); -#24500=EDGE_LOOP('',(#24495,#24496,#24497,#24499)); -#24501=FACE_OUTER_BOUND('',#24500,.F.); -#24503=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.311724784545E0)); -#24504=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24505=DIRECTION('',(1.E0,0.E0,0.E0)); -#24506=AXIS2_PLACEMENT_3D('',#24503,#24504,#24505); -#24507=PLANE('',#24506); -#24508=ORIENTED_EDGE('',*,*,#12724,.F.); -#24510=ORIENTED_EDGE('',*,*,#24509,.T.); -#24511=ORIENTED_EDGE('',*,*,#24415,.T.); -#24512=ORIENTED_EDGE('',*,*,#24482,.F.); -#24513=EDGE_LOOP('',(#24508,#24510,#24511,#24512)); -#24514=FACE_OUTER_BOUND('',#24513,.F.); -#24516=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.311724784545E0)); -#24517=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24518=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24519=AXIS2_PLACEMENT_3D('',#24516,#24517,#24518); -#24520=PLANE('',#24519); -#24521=ORIENTED_EDGE('',*,*,#12714,.F.); -#24523=ORIENTED_EDGE('',*,*,#24522,.T.); -#24524=ORIENTED_EDGE('',*,*,#24384,.T.); -#24525=ORIENTED_EDGE('',*,*,#24471,.F.); -#24526=EDGE_LOOP('',(#24521,#24523,#24524,#24525)); -#24527=FACE_OUTER_BOUND('',#24526,.F.); -#24529=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.311724784545E0)); -#24530=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24531=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24532=AXIS2_PLACEMENT_3D('',#24529,#24530,#24531); -#24533=PLANE('',#24532); -#24534=ORIENTED_EDGE('',*,*,#12722,.F.); -#24536=ORIENTED_EDGE('',*,*,#24535,.T.); -#24537=ORIENTED_EDGE('',*,*,#24417,.T.); -#24538=ORIENTED_EDGE('',*,*,#24509,.F.); -#24539=EDGE_LOOP('',(#24534,#24536,#24537,#24538)); -#24540=FACE_OUTER_BOUND('',#24539,.F.); -#24542=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.311724784545E0)); -#24543=DIRECTION('',(0.E0,1.E0,0.E0)); -#24544=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24545=AXIS2_PLACEMENT_3D('',#24542,#24543,#24544); -#24546=PLANE('',#24545); -#24547=ORIENTED_EDGE('',*,*,#12712,.F.); -#24548=ORIENTED_EDGE('',*,*,#24498,.T.); -#24549=ORIENTED_EDGE('',*,*,#24386,.T.); -#24550=ORIENTED_EDGE('',*,*,#24522,.F.); -#24551=EDGE_LOOP('',(#24547,#24548,#24549,#24550)); -#24552=FACE_OUTER_BOUND('',#24551,.F.); -#24554=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,4.311724784545E0)); -#24555=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24556=DIRECTION('',(1.E0,0.E0,0.E0)); -#24557=AXIS2_PLACEMENT_3D('',#24554,#24555,#24556); -#24558=PLANE('',#24557); -#24559=ORIENTED_EDGE('',*,*,#24411,.F.); -#24560=ORIENTED_EDGE('',*,*,#24535,.F.); -#24561=ORIENTED_EDGE('',*,*,#12720,.F.); -#24563=ORIENTED_EDGE('',*,*,#24562,.T.); -#24565=ORIENTED_EDGE('',*,*,#24564,.T.); -#24567=ORIENTED_EDGE('',*,*,#24566,.F.); -#24568=ORIENTED_EDGE('',*,*,#12744,.F.); -#24569=ORIENTED_EDGE('',*,*,#24316,.T.); -#24570=ORIENTED_EDGE('',*,*,#24443,.F.); -#24571=ORIENTED_EDGE('',*,*,#24369,.F.); -#24572=ORIENTED_EDGE('',*,*,#12736,.F.); -#24574=ORIENTED_EDGE('',*,*,#24573,.T.); -#24575=ORIENTED_EDGE('',*,*,#24427,.F.); -#24577=ORIENTED_EDGE('',*,*,#24576,.F.); -#24578=ORIENTED_EDGE('',*,*,#12728,.F.); -#24579=ORIENTED_EDGE('',*,*,#24485,.T.); -#24580=EDGE_LOOP('',(#24559,#24560,#24561,#24563,#24565,#24567,#24568,#24569, -#24570,#24571,#24572,#24574,#24575,#24577,#24578,#24579)); -#24581=FACE_OUTER_BOUND('',#24580,.F.); -#24583=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,4.311724784545E0)); -#24584=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24585=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24586=AXIS2_PLACEMENT_3D('',#24583,#24584,#24585); -#24587=PLANE('',#24586); -#24588=ORIENTED_EDGE('',*,*,#12718,.F.); -#24589=ORIENTED_EDGE('',*,*,#24468,.T.); -#24591=ORIENTED_EDGE('',*,*,#24590,.T.); -#24592=ORIENTED_EDGE('',*,*,#24562,.F.); -#24593=EDGE_LOOP('',(#24588,#24589,#24591,#24592)); -#24594=FACE_OUTER_BOUND('',#24593,.F.); -#24596=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); -#24597=DIRECTION('',(0.E0,0.E0,1.E0)); -#24598=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24599=AXIS2_PLACEMENT_3D('',#24596,#24597,#24598); -#24600=PLANE('',#24599); -#24601=ORIENTED_EDGE('',*,*,#24466,.F.); -#24603=ORIENTED_EDGE('',*,*,#24602,.F.); -#24604=ORIENTED_EDGE('',*,*,#24564,.F.); -#24605=ORIENTED_EDGE('',*,*,#24590,.F.); -#24606=EDGE_LOOP('',(#24601,#24603,#24604,#24605)); -#24607=FACE_OUTER_BOUND('',#24606,.F.); -#24609=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,4.311724784545E0)); -#24610=DIRECTION('',(1.E0,0.E0,0.E0)); -#24611=DIRECTION('',(0.E0,1.E0,0.E0)); -#24612=AXIS2_PLACEMENT_3D('',#24609,#24610,#24611); -#24613=PLANE('',#24612); -#24614=ORIENTED_EDGE('',*,*,#12746,.F.); -#24615=ORIENTED_EDGE('',*,*,#24566,.T.); -#24616=ORIENTED_EDGE('',*,*,#24602,.T.); -#24617=ORIENTED_EDGE('',*,*,#24464,.F.); -#24618=EDGE_LOOP('',(#24614,#24615,#24616,#24617)); -#24619=FACE_OUTER_BOUND('',#24618,.F.); -#24621=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.311724784545E0)); -#24622=DIRECTION('',(1.E0,0.E0,0.E0)); -#24623=DIRECTION('',(0.E0,1.E0,0.E0)); -#24624=AXIS2_PLACEMENT_3D('',#24621,#24622,#24623); -#24625=PLANE('',#24624); -#24626=ORIENTED_EDGE('',*,*,#12734,.F.); -#24628=ORIENTED_EDGE('',*,*,#24627,.T.); -#24629=ORIENTED_EDGE('',*,*,#24429,.T.); -#24630=ORIENTED_EDGE('',*,*,#24573,.F.); -#24631=EDGE_LOOP('',(#24626,#24628,#24629,#24630)); -#24632=FACE_OUTER_BOUND('',#24631,.F.); -#24634=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.311724784545E0)); -#24635=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24636=DIRECTION('',(1.E0,0.E0,0.E0)); -#24637=AXIS2_PLACEMENT_3D('',#24634,#24635,#24636); -#24638=PLANE('',#24637); -#24639=ORIENTED_EDGE('',*,*,#12732,.F.); -#24641=ORIENTED_EDGE('',*,*,#24640,.T.); -#24642=ORIENTED_EDGE('',*,*,#24431,.T.); -#24643=ORIENTED_EDGE('',*,*,#24627,.F.); -#24644=EDGE_LOOP('',(#24639,#24641,#24642,#24643)); -#24645=FACE_OUTER_BOUND('',#24644,.F.); -#24647=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.311724784545E0)); -#24648=DIRECTION('',(-1.E0,0.E0,0.E0)); -#24649=DIRECTION('',(0.E0,-1.E0,0.E0)); -#24650=AXIS2_PLACEMENT_3D('',#24647,#24648,#24649); -#24651=PLANE('',#24650); -#24652=ORIENTED_EDGE('',*,*,#12730,.F.); -#24653=ORIENTED_EDGE('',*,*,#24576,.T.); -#24654=ORIENTED_EDGE('',*,*,#24433,.T.); -#24655=ORIENTED_EDGE('',*,*,#24640,.F.); -#24656=EDGE_LOOP('',(#24652,#24653,#24654,#24655)); -#24657=FACE_OUTER_BOUND('',#24656,.F.); -#24659=CLOSED_SHELL('',(#12898,#12920,#13168,#13189,#13201,#13215,#13227,#13241, -#13253,#13267,#13279,#13293,#13305,#13319,#13331,#13345,#13357,#13371,#13383, -#13397,#13409,#13423,#13435,#13449,#13461,#13475,#13487,#13501,#13513,#13527, -#13539,#13553,#13565,#13579,#13591,#13605,#13617,#13631,#13643,#13657,#13669, -#13683,#13695,#13709,#13721,#13735,#13747,#13761,#13773,#13787,#13799,#13813, -#13825,#13839,#13851,#13866,#13880,#13894,#13922,#13945,#13974,#13986,#14000, -#14021,#14034,#14047,#14060,#14073,#14085,#14098,#14110,#14124,#14138,#14151, -#14163,#14175,#14190,#14206,#14219,#14231,#14246,#14259,#14284,#14301,#14316, -#14332,#14352,#14371,#14391,#14411,#14431,#14450,#14473,#14487,#14525,#14539, -#14552,#14564,#14576,#14591,#14605,#14619,#14633,#14647,#14661,#14675,#14688, -#14704,#14719,#14744,#14770,#14785,#14800,#14816,#14831,#14846,#14860,#14874, -#14887,#14901,#14914,#14927,#14939,#14953,#14966,#14980,#14993,#15006,#15018, -#15031,#15044,#15056,#15070,#15083,#15096,#15108,#15123,#15137,#15179,#15194, -#15209,#15224,#15237,#15250,#15263,#15275,#15288,#15301,#15313,#15326,#15339, -#15351,#15363,#15377,#15417,#15430,#15442,#15457,#15472,#15486,#15500,#15514, -#15528,#15554,#15567,#15580,#15593,#15605,#15618,#15630,#15645,#15659,#15673, -#15708,#15725,#15742,#15756,#15769,#15781,#15801,#15817,#15832,#15849,#15868, -#15882,#15896,#15910,#15926,#15944,#15957,#15970,#15982,#15994,#16007,#16022, -#16034,#16047,#16060,#16072,#16087,#16119,#16134,#16148,#16162,#16175,#16187, -#16200,#16212,#16224,#16236,#16253,#16265,#16291,#16303,#16318,#16330,#16342, -#16357,#16411,#16425,#16478,#16490,#16505,#16520,#16535,#16550,#16565,#16580, -#16595,#16610,#16631,#16652,#16667,#16680,#16695,#16710,#16725,#16739,#16754, -#16769,#16784,#16803,#16823,#16835,#16848,#16860,#16876,#16892,#16908,#16922, -#16938,#16954,#16970,#16984,#17002,#17021,#17034,#17051,#17070,#17082,#17094, -#17106,#17118,#17132,#17150,#17169,#17182,#17199,#17218,#17230,#17242,#17254, -#17266,#17280,#17298,#17317,#17330,#17347,#17366,#17378,#17390,#17402,#17414, -#17426,#17438,#17450,#17465,#17479,#17493,#17513,#17529,#17545,#17557,#17573, -#17594,#17607,#17620,#17632,#17651,#17664,#17677,#17689,#17702,#17720,#17733, -#17746,#17758,#17773,#17788,#17802,#17816,#17830,#17844,#17857,#17870,#17889, -#17903,#17921,#17934,#17946,#17961,#17975,#17989,#18002,#18014,#18029,#18050, -#18064,#18080,#18096,#18109,#18121,#18141,#18154,#18167,#18179,#18201,#18215, -#18233,#18246,#18259,#18271,#18287,#18300,#18316,#18328,#18343,#18356,#18372, -#18386,#18398,#18413,#18427,#18441,#18454,#18466,#18494,#18520,#18533,#18546, -#18558,#18573,#18587,#18601,#18614,#18626,#18642,#18658,#18674,#18690,#18706, -#18722,#18738,#18754,#18770,#18786,#18802,#18818,#18834,#18850,#18866,#18882, -#18898,#18914,#18930,#18944,#18957,#18970,#18982,#18996,#19009,#19022,#19034, -#19048,#19061,#19074,#19086,#19100,#19113,#19126,#19138,#19152,#19165,#19178, -#19190,#19204,#19217,#19230,#19242,#19256,#19269,#19282,#19294,#19308,#19321, -#19334,#19346,#19360,#19373,#19386,#19398,#19412,#19425,#19438,#19450,#19464, -#19477,#19490,#19502,#19516,#19529,#19542,#19554,#19568,#19581,#19594,#19606, -#19620,#19633,#19646,#19658,#19672,#19685,#19698,#19710,#19724,#19737,#19750, -#19762,#19776,#19789,#19802,#19814,#19828,#19841,#19854,#19866,#19880,#19893, -#19906,#19918,#19933,#19947,#19961,#19974,#19986,#20002,#20018,#20034,#20050, -#20066,#20082,#20098,#20114,#20130,#20146,#20162,#20178,#20194,#20210,#20226, -#20242,#20258,#20274,#20288,#20301,#20314,#20326,#20340,#20353,#20366,#20378, -#20392,#20405,#20418,#20430,#20444,#20457,#20470,#20482,#20496,#20509,#20522, -#20534,#20548,#20561,#20574,#20586,#20600,#20613,#20626,#20638,#20652,#20665, -#20678,#20690,#20704,#20717,#20730,#20742,#20756,#20769,#20782,#20794,#20808, -#20821,#20834,#20846,#20860,#20873,#20886,#20898,#20912,#20925,#20938,#20950, -#20964,#20977,#20990,#21002,#21016,#21029,#21042,#21054,#21068,#21081,#21094, -#21106,#21120,#21133,#21146,#21158,#21172,#21185,#21198,#21210,#21225,#21239, -#21253,#21266,#21278,#21294,#21310,#21326,#21342,#21358,#21374,#21390,#21404, -#21417,#21430,#21442,#21456,#21469,#21482,#21494,#21508,#21521,#21534,#21546, -#21560,#21573,#21586,#21598,#21612,#21625,#21638,#21650,#21664,#21677,#21690, -#21702,#21716,#21729,#21742,#21754,#21769,#21783,#21797,#21810,#21822,#21838, -#21854,#21870,#21886,#21900,#21913,#21926,#21938,#21952,#21965,#21978,#21990, -#22004,#22017,#22030,#22042,#22056,#22069,#22082,#22094,#22109,#22123,#22137, -#22150,#22162,#22178,#22192,#22205,#22218,#22230,#22245,#22260,#22274,#22288, -#22302,#22316,#22329,#22342,#22358,#22374,#22393,#22407,#22425,#22438,#22450, -#22466,#22486,#22500,#22513,#22526,#22539,#22552,#22564,#22582,#22594,#22606, -#22621,#22635,#22663,#22679,#22695,#22711,#22727,#22743,#22759,#22775,#22790, -#22803,#22819,#22835,#22851,#22878,#22891,#22904,#22916,#22930,#22950,#22963, -#22976,#22988,#23001,#23013,#23029,#23044,#23060,#23074,#23099,#23112,#23125, -#23137,#23150,#23163,#23175,#23188,#23206,#23219,#23232,#23244,#23257,#23276, -#23289,#23302,#23314,#23326,#23340,#23365,#23378,#23391,#23403,#23416,#23434, -#23447,#23460,#23472,#23485,#23498,#23510,#23523,#23536,#23548,#23561,#23574, -#23586,#23598,#23613,#23628,#23642,#23657,#23671,#23684,#23697,#23710,#23729, -#23743,#23761,#23774,#23786,#23801,#23816,#23830,#23845,#23859,#23872,#23885, -#23901,#23917,#23930,#23946,#23977,#23991,#24015,#24028,#24041,#24054,#24067, -#24079,#24091,#24104,#24116,#24129,#24142,#24154,#24169,#24183,#24197,#24210, -#24222,#24237,#24251,#24265,#24278,#24290,#24305,#24320,#24334,#24348,#24362, -#24376,#24392,#24405,#24421,#24437,#24450,#24475,#24489,#24502,#24515,#24528, -#24541,#24553,#24582,#24595,#24608,#24620,#24633,#24646,#24658)); -#24660=MANIFOLD_SOLID_BREP('',#24659); -#24663=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), -#24662); -#24664=(CONVERSION_BASED_UNIT('DEGREE',#24663)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); -#24666=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(6.776647268201E-3),#24661, -'distance_accuracy_value', -'Maximum model space distance between geometric entities at asserted connectivities'); -#24669=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#12905, -#12927,#13175,#14339,#14359,#14378,#14398,#14418,#14438,#14457,#18478,#18506), -#24667); -#24670=APPLICATION_CONTEXT('automotive_design'); -#24671=APPLICATION_PROTOCOL_DEFINITION('international standard', -'automotive_design',2001,#24670); -#24672=PRODUCT_DEFINITION_CONTEXT('part definition',#24670,'design'); -#24673=PRODUCT_CONTEXT('',#24670,'mechanical'); -#24674=PRODUCT('T_DISPLAY_S3','T_DISPLAY_S3','NOT SPECIFIED',(#24673)); -#24675=PRODUCT_DEFINITION_FORMATION('18','LAST_VERSION',#24674); -#24683=DERIVED_UNIT_ELEMENT(#24682,2.E0); -#24684=DERIVED_UNIT((#24683)); -#24685=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -4.558886187269E3),#24684); -#24690=DERIVED_UNIT_ELEMENT(#24689,3.E0); -#24691=DERIVED_UNIT((#24690)); -#24692=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( -2.537335090419E3),#24691); -#24696=CARTESIAN_POINT('centre point',(9.292220410729E1,7.640045316291E1, -3.721943130045E0)); -#24701=DERIVED_UNIT_ELEMENT(#24700,2.E0); -#24702=DERIVED_UNIT((#24701)); -#24703=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( -4.558886187269E3),#24702); -#24708=DERIVED_UNIT_ELEMENT(#24707,3.E0); -#24709=DERIVED_UNIT((#24708)); -#24710=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( -2.537335090419E3),#24709); -#24714=CARTESIAN_POINT('centre point',(9.292220410729E1,7.640045316291E1, -3.721943130045E0)); -#24719=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#24674)); -#1=DRAUGHTING_PRE_DEFINED_COLOUR('blue'); -#2=COLOUR_RGB('',0.E0,3.1E-2,3.4E-1); -#3=COLOUR_RGB('',0.E0,2.5E-1,5.E-1); -#4=COLOUR_RGB('',0.E0,8.08E-1,8.2E-1); -#5=DRAUGHTING_PRE_DEFINED_COLOUR('green'); -#6=COLOUR_RGB('',0.E0,1.E0,4.98E-1); -#7=DRAUGHTING_PRE_DEFINED_COLOUR('cyan'); -#8=COLOUR_RGB('',1.1E-2,1.2E-2,1.E0); -#9=COLOUR_RGB('',1.9E-2,6.37E-1,6.37E-1); -#10=COLOUR_RGB('',7.8E-2,7.8E-2,7.8E-2); -#11=COLOUR_RGB('',9.8E-2,9.8E-2,4.39E-1); -#12=COLOUR_RGB('',1.1E-1,1.1E-1,1.1E-1); -#13=COLOUR_RGB('',1.7E-1,1.7E-1,1.8E-1); -#14=COLOUR_RGB('',1.84E-1,3.1E-1,3.1E-1); -#15=COLOUR_RGB('',2.E-1,2.E-1,2.E-1); -#16=COLOUR_RGB('',2.12E-1,5.14E-1,4.16E-1); -#17=COLOUR_RGB('',2.45E-1,5.18E-1,9.48E-1); -#18=COLOUR_RGB('',2.52E-1,2.52E-1,2.52E-1); -#19=COLOUR_RGB('',2.75E-1,5.1E-1,7.06E-1); -#20=COLOUR_RGB('',2.8E-1,2.8E-1,2.8E-1); -#21=COLOUR_RGB('',3.36E-1,2.55E-1,1.9E-2); -#22=COLOUR_RGB('',3.44E-1,3.63E-1,3.74E-1); -#23=COLOUR_RGB('',3.75E-1,3.8E-1,4.22E-1); -#24=COLOUR_RGB('',3.92E-1,1.2E-2,1.2E-2); -#25=COLOUR_RGB('',3.92E-1,5.84E-1,9.29E-1); -#26=COLOUR_RGB('',4.E-1,1.3E-1,0.E0); -#27=COLOUR_RGB('',4.1E-1,0.E0,2.2E-1); -#28=COLOUR_RGB('',4.16E-1,3.53E-1,8.04E-1); -#29=COLOUR_RGB('',4.2E-1,4.2E-1,4.2E-1); -#30=COLOUR_RGB('',4.26E-1,4.26E-1,4.26E-1); -#31=COLOUR_RGB('',5.E-1,0.E0,0.E0); -#32=COLOUR_RGB('',5.E-1,0.E0,5.E-1); -#33=COLOUR_RGB('',4.99E-1,4.96E-1,5.52E-1); -#34=COLOUR_RGB('',5.E-1,5.E-1,0.E0); -#35=COLOUR_RGB('',5.019607843137E-1,5.019607843137E-1,5.019607843137E-1); -#36=COLOUR_RGB('',5.29E-1,8.08E-1,9.22E-1); -#37=COLOUR_RGB('',5.71E-1,6.1E-1,6.33E-1); -#38=COLOUR_RGB('',5.8E-1,0.E0,8.27E-1); -#39=COLOUR_RGB('',5.96E-1,5.96E-1,5.96E-1); -#40=COLOUR_RGB('',6.000000238419E-1,6.000000238419E-1,0.E0); -#41=COLOUR_RGB('',6.1E-1,0.E0,6.E-2); -#42=COLOUR_RGB('',6.35294E-1,6.86275E-1,7.4902E-1); -#43=COLOUR_RGB('',6.4E-1,6.5E-1,6.5E-1); -#44=COLOUR_RGB('',6.59E-1,1.5E-2,2.9E-2); -#45=COLOUR_RGB('',6.87E-1,6.83E-1,7.59E-1); -#46=COLOUR_RGB('',7.5E-1,7.2E-1,0.E0); -#47=COLOUR_RGB('',7.529411764706E-1,7.529411764706E-1,7.529411764706E-1); -#48=COLOUR_RGB('',8.07E-1,7.65E-1,5.8E-2); -#49=COLOUR_RGB('',8.24E-1,4.12E-1,1.18E-1); -#50=COLOUR_RGB('',8.4E-1,8.4E-1,8.5E-1); -#51=COLOUR_RGB('',8.55E-1,4.39E-1,8.39E-1); -#52=COLOUR_RGB('',8.6E-1,4.7E-1,1.6E-1); -#53=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); -#54=COLOUR_RGB('',9.6E-1,9.6E-1,9.6E-1); -#55=COLOUR_RGB('',9.8E-1,6.27E-1,0.E0); -#56=DRAUGHTING_PRE_DEFINED_COLOUR('red'); -#57=DRAUGHTING_PRE_DEFINED_COLOUR('magenta'); -#58=COLOUR_RGB('',1.E0,7.8E-2,5.76E-1); -#59=COLOUR_RGB('',1.E0,7.53E-1,7.96E-1); -#60=COLOUR_RGB('',1.E0,7.7E-1,6.E-2); -#61=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); -#62=COLOUR_RGB('',1.E0,1.E0,9.49E-1); -#63=DRAUGHTING_PRE_DEFINED_COLOUR('white'); -#68=CIRCLE('',#67,1.E0); -#77=CIRCLE('',#76,1.E0); -#86=CIRCLE('',#85,1.E0); -#95=CIRCLE('',#94,1.E0); -#104=CIRCLE('',#103,9.748495E-1); -#109=CIRCLE('',#108,9.748495E-1); -#114=CIRCLE('',#113,9.41174E-1); -#119=CIRCLE('',#118,9.41174E-1); -#2108=CIRCLE('',#2107,7.01599E-1); -#2113=CIRCLE('',#2112,7.01599E-1); -#2118=CIRCLE('',#2117,7.01599E-1); -#2123=CIRCLE('',#2122,7.01599E-1); -#2128=CIRCLE('',#2127,7.01599E-1); -#2133=CIRCLE('',#2132,7.01599E-1); -#2138=CIRCLE('',#2137,7.01599E-1); -#2143=CIRCLE('',#2142,7.01599E-1); -#2148=CIRCLE('',#2147,7.01599E-1); -#2153=CIRCLE('',#2152,7.01599E-1); -#2158=CIRCLE('',#2157,7.01599E-1); -#2163=CIRCLE('',#2162,7.01599E-1); -#2168=CIRCLE('',#2167,8.01599E-1); -#2173=CIRCLE('',#2172,8.01599E-1); -#2178=CIRCLE('',#2177,8.01599E-1); -#2183=CIRCLE('',#2182,8.01599E-1); -#2188=CIRCLE('',#2187,8.01599E-1); -#2193=CIRCLE('',#2192,8.01599E-1); -#2198=CIRCLE('',#2197,8.01599E-1); -#2203=CIRCLE('',#2202,8.01599E-1); -#2208=CIRCLE('',#2207,8.01599E-1); -#2213=CIRCLE('',#2212,8.01599E-1); -#2218=CIRCLE('',#2217,8.01599E-1); -#2223=CIRCLE('',#2222,8.01599E-1); -#2228=CIRCLE('',#2227,8.01599E-1); -#2233=CIRCLE('',#2232,8.01599E-1); -#2238=CIRCLE('',#2237,7.01599E-1); -#2243=CIRCLE('',#2242,7.01599E-1); -#2248=CIRCLE('',#2247,7.01599E-1); -#2253=CIRCLE('',#2252,7.01599E-1); -#2258=CIRCLE('',#2257,7.01599E-1); -#2263=CIRCLE('',#2262,7.01599E-1); -#2268=CIRCLE('',#2267,7.01599E-1); -#2273=CIRCLE('',#2272,7.01599E-1); -#2278=CIRCLE('',#2277,7.01599E-1); -#2283=CIRCLE('',#2282,7.01599E-1); -#2288=CIRCLE('',#2287,7.01599E-1); -#2293=CIRCLE('',#2292,7.01599E-1); -#2298=CIRCLE('',#2297,7.01599E-1); -#2303=CIRCLE('',#2302,7.01599E-1); -#2308=CIRCLE('',#2307,7.01599E-1); -#2313=CIRCLE('',#2312,7.01599E-1); -#2318=CIRCLE('',#2317,7.01599E-1); -#2323=CIRCLE('',#2322,7.01599E-1); -#2328=CIRCLE('',#2327,7.01599E-1); -#2333=CIRCLE('',#2332,7.01599E-1); -#2338=CIRCLE('',#2337,7.01599E-1); -#2343=CIRCLE('',#2342,7.01599E-1); -#2348=CIRCLE('',#2347,9.748495E-1); -#2353=CIRCLE('',#2352,9.748495E-1); -#2358=CIRCLE('',#2357,9.41174E-1); -#2363=CIRCLE('',#2362,9.41174E-1); -#2368=CIRCLE('',#2367,7.01599E-1); -#2373=CIRCLE('',#2372,7.01599E-1); -#2378=CIRCLE('',#2377,7.01599E-1); -#2383=CIRCLE('',#2382,7.01599E-1); -#2388=CIRCLE('',#2387,7.01599E-1); -#2393=CIRCLE('',#2392,7.01599E-1); -#2398=CIRCLE('',#2397,7.01599E-1); -#2403=CIRCLE('',#2402,7.01599E-1); -#2408=CIRCLE('',#2407,7.01599E-1); -#2413=CIRCLE('',#2412,7.01599E-1); -#2418=CIRCLE('',#2417,7.01599E-1); -#2423=CIRCLE('',#2422,7.01599E-1); -#2428=CIRCLE('',#2427,8.01599E-1); -#2433=CIRCLE('',#2432,8.01599E-1); -#2438=CIRCLE('',#2437,8.01599E-1); -#2443=CIRCLE('',#2442,8.01599E-1); -#2448=CIRCLE('',#2447,8.01599E-1); -#2453=CIRCLE('',#2452,8.01599E-1); -#2458=CIRCLE('',#2457,8.01599E-1); -#2463=CIRCLE('',#2462,8.01599E-1); -#2468=CIRCLE('',#2467,8.01599E-1); -#2473=CIRCLE('',#2472,8.01599E-1); -#2478=CIRCLE('',#2477,8.01599E-1); -#2483=CIRCLE('',#2482,8.01599E-1); -#2488=CIRCLE('',#2487,8.01599E-1); -#2493=CIRCLE('',#2492,8.01599E-1); -#2498=CIRCLE('',#2497,7.01599E-1); -#2503=CIRCLE('',#2502,7.01599E-1); -#2508=CIRCLE('',#2507,7.01599E-1); -#2513=CIRCLE('',#2512,7.01599E-1); -#2518=CIRCLE('',#2517,7.01599E-1); -#2523=CIRCLE('',#2522,7.01599E-1); -#2528=CIRCLE('',#2527,7.01599E-1); -#2533=CIRCLE('',#2532,7.01599E-1); -#2538=CIRCLE('',#2537,7.01599E-1); -#2543=CIRCLE('',#2542,7.01599E-1); -#2548=CIRCLE('',#2547,7.01599E-1); -#2553=CIRCLE('',#2552,7.01599E-1); -#2558=CIRCLE('',#2557,7.01599E-1); -#2563=CIRCLE('',#2562,7.01599E-1); -#2568=CIRCLE('',#2567,7.01599E-1); -#2573=CIRCLE('',#2572,7.01599E-1); -#2578=CIRCLE('',#2577,7.01599E-1); -#2583=CIRCLE('',#2582,7.01599E-1); -#2588=CIRCLE('',#2587,7.01599E-1); -#2593=CIRCLE('',#2592,7.01599E-1); -#2598=CIRCLE('',#2597,7.01599E-1); -#2603=CIRCLE('',#2602,7.01599E-1); -#2676=CIRCLE('',#2675,1.E0); -#2685=CIRCLE('',#2684,1.E0); -#2694=CIRCLE('',#2693,1.E0); -#2703=CIRCLE('',#2702,1.E0); -#3192=CIRCLE('',#3191,1.3E0); -#3201=CIRCLE('',#3200,1.3E0); -#3206=CIRCLE('',#3205,1.3E0); -#3211=CIRCLE('',#3210,1.3E0); -#3220=CIRCLE('',#3219,1.3E0); -#3225=CIRCLE('',#3224,1.3E0); -#3278=CIRCLE('',#3277,1.3E0); -#3283=CIRCLE('',#3282,1.3E0); -#3304=CIRCLE('',#3303,1.3E0); -#3325=CIRCLE('',#3324,1.3E0); -#3342=CIRCLE('',#3341,1.E0); -#3363=CIRCLE('',#3362,1.E0); -#3384=CIRCLE('',#3383,1.E0); -#3405=CIRCLE('',#3404,1.E0); -#3422=CIRCLE('',#3421,1.E0); -#3427=CIRCLE('',#3426,1.E0); -#3436=CIRCLE('',#3435,1.E0); -#3441=CIRCLE('',#3440,1.E0); -#3454=CIRCLE('',#3453,1.05E0); -#3463=CIRCLE('',#3462,1.05E0); -#3472=CIRCLE('',#3471,1.05E0); -#3481=CIRCLE('',#3480,1.05E0); -#3490=CIRCLE('',#3489,1.05E0); -#3499=CIRCLE('',#3498,3.E-1); -#3508=CIRCLE('',#3507,7.5E-1); -#3517=CIRCLE('',#3516,7.5E-1); -#3526=CIRCLE('',#3525,7.5E-1); -#3535=CIRCLE('',#3534,7.5E-1); -#3540=CIRCLE('',#3539,3.E-1); -#3545=CIRCLE('',#3544,3.E-1); -#3550=CIRCLE('',#3549,3.E-1); -#3555=CIRCLE('',#3554,3.E-1); -#3560=CIRCLE('',#3559,1.05E0); -#3577=CIRCLE('',#3576,1.05E0); -#3594=CIRCLE('',#3593,3.E-1); -#3607=CIRCLE('',#3606,1.05E0); -#3616=CIRCLE('',#3615,3.E-1); -#3621=CIRCLE('',#3620,3.E-1); -#4250=CIRCLE('',#4249,6.01599E-1); -#4255=CIRCLE('',#4254,6.01599E-1); -#4340=CIRCLE('',#4339,6.01599E-1); -#4345=CIRCLE('',#4344,6.01599E-1); -#4378=CIRCLE('',#4377,5.516E-1); -#4383=CIRCLE('',#4382,5.516E-1); -#4416=CIRCLE('',#4415,5.516005E-1); -#4421=CIRCLE('',#4420,5.516005E-1); -#5582=CIRCLE('',#5581,1.E0); -#5591=CIRCLE('',#5590,1.E0); -#5596=CIRCLE('',#5595,1.E0); -#5605=CIRCLE('',#5604,1.E0); -#5610=CIRCLE('',#5609,1.E0); -#5615=CIRCLE('',#5614,8.E-1); -#5620=CIRCLE('',#5619,8.E-1); -#5625=CIRCLE('',#5624,2.5E-1); -#5630=CIRCLE('',#5629,2.5E-1); -#5643=CIRCLE('',#5642,8.E-1); -#5648=CIRCLE('',#5647,8.E-1); -#5653=CIRCLE('',#5652,2.5E-1); -#5658=CIRCLE('',#5657,2.5E-1); -#11526=EDGE_CURVE('',#8712,#8714,#68,.T.); -#11528=EDGE_CURVE('',#8722,#8712,#72,.T.); -#11530=EDGE_CURVE('',#8720,#8722,#77,.T.); -#11532=EDGE_CURVE('',#8730,#8720,#81,.T.); -#11534=EDGE_CURVE('',#8728,#8730,#86,.T.); -#11536=EDGE_CURVE('',#8738,#8728,#90,.T.); -#11538=EDGE_CURVE('',#8736,#8738,#95,.T.); -#11540=EDGE_CURVE('',#8714,#8736,#99,.T.); -#11544=EDGE_CURVE('',#8741,#8742,#104,.T.); -#11546=EDGE_CURVE('',#8742,#8741,#109,.T.); -#11550=EDGE_CURVE('',#8745,#8746,#114,.T.); -#11552=EDGE_CURVE('',#8746,#8745,#119,.T.); -#11556=EDGE_CURVE('',#9197,#9198,#123,.T.); -#11558=EDGE_CURVE('',#9198,#9200,#127,.T.); -#11560=EDGE_CURVE('',#9200,#9210,#131,.T.); -#11562=EDGE_CURVE('',#9210,#9205,#135,.T.); -#11564=EDGE_CURVE('',#9205,#9206,#139,.T.); -#11566=EDGE_CURVE('',#9206,#9208,#143,.T.); -#11568=EDGE_CURVE('',#9208,#9218,#147,.T.); -#11570=EDGE_CURVE('',#9218,#9213,#151,.T.); -#11572=EDGE_CURVE('',#9213,#9214,#155,.T.); -#11574=EDGE_CURVE('',#9214,#9216,#159,.T.); -#11576=EDGE_CURVE('',#9216,#9226,#163,.T.); -#11578=EDGE_CURVE('',#9226,#9221,#167,.T.); -#11580=EDGE_CURVE('',#9221,#9222,#171,.T.); -#11582=EDGE_CURVE('',#9222,#9224,#175,.T.); -#11584=EDGE_CURVE('',#9224,#9173,#179,.T.); -#11586=EDGE_CURVE('',#9173,#9174,#183,.T.); -#11588=EDGE_CURVE('',#9174,#9176,#187,.T.); -#11590=EDGE_CURVE('',#9176,#9178,#191,.T.); -#11592=EDGE_CURVE('',#9178,#9202,#195,.T.); -#11594=EDGE_CURVE('',#9202,#9197,#199,.T.); -#11598=EDGE_CURVE('',#9321,#9322,#203,.T.); -#11600=EDGE_CURVE('',#9322,#9305,#207,.T.); -#11602=EDGE_CURVE('',#9305,#9306,#211,.T.); -#11604=EDGE_CURVE('',#9306,#9308,#215,.T.); -#11606=EDGE_CURVE('',#9308,#9310,#219,.T.); -#11608=EDGE_CURVE('',#9310,#9293,#223,.T.); -#11610=EDGE_CURVE('',#9293,#9294,#227,.T.); -#11612=EDGE_CURVE('',#9294,#9296,#231,.T.); -#11614=EDGE_CURVE('',#9296,#9298,#235,.T.); -#11616=EDGE_CURVE('',#9298,#9300,#239,.T.); -#11618=EDGE_CURVE('',#9300,#9302,#243,.T.); -#11620=EDGE_CURVE('',#9302,#9333,#247,.T.); -#11622=EDGE_CURVE('',#9333,#9334,#251,.T.); -#11624=EDGE_CURVE('',#9334,#9329,#255,.T.); -#11626=EDGE_CURVE('',#9329,#9330,#259,.T.); -#11628=EDGE_CURVE('',#9330,#9325,#263,.T.); -#11630=EDGE_CURVE('',#9325,#9326,#267,.T.); -#11632=EDGE_CURVE('',#9326,#9321,#271,.T.); -#11636=EDGE_CURVE('',#9446,#9440,#4250,.T.); -#11638=EDGE_CURVE('',#9446,#9410,#275,.T.); -#11640=EDGE_CURVE('',#9410,#9456,#279,.T.); -#11642=EDGE_CURVE('',#9458,#9456,#4383,.T.); -#11644=EDGE_CURVE('',#9458,#9460,#283,.T.); -#11646=EDGE_CURVE('',#9462,#9460,#4421,.T.); -#11648=EDGE_CURVE('',#9462,#9408,#287,.T.); -#11650=EDGE_CURVE('',#9408,#9432,#291,.T.); -#11652=EDGE_CURVE('',#9442,#9432,#4340,.T.); -#11654=EDGE_CURVE('',#9442,#9440,#295,.T.); -#11658=EDGE_CURVE('',#9473,#9474,#299,.T.); -#11660=EDGE_CURVE('',#9474,#9476,#303,.T.); -#11662=EDGE_CURVE('',#9476,#9478,#307,.T.); -#11664=EDGE_CURVE('',#9478,#9473,#311,.T.); -#11668=EDGE_CURVE('',#9545,#9542,#4773,.T.); -#11670=EDGE_CURVE('',#9545,#9546,#315,.T.); -#11672=EDGE_CURVE('',#9541,#9546,#4649,.T.); -#11674=EDGE_CURVE('',#9541,#9542,#319,.T.); -#11678=EDGE_CURVE('',#9549,#9530,#4957,.T.); -#11680=EDGE_CURVE('',#9549,#9550,#323,.T.); -#11682=EDGE_CURVE('',#9529,#9550,#4933,.T.); -#11684=EDGE_CURVE('',#9529,#9530,#327,.T.); -#11688=EDGE_CURVE('',#9553,#9534,#5033,.T.); -#11690=EDGE_CURVE('',#9553,#9554,#331,.T.); -#11692=EDGE_CURVE('',#9533,#9554,#5009,.T.); -#11694=EDGE_CURVE('',#9533,#9534,#335,.T.); -#11698=EDGE_CURVE('',#9557,#9538,#5101,.T.); -#11700=EDGE_CURVE('',#9557,#9558,#339,.T.); -#11702=EDGE_CURVE('',#9537,#9558,#5077,.T.); -#11704=EDGE_CURVE('',#9537,#9538,#343,.T.); -#11708=EDGE_CURVE('',#9561,#9514,#4661,.T.); -#11710=EDGE_CURVE('',#9561,#9562,#347,.T.); -#11712=EDGE_CURVE('',#9513,#9562,#4785,.T.); -#11714=EDGE_CURVE('',#9513,#9514,#351,.T.); -#11718=EDGE_CURVE('',#9565,#9518,#4945,.T.); -#11720=EDGE_CURVE('',#9565,#9566,#355,.T.); -#11722=EDGE_CURVE('',#9517,#9566,#4977,.T.); -#11724=EDGE_CURVE('',#9517,#9518,#359,.T.); -#11728=EDGE_CURVE('',#9569,#9522,#5021,.T.); -#11730=EDGE_CURVE('',#9569,#9570,#363,.T.); -#11732=EDGE_CURVE('',#9521,#9570,#5045,.T.); -#11734=EDGE_CURVE('',#9521,#9522,#367,.T.); -#11738=EDGE_CURVE('',#9573,#9526,#5089,.T.); -#11740=EDGE_CURVE('',#9573,#9574,#371,.T.); -#11742=EDGE_CURVE('',#9525,#9574,#5121,.T.); -#11744=EDGE_CURVE('',#9525,#9526,#375,.T.); -#11748=EDGE_CURVE('',#9769,#9770,#379,.T.); -#11750=EDGE_CURVE('',#9770,#9750,#383,.T.); -#11752=EDGE_CURVE('',#9750,#9797,#387,.T.); -#11754=EDGE_CURVE('',#9797,#9798,#391,.T.); -#11756=EDGE_CURVE('',#9798,#9793,#395,.T.); -#11758=EDGE_CURVE('',#9793,#9794,#399,.T.); -#11760=EDGE_CURVE('',#9794,#9744,#403,.T.); -#11762=EDGE_CURVE('',#9744,#9789,#407,.T.); -#11764=EDGE_CURVE('',#9789,#9790,#411,.T.); -#11766=EDGE_CURVE('',#9790,#9785,#415,.T.); -#11768=EDGE_CURVE('',#9785,#9786,#419,.T.); -#11770=EDGE_CURVE('',#9786,#9746,#423,.T.); -#11772=EDGE_CURVE('',#9746,#9781,#427,.T.); -#11774=EDGE_CURVE('',#9781,#9782,#431,.T.); -#11776=EDGE_CURVE('',#9782,#9777,#435,.T.); -#11778=EDGE_CURVE('',#9777,#9778,#439,.T.); -#11780=EDGE_CURVE('',#9778,#9748,#443,.T.); -#11782=EDGE_CURVE('',#9748,#9773,#447,.T.); -#11784=EDGE_CURVE('',#9773,#9774,#451,.T.); -#11786=EDGE_CURVE('',#9774,#9769,#455,.T.); -#11790=EDGE_CURVE('',#9841,#9842,#459,.T.); -#11792=EDGE_CURVE('',#9842,#9844,#463,.T.); -#11794=EDGE_CURVE('',#9844,#9825,#467,.T.); -#11796=EDGE_CURVE('',#9825,#9826,#471,.T.); -#11798=EDGE_CURVE('',#9826,#9847,#475,.T.); -#11800=EDGE_CURVE('',#9847,#9848,#479,.T.); -#11802=EDGE_CURVE('',#9848,#9850,#483,.T.); -#11804=EDGE_CURVE('',#9850,#9852,#487,.T.); -#11806=EDGE_CURVE('',#9852,#9829,#491,.T.); -#11808=EDGE_CURVE('',#9829,#9830,#495,.T.); -#11810=EDGE_CURVE('',#9830,#9854,#499,.T.); -#11812=EDGE_CURVE('',#9854,#9841,#503,.T.); -#11816=EDGE_CURVE('',#9873,#9874,#507,.T.); -#11818=EDGE_CURVE('',#9874,#9876,#511,.T.); -#11820=EDGE_CURVE('',#9876,#9878,#515,.T.); -#11822=EDGE_CURVE('',#9878,#9873,#519,.T.); -#11826=EDGE_CURVE('',#9919,#9920,#523,.T.); -#11828=EDGE_CURVE('',#9920,#9922,#527,.T.); -#11830=EDGE_CURVE('',#9922,#9924,#531,.T.); -#11832=EDGE_CURVE('',#9924,#9892,#535,.T.); -#11834=EDGE_CURVE('',#9892,#9940,#539,.T.); -#11836=EDGE_CURVE('',#9940,#9935,#543,.T.); -#11838=EDGE_CURVE('',#9935,#9936,#547,.T.); -#11840=EDGE_CURVE('',#9936,#9938,#551,.T.); -#11842=EDGE_CURVE('',#9938,#9894,#555,.T.); -#11844=EDGE_CURVE('',#9894,#9927,#559,.T.); -#11846=EDGE_CURVE('',#9927,#9928,#563,.T.); -#11848=EDGE_CURVE('',#9928,#9930,#567,.T.); -#11850=EDGE_CURVE('',#9930,#9932,#571,.T.); -#11852=EDGE_CURVE('',#9932,#9889,#575,.T.); -#11854=EDGE_CURVE('',#9889,#9890,#579,.T.); -#11856=EDGE_CURVE('',#9890,#9919,#583,.T.); -#11860=EDGE_CURVE('',#9987,#9988,#587,.T.); -#11862=EDGE_CURVE('',#9988,#9990,#591,.T.); -#11864=EDGE_CURVE('',#9990,#9992,#595,.T.); -#11866=EDGE_CURVE('',#9992,#9987,#599,.T.); -#11870=EDGE_CURVE('',#9995,#9996,#603,.T.); -#11872=EDGE_CURVE('',#9996,#9998,#607,.T.); -#11874=EDGE_CURVE('',#9998,#10000,#611,.T.); -#11876=EDGE_CURVE('',#10000,#9995,#615,.T.); -#11880=EDGE_CURVE('',#10163,#10164,#619,.T.); -#11882=EDGE_CURVE('',#10164,#10166,#623,.T.); -#11884=EDGE_CURVE('',#10166,#10168,#627,.T.); -#11886=EDGE_CURVE('',#10168,#10163,#631,.T.); -#11890=EDGE_CURVE('',#10171,#10172,#635,.T.); -#11892=EDGE_CURVE('',#10172,#10174,#639,.T.); -#11894=EDGE_CURVE('',#10174,#10176,#643,.T.); -#11896=EDGE_CURVE('',#10176,#10171,#647,.T.); -#11900=EDGE_CURVE('',#10179,#10180,#651,.T.); -#11902=EDGE_CURVE('',#10180,#10182,#655,.T.); -#11904=EDGE_CURVE('',#10182,#10184,#659,.T.); -#11906=EDGE_CURVE('',#10184,#10179,#663,.T.); -#11910=EDGE_CURVE('',#10187,#10188,#667,.T.); -#11912=EDGE_CURVE('',#10188,#10190,#671,.T.); -#11914=EDGE_CURVE('',#10190,#10192,#675,.T.); -#11916=EDGE_CURVE('',#10192,#10187,#679,.T.); -#11920=EDGE_CURVE('',#10195,#10196,#683,.T.); -#11922=EDGE_CURVE('',#10196,#10198,#687,.T.); -#11924=EDGE_CURVE('',#10198,#10200,#691,.T.); -#11926=EDGE_CURVE('',#10200,#10195,#695,.T.); -#11930=EDGE_CURVE('',#10203,#10204,#699,.T.); -#11932=EDGE_CURVE('',#10204,#10206,#703,.T.); -#11934=EDGE_CURVE('',#10206,#10208,#707,.T.); -#11936=EDGE_CURVE('',#10208,#10203,#711,.T.); -#11940=EDGE_CURVE('',#10211,#10212,#715,.T.); -#11942=EDGE_CURVE('',#10212,#10214,#719,.T.); -#11944=EDGE_CURVE('',#10214,#10216,#723,.T.); -#11946=EDGE_CURVE('',#10216,#10211,#727,.T.); -#11950=EDGE_CURVE('',#10219,#10220,#731,.T.); -#11952=EDGE_CURVE('',#10220,#10222,#735,.T.); -#11954=EDGE_CURVE('',#10222,#10224,#739,.T.); -#11956=EDGE_CURVE('',#10224,#10219,#743,.T.); -#11960=EDGE_CURVE('',#10227,#10228,#747,.T.); -#11962=EDGE_CURVE('',#10228,#10230,#751,.T.); -#11964=EDGE_CURVE('',#10230,#10232,#755,.T.); -#11966=EDGE_CURVE('',#10232,#10227,#759,.T.); -#11970=EDGE_CURVE('',#10235,#10236,#763,.T.); -#11972=EDGE_CURVE('',#10236,#10238,#767,.T.); -#11974=EDGE_CURVE('',#10238,#10240,#771,.T.); -#11976=EDGE_CURVE('',#10240,#10235,#775,.T.); -#11980=EDGE_CURVE('',#10243,#10244,#779,.T.); -#11982=EDGE_CURVE('',#10244,#10246,#783,.T.); -#11984=EDGE_CURVE('',#10246,#10248,#787,.T.); -#11986=EDGE_CURVE('',#10248,#10243,#791,.T.); -#11990=EDGE_CURVE('',#10251,#10252,#795,.T.); -#11992=EDGE_CURVE('',#10252,#10254,#799,.T.); -#11994=EDGE_CURVE('',#10254,#10256,#803,.T.); -#11996=EDGE_CURVE('',#10256,#10251,#807,.T.); -#12000=EDGE_CURVE('',#10259,#10260,#811,.T.); -#12002=EDGE_CURVE('',#10260,#10262,#815,.T.); -#12004=EDGE_CURVE('',#10262,#10264,#819,.T.); -#12006=EDGE_CURVE('',#10264,#10259,#823,.T.); -#12010=EDGE_CURVE('',#10267,#10268,#827,.T.); -#12012=EDGE_CURVE('',#10268,#10270,#831,.T.); -#12014=EDGE_CURVE('',#10270,#10272,#835,.T.); -#12016=EDGE_CURVE('',#10272,#10267,#839,.T.); -#12020=EDGE_CURVE('',#10275,#10276,#843,.T.); -#12022=EDGE_CURVE('',#10276,#10278,#847,.T.); -#12024=EDGE_CURVE('',#10278,#10280,#851,.T.); -#12026=EDGE_CURVE('',#10280,#10275,#855,.T.); -#12030=EDGE_CURVE('',#10283,#10284,#859,.T.); -#12032=EDGE_CURVE('',#10284,#10286,#863,.T.); -#12034=EDGE_CURVE('',#10286,#10288,#867,.T.); -#12036=EDGE_CURVE('',#10288,#10283,#871,.T.); -#12040=EDGE_CURVE('',#10291,#10292,#875,.T.); -#12042=EDGE_CURVE('',#10292,#10294,#879,.T.); -#12044=EDGE_CURVE('',#10294,#10296,#883,.T.); -#12046=EDGE_CURVE('',#10296,#10291,#887,.T.); -#12050=EDGE_CURVE('',#10299,#10300,#891,.T.); -#12052=EDGE_CURVE('',#10300,#10302,#895,.T.); -#12054=EDGE_CURVE('',#10302,#10304,#899,.T.); -#12056=EDGE_CURVE('',#10304,#10299,#903,.T.); -#12060=EDGE_CURVE('',#10307,#10308,#907,.T.); -#12062=EDGE_CURVE('',#10308,#10310,#911,.T.); -#12064=EDGE_CURVE('',#10310,#10312,#915,.T.); -#12066=EDGE_CURVE('',#10312,#10307,#919,.T.); -#12070=EDGE_CURVE('',#10315,#10316,#923,.T.); -#12072=EDGE_CURVE('',#10316,#10318,#927,.T.); -#12074=EDGE_CURVE('',#10318,#10320,#931,.T.); -#12076=EDGE_CURVE('',#10320,#10315,#935,.T.); -#12080=EDGE_CURVE('',#10467,#10468,#939,.T.); -#12082=EDGE_CURVE('',#10468,#10470,#943,.T.); -#12084=EDGE_CURVE('',#10470,#10472,#947,.T.); -#12086=EDGE_CURVE('',#10472,#10467,#951,.T.); -#12090=EDGE_CURVE('',#10475,#10476,#955,.T.); -#12092=EDGE_CURVE('',#10476,#10478,#959,.T.); -#12094=EDGE_CURVE('',#10478,#10480,#963,.T.); -#12096=EDGE_CURVE('',#10480,#10475,#967,.T.); -#12100=EDGE_CURVE('',#10483,#10484,#971,.T.); -#12102=EDGE_CURVE('',#10484,#10486,#975,.T.); -#12104=EDGE_CURVE('',#10486,#10488,#979,.T.); -#12106=EDGE_CURVE('',#10488,#10483,#983,.T.); -#12110=EDGE_CURVE('',#10491,#10492,#987,.T.); -#12112=EDGE_CURVE('',#10492,#10494,#991,.T.); -#12114=EDGE_CURVE('',#10494,#10496,#995,.T.); -#12116=EDGE_CURVE('',#10496,#10491,#999,.T.); -#12120=EDGE_CURVE('',#10499,#10500,#1003,.T.); -#12122=EDGE_CURVE('',#10500,#10502,#1007,.T.); -#12124=EDGE_CURVE('',#10502,#10504,#1011,.T.); -#12126=EDGE_CURVE('',#10504,#10499,#1015,.T.); -#12130=EDGE_CURVE('',#10507,#10508,#1019,.T.); -#12132=EDGE_CURVE('',#10508,#10510,#1023,.T.); -#12134=EDGE_CURVE('',#10510,#10512,#1027,.T.); -#12136=EDGE_CURVE('',#10512,#10507,#1031,.T.); -#12140=EDGE_CURVE('',#10515,#10516,#1035,.T.); -#12142=EDGE_CURVE('',#10516,#10518,#1039,.T.); -#12144=EDGE_CURVE('',#10518,#10520,#1043,.T.); -#12146=EDGE_CURVE('',#10520,#10515,#1047,.T.); -#12150=EDGE_CURVE('',#10523,#10524,#1051,.T.); -#12152=EDGE_CURVE('',#10524,#10526,#1055,.T.); -#12154=EDGE_CURVE('',#10526,#10528,#1059,.T.); -#12156=EDGE_CURVE('',#10528,#10523,#1063,.T.); -#12160=EDGE_CURVE('',#10531,#10532,#1067,.T.); -#12162=EDGE_CURVE('',#10532,#10534,#1071,.T.); -#12164=EDGE_CURVE('',#10534,#10536,#1075,.T.); -#12166=EDGE_CURVE('',#10536,#10531,#1079,.T.); -#12170=EDGE_CURVE('',#10539,#10540,#1083,.T.); -#12172=EDGE_CURVE('',#10540,#10542,#1087,.T.); -#12174=EDGE_CURVE('',#10542,#10544,#1091,.T.); -#12176=EDGE_CURVE('',#10544,#10539,#1095,.T.); -#12180=EDGE_CURVE('',#10547,#10548,#1099,.T.); -#12182=EDGE_CURVE('',#10548,#10550,#1103,.T.); -#12184=EDGE_CURVE('',#10550,#10552,#1107,.T.); -#12186=EDGE_CURVE('',#10552,#10547,#1111,.T.); -#12190=EDGE_CURVE('',#10555,#10556,#1115,.T.); -#12192=EDGE_CURVE('',#10556,#10558,#1119,.T.); -#12194=EDGE_CURVE('',#10558,#10560,#1123,.T.); -#12196=EDGE_CURVE('',#10560,#10555,#1127,.T.); -#12200=EDGE_CURVE('',#10563,#10564,#1131,.T.); -#12202=EDGE_CURVE('',#10564,#10566,#1135,.T.); -#12204=EDGE_CURVE('',#10566,#10568,#1139,.T.); -#12206=EDGE_CURVE('',#10568,#10563,#1143,.T.); -#12210=EDGE_CURVE('',#10571,#10572,#1147,.T.); -#12212=EDGE_CURVE('',#10572,#10574,#1151,.T.); -#12214=EDGE_CURVE('',#10574,#10576,#1155,.T.); -#12216=EDGE_CURVE('',#10576,#10571,#1159,.T.); -#12220=EDGE_CURVE('',#10579,#10580,#1163,.T.); -#12222=EDGE_CURVE('',#10580,#10582,#1167,.T.); -#12224=EDGE_CURVE('',#10582,#10584,#1171,.T.); -#12226=EDGE_CURVE('',#10584,#10579,#1175,.T.); -#12230=EDGE_CURVE('',#10587,#10588,#1179,.T.); -#12232=EDGE_CURVE('',#10588,#10590,#1183,.T.); -#12234=EDGE_CURVE('',#10590,#10592,#1187,.T.); -#12236=EDGE_CURVE('',#10592,#10587,#1191,.T.); -#12240=EDGE_CURVE('',#10595,#10596,#1195,.T.); -#12242=EDGE_CURVE('',#10596,#10598,#1199,.T.); -#12244=EDGE_CURVE('',#10598,#10600,#1203,.T.); -#12246=EDGE_CURVE('',#10600,#10595,#1207,.T.); -#12250=EDGE_CURVE('',#10603,#10604,#1211,.T.); -#12252=EDGE_CURVE('',#10604,#10606,#1215,.T.); -#12254=EDGE_CURVE('',#10606,#10608,#1219,.T.); -#12256=EDGE_CURVE('',#10608,#10603,#1223,.T.); -#12260=EDGE_CURVE('',#10619,#10620,#1227,.T.); -#12262=EDGE_CURVE('',#10620,#10622,#1231,.T.); -#12264=EDGE_CURVE('',#10622,#10624,#1235,.T.); -#12266=EDGE_CURVE('',#10624,#10619,#1239,.T.); -#12270=EDGE_CURVE('',#10691,#10692,#1243,.T.); -#12272=EDGE_CURVE('',#10692,#10694,#1247,.T.); -#12274=EDGE_CURVE('',#10694,#10696,#1251,.T.); -#12276=EDGE_CURVE('',#10696,#10691,#1255,.T.); -#12280=EDGE_CURVE('',#10699,#10700,#1259,.T.); -#12282=EDGE_CURVE('',#10700,#10702,#1263,.T.); -#12284=EDGE_CURVE('',#10702,#10704,#1267,.T.); -#12286=EDGE_CURVE('',#10704,#10699,#1271,.T.); -#12290=EDGE_CURVE('',#10707,#10708,#1275,.T.); -#12292=EDGE_CURVE('',#10708,#10710,#1279,.T.); -#12294=EDGE_CURVE('',#10710,#10712,#1283,.T.); -#12296=EDGE_CURVE('',#10712,#10707,#1287,.T.); -#12300=EDGE_CURVE('',#10715,#10716,#1291,.T.); -#12302=EDGE_CURVE('',#10716,#10718,#1295,.T.); -#12304=EDGE_CURVE('',#10718,#10720,#1299,.T.); -#12306=EDGE_CURVE('',#10720,#10715,#1303,.T.); -#12310=EDGE_CURVE('',#10723,#10724,#1307,.T.); -#12312=EDGE_CURVE('',#10724,#10726,#1311,.T.); -#12314=EDGE_CURVE('',#10726,#10728,#1315,.T.); -#12316=EDGE_CURVE('',#10728,#10723,#1319,.T.); -#12320=EDGE_CURVE('',#10731,#10732,#1323,.T.); -#12322=EDGE_CURVE('',#10732,#10734,#1327,.T.); -#12324=EDGE_CURVE('',#10734,#10736,#1331,.T.); -#12326=EDGE_CURVE('',#10736,#10731,#1335,.T.); -#12330=EDGE_CURVE('',#10739,#10740,#1339,.T.); -#12332=EDGE_CURVE('',#10740,#10742,#1343,.T.); -#12334=EDGE_CURVE('',#10742,#10744,#1347,.T.); -#12336=EDGE_CURVE('',#10744,#10739,#1351,.T.); -#12340=EDGE_CURVE('',#10747,#10748,#1355,.T.); -#12342=EDGE_CURVE('',#10748,#10750,#1359,.T.); -#12344=EDGE_CURVE('',#10750,#10752,#1363,.T.); -#12346=EDGE_CURVE('',#10752,#10747,#1367,.T.); -#12350=EDGE_CURVE('',#10795,#10796,#1371,.T.); -#12352=EDGE_CURVE('',#10796,#10798,#1375,.T.); -#12354=EDGE_CURVE('',#10798,#10800,#1379,.T.); -#12356=EDGE_CURVE('',#10800,#10795,#1383,.T.); -#12360=EDGE_CURVE('',#10803,#10804,#1387,.T.); -#12362=EDGE_CURVE('',#10804,#10806,#1391,.T.); -#12364=EDGE_CURVE('',#10806,#10808,#1395,.T.); -#12366=EDGE_CURVE('',#10808,#10803,#1399,.T.); -#12370=EDGE_CURVE('',#10811,#10812,#1403,.T.); -#12372=EDGE_CURVE('',#10812,#10814,#1407,.T.); -#12374=EDGE_CURVE('',#10814,#10816,#1411,.T.); -#12376=EDGE_CURVE('',#10816,#10811,#1415,.T.); -#12380=EDGE_CURVE('',#10819,#10820,#1419,.T.); -#12382=EDGE_CURVE('',#10820,#10822,#1423,.T.); -#12384=EDGE_CURVE('',#10822,#10824,#1427,.T.); -#12386=EDGE_CURVE('',#10824,#10819,#1431,.T.); -#12390=EDGE_CURVE('',#10827,#10828,#1435,.T.); -#12392=EDGE_CURVE('',#10828,#10830,#1439,.T.); -#12394=EDGE_CURVE('',#10830,#10832,#1443,.T.); -#12396=EDGE_CURVE('',#10832,#10827,#1447,.T.); -#12400=EDGE_CURVE('',#10851,#10852,#1451,.T.); -#12402=EDGE_CURVE('',#10852,#10854,#1455,.T.); -#12404=EDGE_CURVE('',#10854,#10856,#1459,.T.); -#12406=EDGE_CURVE('',#10856,#10851,#1463,.T.); -#12410=EDGE_CURVE('',#10859,#10860,#1467,.T.); -#12412=EDGE_CURVE('',#10860,#10862,#1471,.T.); -#12414=EDGE_CURVE('',#10862,#10864,#1475,.T.); -#12416=EDGE_CURVE('',#10864,#10859,#1479,.T.); -#12420=EDGE_CURVE('',#10915,#10916,#1483,.T.); -#12422=EDGE_CURVE('',#10916,#10918,#1487,.T.); -#12424=EDGE_CURVE('',#10918,#10883,#1491,.T.); -#12426=EDGE_CURVE('',#10883,#10884,#1495,.T.); -#12428=EDGE_CURVE('',#10884,#10921,#1499,.T.); -#12430=EDGE_CURVE('',#10921,#10922,#1503,.T.); -#12432=EDGE_CURVE('',#10922,#10924,#1507,.T.); -#12434=EDGE_CURVE('',#10924,#10926,#1511,.T.); -#12436=EDGE_CURVE('',#10926,#10887,#1515,.T.); -#12438=EDGE_CURVE('',#10887,#10888,#1519,.T.); -#12440=EDGE_CURVE('',#10888,#10928,#1523,.T.); -#12442=EDGE_CURVE('',#10928,#10915,#1527,.T.); -#12446=EDGE_CURVE('',#10931,#10932,#1531,.T.); -#12448=EDGE_CURVE('',#10932,#10895,#1535,.T.); -#12450=EDGE_CURVE('',#10895,#10896,#1539,.T.); -#12452=EDGE_CURVE('',#10896,#10935,#1543,.T.); -#12454=EDGE_CURVE('',#10935,#10936,#1547,.T.); -#12456=EDGE_CURVE('',#10936,#10938,#1551,.T.); -#12458=EDGE_CURVE('',#10938,#10940,#1555,.T.); -#12460=EDGE_CURVE('',#10940,#10891,#1559,.T.); -#12462=EDGE_CURVE('',#10891,#10892,#1563,.T.); -#12464=EDGE_CURVE('',#10892,#10943,#1567,.T.); -#12466=EDGE_CURVE('',#10943,#10944,#1571,.T.); -#12468=EDGE_CURVE('',#10944,#10931,#1575,.T.); -#12472=EDGE_CURVE('',#11075,#11076,#1579,.T.); -#12474=EDGE_CURVE('',#11076,#11078,#1583,.T.); -#12476=EDGE_CURVE('',#11078,#11011,#1587,.T.); -#12478=EDGE_CURVE('',#11011,#11012,#1591,.T.); -#12480=EDGE_CURVE('',#11012,#11096,#1595,.T.); -#12482=EDGE_CURVE('',#11096,#11091,#1599,.T.); -#12484=EDGE_CURVE('',#11091,#11092,#1603,.T.); -#12486=EDGE_CURVE('',#11092,#11094,#1607,.T.); -#12488=EDGE_CURVE('',#11094,#11015,#1611,.T.); -#12490=EDGE_CURVE('',#11015,#11016,#1615,.T.); -#12492=EDGE_CURVE('',#11016,#11087,#1619,.T.); -#12494=EDGE_CURVE('',#11087,#11088,#1623,.T.); -#12496=EDGE_CURVE('',#11088,#11083,#1627,.T.); -#12498=EDGE_CURVE('',#11083,#11084,#1631,.T.); -#12500=EDGE_CURVE('',#11084,#11080,#1635,.T.); -#12502=EDGE_CURVE('',#11080,#11075,#1639,.T.); -#12506=EDGE_CURVE('',#11099,#11100,#1643,.T.); -#12508=EDGE_CURVE('',#11100,#11019,#1647,.T.); -#12510=EDGE_CURVE('',#11019,#11020,#1651,.T.); -#12512=EDGE_CURVE('',#11020,#11120,#1655,.T.); -#12514=EDGE_CURVE('',#11120,#11115,#1659,.T.); -#12516=EDGE_CURVE('',#11115,#11116,#1663,.T.); -#12518=EDGE_CURVE('',#11116,#11118,#1667,.T.); -#12520=EDGE_CURVE('',#11118,#11023,#1671,.T.); -#12522=EDGE_CURVE('',#11023,#11024,#1675,.T.); -#12524=EDGE_CURVE('',#11024,#11111,#1679,.T.); -#12526=EDGE_CURVE('',#11111,#11112,#1683,.T.); -#12528=EDGE_CURVE('',#11112,#11107,#1687,.T.); -#12530=EDGE_CURVE('',#11107,#11108,#1691,.T.); -#12532=EDGE_CURVE('',#11108,#11103,#1695,.T.); -#12534=EDGE_CURVE('',#11103,#11104,#1699,.T.); -#12536=EDGE_CURVE('',#11104,#11099,#1703,.T.); -#12540=EDGE_CURVE('',#11123,#11124,#1707,.T.); -#12542=EDGE_CURVE('',#11124,#11126,#1711,.T.); -#12544=EDGE_CURVE('',#11126,#11007,#1715,.T.); -#12546=EDGE_CURVE('',#11007,#11008,#1719,.T.); -#12548=EDGE_CURVE('',#11008,#11143,#1723,.T.); -#12550=EDGE_CURVE('',#11143,#11144,#1727,.T.); -#12552=EDGE_CURVE('',#11144,#11139,#1731,.T.); -#12554=EDGE_CURVE('',#11139,#11140,#1735,.T.); -#12556=EDGE_CURVE('',#11140,#11135,#1739,.T.); -#12558=EDGE_CURVE('',#11135,#11136,#1743,.T.); -#12560=EDGE_CURVE('',#11136,#11131,#1747,.T.); -#12562=EDGE_CURVE('',#11131,#11132,#1751,.T.); -#12564=EDGE_CURVE('',#11132,#11003,#1755,.T.); -#12566=EDGE_CURVE('',#11003,#11004,#1759,.T.); -#12568=EDGE_CURVE('',#11004,#11128,#1763,.T.); -#12570=EDGE_CURVE('',#11128,#11123,#1767,.T.); -#12574=EDGE_CURVE('',#11147,#11148,#1771,.T.); -#12576=EDGE_CURVE('',#11148,#10999,#1775,.T.); -#12578=EDGE_CURVE('',#10999,#11000,#1779,.T.); -#12580=EDGE_CURVE('',#11000,#11160,#1783,.T.); -#12582=EDGE_CURVE('',#11160,#11155,#1787,.T.); -#12584=EDGE_CURVE('',#11155,#11156,#1791,.T.); -#12586=EDGE_CURVE('',#11156,#11158,#1795,.T.); -#12588=EDGE_CURVE('',#11158,#11168,#1799,.T.); -#12590=EDGE_CURVE('',#11168,#11163,#1803,.T.); -#12592=EDGE_CURVE('',#11163,#11164,#1807,.T.); -#12594=EDGE_CURVE('',#11164,#11166,#1811,.T.); -#12596=EDGE_CURVE('',#11166,#10995,#1815,.T.); -#12598=EDGE_CURVE('',#10995,#10996,#1819,.T.); -#12600=EDGE_CURVE('',#10996,#11151,#1823,.T.); -#12602=EDGE_CURVE('',#11151,#11152,#1827,.T.); -#12604=EDGE_CURVE('',#11152,#11147,#1831,.T.); -#12608=EDGE_CURVE('',#11243,#11244,#1835,.T.); -#12610=EDGE_CURVE('',#11244,#11227,#1839,.T.); -#12612=EDGE_CURVE('',#11227,#11228,#1843,.T.); -#12614=EDGE_CURVE('',#11228,#11247,#1847,.T.); -#12616=EDGE_CURVE('',#11247,#11248,#1851,.T.); -#12618=EDGE_CURVE('',#11248,#11250,#1855,.T.); -#12620=EDGE_CURVE('',#11250,#11252,#1859,.T.); -#12622=EDGE_CURVE('',#11252,#11231,#1863,.T.); -#12624=EDGE_CURVE('',#11231,#11232,#1867,.T.); -#12626=EDGE_CURVE('',#11232,#11255,#1871,.T.); -#12628=EDGE_CURVE('',#11255,#11256,#1875,.T.); -#12630=EDGE_CURVE('',#11256,#11243,#1879,.T.); -#12634=EDGE_CURVE('',#11303,#11304,#1883,.T.); -#12636=EDGE_CURVE('',#11304,#11275,#1887,.T.); -#12638=EDGE_CURVE('',#11275,#11276,#1891,.T.); -#12640=EDGE_CURVE('',#11276,#11307,#1895,.T.); -#12642=EDGE_CURVE('',#11307,#11308,#1899,.T.); -#12644=EDGE_CURVE('',#11308,#11310,#1903,.T.); -#12646=EDGE_CURVE('',#11310,#11312,#1907,.T.); -#12648=EDGE_CURVE('',#11312,#11324,#1911,.T.); -#12650=EDGE_CURVE('',#11324,#11319,#1915,.T.); -#12652=EDGE_CURVE('',#11319,#11320,#1919,.T.); -#12654=EDGE_CURVE('',#11320,#11322,#1923,.T.); -#12656=EDGE_CURVE('',#11322,#11340,#1927,.T.); -#12658=EDGE_CURVE('',#11340,#11327,#1931,.T.); -#12660=EDGE_CURVE('',#11327,#11328,#1935,.T.); -#12662=EDGE_CURVE('',#11328,#11330,#1939,.T.); -#12664=EDGE_CURVE('',#11330,#11279,#1943,.T.); -#12666=EDGE_CURVE('',#11279,#11280,#1947,.T.); -#12668=EDGE_CURVE('',#11280,#11333,#1951,.T.); -#12670=EDGE_CURVE('',#11333,#11334,#1955,.T.); -#12672=EDGE_CURVE('',#11334,#11336,#1959,.T.); -#12674=EDGE_CURVE('',#11336,#11338,#1963,.T.); -#12676=EDGE_CURVE('',#11338,#11315,#1967,.T.); -#12678=EDGE_CURVE('',#11315,#11316,#1971,.T.); -#12680=EDGE_CURVE('',#11316,#11303,#1975,.T.); -#12684=EDGE_CURVE('',#11371,#11372,#1979,.T.); -#12686=EDGE_CURVE('',#11372,#11374,#1983,.T.); -#12688=EDGE_CURVE('',#11374,#11376,#1987,.T.); -#12690=EDGE_CURVE('',#11376,#11371,#1991,.T.); -#12694=EDGE_CURVE('',#11387,#11388,#1995,.T.); -#12696=EDGE_CURVE('',#11388,#11390,#1999,.T.); -#12698=EDGE_CURVE('',#11390,#11392,#2003,.T.); -#12700=EDGE_CURVE('',#11392,#11387,#2007,.T.); -#12704=EDGE_CURVE('',#11431,#11432,#2011,.T.); -#12706=EDGE_CURVE('',#11432,#11434,#2015,.T.); -#12708=EDGE_CURVE('',#11434,#11468,#2019,.T.); -#12710=EDGE_CURVE('',#11468,#11455,#2023,.T.); -#12712=EDGE_CURVE('',#11455,#11456,#2027,.T.); -#12714=EDGE_CURVE('',#11456,#11458,#2031,.T.); -#12716=EDGE_CURVE('',#11458,#11403,#2035,.T.); -#12718=EDGE_CURVE('',#11403,#11404,#2039,.T.); -#12720=EDGE_CURVE('',#11404,#11461,#2043,.T.); -#12722=EDGE_CURVE('',#11461,#11462,#2047,.T.); -#12724=EDGE_CURVE('',#11462,#11464,#2051,.T.); -#12726=EDGE_CURVE('',#11464,#11466,#2055,.T.); -#12728=EDGE_CURVE('',#11466,#11451,#2059,.T.); -#12730=EDGE_CURVE('',#11451,#11452,#2063,.T.); -#12732=EDGE_CURVE('',#11452,#11447,#2067,.T.); -#12734=EDGE_CURVE('',#11447,#11448,#2071,.T.); -#12736=EDGE_CURVE('',#11448,#11437,#2075,.T.); -#12738=EDGE_CURVE('',#11437,#11438,#2079,.T.); -#12740=EDGE_CURVE('',#11438,#11440,#2083,.T.); -#12742=EDGE_CURVE('',#11440,#11442,#2087,.T.); -#12744=EDGE_CURVE('',#11442,#11407,#2091,.T.); -#12746=EDGE_CURVE('',#11407,#11408,#2095,.T.); -#12748=EDGE_CURVE('',#11408,#11444,#2099,.T.); -#12750=EDGE_CURVE('',#11444,#11431,#2103,.T.); -#12754=EDGE_CURVE('',#8757,#8758,#2108,.T.); -#12756=EDGE_CURVE('',#8758,#8757,#2113,.T.); -#12760=EDGE_CURVE('',#8761,#8762,#2118,.T.); -#12762=EDGE_CURVE('',#8762,#8761,#2123,.T.); -#12766=EDGE_CURVE('',#8765,#8766,#2128,.T.); -#12768=EDGE_CURVE('',#8766,#8765,#2133,.T.); -#12772=EDGE_CURVE('',#8769,#8770,#2138,.T.); -#12774=EDGE_CURVE('',#8770,#8769,#2143,.T.); -#12778=EDGE_CURVE('',#8773,#8774,#2148,.T.); -#12780=EDGE_CURVE('',#8774,#8773,#2153,.T.); -#12784=EDGE_CURVE('',#8777,#8778,#2158,.T.); -#12786=EDGE_CURVE('',#8778,#8777,#2163,.T.); -#12790=EDGE_CURVE('',#8781,#8782,#2168,.T.); -#12792=EDGE_CURVE('',#8782,#8781,#2173,.T.); -#12796=EDGE_CURVE('',#8785,#8786,#2178,.T.); -#12798=EDGE_CURVE('',#8786,#8785,#2183,.T.); -#12802=EDGE_CURVE('',#8789,#8790,#2188,.T.); -#12804=EDGE_CURVE('',#8790,#8789,#2193,.T.); -#12808=EDGE_CURVE('',#8793,#8794,#2198,.T.); -#12810=EDGE_CURVE('',#8794,#8793,#2203,.T.); -#12814=EDGE_CURVE('',#8797,#8798,#2208,.T.); -#12816=EDGE_CURVE('',#8798,#8797,#2213,.T.); -#12820=EDGE_CURVE('',#8801,#8802,#2218,.T.); -#12822=EDGE_CURVE('',#8802,#8801,#2223,.T.); -#12826=EDGE_CURVE('',#8853,#8854,#2228,.T.); -#12828=EDGE_CURVE('',#8854,#8853,#2233,.T.); -#12832=EDGE_CURVE('',#8857,#8858,#2238,.T.); -#12834=EDGE_CURVE('',#8858,#8857,#2243,.T.); -#12838=EDGE_CURVE('',#8861,#8862,#2248,.T.); -#12840=EDGE_CURVE('',#8862,#8861,#2253,.T.); -#12844=EDGE_CURVE('',#8865,#8866,#2258,.T.); -#12846=EDGE_CURVE('',#8866,#8865,#2263,.T.); -#12850=EDGE_CURVE('',#8869,#8870,#2268,.T.); -#12852=EDGE_CURVE('',#8870,#8869,#2273,.T.); -#12856=EDGE_CURVE('',#8873,#8874,#2278,.T.); -#12858=EDGE_CURVE('',#8874,#8873,#2283,.T.); -#12862=EDGE_CURVE('',#8877,#8878,#2288,.T.); -#12864=EDGE_CURVE('',#8878,#8877,#2293,.T.); -#12868=EDGE_CURVE('',#8881,#8882,#2298,.T.); -#12870=EDGE_CURVE('',#8882,#8881,#2303,.T.); -#12874=EDGE_CURVE('',#8885,#8886,#2308,.T.); -#12876=EDGE_CURVE('',#8886,#8885,#2313,.T.); -#12880=EDGE_CURVE('',#8889,#8890,#2318,.T.); -#12882=EDGE_CURVE('',#8890,#8889,#2323,.T.); -#12886=EDGE_CURVE('',#8893,#8894,#2328,.T.); -#12888=EDGE_CURVE('',#8894,#8893,#2333,.T.); -#12892=EDGE_CURVE('',#8897,#8898,#2338,.T.); -#12894=EDGE_CURVE('',#8898,#8897,#2343,.T.); -#12898=ADVANCED_FACE('',(#11543,#11549,#11555,#11597,#11635,#11657,#11667, -#11677,#11687,#11697,#11707,#11717,#11727,#11737,#11747,#11789,#11815,#11825, -#11859,#11869,#11879,#11889,#11899,#11909,#11919,#11929,#11939,#11949,#11959, -#11969,#11979,#11989,#11999,#12009,#12019,#12029,#12039,#12049,#12059,#12069, -#12079,#12089,#12099,#12109,#12119,#12129,#12139,#12149,#12159,#12169,#12179, -#12189,#12199,#12209,#12219,#12229,#12239,#12249,#12259,#12269,#12279,#12289, -#12299,#12309,#12319,#12329,#12339,#12349,#12359,#12369,#12379,#12389,#12399, -#12409,#12419,#12445,#12471,#12505,#12539,#12573,#12607,#12633,#12683,#12693, -#12703,#12753,#12759,#12765,#12771,#12777,#12783,#12789,#12795,#12801,#12807, -#12813,#12819,#12825,#12831,#12837,#12843,#12849,#12855,#12861,#12867,#12873, -#12879,#12885,#12891,#12897),#11525,.T.); -#12911=EDGE_CURVE('',#8709,#8710,#2694,.T.); -#12913=EDGE_CURVE('',#8712,#8710,#3269,.T.); -#12916=EDGE_CURVE('',#8714,#8709,#3253,.T.); -#12920=ADVANCED_FACE('',(#12919),#12910,.T.); -#12933=EDGE_CURVE('',#9065,#9066,#2655,.T.); -#12935=EDGE_CURVE('',#9066,#9068,#2659,.T.); -#12937=EDGE_CURVE('',#9068,#9070,#2663,.T.); -#12939=EDGE_CURVE('',#9058,#9070,#2667,.T.); -#12941=EDGE_CURVE('',#9058,#8726,#2671,.T.); -#12943=EDGE_CURVE('',#8725,#8726,#2676,.T.); -#12945=EDGE_CURVE('',#8725,#8718,#2680,.T.); -#12947=EDGE_CURVE('',#8717,#8718,#2685,.T.); -#12949=EDGE_CURVE('',#8717,#8710,#2689,.T.); -#12952=EDGE_CURVE('',#8709,#8734,#2698,.T.); -#12954=EDGE_CURVE('',#8733,#8734,#2703,.T.); -#12956=EDGE_CURVE('',#8733,#9057,#2707,.T.); -#12958=EDGE_CURVE('',#9073,#9057,#2711,.T.); -#12960=EDGE_CURVE('',#9073,#9074,#2715,.T.); -#12962=EDGE_CURVE('',#9074,#9065,#2719,.T.); -#12966=EDGE_CURVE('',#8753,#8754,#2358,.T.); -#12968=EDGE_CURVE('',#8754,#8753,#2363,.T.); -#12972=EDGE_CURVE('',#8805,#8806,#2368,.T.); -#12974=EDGE_CURVE('',#8806,#8805,#2373,.T.); -#12978=EDGE_CURVE('',#8809,#8810,#2378,.T.); -#12980=EDGE_CURVE('',#8810,#8809,#2383,.T.); -#12984=EDGE_CURVE('',#8813,#8814,#2388,.T.); -#12986=EDGE_CURVE('',#8814,#8813,#2393,.T.); -#12990=EDGE_CURVE('',#8817,#8818,#2398,.T.); -#12992=EDGE_CURVE('',#8818,#8817,#2403,.T.); -#12996=EDGE_CURVE('',#8821,#8822,#2408,.T.); -#12998=EDGE_CURVE('',#8822,#8821,#2413,.T.); -#13002=EDGE_CURVE('',#8825,#8826,#2418,.T.); -#13004=EDGE_CURVE('',#8826,#8825,#2423,.T.); -#13008=EDGE_CURVE('',#8829,#8830,#2428,.T.); -#13010=EDGE_CURVE('',#8830,#8829,#2433,.T.); -#13014=EDGE_CURVE('',#8833,#8834,#2438,.T.); -#13016=EDGE_CURVE('',#8834,#8833,#2443,.T.); -#13020=EDGE_CURVE('',#8837,#8838,#2448,.T.); -#13022=EDGE_CURVE('',#8838,#8837,#2453,.T.); -#13026=EDGE_CURVE('',#8841,#8842,#2458,.T.); -#13028=EDGE_CURVE('',#8842,#8841,#2463,.T.); -#13032=EDGE_CURVE('',#8845,#8846,#2468,.T.); -#13034=EDGE_CURVE('',#8846,#8845,#2473,.T.); -#13038=EDGE_CURVE('',#8849,#8850,#2478,.T.); -#13040=EDGE_CURVE('',#8850,#8849,#2483,.T.); -#13044=EDGE_CURVE('',#8901,#8902,#2488,.T.); -#13046=EDGE_CURVE('',#8902,#8901,#2493,.T.); -#13050=EDGE_CURVE('',#8905,#8906,#2498,.T.); -#13052=EDGE_CURVE('',#8906,#8905,#2503,.T.); -#13056=EDGE_CURVE('',#8909,#8910,#2508,.T.); -#13058=EDGE_CURVE('',#8910,#8909,#2513,.T.); -#13062=EDGE_CURVE('',#8913,#8914,#2518,.T.); -#13064=EDGE_CURVE('',#8914,#8913,#2523,.T.); -#13068=EDGE_CURVE('',#8917,#8918,#2528,.T.); -#13070=EDGE_CURVE('',#8918,#8917,#2533,.T.); -#13074=EDGE_CURVE('',#8921,#8922,#2538,.T.); -#13076=EDGE_CURVE('',#8922,#8921,#2543,.T.); -#13080=EDGE_CURVE('',#8925,#8926,#2548,.T.); -#13082=EDGE_CURVE('',#8926,#8925,#2553,.T.); -#13086=EDGE_CURVE('',#8929,#8930,#2558,.T.); -#13088=EDGE_CURVE('',#8930,#8929,#2563,.T.); -#13092=EDGE_CURVE('',#8933,#8934,#2568,.T.); -#13094=EDGE_CURVE('',#8934,#8933,#2573,.T.); -#13098=EDGE_CURVE('',#8937,#8938,#2578,.T.); -#13100=EDGE_CURVE('',#8938,#8937,#2583,.T.); -#13104=EDGE_CURVE('',#8941,#8942,#2588,.T.); -#13106=EDGE_CURVE('',#8942,#8941,#2593,.T.); -#13110=EDGE_CURVE('',#8945,#8946,#2598,.T.); -#13112=EDGE_CURVE('',#8946,#8945,#2603,.T.); -#13116=EDGE_CURVE('',#8973,#8974,#2607,.T.); -#13118=EDGE_CURVE('',#8974,#8961,#2611,.T.); -#13120=EDGE_CURVE('',#8961,#8962,#2615,.T.); -#13122=EDGE_CURVE('',#8962,#8986,#2619,.T.); -#13124=EDGE_CURVE('',#8986,#8981,#2623,.T.); -#13126=EDGE_CURVE('',#8981,#8982,#2627,.T.); -#13128=EDGE_CURVE('',#8982,#8984,#2631,.T.); -#13130=EDGE_CURVE('',#8984,#8957,#2635,.T.); -#13132=EDGE_CURVE('',#8957,#8958,#2639,.T.); -#13134=EDGE_CURVE('',#8958,#8977,#2643,.T.); -#13136=EDGE_CURVE('',#8977,#8978,#2647,.T.); -#13138=EDGE_CURVE('',#8978,#8973,#2651,.T.); -#13142=EDGE_CURVE('',#8749,#8750,#2348,.T.); -#13144=EDGE_CURVE('',#8750,#8749,#2353,.T.); -#13148=EDGE_CURVE('',#9085,#9086,#2723,.T.); -#13150=EDGE_CURVE('',#9086,#9088,#2727,.T.); -#13152=EDGE_CURVE('',#9088,#9090,#2731,.T.); -#13154=EDGE_CURVE('',#9090,#9085,#2735,.T.); -#13158=EDGE_CURVE('',#9109,#9110,#2739,.T.); -#13160=EDGE_CURVE('',#9110,#9112,#2743,.T.); -#13162=EDGE_CURVE('',#9112,#9114,#2747,.T.); -#13164=EDGE_CURVE('',#9114,#9109,#2751,.T.); -#13168=ADVANCED_FACE('',(#12965,#12971,#12977,#12983,#12989,#12995,#13001, -#13007,#13013,#13019,#13025,#13031,#13037,#13043,#13049,#13055,#13061,#13067, -#13073,#13079,#13085,#13091,#13097,#13103,#13109,#13115,#13141,#13147,#13157, -#13167),#12932,.F.); -#13182=EDGE_CURVE('',#8741,#8749,#2755,.T.); -#13185=EDGE_CURVE('',#8742,#8750,#2759,.T.); -#13189=ADVANCED_FACE('',(#13188),#13180,.F.); -#13201=ADVANCED_FACE('',(#13200),#13194,.F.); -#13208=EDGE_CURVE('',#8745,#8753,#2763,.T.); -#13211=EDGE_CURVE('',#8746,#8754,#2767,.T.); -#13215=ADVANCED_FACE('',(#13214),#13206,.F.); -#13227=ADVANCED_FACE('',(#13226),#13220,.F.); -#13234=EDGE_CURVE('',#8806,#8758,#2775,.T.); -#13237=EDGE_CURVE('',#8805,#8757,#2771,.T.); -#13241=ADVANCED_FACE('',(#13240),#13232,.F.); -#13253=ADVANCED_FACE('',(#13252),#13246,.F.); -#13260=EDGE_CURVE('',#8810,#8762,#2783,.T.); -#13263=EDGE_CURVE('',#8809,#8761,#2779,.T.); -#13267=ADVANCED_FACE('',(#13266),#13258,.F.); -#13279=ADVANCED_FACE('',(#13278),#13272,.F.); -#13286=EDGE_CURVE('',#8814,#8766,#2791,.T.); -#13289=EDGE_CURVE('',#8813,#8765,#2787,.T.); -#13293=ADVANCED_FACE('',(#13292),#13284,.F.); -#13305=ADVANCED_FACE('',(#13304),#13298,.F.); -#13312=EDGE_CURVE('',#8818,#8770,#2799,.T.); -#13315=EDGE_CURVE('',#8817,#8769,#2795,.T.); -#13319=ADVANCED_FACE('',(#13318),#13310,.F.); -#13331=ADVANCED_FACE('',(#13330),#13324,.F.); -#13338=EDGE_CURVE('',#8822,#8774,#2807,.T.); -#13341=EDGE_CURVE('',#8821,#8773,#2803,.T.); -#13345=ADVANCED_FACE('',(#13344),#13336,.F.); -#13357=ADVANCED_FACE('',(#13356),#13350,.F.); -#13364=EDGE_CURVE('',#8826,#8778,#2815,.T.); -#13367=EDGE_CURVE('',#8825,#8777,#2811,.T.); -#13371=ADVANCED_FACE('',(#13370),#13362,.F.); -#13383=ADVANCED_FACE('',(#13382),#13376,.F.); -#13390=EDGE_CURVE('',#8830,#8782,#2823,.T.); -#13393=EDGE_CURVE('',#8829,#8781,#2819,.T.); -#13397=ADVANCED_FACE('',(#13396),#13388,.F.); -#13409=ADVANCED_FACE('',(#13408),#13402,.F.); -#13416=EDGE_CURVE('',#8834,#8786,#2831,.T.); -#13419=EDGE_CURVE('',#8833,#8785,#2827,.T.); -#13423=ADVANCED_FACE('',(#13422),#13414,.F.); -#13435=ADVANCED_FACE('',(#13434),#13428,.F.); -#13442=EDGE_CURVE('',#8838,#8790,#2839,.T.); -#13445=EDGE_CURVE('',#8837,#8789,#2835,.T.); -#13449=ADVANCED_FACE('',(#13448),#13440,.F.); -#13461=ADVANCED_FACE('',(#13460),#13454,.F.); -#13468=EDGE_CURVE('',#8842,#8794,#2847,.T.); -#13471=EDGE_CURVE('',#8841,#8793,#2843,.T.); -#13475=ADVANCED_FACE('',(#13474),#13466,.F.); -#13487=ADVANCED_FACE('',(#13486),#13480,.F.); -#13494=EDGE_CURVE('',#8846,#8798,#2855,.T.); -#13497=EDGE_CURVE('',#8845,#8797,#2851,.T.); -#13501=ADVANCED_FACE('',(#13500),#13492,.F.); -#13513=ADVANCED_FACE('',(#13512),#13506,.F.); -#13520=EDGE_CURVE('',#8850,#8802,#2863,.T.); -#13523=EDGE_CURVE('',#8849,#8801,#2859,.T.); -#13527=ADVANCED_FACE('',(#13526),#13518,.F.); -#13539=ADVANCED_FACE('',(#13538),#13532,.F.); -#13546=EDGE_CURVE('',#8902,#8854,#2871,.T.); -#13549=EDGE_CURVE('',#8901,#8853,#2867,.T.); -#13553=ADVANCED_FACE('',(#13552),#13544,.F.); -#13565=ADVANCED_FACE('',(#13564),#13558,.F.); -#13572=EDGE_CURVE('',#8906,#8858,#2879,.T.); -#13575=EDGE_CURVE('',#8905,#8857,#2875,.T.); -#13579=ADVANCED_FACE('',(#13578),#13570,.F.); -#13591=ADVANCED_FACE('',(#13590),#13584,.F.); -#13598=EDGE_CURVE('',#8910,#8862,#2887,.T.); -#13601=EDGE_CURVE('',#8909,#8861,#2883,.T.); -#13605=ADVANCED_FACE('',(#13604),#13596,.F.); -#13617=ADVANCED_FACE('',(#13616),#13610,.F.); -#13624=EDGE_CURVE('',#8914,#8866,#2895,.T.); -#13627=EDGE_CURVE('',#8913,#8865,#2891,.T.); -#13631=ADVANCED_FACE('',(#13630),#13622,.F.); -#13643=ADVANCED_FACE('',(#13642),#13636,.F.); -#13650=EDGE_CURVE('',#8918,#8870,#2903,.T.); -#13653=EDGE_CURVE('',#8917,#8869,#2899,.T.); -#13657=ADVANCED_FACE('',(#13656),#13648,.F.); -#13669=ADVANCED_FACE('',(#13668),#13662,.F.); -#13676=EDGE_CURVE('',#8922,#8874,#2911,.T.); -#13679=EDGE_CURVE('',#8921,#8873,#2907,.T.); -#13683=ADVANCED_FACE('',(#13682),#13674,.F.); -#13695=ADVANCED_FACE('',(#13694),#13688,.F.); -#13702=EDGE_CURVE('',#8926,#8878,#2919,.T.); -#13705=EDGE_CURVE('',#8925,#8877,#2915,.T.); -#13709=ADVANCED_FACE('',(#13708),#13700,.F.); -#13721=ADVANCED_FACE('',(#13720),#13714,.F.); -#13728=EDGE_CURVE('',#8930,#8882,#2927,.T.); -#13731=EDGE_CURVE('',#8929,#8881,#2923,.T.); -#13735=ADVANCED_FACE('',(#13734),#13726,.F.); -#13747=ADVANCED_FACE('',(#13746),#13740,.F.); -#13754=EDGE_CURVE('',#8934,#8886,#2935,.T.); -#13757=EDGE_CURVE('',#8933,#8885,#2931,.T.); -#13761=ADVANCED_FACE('',(#13760),#13752,.F.); -#13773=ADVANCED_FACE('',(#13772),#13766,.F.); -#13780=EDGE_CURVE('',#8938,#8890,#2943,.T.); -#13783=EDGE_CURVE('',#8937,#8889,#2939,.T.); -#13787=ADVANCED_FACE('',(#13786),#13778,.F.); -#13799=ADVANCED_FACE('',(#13798),#13792,.F.); -#13806=EDGE_CURVE('',#8942,#8894,#2951,.T.); -#13809=EDGE_CURVE('',#8941,#8893,#2947,.T.); -#13813=ADVANCED_FACE('',(#13812),#13804,.F.); -#13825=ADVANCED_FACE('',(#13824),#13818,.F.); -#13832=EDGE_CURVE('',#8946,#8898,#2959,.T.); -#13835=EDGE_CURVE('',#8945,#8897,#2955,.T.); -#13839=ADVANCED_FACE('',(#13838),#13830,.F.); -#13851=ADVANCED_FACE('',(#13850),#13844,.F.); -#13858=EDGE_CURVE('',#8973,#8966,#2963,.T.); -#13860=EDGE_CURVE('',#8966,#8994,#3143,.T.); -#13862=EDGE_CURVE('',#8974,#8994,#2987,.T.); -#13866=ADVANCED_FACE('',(#13865),#13856,.T.); -#13873=EDGE_CURVE('',#8978,#8965,#2967,.T.); -#13875=EDGE_CURVE('',#8965,#8966,#3147,.T.); -#13880=ADVANCED_FACE('',(#13879),#13871,.T.); -#13887=EDGE_CURVE('',#8977,#8993,#2995,.T.); -#13889=EDGE_CURVE('',#8993,#8965,#3151,.T.); -#13894=ADVANCED_FACE('',(#13893),#13885,.T.); -#13900=EDGE_CURVE('',#11514,#11518,#2971,.T.); -#13902=EDGE_CURVE('',#11518,#11520,#2975,.T.); -#13904=EDGE_CURVE('',#11516,#11520,#2979,.T.); -#13906=EDGE_CURVE('',#11516,#8952,#2983,.T.); -#13908=EDGE_CURVE('',#8952,#8954,#3111,.T.); -#13910=EDGE_CURVE('',#8961,#8954,#3119,.T.); -#13914=EDGE_CURVE('',#8993,#8994,#2991,.T.); -#13918=EDGE_CURVE('',#8958,#11514,#2999,.T.); -#13922=ADVANCED_FACE('',(#13921),#13899,.T.); -#13928=EDGE_CURVE('',#11507,#11508,#3023,.T.); -#13930=EDGE_CURVE('',#11508,#11495,#3003,.T.); -#13932=EDGE_CURVE('',#11495,#11496,#3007,.T.); -#13934=EDGE_CURVE('',#11496,#11491,#3011,.T.); -#13936=EDGE_CURVE('',#11491,#11492,#3015,.T.); -#13938=EDGE_CURVE('',#11492,#11518,#3019,.T.); -#13941=EDGE_CURVE('',#11507,#11514,#3051,.T.); -#13945=ADVANCED_FACE('',(#13944),#13927,.F.); -#13952=EDGE_CURVE('',#8957,#11507,#3055,.T.); -#13955=EDGE_CURVE('',#8984,#8989,#3027,.T.); -#13957=EDGE_CURVE('',#8989,#8990,#3031,.T.); -#13959=EDGE_CURVE('',#8986,#8990,#3035,.T.); -#13962=EDGE_CURVE('',#8962,#8949,#3039,.T.); -#13964=EDGE_CURVE('',#8949,#8950,#3103,.T.); -#13966=EDGE_CURVE('',#11511,#8950,#3063,.T.); -#13968=EDGE_CURVE('',#11511,#11512,#3043,.T.); -#13970=EDGE_CURVE('',#11508,#11512,#3047,.T.); -#13974=ADVANCED_FACE('',(#13973),#13950,.T.); -#13986=ADVANCED_FACE('',(#13985),#13979,.T.); -#13992=EDGE_CURVE('',#11511,#11516,#3059,.T.); -#13995=EDGE_CURVE('',#8950,#8952,#3115,.T.); -#14000=ADVANCED_FACE('',(#13999),#13991,.T.); -#14009=EDGE_CURVE('',#11500,#11520,#3067,.T.); -#14011=EDGE_CURVE('',#11499,#11500,#3071,.T.); -#14013=EDGE_CURVE('',#11504,#11499,#3075,.T.); -#14015=EDGE_CURVE('',#11503,#11504,#3079,.T.); -#14017=EDGE_CURVE('',#11512,#11503,#3083,.T.); -#14021=ADVANCED_FACE('',(#14020),#14005,.T.); -#14029=EDGE_CURVE('',#11495,#11503,#3091,.T.); -#14034=ADVANCED_FACE('',(#14033),#14026,.T.); -#14042=EDGE_CURVE('',#11492,#11500,#3087,.T.); -#14047=ADVANCED_FACE('',(#14046),#14039,.T.); -#14056=EDGE_CURVE('',#11496,#11504,#3095,.T.); -#14060=ADVANCED_FACE('',(#14059),#14052,.T.); -#14069=EDGE_CURVE('',#11491,#11499,#3099,.T.); -#14073=ADVANCED_FACE('',(#14072),#14065,.T.); -#14085=ADVANCED_FACE('',(#14084),#14078,.T.); -#14092=EDGE_CURVE('',#8954,#8949,#3107,.T.); -#14098=ADVANCED_FACE('',(#14097),#14090,.T.); -#14110=ADVANCED_FACE('',(#14109),#14103,.T.); -#14117=EDGE_CURVE('',#8982,#8970,#3123,.T.); -#14119=EDGE_CURVE('',#8970,#8989,#3131,.T.); -#14124=ADVANCED_FACE('',(#14123),#14115,.T.); -#14131=EDGE_CURVE('',#8981,#8969,#3127,.T.); -#14133=EDGE_CURVE('',#8969,#8970,#3135,.T.); -#14138=ADVANCED_FACE('',(#14137),#14129,.T.); -#14146=EDGE_CURVE('',#8990,#8969,#3139,.T.); -#14151=ADVANCED_FACE('',(#14150),#14143,.T.); -#14163=ADVANCED_FACE('',(#14162),#14156,.T.); -#14175=ADVANCED_FACE('',(#14174),#14168,.T.); -#14182=EDGE_CURVE('',#9065,#9061,#3155,.T.); -#14184=EDGE_CURVE('',#9061,#9062,#3175,.T.); -#14186=EDGE_CURVE('',#9066,#9062,#3167,.T.); -#14190=ADVANCED_FACE('',(#14189),#14180,.T.); -#14197=EDGE_CURVE('',#9074,#9082,#3159,.T.); -#14199=EDGE_CURVE('',#9082,#9078,#3187,.T.); -#14201=EDGE_CURVE('',#9078,#9061,#3179,.T.); -#14206=ADVANCED_FACE('',(#14205),#14195,.T.); -#14213=EDGE_CURVE('',#9068,#9080,#3163,.T.); -#14215=EDGE_CURVE('',#9070,#9080,#3278,.T.); -#14219=ADVANCED_FACE('',(#14218),#14211,.T.); -#14226=EDGE_CURVE('',#9073,#9082,#3220,.T.); -#14231=ADVANCED_FACE('',(#14230),#14224,.T.); -#14239=EDGE_CURVE('',#9062,#9077,#3171,.T.); -#14241=EDGE_CURVE('',#9080,#9077,#3215,.T.); -#14246=ADVANCED_FACE('',(#14245),#14236,.T.); -#14252=EDGE_CURVE('',#9077,#9078,#3183,.T.); -#14259=ADVANCED_FACE('',(#14258),#14251,.T.); -#14267=EDGE_CURVE('',#9004,#9082,#3192,.T.); -#14269=EDGE_CURVE('',#9004,#9002,#3196,.T.); -#14271=EDGE_CURVE('',#9001,#9002,#3201,.T.); -#14273=EDGE_CURVE('',#9001,#8998,#3316,.T.); -#14275=EDGE_CURVE('',#8997,#8998,#3206,.T.); -#14277=EDGE_CURVE('',#9006,#8997,#3295,.T.); -#14279=EDGE_CURVE('',#9080,#9006,#3211,.T.); -#14284=ADVANCED_FACE('',(#14283),#14264,.T.); -#14292=EDGE_CURVE('',#9057,#9014,#3237,.T.); -#14294=EDGE_CURVE('',#9010,#9014,#3225,.T.); -#14296=EDGE_CURVE('',#9004,#9010,#3337,.T.); -#14301=ADVANCED_FACE('',(#14300),#14289,.T.); -#14307=EDGE_CURVE('',#9057,#9058,#3241,.T.); -#14309=EDGE_CURVE('',#9018,#9058,#3229,.T.); -#14311=EDGE_CURVE('',#9014,#9018,#3233,.T.); -#14316=ADVANCED_FACE('',(#14315),#14306,.F.); -#14324=EDGE_CURVE('',#8738,#8733,#3245,.T.); -#14327=EDGE_CURVE('',#8728,#8726,#3249,.T.); -#14332=ADVANCED_FACE('',(#14331),#14321,.T.); -#14346=EDGE_CURVE('',#8736,#8734,#3257,.T.); -#14352=ADVANCED_FACE('',(#14351),#14344,.T.); -#14371=ADVANCED_FACE('',(#14370),#14364,.T.); -#14387=EDGE_CURVE('',#8730,#8725,#3265,.T.); -#14391=ADVANCED_FACE('',(#14390),#14383,.T.); -#14404=EDGE_CURVE('',#8720,#8718,#3261,.T.); -#14411=ADVANCED_FACE('',(#14410),#14403,.T.); -#14427=EDGE_CURVE('',#8722,#8717,#3273,.T.); -#14431=ADVANCED_FACE('',(#14430),#14423,.T.); -#14450=ADVANCED_FACE('',(#14449),#14443,.T.); -#14465=EDGE_CURVE('',#9022,#9006,#3291,.T.); -#14467=EDGE_CURVE('',#9018,#9022,#3283,.T.); -#14473=ADVANCED_FACE('',(#14472),#14462,.T.); -#14479=EDGE_CURVE('',#9022,#9020,#3287,.T.); -#14483=EDGE_CURVE('',#8997,#9020,#3299,.T.); -#14487=ADVANCED_FACE('',(#14486),#14478,.T.); -#14493=EDGE_CURVE('',#9012,#9009,#3304,.T.); -#14495=EDGE_CURVE('',#9009,#9010,#3329,.T.); -#14501=EDGE_CURVE('',#9020,#9016,#3325,.T.); -#14503=EDGE_CURVE('',#9016,#9012,#3308,.T.); -#14507=EDGE_CURVE('',#9042,#9046,#3342,.T.); -#14509=EDGE_CURVE('',#9041,#9042,#3409,.T.); -#14511=EDGE_CURVE('',#9044,#9041,#3405,.T.); -#14513=EDGE_CURVE('',#9048,#9044,#3388,.T.); -#14515=EDGE_CURVE('',#9052,#9048,#3384,.T.); -#14517=EDGE_CURVE('',#9054,#9052,#3367,.T.); -#14519=EDGE_CURVE('',#9050,#9054,#3363,.T.); -#14521=EDGE_CURVE('',#9046,#9050,#3346,.T.); -#14525=ADVANCED_FACE('',(#14506,#14524),#14492,.T.); -#14532=EDGE_CURVE('',#9012,#9001,#3320,.T.); -#14535=EDGE_CURVE('',#9009,#9002,#3333,.T.); -#14539=ADVANCED_FACE('',(#14538),#14530,.T.); -#14546=EDGE_CURVE('',#8998,#9016,#3312,.T.); -#14552=ADVANCED_FACE('',(#14551),#14544,.T.); -#14564=ADVANCED_FACE('',(#14563),#14557,.T.); -#14576=ADVANCED_FACE('',(#14575),#14569,.T.); -#14583=EDGE_CURVE('',#9038,#9046,#3358,.T.); -#14585=EDGE_CURVE('',#9037,#9038,#3436,.T.); -#14587=EDGE_CURVE('',#9037,#9042,#3413,.T.); -#14591=ADVANCED_FACE('',(#14590),#14581,.F.); -#14598=EDGE_CURVE('',#9050,#9029,#3350,.T.); -#14600=EDGE_CURVE('',#9038,#9029,#3354,.T.); -#14605=ADVANCED_FACE('',(#14604),#14596,.T.); -#14612=EDGE_CURVE('',#9054,#9030,#3379,.T.); -#14614=EDGE_CURVE('',#9029,#9030,#3441,.T.); -#14619=ADVANCED_FACE('',(#14618),#14610,.F.); -#14626=EDGE_CURVE('',#9025,#9052,#3371,.T.); -#14628=EDGE_CURVE('',#9030,#9025,#3375,.T.); -#14633=ADVANCED_FACE('',(#14632),#14624,.F.); -#14640=EDGE_CURVE('',#9026,#9048,#3400,.T.); -#14642=EDGE_CURVE('',#9025,#9026,#3422,.T.); -#14647=ADVANCED_FACE('',(#14646),#14638,.F.); -#14654=EDGE_CURVE('',#9044,#9033,#3392,.T.); -#14656=EDGE_CURVE('',#9033,#9026,#3396,.T.); -#14661=ADVANCED_FACE('',(#14660),#14652,.F.); -#14668=EDGE_CURVE('',#9041,#9034,#3417,.T.); -#14670=EDGE_CURVE('',#9033,#9034,#3427,.T.); -#14675=ADVANCED_FACE('',(#14674),#14666,.F.); -#14683=EDGE_CURVE('',#9037,#9034,#3431,.T.); -#14688=ADVANCED_FACE('',(#14687),#14680,.F.); -#14704=ADVANCED_FACE('',(#14703),#14693,.F.); -#14710=EDGE_CURVE('',#9101,#9102,#3445,.T.); -#14712=EDGE_CURVE('',#9086,#9102,#3653,.T.); -#14715=EDGE_CURVE('',#9085,#9101,#3449,.T.); -#14719=ADVANCED_FACE('',(#14718),#14709,.T.); -#14726=EDGE_CURVE('',#9106,#9101,#3633,.T.); -#14728=EDGE_CURVE('',#9104,#9106,#3641,.T.); -#14730=EDGE_CURVE('',#9102,#9104,#3649,.T.); -#14734=EDGE_CURVE('',#9093,#9094,#3454,.T.); -#14736=EDGE_CURVE('',#9098,#9093,#3458,.T.); -#14738=EDGE_CURVE('',#9096,#9098,#3463,.T.); -#14740=EDGE_CURVE('',#9094,#9096,#3467,.T.); -#14744=ADVANCED_FACE('',(#14733,#14743),#14724,.T.); -#14750=EDGE_CURVE('',#9125,#9126,#3657,.T.); -#14752=EDGE_CURVE('',#9130,#9125,#3681,.T.); -#14754=EDGE_CURVE('',#9128,#9130,#3673,.T.); -#14756=EDGE_CURVE('',#9126,#9128,#3665,.T.); -#14760=EDGE_CURVE('',#9117,#9118,#3472,.T.); -#14762=EDGE_CURVE('',#9122,#9117,#3476,.T.); -#14764=EDGE_CURVE('',#9120,#9122,#3481,.T.); -#14766=EDGE_CURVE('',#9118,#9120,#3485,.T.); -#14770=ADVANCED_FACE('',(#14759,#14769),#14749,.T.); -#14776=EDGE_CURVE('',#9154,#9158,#3490,.T.); -#14778=EDGE_CURVE('',#9158,#9093,#3494,.T.); -#14781=EDGE_CURVE('',#9154,#9094,#3602,.T.); -#14785=ADVANCED_FACE('',(#14784),#14775,.T.); -#14791=EDGE_CURVE('',#9150,#9156,#3535,.T.); -#14793=EDGE_CURVE('',#9156,#9158,#3621,.T.); -#14796=EDGE_CURVE('',#9150,#9154,#3499,.T.); -#14800=ADVANCED_FACE('',(#14799),#14790,.T.); -#14806=EDGE_CURVE('',#9133,#9134,#3503,.T.); -#14808=EDGE_CURVE('',#9144,#9133,#3508,.T.); -#14810=EDGE_CURVE('',#9140,#9144,#3512,.T.); -#14812=EDGE_CURVE('',#9134,#9140,#3517,.T.); -#14816=ADVANCED_FACE('',(#14815),#14805,.T.); -#14822=EDGE_CURVE('',#9149,#9150,#3521,.T.); -#14824=EDGE_CURVE('',#9160,#9149,#3526,.T.); -#14826=EDGE_CURVE('',#9156,#9160,#3530,.T.); -#14831=ADVANCED_FACE('',(#14830),#14821,.T.); -#14838=EDGE_CURVE('',#9134,#9138,#3545,.T.); -#14840=EDGE_CURVE('',#9137,#9138,#3568,.T.); -#14842=EDGE_CURVE('',#9133,#9137,#3540,.T.); -#14846=ADVANCED_FACE('',(#14845),#14836,.T.); -#14853=EDGE_CURVE('',#9140,#9142,#3550,.T.); -#14855=EDGE_CURVE('',#9138,#9142,#3577,.T.); -#14860=ADVANCED_FACE('',(#14859),#14851,.T.); -#14867=EDGE_CURVE('',#9144,#9146,#3555,.T.); -#14869=EDGE_CURVE('',#9142,#9146,#3585,.T.); -#14874=ADVANCED_FACE('',(#14873),#14865,.T.); -#14882=EDGE_CURVE('',#9146,#9137,#3560,.T.); -#14887=ADVANCED_FACE('',(#14886),#14879,.T.); -#14894=EDGE_CURVE('',#9137,#9120,#3564,.T.); -#14897=EDGE_CURVE('',#9146,#9122,#3589,.T.); -#14901=ADVANCED_FACE('',(#14900),#14892,.T.); -#14908=EDGE_CURVE('',#9138,#9118,#3572,.T.); -#14914=ADVANCED_FACE('',(#14913),#14906,.F.); -#14921=EDGE_CURVE('',#9142,#9117,#3581,.T.); -#14927=ADVANCED_FACE('',(#14926),#14919,.T.); -#14939=ADVANCED_FACE('',(#14938),#14932,.F.); -#14947=EDGE_CURVE('',#9153,#9154,#3598,.T.); -#14949=EDGE_CURVE('',#9149,#9153,#3594,.T.); -#14953=ADVANCED_FACE('',(#14952),#14944,.T.); -#14962=EDGE_CURVE('',#9153,#9096,#3611,.T.); -#14966=ADVANCED_FACE('',(#14965),#14958,.F.); -#14972=EDGE_CURVE('',#9162,#9153,#3607,.T.); -#14976=EDGE_CURVE('',#9162,#9098,#3629,.T.); -#14980=ADVANCED_FACE('',(#14979),#14971,.T.); -#14989=EDGE_CURVE('',#9160,#9162,#3616,.T.); -#14993=ADVANCED_FACE('',(#14992),#14985,.T.); -#15001=EDGE_CURVE('',#9158,#9162,#3625,.T.); -#15006=ADVANCED_FACE('',(#15005),#14998,.T.); -#15018=ADVANCED_FACE('',(#15017),#15011,.F.); -#15027=EDGE_CURVE('',#9090,#9106,#3637,.T.); -#15031=ADVANCED_FACE('',(#15030),#15023,.T.); -#15040=EDGE_CURVE('',#9088,#9104,#3645,.T.); -#15044=ADVANCED_FACE('',(#15043),#15036,.T.); -#15056=ADVANCED_FACE('',(#15055),#15049,.T.); -#15063=EDGE_CURVE('',#9110,#9126,#3669,.T.); -#15066=EDGE_CURVE('',#9109,#9125,#3661,.T.); -#15070=ADVANCED_FACE('',(#15069),#15061,.T.); -#15077=EDGE_CURVE('',#9112,#9128,#3677,.T.); -#15083=ADVANCED_FACE('',(#15082),#15075,.T.); -#15090=EDGE_CURVE('',#9114,#9130,#3685,.T.); -#15096=ADVANCED_FACE('',(#15095),#15088,.T.); -#15108=ADVANCED_FACE('',(#15107),#15101,.T.); -#15115=EDGE_CURVE('',#9197,#9181,#3689,.T.); -#15117=EDGE_CURVE('',#9181,#9182,#3785,.T.); -#15119=EDGE_CURVE('',#9198,#9182,#3817,.T.); -#15123=ADVANCED_FACE('',(#15122),#15113,.T.); -#15130=EDGE_CURVE('',#9202,#9242,#3733,.T.); -#15132=EDGE_CURVE('',#9242,#9181,#3789,.T.); -#15137=ADVANCED_FACE('',(#15136),#15128,.T.); -#15143=EDGE_CURVE('',#9229,#9230,#3693,.T.); -#15145=EDGE_CURVE('',#9226,#9230,#3697,.T.); -#15148=EDGE_CURVE('',#9216,#9233,#3701,.T.); -#15150=EDGE_CURVE('',#9233,#9234,#3705,.T.); -#15152=EDGE_CURVE('',#9218,#9234,#3709,.T.); -#15155=EDGE_CURVE('',#9208,#9237,#3713,.T.); -#15157=EDGE_CURVE('',#9237,#9238,#3717,.T.); -#15159=EDGE_CURVE('',#9210,#9238,#3721,.T.); -#15162=EDGE_CURVE('',#9200,#9241,#3725,.T.); -#15164=EDGE_CURVE('',#9241,#9242,#3729,.T.); -#15168=EDGE_CURVE('',#9178,#9165,#3737,.T.); -#15170=EDGE_CURVE('',#9165,#9166,#3881,.T.); -#15172=EDGE_CURVE('',#9173,#9166,#3877,.T.); -#15175=EDGE_CURVE('',#9224,#9229,#3741,.T.); -#15179=ADVANCED_FACE('',(#15178),#15142,.T.); -#15186=EDGE_CURVE('',#9194,#9229,#3745,.T.); -#15188=EDGE_CURVE('',#9193,#9194,#3749,.T.); -#15190=EDGE_CURVE('',#9230,#9193,#3753,.T.); -#15194=ADVANCED_FACE('',(#15193),#15184,.T.); -#15201=EDGE_CURVE('',#9190,#9233,#3757,.T.); -#15203=EDGE_CURVE('',#9189,#9190,#3761,.T.); -#15205=EDGE_CURVE('',#9234,#9189,#3765,.T.); -#15209=ADVANCED_FACE('',(#15208),#15199,.T.); -#15216=EDGE_CURVE('',#9186,#9237,#3769,.T.); -#15218=EDGE_CURVE('',#9185,#9186,#3773,.T.); -#15220=EDGE_CURVE('',#9238,#9185,#3777,.T.); -#15224=ADVANCED_FACE('',(#15223),#15214,.T.); -#15231=EDGE_CURVE('',#9182,#9241,#3781,.T.); -#15237=ADVANCED_FACE('',(#15236),#15229,.T.); -#15244=EDGE_CURVE('',#9222,#9194,#3793,.T.); -#15250=ADVANCED_FACE('',(#15249),#15242,.T.); -#15257=EDGE_CURVE('',#9221,#9193,#3797,.T.); -#15263=ADVANCED_FACE('',(#15262),#15255,.T.); -#15275=ADVANCED_FACE('',(#15274),#15268,.T.); -#15282=EDGE_CURVE('',#9214,#9190,#3801,.T.); -#15288=ADVANCED_FACE('',(#15287),#15280,.T.); -#15295=EDGE_CURVE('',#9213,#9189,#3805,.T.); -#15301=ADVANCED_FACE('',(#15300),#15293,.T.); -#15313=ADVANCED_FACE('',(#15312),#15306,.T.); -#15320=EDGE_CURVE('',#9206,#9186,#3809,.T.); -#15326=ADVANCED_FACE('',(#15325),#15318,.T.); -#15333=EDGE_CURVE('',#9205,#9185,#3813,.T.); -#15339=ADVANCED_FACE('',(#15338),#15331,.T.); -#15351=ADVANCED_FACE('',(#15350),#15344,.T.); -#15363=ADVANCED_FACE('',(#15362),#15356,.T.); -#15370=EDGE_CURVE('',#9176,#9170,#3821,.T.); -#15372=EDGE_CURVE('',#9170,#9165,#3885,.T.); -#15377=ADVANCED_FACE('',(#15376),#15368,.T.); -#15384=EDGE_CURVE('',#9174,#9168,#3825,.T.); -#15386=EDGE_CURVE('',#9168,#9170,#3889,.T.); -#15391=EDGE_CURVE('',#9269,#9270,#3829,.T.); -#15393=EDGE_CURVE('',#9270,#9272,#3833,.T.); -#15395=EDGE_CURVE('',#9272,#9253,#3837,.T.); -#15397=EDGE_CURVE('',#9253,#9254,#3841,.T.); -#15399=EDGE_CURVE('',#9254,#9275,#3845,.T.); -#15401=EDGE_CURVE('',#9275,#9276,#3849,.T.); -#15403=EDGE_CURVE('',#9276,#9278,#3853,.T.); -#15405=EDGE_CURVE('',#9278,#9280,#3857,.T.); -#15407=EDGE_CURVE('',#9280,#9257,#3861,.T.); -#15409=EDGE_CURVE('',#9257,#9258,#3865,.T.); -#15411=EDGE_CURVE('',#9258,#9282,#3869,.T.); -#15413=EDGE_CURVE('',#9282,#9269,#3873,.T.); -#15417=ADVANCED_FACE('',(#15390,#15416),#15382,.T.); -#15425=EDGE_CURVE('',#9166,#9168,#3893,.T.); -#15430=ADVANCED_FACE('',(#15429),#15422,.T.); -#15442=ADVANCED_FACE('',(#15441),#15435,.T.); -#15449=EDGE_CURVE('',#9261,#9269,#3897,.T.); -#15451=EDGE_CURVE('',#9261,#9262,#3945,.T.); -#15453=EDGE_CURVE('',#9262,#9270,#3909,.T.); -#15457=ADVANCED_FACE('',(#15456),#15447,.F.); -#15464=EDGE_CURVE('',#9266,#9278,#3901,.T.); -#15466=EDGE_CURVE('',#9266,#9290,#3917,.T.); -#15468=EDGE_CURVE('',#9290,#9280,#3969,.T.); -#15472=ADVANCED_FACE('',(#15471),#15462,.F.); -#15479=EDGE_CURVE('',#9286,#9282,#3981,.T.); -#15481=EDGE_CURVE('',#9286,#9261,#3949,.T.); -#15486=ADVANCED_FACE('',(#15485),#15477,.F.); -#15493=EDGE_CURVE('',#9265,#9276,#3905,.T.); -#15495=EDGE_CURVE('',#9265,#9266,#3921,.T.); -#15500=ADVANCED_FACE('',(#15499),#15491,.F.); -#15508=EDGE_CURVE('',#9262,#9284,#3941,.T.); -#15510=EDGE_CURVE('',#9284,#9272,#3977,.T.); -#15514=ADVANCED_FACE('',(#15513),#15505,.F.); -#15521=EDGE_CURVE('',#9288,#9275,#3961,.T.); -#15523=EDGE_CURVE('',#9288,#9265,#3925,.T.); -#15528=ADVANCED_FACE('',(#15527),#15519,.F.); -#15534=EDGE_CURVE('',#9290,#9249,#3913,.T.); -#15539=EDGE_CURVE('',#9246,#9288,#3929,.T.); -#15541=EDGE_CURVE('',#9245,#9246,#3933,.T.); -#15543=EDGE_CURVE('',#9284,#9245,#3937,.T.); -#15548=EDGE_CURVE('',#9250,#9286,#3953,.T.); -#15550=EDGE_CURVE('',#9249,#9250,#3957,.T.); -#15554=ADVANCED_FACE('',(#15553),#15533,.T.); -#15562=EDGE_CURVE('',#9254,#9246,#3965,.T.); -#15567=ADVANCED_FACE('',(#15566),#15559,.F.); -#15575=EDGE_CURVE('',#9257,#9249,#3989,.T.); -#15580=ADVANCED_FACE('',(#15579),#15572,.F.); -#15587=EDGE_CURVE('',#9253,#9245,#3973,.T.); -#15593=ADVANCED_FACE('',(#15592),#15585,.F.); -#15605=ADVANCED_FACE('',(#15604),#15598,.F.); -#15613=EDGE_CURVE('',#9258,#9250,#3985,.T.); -#15618=ADVANCED_FACE('',(#15617),#15610,.F.); -#15630=ADVANCED_FACE('',(#15629),#15623,.F.); -#15637=EDGE_CURVE('',#9321,#9314,#3993,.T.); -#15639=EDGE_CURVE('',#9314,#9342,#4229,.T.); -#15641=EDGE_CURVE('',#9322,#9342,#4017,.T.); -#15645=ADVANCED_FACE('',(#15644),#15635,.T.); -#15652=EDGE_CURVE('',#9326,#9313,#3997,.T.); -#15654=EDGE_CURVE('',#9313,#9314,#4233,.T.); -#15659=ADVANCED_FACE('',(#15658),#15650,.T.); -#15666=EDGE_CURVE('',#9325,#9341,#4025,.T.); -#15668=EDGE_CURVE('',#9341,#9313,#4237,.T.); -#15673=ADVANCED_FACE('',(#15672),#15664,.T.); -#15679=EDGE_CURVE('',#9350,#9352,#4001,.T.); -#15681=EDGE_CURVE('',#9360,#9352,#4005,.T.); -#15683=EDGE_CURVE('',#9360,#9358,#4209,.T.); -#15685=EDGE_CURVE('',#9358,#9355,#4009,.T.); -#15687=EDGE_CURVE('',#9355,#9356,#4013,.T.); -#15689=EDGE_CURVE('',#9305,#9356,#4201,.T.); -#15693=EDGE_CURVE('',#9341,#9342,#4021,.T.); -#15697=EDGE_CURVE('',#9330,#9338,#4029,.T.); -#15699=EDGE_CURVE('',#9337,#9338,#4033,.T.); -#15701=EDGE_CURVE('',#9333,#9337,#4037,.T.); -#15704=EDGE_CURVE('',#9302,#9350,#4041,.T.); -#15708=ADVANCED_FACE('',(#15707),#15678,.T.); -#15714=EDGE_CURVE('',#9345,#9346,#4053,.T.); -#15716=EDGE_CURVE('',#9352,#9345,#4045,.T.); -#15719=EDGE_CURVE('',#9348,#9350,#4069,.T.); -#15721=EDGE_CURVE('',#9346,#9348,#4061,.T.); -#15725=ADVANCED_FACE('',(#15724),#15713,.T.); -#15732=EDGE_CURVE('',#9366,#9355,#4049,.T.); -#15734=EDGE_CURVE('',#9364,#9366,#4181,.T.); -#15736=EDGE_CURVE('',#9362,#9364,#4189,.T.); -#15738=EDGE_CURVE('',#9356,#9362,#4197,.T.); -#15742=ADVANCED_FACE('',(#15741),#15730,.T.); -#15749=EDGE_CURVE('',#9298,#9346,#4065,.T.); -#15752=EDGE_CURVE('',#9296,#9345,#4057,.T.); -#15756=ADVANCED_FACE('',(#15755),#15747,.T.); -#15763=EDGE_CURVE('',#9300,#9348,#4073,.T.); -#15769=ADVANCED_FACE('',(#15768),#15761,.T.); -#15781=ADVANCED_FACE('',(#15780),#15774,.T.); -#15787=EDGE_CURVE('',#9373,#9374,#4077,.T.); -#15789=EDGE_CURVE('',#9369,#9374,#4081,.T.); -#15791=EDGE_CURVE('',#9369,#9360,#4085,.T.); -#15797=EDGE_CURVE('',#9294,#9373,#4089,.T.); -#15801=ADVANCED_FACE('',(#15800),#15786,.T.); -#15807=EDGE_CURVE('',#9389,#9396,#4093,.T.); -#15809=EDGE_CURVE('',#9377,#9389,#4133,.T.); -#15811=EDGE_CURVE('',#9377,#9378,#4165,.T.); -#15813=EDGE_CURVE('',#9396,#9378,#4097,.T.); -#15817=ADVANCED_FACE('',(#15816),#15806,.T.); -#15823=EDGE_CURVE('',#9394,#9398,#4101,.T.); -#15825=EDGE_CURVE('',#9374,#9398,#4105,.T.); -#15828=EDGE_CURVE('',#9394,#9373,#4125,.T.); -#15832=ADVANCED_FACE('',(#15831),#15822,.T.); -#15838=EDGE_CURVE('',#9389,#9390,#4113,.T.); -#15841=EDGE_CURVE('',#9396,#9381,#4109,.T.); -#15843=EDGE_CURVE('',#9381,#9382,#4141,.T.); -#15845=EDGE_CURVE('',#9390,#9382,#4137,.T.); -#15849=ADVANCED_FACE('',(#15848),#15837,.F.); -#15856=EDGE_CURVE('',#9390,#9392,#4117,.T.); -#15858=EDGE_CURVE('',#9394,#9392,#4121,.T.); -#15863=EDGE_CURVE('',#9293,#9377,#4129,.T.); -#15868=ADVANCED_FACE('',(#15867),#15854,.T.); -#15876=EDGE_CURVE('',#9382,#9384,#4153,.T.); -#15878=EDGE_CURVE('',#9392,#9384,#4213,.T.); -#15882=ADVANCED_FACE('',(#15881),#15873,.F.); -#15889=EDGE_CURVE('',#9386,#9381,#4145,.T.); -#15891=EDGE_CURVE('',#9384,#9386,#4149,.T.); -#15896=ADVANCED_FACE('',(#15895),#15887,.T.); -#15902=EDGE_CURVE('',#9398,#9396,#4161,.T.); -#15904=EDGE_CURVE('',#9398,#9386,#4157,.T.); -#15910=ADVANCED_FACE('',(#15909),#15901,.F.); -#15918=EDGE_CURVE('',#9370,#9378,#4177,.T.); -#15920=EDGE_CURVE('',#9369,#9370,#4205,.T.); -#15926=ADVANCED_FACE('',(#15925),#15915,.F.); -#15935=EDGE_CURVE('',#9310,#9366,#4169,.T.); -#15939=EDGE_CURVE('',#9358,#9370,#4173,.T.); -#15944=ADVANCED_FACE('',(#15943),#15931,.T.); -#15953=EDGE_CURVE('',#9308,#9364,#4185,.T.); -#15957=ADVANCED_FACE('',(#15956),#15949,.T.); -#15966=EDGE_CURVE('',#9306,#9362,#4193,.T.); -#15970=ADVANCED_FACE('',(#15969),#15962,.T.); -#15982=ADVANCED_FACE('',(#15981),#15975,.T.); -#15994=ADVANCED_FACE('',(#15993),#15987,.T.); -#16007=ADVANCED_FACE('',(#16006),#15999,.F.); -#16014=EDGE_CURVE('',#9318,#9338,#4217,.T.); -#16016=EDGE_CURVE('',#9317,#9318,#4221,.T.); -#16018=EDGE_CURVE('',#9337,#9317,#4225,.T.); -#16022=ADVANCED_FACE('',(#16021),#16012,.T.); -#16034=ADVANCED_FACE('',(#16033),#16027,.T.); -#16041=EDGE_CURVE('',#9329,#9318,#4241,.T.); -#16047=ADVANCED_FACE('',(#16046),#16039,.T.); -#16054=EDGE_CURVE('',#9334,#9317,#4245,.T.); -#16060=ADVANCED_FACE('',(#16059),#16052,.T.); -#16072=ADVANCED_FACE('',(#16071),#16065,.T.); -#16079=EDGE_CURVE('',#9440,#9437,#4287,.T.); -#16081=EDGE_CURVE('',#9437,#9444,#4255,.T.); -#16083=EDGE_CURVE('',#9446,#9444,#4395,.T.); -#16087=ADVANCED_FACE('',(#16086),#16077,.T.); -#16093=EDGE_CURVE('',#9430,#9434,#4275,.T.); -#16095=EDGE_CURVE('',#9430,#9402,#4279,.T.); -#16097=EDGE_CURVE('',#9402,#9404,#4411,.T.); -#16099=EDGE_CURVE('',#9438,#9404,#4391,.T.); -#16101=EDGE_CURVE('',#9437,#9438,#4283,.T.); -#16105=EDGE_CURVE('',#9442,#9434,#4291,.T.); -#16109=EDGE_CURVE('',#9421,#9422,#4259,.T.); -#16111=EDGE_CURVE('',#9422,#9424,#4263,.T.); -#16113=EDGE_CURVE('',#9424,#9426,#4267,.T.); -#16115=EDGE_CURVE('',#9426,#9421,#4271,.T.); -#16119=ADVANCED_FACE('',(#16108,#16118),#16092,.T.); -#16126=EDGE_CURVE('',#9421,#9413,#4295,.T.); -#16128=EDGE_CURVE('',#9413,#9414,#4311,.T.); -#16130=EDGE_CURVE('',#9422,#9414,#4307,.T.); -#16134=ADVANCED_FACE('',(#16133),#16124,.T.); -#16141=EDGE_CURVE('',#9426,#9418,#4299,.T.); -#16143=EDGE_CURVE('',#9418,#9413,#4315,.T.); -#16148=ADVANCED_FACE('',(#16147),#16139,.T.); -#16155=EDGE_CURVE('',#9424,#9416,#4303,.T.); -#16157=EDGE_CURVE('',#9416,#9418,#4319,.T.); -#16162=ADVANCED_FACE('',(#16161),#16153,.T.); -#16170=EDGE_CURVE('',#9414,#9416,#4323,.T.); -#16175=ADVANCED_FACE('',(#16174),#16167,.T.); -#16187=ADVANCED_FACE('',(#16186),#16180,.T.); -#16193=EDGE_CURVE('',#9429,#9430,#4327,.T.); -#16196=EDGE_CURVE('',#9429,#9434,#4345,.T.); -#16200=ADVANCED_FACE('',(#16199),#16192,.T.); -#16207=EDGE_CURVE('',#9438,#9444,#4387,.T.); -#16212=ADVANCED_FACE('',(#16211),#16205,.T.); -#16218=EDGE_CURVE('',#9449,#9450,#4349,.T.); -#16220=EDGE_CURVE('',#9449,#9450,#4378,.T.); -#16224=ADVANCED_FACE('',(#16223),#16217,.T.); -#16230=EDGE_CURVE('',#9453,#9454,#4365,.T.); -#16232=EDGE_CURVE('',#9453,#9454,#4416,.T.); -#16236=ADVANCED_FACE('',(#16235),#16229,.T.); -#16243=EDGE_CURVE('',#9432,#9429,#4331,.T.); -#16246=EDGE_CURVE('',#9408,#9401,#4335,.T.); -#16248=EDGE_CURVE('',#9401,#9402,#4399,.T.); -#16253=ADVANCED_FACE('',(#16252),#16241,.T.); -#16265=ADVANCED_FACE('',(#16264),#16258,.T.); -#16272=EDGE_CURVE('',#9456,#9449,#4353,.T.); -#16275=EDGE_CURVE('',#9410,#9406,#4357,.T.); -#16277=EDGE_CURVE('',#9406,#9401,#4403,.T.); -#16281=EDGE_CURVE('',#9462,#9454,#4361,.T.); -#16284=EDGE_CURVE('',#9460,#9453,#4369,.T.); -#16287=EDGE_CURVE('',#9458,#9450,#4373,.T.); -#16291=ADVANCED_FACE('',(#16290),#16270,.T.); -#16303=ADVANCED_FACE('',(#16302),#16296,.T.); -#16311=EDGE_CURVE('',#9404,#9406,#4407,.T.); -#16318=ADVANCED_FACE('',(#16317),#16308,.T.); -#16330=ADVANCED_FACE('',(#16329),#16323,.T.); -#16342=ADVANCED_FACE('',(#16341),#16335,.T.); -#16349=EDGE_CURVE('',#9473,#9465,#4425,.T.); -#16351=EDGE_CURVE('',#9465,#9466,#4569,.T.); -#16353=EDGE_CURVE('',#9474,#9466,#4501,.T.); -#16357=ADVANCED_FACE('',(#16356),#16347,.T.); -#16364=EDGE_CURVE('',#9478,#9470,#4429,.T.); -#16366=EDGE_CURVE('',#9470,#9465,#4573,.T.); -#16371=EDGE_CURVE('',#9673,#9674,#4433,.T.); -#16373=EDGE_CURVE('',#9673,#9689,#4437,.T.); -#16375=EDGE_CURVE('',#9689,#9690,#4441,.T.); -#16377=EDGE_CURVE('',#9674,#9690,#4445,.T.); -#16381=EDGE_CURVE('',#9677,#9678,#4449,.T.); -#16383=EDGE_CURVE('',#9677,#9693,#4453,.T.); -#16385=EDGE_CURVE('',#9693,#9694,#4457,.T.); -#16387=EDGE_CURVE('',#9678,#9694,#4461,.T.); -#16391=EDGE_CURVE('',#9681,#9682,#4465,.T.); -#16393=EDGE_CURVE('',#9681,#9697,#4469,.T.); -#16395=EDGE_CURVE('',#9697,#9698,#4473,.T.); -#16397=EDGE_CURVE('',#9682,#9698,#4477,.T.); -#16401=EDGE_CURVE('',#9685,#9686,#4481,.T.); -#16403=EDGE_CURVE('',#9685,#9701,#4485,.T.); -#16405=EDGE_CURVE('',#9701,#9702,#4489,.T.); -#16407=EDGE_CURVE('',#9686,#9702,#4493,.T.); -#16411=ADVANCED_FACE('',(#16370,#16380,#16390,#16400,#16410),#16362,.T.); -#16418=EDGE_CURVE('',#9476,#9468,#4497,.T.); -#16420=EDGE_CURVE('',#9468,#9470,#4577,.T.); -#16425=ADVANCED_FACE('',(#16424),#16416,.T.); -#16433=EDGE_CURVE('',#9466,#9468,#4581,.T.); -#16438=EDGE_CURVE('',#9641,#9642,#4505,.T.); -#16440=EDGE_CURVE('',#9642,#9658,#4509,.T.); -#16442=EDGE_CURVE('',#9657,#9658,#4513,.T.); -#16444=EDGE_CURVE('',#9641,#9657,#4517,.T.); -#16448=EDGE_CURVE('',#9645,#9646,#4521,.T.); -#16450=EDGE_CURVE('',#9646,#9662,#4525,.T.); -#16452=EDGE_CURVE('',#9661,#9662,#4529,.T.); -#16454=EDGE_CURVE('',#9645,#9661,#4533,.T.); -#16458=EDGE_CURVE('',#9649,#9650,#4537,.T.); -#16460=EDGE_CURVE('',#9650,#9666,#4541,.T.); -#16462=EDGE_CURVE('',#9665,#9666,#4545,.T.); -#16464=EDGE_CURVE('',#9649,#9665,#4549,.T.); -#16468=EDGE_CURVE('',#9653,#9654,#4553,.T.); -#16470=EDGE_CURVE('',#9654,#9670,#4557,.T.); -#16472=EDGE_CURVE('',#9669,#9670,#4561,.T.); -#16474=EDGE_CURVE('',#9653,#9669,#4565,.T.); -#16478=ADVANCED_FACE('',(#16437,#16447,#16457,#16467,#16477),#16430,.T.); -#16490=ADVANCED_FACE('',(#16489),#16483,.T.); -#16497=EDGE_CURVE('',#9641,#9706,#4585,.T.); -#16499=EDGE_CURVE('',#9705,#9706,#4789,.T.); -#16501=EDGE_CURVE('',#9705,#9642,#4589,.T.); -#16505=ADVANCED_FACE('',(#16504),#16495,.F.); -#16512=EDGE_CURVE('',#9645,#9710,#4593,.T.); -#16514=EDGE_CURVE('',#9709,#9710,#4981,.T.); -#16516=EDGE_CURVE('',#9709,#9646,#4597,.T.); -#16520=ADVANCED_FACE('',(#16519),#16510,.F.); -#16527=EDGE_CURVE('',#9649,#9714,#4601,.T.); -#16529=EDGE_CURVE('',#9713,#9714,#5049,.T.); -#16531=EDGE_CURVE('',#9713,#9650,#4605,.T.); -#16535=ADVANCED_FACE('',(#16534),#16525,.F.); -#16542=EDGE_CURVE('',#9653,#9718,#4609,.T.); -#16544=EDGE_CURVE('',#9717,#9718,#5125,.T.); -#16546=EDGE_CURVE('',#9717,#9654,#4613,.T.); -#16550=ADVANCED_FACE('',(#16549),#16540,.F.); -#16557=EDGE_CURVE('',#9674,#9722,#4617,.T.); -#16559=EDGE_CURVE('',#9721,#9722,#5153,.T.); -#16561=EDGE_CURVE('',#9721,#9673,#4621,.T.); -#16565=ADVANCED_FACE('',(#16564),#16555,.F.); -#16572=EDGE_CURVE('',#9678,#9726,#4625,.T.); -#16574=EDGE_CURVE('',#9725,#9726,#4989,.T.); -#16576=EDGE_CURVE('',#9725,#9677,#4629,.T.); -#16580=ADVANCED_FACE('',(#16579),#16570,.F.); -#16587=EDGE_CURVE('',#9682,#9730,#4633,.T.); -#16589=EDGE_CURVE('',#9729,#9730,#5057,.T.); -#16591=EDGE_CURVE('',#9729,#9681,#4637,.T.); -#16595=ADVANCED_FACE('',(#16594),#16585,.F.); -#16602=EDGE_CURVE('',#9686,#9734,#4641,.T.); -#16604=EDGE_CURVE('',#9733,#9734,#5133,.T.); -#16606=EDGE_CURVE('',#9733,#9685,#4645,.T.); -#16610=ADVANCED_FACE('',(#16609),#16600,.F.); -#16616=EDGE_CURVE('',#9577,#9637,#4669,.T.); -#16618=EDGE_CURVE('',#9577,#9509,#4813,.T.); -#16620=EDGE_CURVE('',#9541,#9509,#4797,.T.); -#16623=EDGE_CURVE('',#9546,#9706,#4653,.T.); -#16627=EDGE_CURVE('',#9657,#9637,#4685,.T.); -#16631=ADVANCED_FACE('',(#16630),#16615,.T.); -#16637=EDGE_CURVE('',#9593,#9634,#4657,.T.); -#16639=EDGE_CURVE('',#9634,#9689,#4725,.T.); -#16643=EDGE_CURVE('',#9561,#9721,#5157,.T.); -#16646=EDGE_CURVE('',#9514,#9482,#4665,.T.); -#16648=EDGE_CURVE('',#9482,#9593,#4869,.T.); -#16652=ADVANCED_FACE('',(#16651),#16636,.T.); -#16659=EDGE_CURVE('',#9637,#9638,#4681,.T.); -#16661=EDGE_CURVE('',#9638,#9578,#4673,.T.); -#16663=EDGE_CURVE('',#9577,#9578,#4801,.T.); -#16667=ADVANCED_FACE('',(#16666),#16657,.T.); -#16674=EDGE_CURVE('',#9638,#9658,#4677,.T.); -#16680=ADVANCED_FACE('',(#16679),#16672,.T.); -#16687=EDGE_CURVE('',#9630,#9662,#4689,.T.); -#16689=EDGE_CURVE('',#9629,#9630,#4693,.T.); -#16691=EDGE_CURVE('',#9661,#9629,#4697,.T.); -#16695=ADVANCED_FACE('',(#16694),#16685,.T.); -#16702=EDGE_CURVE('',#9622,#9666,#4701,.T.); -#16704=EDGE_CURVE('',#9621,#9622,#4705,.T.); -#16706=EDGE_CURVE('',#9665,#9621,#4709,.T.); -#16710=ADVANCED_FACE('',(#16709),#16700,.T.); -#16717=EDGE_CURVE('',#9614,#9670,#4713,.T.); -#16719=EDGE_CURVE('',#9613,#9614,#4717,.T.); -#16721=EDGE_CURVE('',#9669,#9613,#4721,.T.); -#16725=ADVANCED_FACE('',(#16724),#16715,.T.); -#16733=EDGE_CURVE('',#9633,#9634,#4729,.T.); -#16735=EDGE_CURVE('',#9690,#9633,#4733,.T.); -#16739=ADVANCED_FACE('',(#16738),#16730,.T.); -#16746=EDGE_CURVE('',#9626,#9693,#4737,.T.); -#16748=EDGE_CURVE('',#9625,#9626,#4741,.T.); -#16750=EDGE_CURVE('',#9694,#9625,#4745,.T.); -#16754=ADVANCED_FACE('',(#16753),#16744,.T.); -#16761=EDGE_CURVE('',#9618,#9697,#4749,.T.); -#16763=EDGE_CURVE('',#9617,#9618,#4753,.T.); -#16765=EDGE_CURVE('',#9698,#9617,#4757,.T.); -#16769=ADVANCED_FACE('',(#16768),#16759,.T.); -#16776=EDGE_CURVE('',#9610,#9701,#4761,.T.); -#16778=EDGE_CURVE('',#9609,#9610,#4765,.T.); -#16780=EDGE_CURVE('',#9702,#9609,#4769,.T.); -#16784=ADVANCED_FACE('',(#16783),#16774,.T.); -#16791=EDGE_CURVE('',#9545,#9705,#4793,.T.); -#16794=EDGE_CURVE('',#9542,#9510,#4777,.T.); -#16796=EDGE_CURVE('',#9510,#9578,#4805,.T.); -#16803=ADVANCED_FACE('',(#16802),#16789,.T.); -#16809=EDGE_CURVE('',#9562,#9722,#4781,.T.); -#16814=EDGE_CURVE('',#9594,#9633,#5145,.T.); -#16816=EDGE_CURVE('',#9594,#9481,#4877,.T.); -#16818=EDGE_CURVE('',#9513,#9481,#5149,.T.); -#16823=ADVANCED_FACE('',(#16822),#16808,.T.); -#16835=ADVANCED_FACE('',(#16834),#16828,.T.); -#16843=EDGE_CURVE('',#9509,#9510,#4809,.T.); -#16848=ADVANCED_FACE('',(#16847),#16840,.T.); -#16860=ADVANCED_FACE('',(#16859),#16853,.T.); -#16866=EDGE_CURVE('',#9581,#9582,#4817,.T.); -#16868=EDGE_CURVE('',#9498,#9582,#4821,.T.); -#16870=EDGE_CURVE('',#9497,#9498,#4825,.T.); -#16872=EDGE_CURVE('',#9581,#9497,#4829,.T.); -#16876=ADVANCED_FACE('',(#16875),#16865,.T.); -#16882=EDGE_CURVE('',#9585,#9586,#4833,.T.); -#16884=EDGE_CURVE('',#9502,#9586,#4837,.T.); -#16886=EDGE_CURVE('',#9501,#9502,#4841,.T.); -#16888=EDGE_CURVE('',#9585,#9501,#4845,.T.); -#16892=ADVANCED_FACE('',(#16891),#16881,.T.); -#16898=EDGE_CURVE('',#9589,#9590,#4849,.T.); -#16900=EDGE_CURVE('',#9506,#9589,#4853,.T.); -#16902=EDGE_CURVE('',#9505,#9506,#4857,.T.); -#16904=EDGE_CURVE('',#9590,#9505,#4861,.T.); -#16908=ADVANCED_FACE('',(#16907),#16897,.T.); -#16914=EDGE_CURVE('',#9593,#9594,#4865,.T.); -#16917=EDGE_CURVE('',#9481,#9482,#4873,.T.); -#16922=ADVANCED_FACE('',(#16921),#16913,.T.); -#16928=EDGE_CURVE('',#9597,#9598,#4881,.T.); -#16930=EDGE_CURVE('',#9486,#9598,#4885,.T.); -#16932=EDGE_CURVE('',#9485,#9486,#4889,.T.); -#16934=EDGE_CURVE('',#9597,#9485,#4893,.T.); -#16938=ADVANCED_FACE('',(#16937),#16927,.T.); -#16944=EDGE_CURVE('',#9601,#9602,#4897,.T.); -#16946=EDGE_CURVE('',#9490,#9602,#4901,.T.); -#16948=EDGE_CURVE('',#9489,#9490,#4905,.T.); -#16950=EDGE_CURVE('',#9601,#9489,#4909,.T.); -#16954=ADVANCED_FACE('',(#16953),#16943,.T.); -#16960=EDGE_CURVE('',#9605,#9606,#4913,.T.); -#16962=EDGE_CURVE('',#9494,#9606,#4917,.T.); -#16964=EDGE_CURVE('',#9493,#9494,#4921,.T.); -#16966=EDGE_CURVE('',#9605,#9493,#4925,.T.); -#16970=ADVANCED_FACE('',(#16969),#16959,.T.); -#16976=EDGE_CURVE('',#9581,#9629,#4929,.T.); -#16979=EDGE_CURVE('',#9582,#9630,#4965,.T.); -#16984=ADVANCED_FACE('',(#16983),#16975,.T.); -#16992=EDGE_CURVE('',#9529,#9497,#4953,.T.); -#16995=EDGE_CURVE('',#9550,#9710,#4937,.T.); -#17002=ADVANCED_FACE('',(#17001),#16989,.T.); -#17008=EDGE_CURVE('',#9598,#9626,#4941,.T.); -#17013=EDGE_CURVE('',#9565,#9725,#4993,.T.); -#17016=EDGE_CURVE('',#9518,#9486,#4949,.T.); -#17021=ADVANCED_FACE('',(#17020),#17007,.T.); -#17030=EDGE_CURVE('',#9530,#9498,#4961,.T.); -#17034=ADVANCED_FACE('',(#17033),#17026,.T.); -#17041=EDGE_CURVE('',#9549,#9709,#4985,.T.); -#17051=ADVANCED_FACE('',(#17050),#17039,.T.); -#17057=EDGE_CURVE('',#9566,#9726,#4969,.T.); -#17062=EDGE_CURVE('',#9625,#9597,#4973,.T.); -#17065=EDGE_CURVE('',#9517,#9485,#4997,.T.); -#17070=ADVANCED_FACE('',(#17069),#17056,.T.); -#17082=ADVANCED_FACE('',(#17081),#17075,.T.); -#17094=ADVANCED_FACE('',(#17093),#17087,.T.); -#17106=ADVANCED_FACE('',(#17105),#17099,.T.); -#17118=ADVANCED_FACE('',(#17117),#17111,.T.); -#17124=EDGE_CURVE('',#9585,#9621,#5001,.T.); -#17127=EDGE_CURVE('',#9622,#9586,#5005,.T.); -#17132=ADVANCED_FACE('',(#17131),#17123,.T.); -#17140=EDGE_CURVE('',#9533,#9501,#5029,.T.); -#17143=EDGE_CURVE('',#9554,#9714,#5013,.T.); -#17150=ADVANCED_FACE('',(#17149),#17137,.T.); -#17156=EDGE_CURVE('',#9602,#9618,#5017,.T.); -#17161=EDGE_CURVE('',#9569,#9729,#5061,.T.); -#17164=EDGE_CURVE('',#9522,#9490,#5025,.T.); -#17169=ADVANCED_FACE('',(#17168),#17155,.T.); -#17178=EDGE_CURVE('',#9534,#9502,#5037,.T.); -#17182=ADVANCED_FACE('',(#17181),#17174,.T.); -#17189=EDGE_CURVE('',#9553,#9713,#5053,.T.); -#17199=ADVANCED_FACE('',(#17198),#17187,.T.); -#17205=EDGE_CURVE('',#9570,#9730,#5041,.T.); -#17210=EDGE_CURVE('',#9601,#9617,#5065,.T.); -#17213=EDGE_CURVE('',#9521,#9489,#5069,.T.); -#17218=ADVANCED_FACE('',(#17217),#17204,.T.); -#17230=ADVANCED_FACE('',(#17229),#17223,.T.); -#17242=ADVANCED_FACE('',(#17241),#17235,.T.); -#17254=ADVANCED_FACE('',(#17253),#17247,.T.); -#17266=ADVANCED_FACE('',(#17265),#17259,.T.); -#17272=EDGE_CURVE('',#9590,#9613,#5073,.T.); -#17275=EDGE_CURVE('',#9589,#9614,#5109,.T.); -#17280=ADVANCED_FACE('',(#17279),#17271,.T.); -#17288=EDGE_CURVE('',#9537,#9505,#5097,.T.); -#17291=EDGE_CURVE('',#9558,#9718,#5081,.T.); -#17298=ADVANCED_FACE('',(#17297),#17285,.T.); -#17304=EDGE_CURVE('',#9606,#9610,#5085,.T.); -#17309=EDGE_CURVE('',#9573,#9733,#5137,.T.); -#17312=EDGE_CURVE('',#9526,#9494,#5093,.T.); -#17317=ADVANCED_FACE('',(#17316),#17303,.T.); -#17326=EDGE_CURVE('',#9538,#9506,#5105,.T.); -#17330=ADVANCED_FACE('',(#17329),#17322,.T.); -#17337=EDGE_CURVE('',#9557,#9717,#5129,.T.); -#17347=ADVANCED_FACE('',(#17346),#17335,.T.); -#17353=EDGE_CURVE('',#9574,#9734,#5113,.T.); -#17358=EDGE_CURVE('',#9609,#9605,#5117,.T.); -#17361=EDGE_CURVE('',#9525,#9493,#5141,.T.); -#17366=ADVANCED_FACE('',(#17365),#17352,.T.); -#17378=ADVANCED_FACE('',(#17377),#17371,.T.); -#17390=ADVANCED_FACE('',(#17389),#17383,.T.); -#17402=ADVANCED_FACE('',(#17401),#17395,.T.); -#17414=ADVANCED_FACE('',(#17413),#17407,.T.); -#17426=ADVANCED_FACE('',(#17425),#17419,.T.); -#17438=ADVANCED_FACE('',(#17437),#17431,.T.); -#17450=ADVANCED_FACE('',(#17449),#17443,.T.); -#17457=EDGE_CURVE('',#9769,#9754,#5161,.T.); -#17459=EDGE_CURVE('',#9754,#9810,#5209,.T.); -#17461=EDGE_CURVE('',#9770,#9810,#5181,.T.); -#17465=ADVANCED_FACE('',(#17464),#17455,.T.); -#17472=EDGE_CURVE('',#9774,#9753,#5165,.T.); -#17474=EDGE_CURVE('',#9753,#9754,#5213,.T.); -#17479=ADVANCED_FACE('',(#17478),#17470,.T.); -#17486=EDGE_CURVE('',#9773,#9809,#5173,.T.); -#17488=EDGE_CURVE('',#9809,#9753,#5217,.T.); -#17493=ADVANCED_FACE('',(#17492),#17484,.T.); -#17499=EDGE_CURVE('',#9809,#9810,#5169,.T.); -#17503=EDGE_CURVE('',#9748,#9740,#5177,.T.); -#17505=EDGE_CURVE('',#9740,#9742,#5289,.T.); -#17507=EDGE_CURVE('',#9750,#9742,#5265,.T.); -#17513=ADVANCED_FACE('',(#17512),#17498,.T.); -#17519=EDGE_CURVE('',#9801,#9802,#5233,.T.); -#17521=EDGE_CURVE('',#9762,#9802,#5185,.T.); -#17523=EDGE_CURVE('',#9761,#9762,#5189,.T.); -#17525=EDGE_CURVE('',#9801,#9761,#5193,.T.); -#17529=ADVANCED_FACE('',(#17528),#17518,.T.); -#17535=EDGE_CURVE('',#9805,#9806,#5297,.T.); -#17537=EDGE_CURVE('',#9758,#9806,#5197,.T.); -#17539=EDGE_CURVE('',#9757,#9758,#5201,.T.); -#17541=EDGE_CURVE('',#9805,#9757,#5205,.T.); -#17545=ADVANCED_FACE('',(#17544),#17534,.T.); -#17557=ADVANCED_FACE('',(#17556),#17550,.T.); -#17563=EDGE_CURVE('',#9813,#9814,#5257,.T.); -#17565=EDGE_CURVE('',#9766,#9814,#5221,.T.); -#17567=EDGE_CURVE('',#9765,#9766,#5225,.T.); -#17569=EDGE_CURVE('',#9813,#9765,#5229,.T.); -#17573=ADVANCED_FACE('',(#17572),#17562,.T.); -#17580=EDGE_CURVE('',#9789,#9801,#5237,.T.); -#17583=EDGE_CURVE('',#9744,#9737,#5241,.T.); -#17585=EDGE_CURVE('',#9737,#9738,#5281,.T.); -#17587=EDGE_CURVE('',#9746,#9738,#5305,.T.); -#17590=EDGE_CURVE('',#9786,#9802,#5245,.T.); -#17594=ADVANCED_FACE('',(#17593),#17578,.T.); -#17603=EDGE_CURVE('',#9790,#9761,#5249,.T.); -#17607=ADVANCED_FACE('',(#17606),#17599,.T.); -#17616=EDGE_CURVE('',#9785,#9762,#5253,.T.); -#17620=ADVANCED_FACE('',(#17619),#17612,.T.); -#17632=ADVANCED_FACE('',(#17631),#17625,.T.); -#17639=EDGE_CURVE('',#9797,#9813,#5261,.T.); -#17643=EDGE_CURVE('',#9742,#9737,#5285,.T.); -#17647=EDGE_CURVE('',#9794,#9814,#5269,.T.); -#17651=ADVANCED_FACE('',(#17650),#17637,.T.); -#17660=EDGE_CURVE('',#9798,#9765,#5273,.T.); -#17664=ADVANCED_FACE('',(#17663),#17656,.T.); -#17673=EDGE_CURVE('',#9793,#9766,#5277,.T.); -#17677=ADVANCED_FACE('',(#17676),#17669,.T.); -#17689=ADVANCED_FACE('',(#17688),#17682,.T.); -#17698=EDGE_CURVE('',#9738,#9740,#5293,.T.); -#17702=ADVANCED_FACE('',(#17701),#17694,.T.); -#17709=EDGE_CURVE('',#9781,#9805,#5301,.T.); -#17716=EDGE_CURVE('',#9778,#9806,#5309,.T.); -#17720=ADVANCED_FACE('',(#17719),#17707,.T.); -#17729=EDGE_CURVE('',#9782,#9757,#5313,.T.); -#17733=ADVANCED_FACE('',(#17732),#17725,.T.); -#17742=EDGE_CURVE('',#9777,#9758,#5317,.T.); -#17746=ADVANCED_FACE('',(#17745),#17738,.T.); -#17758=ADVANCED_FACE('',(#17757),#17751,.T.); -#17765=EDGE_CURVE('',#9841,#9833,#5321,.T.); -#17767=EDGE_CURVE('',#9833,#9834,#5341,.T.); -#17769=EDGE_CURVE('',#9842,#9834,#5333,.T.); -#17773=ADVANCED_FACE('',(#17772),#17763,.T.); -#17780=EDGE_CURVE('',#9850,#9838,#5325,.T.); -#17782=EDGE_CURVE('',#9838,#9862,#5349,.T.); -#17784=EDGE_CURVE('',#9852,#9862,#5393,.T.); -#17788=ADVANCED_FACE('',(#17787),#17778,.T.); -#17795=EDGE_CURVE('',#9854,#9858,#5365,.T.); -#17797=EDGE_CURVE('',#9858,#9833,#5345,.T.); -#17802=ADVANCED_FACE('',(#17801),#17793,.T.); -#17809=EDGE_CURVE('',#9848,#9837,#5329,.T.); -#17811=EDGE_CURVE('',#9837,#9838,#5353,.T.); -#17816=ADVANCED_FACE('',(#17815),#17807,.T.); -#17824=EDGE_CURVE('',#9834,#9857,#5337,.T.); -#17826=EDGE_CURVE('',#9844,#9857,#5373,.T.); -#17830=ADVANCED_FACE('',(#17829),#17821,.T.); -#17837=EDGE_CURVE('',#9847,#9861,#5385,.T.); -#17839=EDGE_CURVE('',#9861,#9837,#5357,.T.); -#17844=ADVANCED_FACE('',(#17843),#17835,.T.); -#17850=EDGE_CURVE('',#9857,#9858,#5361,.T.); -#17857=ADVANCED_FACE('',(#17856),#17849,.T.); -#17863=EDGE_CURVE('',#9861,#9862,#5381,.T.); -#17870=ADVANCED_FACE('',(#17869),#17862,.T.); -#17879=EDGE_CURVE('',#9830,#9817,#5369,.T.); -#17881=EDGE_CURVE('',#9817,#9818,#5401,.T.); -#17883=EDGE_CURVE('',#9825,#9818,#5397,.T.); -#17889=ADVANCED_FACE('',(#17888),#17875,.T.); -#17896=EDGE_CURVE('',#9829,#9822,#5377,.T.); -#17898=EDGE_CURVE('',#9822,#9817,#5405,.T.); -#17903=ADVANCED_FACE('',(#17902),#17894,.T.); -#17912=EDGE_CURVE('',#9826,#9820,#5389,.T.); -#17914=EDGE_CURVE('',#9820,#9822,#5409,.T.); -#17921=ADVANCED_FACE('',(#17920),#17908,.T.); -#17929=EDGE_CURVE('',#9818,#9820,#5413,.T.); -#17934=ADVANCED_FACE('',(#17933),#17926,.T.); -#17946=ADVANCED_FACE('',(#17945),#17939,.T.); -#17953=EDGE_CURVE('',#9873,#9865,#5417,.T.); -#17955=EDGE_CURVE('',#9865,#9866,#5433,.T.); -#17957=EDGE_CURVE('',#9874,#9866,#5429,.T.); -#17961=ADVANCED_FACE('',(#17960),#17951,.T.); -#17968=EDGE_CURVE('',#9878,#9870,#5421,.T.); -#17970=EDGE_CURVE('',#9870,#9865,#5437,.T.); -#17975=ADVANCED_FACE('',(#17974),#17966,.T.); -#17982=EDGE_CURVE('',#9876,#9868,#5425,.T.); -#17984=EDGE_CURVE('',#9868,#9870,#5441,.T.); -#17989=ADVANCED_FACE('',(#17988),#17980,.T.); -#17997=EDGE_CURVE('',#9866,#9868,#5445,.T.); -#18002=ADVANCED_FACE('',(#18001),#17994,.T.); -#18014=ADVANCED_FACE('',(#18013),#18007,.T.); -#18021=EDGE_CURVE('',#9919,#9944,#5453,.T.); -#18023=EDGE_CURVE('',#9944,#9907,#5473,.T.); -#18025=EDGE_CURVE('',#9920,#9907,#5505,.T.); -#18029=ADVANCED_FACE('',(#18028),#18019,.T.); -#18035=EDGE_CURVE('',#9943,#9944,#5449,.T.); -#18039=EDGE_CURVE('',#9890,#9881,#5457,.T.); -#18041=EDGE_CURVE('',#9881,#9882,#5545,.T.); -#18043=EDGE_CURVE('',#9892,#9882,#5517,.T.); -#18046=EDGE_CURVE('',#9924,#9943,#5461,.T.); -#18050=ADVANCED_FACE('',(#18049),#18034,.T.); -#18057=EDGE_CURVE('',#9908,#9943,#5465,.T.); -#18059=EDGE_CURVE('',#9907,#9908,#5469,.T.); -#18064=ADVANCED_FACE('',(#18063),#18055,.T.); -#18070=EDGE_CURVE('',#9947,#9948,#5509,.T.); -#18072=EDGE_CURVE('',#9916,#9948,#5477,.T.); -#18074=EDGE_CURVE('',#9915,#9916,#5481,.T.); -#18076=EDGE_CURVE('',#9947,#9915,#5485,.T.); -#18080=ADVANCED_FACE('',(#18079),#18069,.T.); -#18086=EDGE_CURVE('',#9951,#9952,#5557,.T.); -#18088=EDGE_CURVE('',#9912,#9952,#5489,.T.); -#18090=EDGE_CURVE('',#9911,#9912,#5493,.T.); -#18092=EDGE_CURVE('',#9951,#9911,#5497,.T.); -#18096=ADVANCED_FACE('',(#18095),#18085,.T.); -#18103=EDGE_CURVE('',#9922,#9908,#5501,.T.); -#18109=ADVANCED_FACE('',(#18108),#18101,.T.); -#18121=ADVANCED_FACE('',(#18120),#18114,.T.); -#18128=EDGE_CURVE('',#9940,#9947,#5513,.T.); -#18132=EDGE_CURVE('',#9882,#9884,#5541,.T.); -#18134=EDGE_CURVE('',#9894,#9884,#5565,.T.); -#18137=EDGE_CURVE('',#9938,#9948,#5521,.T.); -#18141=ADVANCED_FACE('',(#18140),#18126,.T.); -#18150=EDGE_CURVE('',#9935,#9915,#5525,.T.); -#18154=ADVANCED_FACE('',(#18153),#18146,.T.); -#18163=EDGE_CURVE('',#9936,#9916,#5529,.T.); -#18167=ADVANCED_FACE('',(#18166),#18159,.T.); -#18179=ADVANCED_FACE('',(#18178),#18172,.T.); -#18185=EDGE_CURVE('',#9886,#9904,#5533,.T.); -#18187=EDGE_CURVE('',#9884,#9886,#5537,.T.); -#18191=EDGE_CURVE('',#9904,#9881,#5549,.T.); -#18193=EDGE_CURVE('',#9904,#9901,#5591,.T.); -#18195=EDGE_CURVE('',#9901,#9902,#5596,.T.); -#18197=EDGE_CURVE('',#9902,#9904,#5582,.T.); -#18201=ADVANCED_FACE('',(#18200),#18184,.T.); -#18208=EDGE_CURVE('',#9889,#9886,#5553,.T.); -#18215=ADVANCED_FACE('',(#18214),#18206,.T.); -#18222=EDGE_CURVE('',#9927,#9951,#5561,.T.); -#18229=EDGE_CURVE('',#9932,#9952,#5569,.T.); -#18233=ADVANCED_FACE('',(#18232),#18220,.T.); -#18242=EDGE_CURVE('',#9928,#9911,#5573,.T.); -#18246=ADVANCED_FACE('',(#18245),#18238,.T.); -#18255=EDGE_CURVE('',#9930,#9912,#5577,.T.); -#18259=ADVANCED_FACE('',(#18258),#18251,.T.); -#18271=ADVANCED_FACE('',(#18270),#18264,.T.); -#18278=EDGE_CURVE('',#9902,#9897,#5586,.T.); -#18280=EDGE_CURVE('',#9897,#9898,#5605,.T.); -#18282=EDGE_CURVE('',#9901,#9898,#5600,.T.); -#18287=ADVANCED_FACE('',(#18286),#18276,.T.); -#18295=EDGE_CURVE('',#9898,#9897,#5610,.T.); -#18300=ADVANCED_FACE('',(#18299),#18292,.T.); -#18310=EDGE_CURVE('',#9963,#9964,#5615,.T.); -#18312=EDGE_CURVE('',#9964,#9963,#5620,.T.); -#18316=ADVANCED_FACE('',(#18309,#18315),#18305,.T.); -#18322=EDGE_CURVE('',#9967,#9968,#5625,.T.); -#18324=EDGE_CURVE('',#9968,#9967,#5630,.T.); -#18328=ADVANCED_FACE('',(#18327),#18321,.T.); -#18335=EDGE_CURVE('',#9955,#9963,#5634,.T.); -#18337=EDGE_CURVE('',#9955,#9956,#5643,.T.); -#18339=EDGE_CURVE('',#9956,#9964,#5638,.T.); -#18343=ADVANCED_FACE('',(#18342),#18333,.F.); -#18351=EDGE_CURVE('',#9956,#9955,#5648,.T.); -#18356=ADVANCED_FACE('',(#18355),#18348,.F.); -#18366=EDGE_CURVE('',#9959,#9960,#5653,.T.); -#18368=EDGE_CURVE('',#9960,#9959,#5658,.T.); -#18372=ADVANCED_FACE('',(#18365,#18371),#18361,.T.); -#18379=EDGE_CURVE('',#9959,#9968,#5662,.T.); -#18382=EDGE_CURVE('',#9960,#9967,#5666,.T.); -#18386=ADVANCED_FACE('',(#18385),#18377,.T.); -#18398=ADVANCED_FACE('',(#18397),#18391,.T.); -#18405=EDGE_CURVE('',#9987,#9971,#5670,.T.); -#18407=EDGE_CURVE('',#9971,#9972,#5686,.T.); -#18409=EDGE_CURVE('',#9988,#9972,#5682,.T.); -#18413=ADVANCED_FACE('',(#18412),#18403,.T.); -#18420=EDGE_CURVE('',#9992,#9976,#5674,.T.); -#18422=EDGE_CURVE('',#9976,#9971,#5690,.T.); -#18427=ADVANCED_FACE('',(#18426),#18418,.T.); -#18434=EDGE_CURVE('',#9990,#9974,#5678,.T.); -#18436=EDGE_CURVE('',#9974,#9976,#5694,.T.); -#18441=ADVANCED_FACE('',(#18440),#18432,.T.); -#18449=EDGE_CURVE('',#9972,#9974,#5698,.T.); -#18454=ADVANCED_FACE('',(#18453),#18446,.T.); -#18466=ADVANCED_FACE('',(#18465),#18459,.T.); -#18484=EDGE_CURVE('',#9979,#9980,#5702,.T.); -#18486=EDGE_CURVE('',#9984,#9979,#5706,.T.); -#18488=EDGE_CURVE('',#9982,#9984,#5710,.T.); -#18490=EDGE_CURVE('',#9980,#9982,#5714,.T.); -#18494=ADVANCED_FACE('',(#18493),#18483,.T.); -#18513=EDGE_CURVE('',#9995,#9979,#5718,.T.); -#18516=EDGE_CURVE('',#9996,#9980,#5730,.T.); -#18520=ADVANCED_FACE('',(#18519),#18511,.T.); -#18527=EDGE_CURVE('',#10000,#9984,#5722,.T.); -#18533=ADVANCED_FACE('',(#18532),#18525,.T.); -#18540=EDGE_CURVE('',#9998,#9982,#5726,.T.); -#18546=ADVANCED_FACE('',(#18545),#18538,.T.); -#18558=ADVANCED_FACE('',(#18557),#18551,.T.); -#18565=EDGE_CURVE('',#10163,#10003,#5734,.T.); -#18567=EDGE_CURVE('',#10003,#10004,#5750,.T.); -#18569=EDGE_CURVE('',#10164,#10004,#5746,.T.); -#18573=ADVANCED_FACE('',(#18572),#18563,.T.); -#18580=EDGE_CURVE('',#10168,#10008,#5738,.T.); -#18582=EDGE_CURVE('',#10008,#10003,#5754,.T.); -#18587=ADVANCED_FACE('',(#18586),#18578,.T.); -#18594=EDGE_CURVE('',#10166,#10006,#5742,.T.); -#18596=EDGE_CURVE('',#10006,#10008,#5758,.T.); -#18601=ADVANCED_FACE('',(#18600),#18592,.T.); -#18609=EDGE_CURVE('',#10004,#10006,#5762,.T.); -#18614=ADVANCED_FACE('',(#18613),#18606,.T.); -#18626=ADVANCED_FACE('',(#18625),#18619,.T.); -#18632=EDGE_CURVE('',#10011,#10012,#5766,.T.); -#18634=EDGE_CURVE('',#10016,#10011,#5770,.T.); -#18636=EDGE_CURVE('',#10014,#10016,#5774,.T.); -#18638=EDGE_CURVE('',#10012,#10014,#5778,.T.); -#18642=ADVANCED_FACE('',(#18641),#18631,.T.); -#18648=EDGE_CURVE('',#10019,#10020,#5782,.T.); -#18650=EDGE_CURVE('',#10024,#10019,#5786,.T.); -#18652=EDGE_CURVE('',#10022,#10024,#5790,.T.); -#18654=EDGE_CURVE('',#10020,#10022,#5794,.T.); -#18658=ADVANCED_FACE('',(#18657),#18647,.T.); -#18664=EDGE_CURVE('',#10027,#10028,#5798,.T.); -#18666=EDGE_CURVE('',#10032,#10027,#5802,.T.); -#18668=EDGE_CURVE('',#10030,#10032,#5806,.T.); -#18670=EDGE_CURVE('',#10028,#10030,#5810,.T.); -#18674=ADVANCED_FACE('',(#18673),#18663,.T.); -#18680=EDGE_CURVE('',#10035,#10036,#5814,.T.); -#18682=EDGE_CURVE('',#10040,#10035,#5818,.T.); -#18684=EDGE_CURVE('',#10038,#10040,#5822,.T.); -#18686=EDGE_CURVE('',#10036,#10038,#5826,.T.); -#18690=ADVANCED_FACE('',(#18689),#18679,.T.); -#18696=EDGE_CURVE('',#10043,#10044,#5830,.T.); -#18698=EDGE_CURVE('',#10048,#10043,#5834,.T.); -#18700=EDGE_CURVE('',#10046,#10048,#5838,.T.); -#18702=EDGE_CURVE('',#10044,#10046,#5842,.T.); -#18706=ADVANCED_FACE('',(#18705),#18695,.T.); -#18712=EDGE_CURVE('',#10051,#10052,#5846,.T.); -#18714=EDGE_CURVE('',#10056,#10051,#5850,.T.); -#18716=EDGE_CURVE('',#10054,#10056,#5854,.T.); -#18718=EDGE_CURVE('',#10052,#10054,#5858,.T.); -#18722=ADVANCED_FACE('',(#18721),#18711,.T.); -#18728=EDGE_CURVE('',#10059,#10060,#5862,.T.); -#18730=EDGE_CURVE('',#10064,#10059,#5866,.T.); -#18732=EDGE_CURVE('',#10062,#10064,#5870,.T.); -#18734=EDGE_CURVE('',#10060,#10062,#5874,.T.); -#18738=ADVANCED_FACE('',(#18737),#18727,.T.); -#18744=EDGE_CURVE('',#10067,#10068,#5878,.T.); -#18746=EDGE_CURVE('',#10072,#10067,#5882,.T.); -#18748=EDGE_CURVE('',#10070,#10072,#5886,.T.); -#18750=EDGE_CURVE('',#10068,#10070,#5890,.T.); -#18754=ADVANCED_FACE('',(#18753),#18743,.T.); -#18760=EDGE_CURVE('',#10075,#10076,#5894,.T.); -#18762=EDGE_CURVE('',#10080,#10075,#5898,.T.); -#18764=EDGE_CURVE('',#10078,#10080,#5902,.T.); -#18766=EDGE_CURVE('',#10076,#10078,#5906,.T.); -#18770=ADVANCED_FACE('',(#18769),#18759,.T.); -#18776=EDGE_CURVE('',#10083,#10084,#5910,.T.); -#18778=EDGE_CURVE('',#10088,#10083,#5914,.T.); -#18780=EDGE_CURVE('',#10086,#10088,#5918,.T.); -#18782=EDGE_CURVE('',#10084,#10086,#5922,.T.); -#18786=ADVANCED_FACE('',(#18785),#18775,.T.); -#18792=EDGE_CURVE('',#10091,#10092,#5926,.T.); -#18794=EDGE_CURVE('',#10096,#10091,#5930,.T.); -#18796=EDGE_CURVE('',#10094,#10096,#5934,.T.); -#18798=EDGE_CURVE('',#10092,#10094,#5938,.T.); -#18802=ADVANCED_FACE('',(#18801),#18791,.T.); -#18808=EDGE_CURVE('',#10099,#10100,#5942,.T.); -#18810=EDGE_CURVE('',#10104,#10099,#5946,.T.); -#18812=EDGE_CURVE('',#10102,#10104,#5950,.T.); -#18814=EDGE_CURVE('',#10100,#10102,#5954,.T.); -#18818=ADVANCED_FACE('',(#18817),#18807,.T.); -#18824=EDGE_CURVE('',#10107,#10108,#5958,.T.); -#18826=EDGE_CURVE('',#10112,#10107,#5962,.T.); -#18828=EDGE_CURVE('',#10110,#10112,#5966,.T.); -#18830=EDGE_CURVE('',#10108,#10110,#5970,.T.); -#18834=ADVANCED_FACE('',(#18833),#18823,.T.); -#18840=EDGE_CURVE('',#10115,#10116,#5974,.T.); -#18842=EDGE_CURVE('',#10120,#10115,#5978,.T.); -#18844=EDGE_CURVE('',#10118,#10120,#5982,.T.); -#18846=EDGE_CURVE('',#10116,#10118,#5986,.T.); -#18850=ADVANCED_FACE('',(#18849),#18839,.T.); -#18856=EDGE_CURVE('',#10123,#10124,#5990,.T.); -#18858=EDGE_CURVE('',#10128,#10123,#5994,.T.); -#18860=EDGE_CURVE('',#10126,#10128,#5998,.T.); -#18862=EDGE_CURVE('',#10124,#10126,#6002,.T.); -#18866=ADVANCED_FACE('',(#18865),#18855,.T.); -#18872=EDGE_CURVE('',#10131,#10132,#6006,.T.); -#18874=EDGE_CURVE('',#10136,#10131,#6010,.T.); -#18876=EDGE_CURVE('',#10134,#10136,#6014,.T.); -#18878=EDGE_CURVE('',#10132,#10134,#6018,.T.); -#18882=ADVANCED_FACE('',(#18881),#18871,.T.); -#18888=EDGE_CURVE('',#10139,#10140,#6022,.T.); -#18890=EDGE_CURVE('',#10144,#10139,#6026,.T.); -#18892=EDGE_CURVE('',#10142,#10144,#6030,.T.); -#18894=EDGE_CURVE('',#10140,#10142,#6034,.T.); -#18898=ADVANCED_FACE('',(#18897),#18887,.T.); -#18904=EDGE_CURVE('',#10147,#10148,#6038,.T.); -#18906=EDGE_CURVE('',#10152,#10147,#6042,.T.); -#18908=EDGE_CURVE('',#10150,#10152,#6046,.T.); -#18910=EDGE_CURVE('',#10148,#10150,#6050,.T.); -#18914=ADVANCED_FACE('',(#18913),#18903,.T.); -#18920=EDGE_CURVE('',#10155,#10156,#6054,.T.); -#18922=EDGE_CURVE('',#10160,#10155,#6058,.T.); -#18924=EDGE_CURVE('',#10158,#10160,#6062,.T.); -#18926=EDGE_CURVE('',#10156,#10158,#6066,.T.); -#18930=ADVANCED_FACE('',(#18929),#18919,.T.); -#18937=EDGE_CURVE('',#10171,#10011,#6070,.T.); -#18940=EDGE_CURVE('',#10172,#10012,#6082,.T.); -#18944=ADVANCED_FACE('',(#18943),#18935,.T.); -#18951=EDGE_CURVE('',#10176,#10016,#6074,.T.); -#18957=ADVANCED_FACE('',(#18956),#18949,.T.); -#18964=EDGE_CURVE('',#10174,#10014,#6078,.T.); -#18970=ADVANCED_FACE('',(#18969),#18962,.T.); -#18982=ADVANCED_FACE('',(#18981),#18975,.T.); -#18989=EDGE_CURVE('',#10179,#10019,#6086,.T.); -#18992=EDGE_CURVE('',#10180,#10020,#6098,.T.); -#18996=ADVANCED_FACE('',(#18995),#18987,.T.); -#19003=EDGE_CURVE('',#10184,#10024,#6090,.T.); -#19009=ADVANCED_FACE('',(#19008),#19001,.T.); -#19016=EDGE_CURVE('',#10182,#10022,#6094,.T.); -#19022=ADVANCED_FACE('',(#19021),#19014,.T.); -#19034=ADVANCED_FACE('',(#19033),#19027,.T.); -#19041=EDGE_CURVE('',#10187,#10027,#6102,.T.); -#19044=EDGE_CURVE('',#10188,#10028,#6114,.T.); -#19048=ADVANCED_FACE('',(#19047),#19039,.T.); -#19055=EDGE_CURVE('',#10192,#10032,#6106,.T.); -#19061=ADVANCED_FACE('',(#19060),#19053,.T.); -#19068=EDGE_CURVE('',#10190,#10030,#6110,.T.); -#19074=ADVANCED_FACE('',(#19073),#19066,.T.); -#19086=ADVANCED_FACE('',(#19085),#19079,.T.); -#19093=EDGE_CURVE('',#10195,#10035,#6118,.T.); -#19096=EDGE_CURVE('',#10196,#10036,#6130,.T.); -#19100=ADVANCED_FACE('',(#19099),#19091,.T.); -#19107=EDGE_CURVE('',#10200,#10040,#6122,.T.); -#19113=ADVANCED_FACE('',(#19112),#19105,.T.); -#19120=EDGE_CURVE('',#10198,#10038,#6126,.T.); -#19126=ADVANCED_FACE('',(#19125),#19118,.T.); -#19138=ADVANCED_FACE('',(#19137),#19131,.T.); -#19145=EDGE_CURVE('',#10203,#10043,#6134,.T.); -#19148=EDGE_CURVE('',#10204,#10044,#6146,.T.); -#19152=ADVANCED_FACE('',(#19151),#19143,.T.); -#19159=EDGE_CURVE('',#10208,#10048,#6138,.T.); -#19165=ADVANCED_FACE('',(#19164),#19157,.T.); -#19172=EDGE_CURVE('',#10206,#10046,#6142,.T.); -#19178=ADVANCED_FACE('',(#19177),#19170,.T.); -#19190=ADVANCED_FACE('',(#19189),#19183,.T.); -#19197=EDGE_CURVE('',#10211,#10051,#6150,.T.); -#19200=EDGE_CURVE('',#10212,#10052,#6162,.T.); -#19204=ADVANCED_FACE('',(#19203),#19195,.T.); -#19211=EDGE_CURVE('',#10216,#10056,#6154,.T.); -#19217=ADVANCED_FACE('',(#19216),#19209,.T.); -#19224=EDGE_CURVE('',#10214,#10054,#6158,.T.); -#19230=ADVANCED_FACE('',(#19229),#19222,.T.); -#19242=ADVANCED_FACE('',(#19241),#19235,.T.); -#19249=EDGE_CURVE('',#10219,#10059,#6166,.T.); -#19252=EDGE_CURVE('',#10220,#10060,#6178,.T.); -#19256=ADVANCED_FACE('',(#19255),#19247,.T.); -#19263=EDGE_CURVE('',#10224,#10064,#6170,.T.); -#19269=ADVANCED_FACE('',(#19268),#19261,.T.); -#19276=EDGE_CURVE('',#10222,#10062,#6174,.T.); -#19282=ADVANCED_FACE('',(#19281),#19274,.T.); -#19294=ADVANCED_FACE('',(#19293),#19287,.T.); -#19301=EDGE_CURVE('',#10227,#10067,#6182,.T.); -#19304=EDGE_CURVE('',#10228,#10068,#6194,.T.); -#19308=ADVANCED_FACE('',(#19307),#19299,.T.); -#19315=EDGE_CURVE('',#10232,#10072,#6186,.T.); -#19321=ADVANCED_FACE('',(#19320),#19313,.T.); -#19328=EDGE_CURVE('',#10230,#10070,#6190,.T.); -#19334=ADVANCED_FACE('',(#19333),#19326,.T.); -#19346=ADVANCED_FACE('',(#19345),#19339,.T.); -#19353=EDGE_CURVE('',#10235,#10075,#6198,.T.); -#19356=EDGE_CURVE('',#10236,#10076,#6210,.T.); -#19360=ADVANCED_FACE('',(#19359),#19351,.T.); -#19367=EDGE_CURVE('',#10240,#10080,#6202,.T.); -#19373=ADVANCED_FACE('',(#19372),#19365,.T.); -#19380=EDGE_CURVE('',#10238,#10078,#6206,.T.); -#19386=ADVANCED_FACE('',(#19385),#19378,.T.); -#19398=ADVANCED_FACE('',(#19397),#19391,.T.); -#19405=EDGE_CURVE('',#10243,#10083,#6214,.T.); -#19408=EDGE_CURVE('',#10244,#10084,#6226,.T.); -#19412=ADVANCED_FACE('',(#19411),#19403,.T.); -#19419=EDGE_CURVE('',#10248,#10088,#6218,.T.); -#19425=ADVANCED_FACE('',(#19424),#19417,.T.); -#19432=EDGE_CURVE('',#10246,#10086,#6222,.T.); -#19438=ADVANCED_FACE('',(#19437),#19430,.T.); -#19450=ADVANCED_FACE('',(#19449),#19443,.T.); -#19457=EDGE_CURVE('',#10251,#10091,#6230,.T.); -#19460=EDGE_CURVE('',#10252,#10092,#6242,.T.); -#19464=ADVANCED_FACE('',(#19463),#19455,.T.); -#19471=EDGE_CURVE('',#10256,#10096,#6234,.T.); -#19477=ADVANCED_FACE('',(#19476),#19469,.T.); -#19484=EDGE_CURVE('',#10254,#10094,#6238,.T.); -#19490=ADVANCED_FACE('',(#19489),#19482,.T.); -#19502=ADVANCED_FACE('',(#19501),#19495,.T.); -#19509=EDGE_CURVE('',#10259,#10099,#6246,.T.); -#19512=EDGE_CURVE('',#10260,#10100,#6258,.T.); -#19516=ADVANCED_FACE('',(#19515),#19507,.T.); -#19523=EDGE_CURVE('',#10264,#10104,#6250,.T.); -#19529=ADVANCED_FACE('',(#19528),#19521,.T.); -#19536=EDGE_CURVE('',#10262,#10102,#6254,.T.); -#19542=ADVANCED_FACE('',(#19541),#19534,.T.); -#19554=ADVANCED_FACE('',(#19553),#19547,.T.); -#19561=EDGE_CURVE('',#10267,#10107,#6262,.T.); -#19564=EDGE_CURVE('',#10268,#10108,#6274,.T.); -#19568=ADVANCED_FACE('',(#19567),#19559,.T.); -#19575=EDGE_CURVE('',#10272,#10112,#6266,.T.); -#19581=ADVANCED_FACE('',(#19580),#19573,.T.); -#19588=EDGE_CURVE('',#10270,#10110,#6270,.T.); -#19594=ADVANCED_FACE('',(#19593),#19586,.T.); -#19606=ADVANCED_FACE('',(#19605),#19599,.T.); -#19613=EDGE_CURVE('',#10275,#10115,#6278,.T.); -#19616=EDGE_CURVE('',#10276,#10116,#6290,.T.); -#19620=ADVANCED_FACE('',(#19619),#19611,.T.); -#19627=EDGE_CURVE('',#10280,#10120,#6282,.T.); -#19633=ADVANCED_FACE('',(#19632),#19625,.T.); -#19640=EDGE_CURVE('',#10278,#10118,#6286,.T.); -#19646=ADVANCED_FACE('',(#19645),#19638,.T.); -#19658=ADVANCED_FACE('',(#19657),#19651,.T.); -#19665=EDGE_CURVE('',#10283,#10123,#6294,.T.); -#19668=EDGE_CURVE('',#10284,#10124,#6306,.T.); -#19672=ADVANCED_FACE('',(#19671),#19663,.T.); -#19679=EDGE_CURVE('',#10288,#10128,#6298,.T.); -#19685=ADVANCED_FACE('',(#19684),#19677,.T.); -#19692=EDGE_CURVE('',#10286,#10126,#6302,.T.); -#19698=ADVANCED_FACE('',(#19697),#19690,.T.); -#19710=ADVANCED_FACE('',(#19709),#19703,.T.); -#19717=EDGE_CURVE('',#10291,#10131,#6310,.T.); -#19720=EDGE_CURVE('',#10292,#10132,#6322,.T.); -#19724=ADVANCED_FACE('',(#19723),#19715,.T.); -#19731=EDGE_CURVE('',#10296,#10136,#6314,.T.); -#19737=ADVANCED_FACE('',(#19736),#19729,.T.); -#19744=EDGE_CURVE('',#10294,#10134,#6318,.T.); -#19750=ADVANCED_FACE('',(#19749),#19742,.T.); -#19762=ADVANCED_FACE('',(#19761),#19755,.T.); -#19769=EDGE_CURVE('',#10299,#10139,#6326,.T.); -#19772=EDGE_CURVE('',#10300,#10140,#6338,.T.); -#19776=ADVANCED_FACE('',(#19775),#19767,.T.); -#19783=EDGE_CURVE('',#10304,#10144,#6330,.T.); -#19789=ADVANCED_FACE('',(#19788),#19781,.T.); -#19796=EDGE_CURVE('',#10302,#10142,#6334,.T.); -#19802=ADVANCED_FACE('',(#19801),#19794,.T.); -#19814=ADVANCED_FACE('',(#19813),#19807,.T.); -#19821=EDGE_CURVE('',#10307,#10147,#6342,.T.); -#19824=EDGE_CURVE('',#10308,#10148,#6354,.T.); -#19828=ADVANCED_FACE('',(#19827),#19819,.T.); -#19835=EDGE_CURVE('',#10312,#10152,#6346,.T.); -#19841=ADVANCED_FACE('',(#19840),#19833,.T.); -#19848=EDGE_CURVE('',#10310,#10150,#6350,.T.); -#19854=ADVANCED_FACE('',(#19853),#19846,.T.); -#19866=ADVANCED_FACE('',(#19865),#19859,.T.); -#19873=EDGE_CURVE('',#10315,#10155,#6358,.T.); -#19876=EDGE_CURVE('',#10316,#10156,#6370,.T.); -#19880=ADVANCED_FACE('',(#19879),#19871,.T.); -#19887=EDGE_CURVE('',#10320,#10160,#6362,.T.); -#19893=ADVANCED_FACE('',(#19892),#19885,.T.); -#19900=EDGE_CURVE('',#10318,#10158,#6366,.T.); -#19906=ADVANCED_FACE('',(#19905),#19898,.T.); -#19918=ADVANCED_FACE('',(#19917),#19911,.T.); -#19925=EDGE_CURVE('',#10467,#10323,#6374,.T.); -#19927=EDGE_CURVE('',#10323,#10324,#6390,.T.); -#19929=EDGE_CURVE('',#10468,#10324,#6386,.T.); -#19933=ADVANCED_FACE('',(#19932),#19923,.T.); -#19940=EDGE_CURVE('',#10472,#10328,#6378,.T.); -#19942=EDGE_CURVE('',#10328,#10323,#6394,.T.); -#19947=ADVANCED_FACE('',(#19946),#19938,.T.); -#19954=EDGE_CURVE('',#10470,#10326,#6382,.T.); -#19956=EDGE_CURVE('',#10326,#10328,#6398,.T.); -#19961=ADVANCED_FACE('',(#19960),#19952,.T.); -#19969=EDGE_CURVE('',#10324,#10326,#6402,.T.); -#19974=ADVANCED_FACE('',(#19973),#19966,.T.); -#19986=ADVANCED_FACE('',(#19985),#19979,.T.); -#19992=EDGE_CURVE('',#10331,#10332,#6406,.T.); -#19994=EDGE_CURVE('',#10336,#10331,#6410,.T.); -#19996=EDGE_CURVE('',#10334,#10336,#6414,.T.); -#19998=EDGE_CURVE('',#10332,#10334,#6418,.T.); -#20002=ADVANCED_FACE('',(#20001),#19991,.T.); -#20008=EDGE_CURVE('',#10339,#10340,#6422,.T.); -#20010=EDGE_CURVE('',#10344,#10339,#6426,.T.); -#20012=EDGE_CURVE('',#10342,#10344,#6430,.T.); -#20014=EDGE_CURVE('',#10340,#10342,#6434,.T.); -#20018=ADVANCED_FACE('',(#20017),#20007,.T.); -#20024=EDGE_CURVE('',#10347,#10348,#6438,.T.); -#20026=EDGE_CURVE('',#10352,#10347,#6442,.T.); -#20028=EDGE_CURVE('',#10350,#10352,#6446,.T.); -#20030=EDGE_CURVE('',#10348,#10350,#6450,.T.); -#20034=ADVANCED_FACE('',(#20033),#20023,.T.); -#20040=EDGE_CURVE('',#10355,#10356,#6454,.T.); -#20042=EDGE_CURVE('',#10360,#10355,#6458,.T.); -#20044=EDGE_CURVE('',#10358,#10360,#6462,.T.); -#20046=EDGE_CURVE('',#10356,#10358,#6466,.T.); -#20050=ADVANCED_FACE('',(#20049),#20039,.T.); -#20056=EDGE_CURVE('',#10363,#10364,#6470,.T.); -#20058=EDGE_CURVE('',#10368,#10363,#6474,.T.); -#20060=EDGE_CURVE('',#10366,#10368,#6478,.T.); -#20062=EDGE_CURVE('',#10364,#10366,#6482,.T.); -#20066=ADVANCED_FACE('',(#20065),#20055,.T.); -#20072=EDGE_CURVE('',#10371,#10372,#6486,.T.); -#20074=EDGE_CURVE('',#10376,#10371,#6490,.T.); -#20076=EDGE_CURVE('',#10374,#10376,#6494,.T.); -#20078=EDGE_CURVE('',#10372,#10374,#6498,.T.); -#20082=ADVANCED_FACE('',(#20081),#20071,.T.); -#20088=EDGE_CURVE('',#10379,#10380,#6502,.T.); -#20090=EDGE_CURVE('',#10384,#10379,#6506,.T.); -#20092=EDGE_CURVE('',#10382,#10384,#6510,.T.); -#20094=EDGE_CURVE('',#10380,#10382,#6514,.T.); -#20098=ADVANCED_FACE('',(#20097),#20087,.T.); -#20104=EDGE_CURVE('',#10387,#10388,#6518,.T.); -#20106=EDGE_CURVE('',#10392,#10387,#6522,.T.); -#20108=EDGE_CURVE('',#10390,#10392,#6526,.T.); -#20110=EDGE_CURVE('',#10388,#10390,#6530,.T.); -#20114=ADVANCED_FACE('',(#20113),#20103,.T.); -#20120=EDGE_CURVE('',#10395,#10396,#6534,.T.); -#20122=EDGE_CURVE('',#10400,#10395,#6538,.T.); -#20124=EDGE_CURVE('',#10398,#10400,#6542,.T.); -#20126=EDGE_CURVE('',#10396,#10398,#6546,.T.); -#20130=ADVANCED_FACE('',(#20129),#20119,.T.); -#20136=EDGE_CURVE('',#10403,#10404,#6550,.T.); -#20138=EDGE_CURVE('',#10408,#10403,#6554,.T.); -#20140=EDGE_CURVE('',#10406,#10408,#6558,.T.); -#20142=EDGE_CURVE('',#10404,#10406,#6562,.T.); -#20146=ADVANCED_FACE('',(#20145),#20135,.T.); -#20152=EDGE_CURVE('',#10411,#10412,#6566,.T.); -#20154=EDGE_CURVE('',#10416,#10411,#6570,.T.); -#20156=EDGE_CURVE('',#10414,#10416,#6574,.T.); -#20158=EDGE_CURVE('',#10412,#10414,#6578,.T.); -#20162=ADVANCED_FACE('',(#20161),#20151,.T.); -#20168=EDGE_CURVE('',#10419,#10420,#6582,.T.); -#20170=EDGE_CURVE('',#10424,#10419,#6586,.T.); -#20172=EDGE_CURVE('',#10422,#10424,#6590,.T.); -#20174=EDGE_CURVE('',#10420,#10422,#6594,.T.); -#20178=ADVANCED_FACE('',(#20177),#20167,.T.); -#20184=EDGE_CURVE('',#10427,#10428,#6598,.T.); -#20186=EDGE_CURVE('',#10432,#10427,#6602,.T.); -#20188=EDGE_CURVE('',#10430,#10432,#6606,.T.); -#20190=EDGE_CURVE('',#10428,#10430,#6610,.T.); -#20194=ADVANCED_FACE('',(#20193),#20183,.T.); -#20200=EDGE_CURVE('',#10435,#10436,#6614,.T.); -#20202=EDGE_CURVE('',#10440,#10435,#6618,.T.); -#20204=EDGE_CURVE('',#10438,#10440,#6622,.T.); -#20206=EDGE_CURVE('',#10436,#10438,#6626,.T.); -#20210=ADVANCED_FACE('',(#20209),#20199,.T.); -#20216=EDGE_CURVE('',#10443,#10444,#6630,.T.); -#20218=EDGE_CURVE('',#10448,#10443,#6634,.T.); -#20220=EDGE_CURVE('',#10446,#10448,#6638,.T.); -#20222=EDGE_CURVE('',#10444,#10446,#6642,.T.); -#20226=ADVANCED_FACE('',(#20225),#20215,.T.); -#20232=EDGE_CURVE('',#10451,#10452,#6646,.T.); -#20234=EDGE_CURVE('',#10456,#10451,#6650,.T.); -#20236=EDGE_CURVE('',#10454,#10456,#6654,.T.); -#20238=EDGE_CURVE('',#10452,#10454,#6658,.T.); -#20242=ADVANCED_FACE('',(#20241),#20231,.T.); -#20248=EDGE_CURVE('',#10459,#10460,#6662,.T.); -#20250=EDGE_CURVE('',#10464,#10459,#6666,.T.); -#20252=EDGE_CURVE('',#10462,#10464,#6670,.T.); -#20254=EDGE_CURVE('',#10460,#10462,#6674,.T.); -#20258=ADVANCED_FACE('',(#20257),#20247,.T.); -#20264=EDGE_CURVE('',#10611,#10612,#6678,.T.); -#20266=EDGE_CURVE('',#10616,#10611,#6682,.T.); -#20268=EDGE_CURVE('',#10614,#10616,#6686,.T.); -#20270=EDGE_CURVE('',#10612,#10614,#6690,.T.); -#20274=ADVANCED_FACE('',(#20273),#20263,.T.); -#20281=EDGE_CURVE('',#10475,#10331,#6694,.T.); -#20284=EDGE_CURVE('',#10476,#10332,#6706,.T.); -#20288=ADVANCED_FACE('',(#20287),#20279,.T.); -#20295=EDGE_CURVE('',#10480,#10336,#6698,.T.); -#20301=ADVANCED_FACE('',(#20300),#20293,.T.); -#20308=EDGE_CURVE('',#10478,#10334,#6702,.T.); -#20314=ADVANCED_FACE('',(#20313),#20306,.T.); -#20326=ADVANCED_FACE('',(#20325),#20319,.T.); -#20333=EDGE_CURVE('',#10483,#10339,#6710,.T.); -#20336=EDGE_CURVE('',#10484,#10340,#6722,.T.); -#20340=ADVANCED_FACE('',(#20339),#20331,.T.); -#20347=EDGE_CURVE('',#10488,#10344,#6714,.T.); -#20353=ADVANCED_FACE('',(#20352),#20345,.T.); -#20360=EDGE_CURVE('',#10486,#10342,#6718,.T.); -#20366=ADVANCED_FACE('',(#20365),#20358,.T.); -#20378=ADVANCED_FACE('',(#20377),#20371,.T.); -#20385=EDGE_CURVE('',#10491,#10347,#6726,.T.); -#20388=EDGE_CURVE('',#10492,#10348,#6738,.T.); -#20392=ADVANCED_FACE('',(#20391),#20383,.T.); -#20399=EDGE_CURVE('',#10496,#10352,#6730,.T.); -#20405=ADVANCED_FACE('',(#20404),#20397,.T.); -#20412=EDGE_CURVE('',#10494,#10350,#6734,.T.); -#20418=ADVANCED_FACE('',(#20417),#20410,.T.); -#20430=ADVANCED_FACE('',(#20429),#20423,.T.); -#20437=EDGE_CURVE('',#10499,#10355,#6742,.T.); -#20440=EDGE_CURVE('',#10500,#10356,#6754,.T.); -#20444=ADVANCED_FACE('',(#20443),#20435,.T.); -#20451=EDGE_CURVE('',#10504,#10360,#6746,.T.); -#20457=ADVANCED_FACE('',(#20456),#20449,.T.); -#20464=EDGE_CURVE('',#10502,#10358,#6750,.T.); -#20470=ADVANCED_FACE('',(#20469),#20462,.T.); -#20482=ADVANCED_FACE('',(#20481),#20475,.T.); -#20489=EDGE_CURVE('',#10507,#10363,#6758,.T.); -#20492=EDGE_CURVE('',#10508,#10364,#6770,.T.); -#20496=ADVANCED_FACE('',(#20495),#20487,.T.); -#20503=EDGE_CURVE('',#10512,#10368,#6762,.T.); -#20509=ADVANCED_FACE('',(#20508),#20501,.T.); -#20516=EDGE_CURVE('',#10510,#10366,#6766,.T.); -#20522=ADVANCED_FACE('',(#20521),#20514,.T.); -#20534=ADVANCED_FACE('',(#20533),#20527,.T.); -#20541=EDGE_CURVE('',#10515,#10371,#6774,.T.); -#20544=EDGE_CURVE('',#10516,#10372,#6786,.T.); -#20548=ADVANCED_FACE('',(#20547),#20539,.T.); -#20555=EDGE_CURVE('',#10520,#10376,#6778,.T.); -#20561=ADVANCED_FACE('',(#20560),#20553,.T.); -#20568=EDGE_CURVE('',#10518,#10374,#6782,.T.); -#20574=ADVANCED_FACE('',(#20573),#20566,.T.); -#20586=ADVANCED_FACE('',(#20585),#20579,.T.); -#20593=EDGE_CURVE('',#10523,#10379,#6790,.T.); -#20596=EDGE_CURVE('',#10524,#10380,#6802,.T.); -#20600=ADVANCED_FACE('',(#20599),#20591,.T.); -#20607=EDGE_CURVE('',#10528,#10384,#6794,.T.); -#20613=ADVANCED_FACE('',(#20612),#20605,.T.); -#20620=EDGE_CURVE('',#10526,#10382,#6798,.T.); -#20626=ADVANCED_FACE('',(#20625),#20618,.T.); -#20638=ADVANCED_FACE('',(#20637),#20631,.T.); -#20645=EDGE_CURVE('',#10531,#10387,#6806,.T.); -#20648=EDGE_CURVE('',#10532,#10388,#6818,.T.); -#20652=ADVANCED_FACE('',(#20651),#20643,.T.); -#20659=EDGE_CURVE('',#10536,#10392,#6810,.T.); -#20665=ADVANCED_FACE('',(#20664),#20657,.T.); -#20672=EDGE_CURVE('',#10534,#10390,#6814,.T.); -#20678=ADVANCED_FACE('',(#20677),#20670,.T.); -#20690=ADVANCED_FACE('',(#20689),#20683,.T.); -#20697=EDGE_CURVE('',#10539,#10395,#6822,.T.); -#20700=EDGE_CURVE('',#10540,#10396,#6834,.T.); -#20704=ADVANCED_FACE('',(#20703),#20695,.T.); -#20711=EDGE_CURVE('',#10544,#10400,#6826,.T.); -#20717=ADVANCED_FACE('',(#20716),#20709,.T.); -#20724=EDGE_CURVE('',#10542,#10398,#6830,.T.); -#20730=ADVANCED_FACE('',(#20729),#20722,.T.); -#20742=ADVANCED_FACE('',(#20741),#20735,.T.); -#20749=EDGE_CURVE('',#10547,#10403,#6838,.T.); -#20752=EDGE_CURVE('',#10548,#10404,#6850,.T.); -#20756=ADVANCED_FACE('',(#20755),#20747,.T.); -#20763=EDGE_CURVE('',#10552,#10408,#6842,.T.); -#20769=ADVANCED_FACE('',(#20768),#20761,.T.); -#20776=EDGE_CURVE('',#10550,#10406,#6846,.T.); -#20782=ADVANCED_FACE('',(#20781),#20774,.T.); -#20794=ADVANCED_FACE('',(#20793),#20787,.T.); -#20801=EDGE_CURVE('',#10555,#10411,#6854,.T.); -#20804=EDGE_CURVE('',#10556,#10412,#6866,.T.); -#20808=ADVANCED_FACE('',(#20807),#20799,.T.); -#20815=EDGE_CURVE('',#10560,#10416,#6858,.T.); -#20821=ADVANCED_FACE('',(#20820),#20813,.T.); -#20828=EDGE_CURVE('',#10558,#10414,#6862,.T.); -#20834=ADVANCED_FACE('',(#20833),#20826,.T.); -#20846=ADVANCED_FACE('',(#20845),#20839,.T.); -#20853=EDGE_CURVE('',#10563,#10419,#6870,.T.); -#20856=EDGE_CURVE('',#10564,#10420,#6882,.T.); -#20860=ADVANCED_FACE('',(#20859),#20851,.T.); -#20867=EDGE_CURVE('',#10568,#10424,#6874,.T.); -#20873=ADVANCED_FACE('',(#20872),#20865,.T.); -#20880=EDGE_CURVE('',#10566,#10422,#6878,.T.); -#20886=ADVANCED_FACE('',(#20885),#20878,.T.); -#20898=ADVANCED_FACE('',(#20897),#20891,.T.); -#20905=EDGE_CURVE('',#10571,#10427,#6886,.T.); -#20908=EDGE_CURVE('',#10572,#10428,#6898,.T.); -#20912=ADVANCED_FACE('',(#20911),#20903,.T.); -#20919=EDGE_CURVE('',#10576,#10432,#6890,.T.); -#20925=ADVANCED_FACE('',(#20924),#20917,.T.); -#20932=EDGE_CURVE('',#10574,#10430,#6894,.T.); -#20938=ADVANCED_FACE('',(#20937),#20930,.T.); -#20950=ADVANCED_FACE('',(#20949),#20943,.T.); -#20957=EDGE_CURVE('',#10579,#10435,#6902,.T.); -#20960=EDGE_CURVE('',#10580,#10436,#6914,.T.); -#20964=ADVANCED_FACE('',(#20963),#20955,.T.); -#20971=EDGE_CURVE('',#10584,#10440,#6906,.T.); -#20977=ADVANCED_FACE('',(#20976),#20969,.T.); -#20984=EDGE_CURVE('',#10582,#10438,#6910,.T.); -#20990=ADVANCED_FACE('',(#20989),#20982,.T.); -#21002=ADVANCED_FACE('',(#21001),#20995,.T.); -#21009=EDGE_CURVE('',#10587,#10443,#6918,.T.); -#21012=EDGE_CURVE('',#10588,#10444,#6930,.T.); -#21016=ADVANCED_FACE('',(#21015),#21007,.T.); -#21023=EDGE_CURVE('',#10592,#10448,#6922,.T.); -#21029=ADVANCED_FACE('',(#21028),#21021,.T.); -#21036=EDGE_CURVE('',#10590,#10446,#6926,.T.); -#21042=ADVANCED_FACE('',(#21041),#21034,.T.); -#21054=ADVANCED_FACE('',(#21053),#21047,.T.); -#21061=EDGE_CURVE('',#10595,#10451,#6934,.T.); -#21064=EDGE_CURVE('',#10596,#10452,#6946,.T.); -#21068=ADVANCED_FACE('',(#21067),#21059,.T.); -#21075=EDGE_CURVE('',#10600,#10456,#6938,.T.); -#21081=ADVANCED_FACE('',(#21080),#21073,.T.); -#21088=EDGE_CURVE('',#10598,#10454,#6942,.T.); -#21094=ADVANCED_FACE('',(#21093),#21086,.T.); -#21106=ADVANCED_FACE('',(#21105),#21099,.T.); -#21113=EDGE_CURVE('',#10603,#10459,#6950,.T.); -#21116=EDGE_CURVE('',#10604,#10460,#6962,.T.); -#21120=ADVANCED_FACE('',(#21119),#21111,.T.); -#21127=EDGE_CURVE('',#10608,#10464,#6954,.T.); -#21133=ADVANCED_FACE('',(#21132),#21125,.T.); -#21140=EDGE_CURVE('',#10606,#10462,#6958,.T.); -#21146=ADVANCED_FACE('',(#21145),#21138,.T.); -#21158=ADVANCED_FACE('',(#21157),#21151,.T.); -#21165=EDGE_CURVE('',#10619,#10611,#6966,.T.); -#21168=EDGE_CURVE('',#10620,#10612,#6978,.T.); -#21172=ADVANCED_FACE('',(#21171),#21163,.T.); -#21179=EDGE_CURVE('',#10624,#10616,#6970,.T.); -#21185=ADVANCED_FACE('',(#21184),#21177,.T.); -#21192=EDGE_CURVE('',#10622,#10614,#6974,.T.); -#21198=ADVANCED_FACE('',(#21197),#21190,.T.); -#21210=ADVANCED_FACE('',(#21209),#21203,.T.); -#21217=EDGE_CURVE('',#10691,#10627,#6982,.T.); -#21219=EDGE_CURVE('',#10627,#10628,#6998,.T.); -#21221=EDGE_CURVE('',#10692,#10628,#6994,.T.); -#21225=ADVANCED_FACE('',(#21224),#21215,.T.); -#21232=EDGE_CURVE('',#10696,#10632,#6986,.T.); -#21234=EDGE_CURVE('',#10632,#10627,#7002,.T.); -#21239=ADVANCED_FACE('',(#21238),#21230,.T.); -#21246=EDGE_CURVE('',#10694,#10630,#6990,.T.); -#21248=EDGE_CURVE('',#10630,#10632,#7006,.T.); -#21253=ADVANCED_FACE('',(#21252),#21244,.T.); -#21261=EDGE_CURVE('',#10628,#10630,#7010,.T.); -#21266=ADVANCED_FACE('',(#21265),#21258,.T.); -#21278=ADVANCED_FACE('',(#21277),#21271,.T.); -#21284=EDGE_CURVE('',#10635,#10636,#7014,.T.); -#21286=EDGE_CURVE('',#10640,#10635,#7018,.T.); -#21288=EDGE_CURVE('',#10638,#10640,#7022,.T.); -#21290=EDGE_CURVE('',#10636,#10638,#7026,.T.); -#21294=ADVANCED_FACE('',(#21293),#21283,.T.); -#21300=EDGE_CURVE('',#10643,#10644,#7030,.T.); -#21302=EDGE_CURVE('',#10648,#10643,#7034,.T.); -#21304=EDGE_CURVE('',#10646,#10648,#7038,.T.); -#21306=EDGE_CURVE('',#10644,#10646,#7042,.T.); -#21310=ADVANCED_FACE('',(#21309),#21299,.T.); -#21316=EDGE_CURVE('',#10651,#10652,#7046,.T.); -#21318=EDGE_CURVE('',#10656,#10651,#7050,.T.); -#21320=EDGE_CURVE('',#10654,#10656,#7054,.T.); -#21322=EDGE_CURVE('',#10652,#10654,#7058,.T.); -#21326=ADVANCED_FACE('',(#21325),#21315,.T.); -#21332=EDGE_CURVE('',#10659,#10660,#7062,.T.); -#21334=EDGE_CURVE('',#10664,#10659,#7066,.T.); -#21336=EDGE_CURVE('',#10662,#10664,#7070,.T.); -#21338=EDGE_CURVE('',#10660,#10662,#7074,.T.); -#21342=ADVANCED_FACE('',(#21341),#21331,.T.); -#21348=EDGE_CURVE('',#10667,#10668,#7078,.T.); -#21350=EDGE_CURVE('',#10672,#10667,#7082,.T.); -#21352=EDGE_CURVE('',#10670,#10672,#7086,.T.); -#21354=EDGE_CURVE('',#10668,#10670,#7090,.T.); -#21358=ADVANCED_FACE('',(#21357),#21347,.T.); -#21364=EDGE_CURVE('',#10675,#10676,#7094,.T.); -#21366=EDGE_CURVE('',#10680,#10675,#7098,.T.); -#21368=EDGE_CURVE('',#10678,#10680,#7102,.T.); -#21370=EDGE_CURVE('',#10676,#10678,#7106,.T.); -#21374=ADVANCED_FACE('',(#21373),#21363,.T.); -#21380=EDGE_CURVE('',#10683,#10684,#7110,.T.); -#21382=EDGE_CURVE('',#10688,#10683,#7114,.T.); -#21384=EDGE_CURVE('',#10686,#10688,#7118,.T.); -#21386=EDGE_CURVE('',#10684,#10686,#7122,.T.); -#21390=ADVANCED_FACE('',(#21389),#21379,.T.); -#21397=EDGE_CURVE('',#10699,#10635,#7126,.T.); -#21400=EDGE_CURVE('',#10700,#10636,#7138,.T.); -#21404=ADVANCED_FACE('',(#21403),#21395,.T.); -#21411=EDGE_CURVE('',#10704,#10640,#7130,.T.); -#21417=ADVANCED_FACE('',(#21416),#21409,.T.); -#21424=EDGE_CURVE('',#10702,#10638,#7134,.T.); -#21430=ADVANCED_FACE('',(#21429),#21422,.T.); -#21442=ADVANCED_FACE('',(#21441),#21435,.T.); -#21449=EDGE_CURVE('',#10707,#10643,#7142,.T.); -#21452=EDGE_CURVE('',#10708,#10644,#7154,.T.); -#21456=ADVANCED_FACE('',(#21455),#21447,.T.); -#21463=EDGE_CURVE('',#10712,#10648,#7146,.T.); -#21469=ADVANCED_FACE('',(#21468),#21461,.T.); -#21476=EDGE_CURVE('',#10710,#10646,#7150,.T.); -#21482=ADVANCED_FACE('',(#21481),#21474,.T.); -#21494=ADVANCED_FACE('',(#21493),#21487,.T.); -#21501=EDGE_CURVE('',#10715,#10651,#7158,.T.); -#21504=EDGE_CURVE('',#10716,#10652,#7170,.T.); -#21508=ADVANCED_FACE('',(#21507),#21499,.T.); -#21515=EDGE_CURVE('',#10720,#10656,#7162,.T.); -#21521=ADVANCED_FACE('',(#21520),#21513,.T.); -#21528=EDGE_CURVE('',#10718,#10654,#7166,.T.); -#21534=ADVANCED_FACE('',(#21533),#21526,.T.); -#21546=ADVANCED_FACE('',(#21545),#21539,.T.); -#21553=EDGE_CURVE('',#10723,#10659,#7174,.T.); -#21556=EDGE_CURVE('',#10724,#10660,#7186,.T.); -#21560=ADVANCED_FACE('',(#21559),#21551,.T.); -#21567=EDGE_CURVE('',#10728,#10664,#7178,.T.); -#21573=ADVANCED_FACE('',(#21572),#21565,.T.); -#21580=EDGE_CURVE('',#10726,#10662,#7182,.T.); -#21586=ADVANCED_FACE('',(#21585),#21578,.T.); -#21598=ADVANCED_FACE('',(#21597),#21591,.T.); -#21605=EDGE_CURVE('',#10731,#10667,#7190,.T.); -#21608=EDGE_CURVE('',#10732,#10668,#7202,.T.); -#21612=ADVANCED_FACE('',(#21611),#21603,.T.); -#21619=EDGE_CURVE('',#10736,#10672,#7194,.T.); -#21625=ADVANCED_FACE('',(#21624),#21617,.T.); -#21632=EDGE_CURVE('',#10734,#10670,#7198,.T.); -#21638=ADVANCED_FACE('',(#21637),#21630,.T.); -#21650=ADVANCED_FACE('',(#21649),#21643,.T.); -#21657=EDGE_CURVE('',#10739,#10675,#7206,.T.); -#21660=EDGE_CURVE('',#10740,#10676,#7218,.T.); -#21664=ADVANCED_FACE('',(#21663),#21655,.T.); -#21671=EDGE_CURVE('',#10744,#10680,#7210,.T.); -#21677=ADVANCED_FACE('',(#21676),#21669,.T.); -#21684=EDGE_CURVE('',#10742,#10678,#7214,.T.); -#21690=ADVANCED_FACE('',(#21689),#21682,.T.); -#21702=ADVANCED_FACE('',(#21701),#21695,.T.); -#21709=EDGE_CURVE('',#10747,#10683,#7222,.T.); -#21712=EDGE_CURVE('',#10748,#10684,#7234,.T.); -#21716=ADVANCED_FACE('',(#21715),#21707,.T.); -#21723=EDGE_CURVE('',#10752,#10688,#7226,.T.); -#21729=ADVANCED_FACE('',(#21728),#21721,.T.); -#21736=EDGE_CURVE('',#10750,#10686,#7230,.T.); -#21742=ADVANCED_FACE('',(#21741),#21734,.T.); -#21754=ADVANCED_FACE('',(#21753),#21747,.T.); -#21761=EDGE_CURVE('',#10795,#10755,#7238,.T.); -#21763=EDGE_CURVE('',#10755,#10756,#7254,.T.); -#21765=EDGE_CURVE('',#10796,#10756,#7250,.T.); -#21769=ADVANCED_FACE('',(#21768),#21759,.T.); -#21776=EDGE_CURVE('',#10800,#10760,#7242,.T.); -#21778=EDGE_CURVE('',#10760,#10755,#7258,.T.); -#21783=ADVANCED_FACE('',(#21782),#21774,.T.); -#21790=EDGE_CURVE('',#10798,#10758,#7246,.T.); -#21792=EDGE_CURVE('',#10758,#10760,#7262,.T.); -#21797=ADVANCED_FACE('',(#21796),#21788,.T.); -#21805=EDGE_CURVE('',#10756,#10758,#7266,.T.); -#21810=ADVANCED_FACE('',(#21809),#21802,.T.); -#21822=ADVANCED_FACE('',(#21821),#21815,.T.); -#21828=EDGE_CURVE('',#10763,#10764,#7270,.T.); -#21830=EDGE_CURVE('',#10768,#10763,#7274,.T.); -#21832=EDGE_CURVE('',#10766,#10768,#7278,.T.); -#21834=EDGE_CURVE('',#10764,#10766,#7282,.T.); -#21838=ADVANCED_FACE('',(#21837),#21827,.T.); -#21844=EDGE_CURVE('',#10771,#10772,#7286,.T.); -#21846=EDGE_CURVE('',#10776,#10771,#7290,.T.); -#21848=EDGE_CURVE('',#10774,#10776,#7294,.T.); -#21850=EDGE_CURVE('',#10772,#10774,#7298,.T.); -#21854=ADVANCED_FACE('',(#21853),#21843,.T.); -#21860=EDGE_CURVE('',#10779,#10780,#7302,.T.); -#21862=EDGE_CURVE('',#10784,#10779,#7306,.T.); -#21864=EDGE_CURVE('',#10782,#10784,#7310,.T.); -#21866=EDGE_CURVE('',#10780,#10782,#7314,.T.); -#21870=ADVANCED_FACE('',(#21869),#21859,.T.); -#21876=EDGE_CURVE('',#10787,#10788,#7318,.T.); -#21878=EDGE_CURVE('',#10792,#10787,#7322,.T.); -#21880=EDGE_CURVE('',#10790,#10792,#7326,.T.); -#21882=EDGE_CURVE('',#10788,#10790,#7330,.T.); -#21886=ADVANCED_FACE('',(#21885),#21875,.T.); -#21893=EDGE_CURVE('',#10803,#10763,#7334,.T.); -#21896=EDGE_CURVE('',#10804,#10764,#7346,.T.); -#21900=ADVANCED_FACE('',(#21899),#21891,.T.); -#21907=EDGE_CURVE('',#10808,#10768,#7338,.T.); -#21913=ADVANCED_FACE('',(#21912),#21905,.T.); -#21920=EDGE_CURVE('',#10806,#10766,#7342,.T.); -#21926=ADVANCED_FACE('',(#21925),#21918,.T.); -#21938=ADVANCED_FACE('',(#21937),#21931,.T.); -#21945=EDGE_CURVE('',#10811,#10771,#7350,.T.); -#21948=EDGE_CURVE('',#10812,#10772,#7362,.T.); -#21952=ADVANCED_FACE('',(#21951),#21943,.T.); -#21959=EDGE_CURVE('',#10816,#10776,#7354,.T.); -#21965=ADVANCED_FACE('',(#21964),#21957,.T.); -#21972=EDGE_CURVE('',#10814,#10774,#7358,.T.); -#21978=ADVANCED_FACE('',(#21977),#21970,.T.); -#21990=ADVANCED_FACE('',(#21989),#21983,.T.); -#21997=EDGE_CURVE('',#10819,#10779,#7366,.T.); -#22000=EDGE_CURVE('',#10820,#10780,#7378,.T.); -#22004=ADVANCED_FACE('',(#22003),#21995,.T.); -#22011=EDGE_CURVE('',#10824,#10784,#7370,.T.); -#22017=ADVANCED_FACE('',(#22016),#22009,.T.); -#22024=EDGE_CURVE('',#10822,#10782,#7374,.T.); -#22030=ADVANCED_FACE('',(#22029),#22022,.T.); -#22042=ADVANCED_FACE('',(#22041),#22035,.T.); -#22049=EDGE_CURVE('',#10827,#10787,#7382,.T.); -#22052=EDGE_CURVE('',#10828,#10788,#7394,.T.); -#22056=ADVANCED_FACE('',(#22055),#22047,.T.); -#22063=EDGE_CURVE('',#10832,#10792,#7386,.T.); -#22069=ADVANCED_FACE('',(#22068),#22061,.T.); -#22076=EDGE_CURVE('',#10830,#10790,#7390,.T.); -#22082=ADVANCED_FACE('',(#22081),#22074,.T.); -#22094=ADVANCED_FACE('',(#22093),#22087,.T.); -#22101=EDGE_CURVE('',#10851,#10835,#7398,.T.); -#22103=EDGE_CURVE('',#10835,#10836,#7414,.T.); -#22105=EDGE_CURVE('',#10852,#10836,#7410,.T.); -#22109=ADVANCED_FACE('',(#22108),#22099,.T.); -#22116=EDGE_CURVE('',#10856,#10840,#7402,.T.); -#22118=EDGE_CURVE('',#10840,#10835,#7418,.T.); -#22123=ADVANCED_FACE('',(#22122),#22114,.T.); -#22130=EDGE_CURVE('',#10854,#10838,#7406,.T.); -#22132=EDGE_CURVE('',#10838,#10840,#7422,.T.); -#22137=ADVANCED_FACE('',(#22136),#22128,.T.); -#22145=EDGE_CURVE('',#10836,#10838,#7426,.T.); -#22150=ADVANCED_FACE('',(#22149),#22142,.T.); -#22162=ADVANCED_FACE('',(#22161),#22155,.T.); -#22168=EDGE_CURVE('',#10843,#10844,#7430,.T.); -#22170=EDGE_CURVE('',#10848,#10843,#7434,.T.); -#22172=EDGE_CURVE('',#10846,#10848,#7438,.T.); -#22174=EDGE_CURVE('',#10844,#10846,#7442,.T.); -#22178=ADVANCED_FACE('',(#22177),#22167,.T.); -#22185=EDGE_CURVE('',#10859,#10843,#7446,.T.); -#22188=EDGE_CURVE('',#10860,#10844,#7458,.T.); -#22192=ADVANCED_FACE('',(#22191),#22183,.T.); -#22199=EDGE_CURVE('',#10864,#10848,#7450,.T.); -#22205=ADVANCED_FACE('',(#22204),#22197,.T.); -#22212=EDGE_CURVE('',#10862,#10846,#7454,.T.); -#22218=ADVANCED_FACE('',(#22217),#22210,.T.); -#22230=ADVANCED_FACE('',(#22229),#22223,.T.); -#22237=EDGE_CURVE('',#10915,#10899,#7462,.T.); -#22239=EDGE_CURVE('',#10899,#10900,#7482,.T.); -#22241=EDGE_CURVE('',#10916,#10900,#7474,.T.); -#22245=ADVANCED_FACE('',(#22244),#22235,.T.); -#22252=EDGE_CURVE('',#10924,#10904,#7466,.T.); -#22254=EDGE_CURVE('',#10904,#10952,#7490,.T.); -#22256=EDGE_CURVE('',#10926,#10952,#7558,.T.); -#22260=ADVANCED_FACE('',(#22259),#22250,.T.); -#22267=EDGE_CURVE('',#10928,#10948,#7530,.T.); -#22269=EDGE_CURVE('',#10948,#10899,#7486,.T.); -#22274=ADVANCED_FACE('',(#22273),#22265,.T.); -#22281=EDGE_CURVE('',#10922,#10903,#7470,.T.); -#22283=EDGE_CURVE('',#10903,#10904,#7494,.T.); -#22288=ADVANCED_FACE('',(#22287),#22279,.T.); -#22296=EDGE_CURVE('',#10900,#10947,#7478,.T.); -#22298=EDGE_CURVE('',#10918,#10947,#7538,.T.); -#22302=ADVANCED_FACE('',(#22301),#22293,.T.); -#22309=EDGE_CURVE('',#10921,#10951,#7550,.T.); -#22311=EDGE_CURVE('',#10951,#10903,#7498,.T.); -#22316=ADVANCED_FACE('',(#22315),#22307,.T.); -#22322=EDGE_CURVE('',#10947,#10948,#7526,.T.); -#22329=ADVANCED_FACE('',(#22328),#22321,.T.); -#22335=EDGE_CURVE('',#10951,#10952,#7546,.T.); -#22342=ADVANCED_FACE('',(#22341),#22334,.T.); -#22348=EDGE_CURVE('',#10955,#10956,#7598,.T.); -#22350=EDGE_CURVE('',#10908,#10956,#7502,.T.); -#22352=EDGE_CURVE('',#10907,#10908,#7506,.T.); -#22354=EDGE_CURVE('',#10955,#10907,#7510,.T.); -#22358=ADVANCED_FACE('',(#22357),#22347,.T.); -#22364=EDGE_CURVE('',#10959,#10960,#7630,.T.); -#22366=EDGE_CURVE('',#10912,#10959,#7514,.T.); -#22368=EDGE_CURVE('',#10911,#10912,#7518,.T.); -#22370=EDGE_CURVE('',#10960,#10911,#7522,.T.); -#22374=ADVANCED_FACE('',(#22373),#22363,.T.); -#22383=EDGE_CURVE('',#10888,#10867,#7534,.T.); -#22385=EDGE_CURVE('',#10867,#10868,#7566,.T.); -#22387=EDGE_CURVE('',#10883,#10868,#7562,.T.); -#22393=ADVANCED_FACE('',(#22392),#22379,.T.); -#22400=EDGE_CURVE('',#10887,#10872,#7542,.T.); -#22402=EDGE_CURVE('',#10872,#10867,#7570,.T.); -#22407=ADVANCED_FACE('',(#22406),#22398,.T.); -#22416=EDGE_CURVE('',#10884,#10870,#7554,.T.); -#22418=EDGE_CURVE('',#10870,#10872,#7574,.T.); -#22425=ADVANCED_FACE('',(#22424),#22412,.T.); -#22433=EDGE_CURVE('',#10868,#10870,#7578,.T.); -#22438=ADVANCED_FACE('',(#22437),#22430,.T.); -#22450=ADVANCED_FACE('',(#22449),#22443,.T.); -#22456=EDGE_CURVE('',#10875,#10876,#7582,.T.); -#22458=EDGE_CURVE('',#10880,#10875,#7586,.T.); -#22460=EDGE_CURVE('',#10878,#10880,#7590,.T.); -#22462=EDGE_CURVE('',#10876,#10878,#7594,.T.); -#22466=ADVANCED_FACE('',(#22465),#22455,.T.); -#22473=EDGE_CURVE('',#10935,#10955,#7602,.T.); -#22476=EDGE_CURVE('',#10896,#10875,#7606,.T.); -#22479=EDGE_CURVE('',#10891,#10876,#7646,.T.); -#22482=EDGE_CURVE('',#10940,#10956,#7610,.T.); -#22486=ADVANCED_FACE('',(#22485),#22471,.T.); -#22493=EDGE_CURVE('',#10931,#10912,#7614,.T.); -#22496=EDGE_CURVE('',#10932,#10959,#7642,.T.); -#22500=ADVANCED_FACE('',(#22499),#22491,.T.); -#22509=EDGE_CURVE('',#10936,#10907,#7626,.T.); -#22513=ADVANCED_FACE('',(#22512),#22505,.T.); -#22520=EDGE_CURVE('',#10944,#10911,#7618,.T.); -#22526=ADVANCED_FACE('',(#22525),#22518,.T.); -#22533=EDGE_CURVE('',#10938,#10908,#7622,.T.); -#22539=ADVANCED_FACE('',(#22538),#22531,.T.); -#22546=EDGE_CURVE('',#10943,#10960,#7634,.T.); -#22552=ADVANCED_FACE('',(#22551),#22544,.T.); -#22564=ADVANCED_FACE('',(#22563),#22557,.T.); -#22573=EDGE_CURVE('',#10892,#10878,#7638,.T.); -#22576=EDGE_CURVE('',#10895,#10880,#7650,.T.); -#22582=ADVANCED_FACE('',(#22581),#22569,.T.); -#22594=ADVANCED_FACE('',(#22593),#22587,.T.); -#22606=ADVANCED_FACE('',(#22605),#22599,.T.); -#22613=EDGE_CURVE('',#11075,#11027,#7654,.T.); -#22615=EDGE_CURVE('',#11027,#11028,#7786,.T.); -#22617=EDGE_CURVE('',#11076,#11028,#8162,.T.); -#22621=ADVANCED_FACE('',(#22620),#22611,.T.); -#22628=EDGE_CURVE('',#11080,#11203,#7678,.T.); -#22630=EDGE_CURVE('',#11203,#11027,#7790,.T.); -#22635=ADVANCED_FACE('',(#22634),#22626,.T.); -#22641=EDGE_CURVE('',#11199,#11200,#7658,.T.); -#22643=EDGE_CURVE('',#11087,#11199,#7662,.T.); -#22646=EDGE_CURVE('',#11016,#10984,#7666,.T.); -#22648=EDGE_CURVE('',#10984,#10979,#7934,.T.); -#22650=EDGE_CURVE('',#11011,#10979,#8038,.T.); -#22653=EDGE_CURVE('',#11078,#11204,#7670,.T.); -#22655=EDGE_CURVE('',#11203,#11204,#7674,.T.); -#22659=EDGE_CURVE('',#11084,#11200,#7682,.T.); -#22663=ADVANCED_FACE('',(#22662),#22640,.T.); -#22669=EDGE_CURVE('',#11171,#11172,#7830,.T.); -#22671=EDGE_CURVE('',#11068,#11172,#7686,.T.); -#22673=EDGE_CURVE('',#11067,#11068,#7690,.T.); -#22675=EDGE_CURVE('',#11171,#11067,#7694,.T.); -#22679=ADVANCED_FACE('',(#22678),#22668,.T.); -#22685=EDGE_CURVE('',#11175,#11176,#7846,.T.); -#22687=EDGE_CURVE('',#11072,#11176,#7698,.T.); -#22689=EDGE_CURVE('',#11071,#11072,#7702,.T.); -#22691=EDGE_CURVE('',#11175,#11071,#7706,.T.); -#22695=ADVANCED_FACE('',(#22694),#22684,.T.); -#22701=EDGE_CURVE('',#11179,#11180,#7870,.T.); -#22703=EDGE_CURVE('',#11064,#11179,#7710,.T.); -#22705=EDGE_CURVE('',#11063,#11064,#7714,.T.); -#22707=EDGE_CURVE('',#11180,#11063,#7718,.T.); -#22711=ADVANCED_FACE('',(#22710),#22700,.T.); -#22717=EDGE_CURVE('',#11183,#11184,#8014,.T.); -#22719=EDGE_CURVE('',#11052,#11184,#7722,.T.); -#22721=EDGE_CURVE('',#11051,#11052,#7726,.T.); -#22723=EDGE_CURVE('',#11183,#11051,#7730,.T.); -#22727=ADVANCED_FACE('',(#22726),#22716,.T.); -#22733=EDGE_CURVE('',#11187,#11188,#7966,.T.); -#22735=EDGE_CURVE('',#11056,#11187,#7734,.T.); -#22737=EDGE_CURVE('',#11055,#11056,#7738,.T.); -#22739=EDGE_CURVE('',#11188,#11055,#7742,.T.); -#22743=ADVANCED_FACE('',(#22742),#22732,.T.); -#22749=EDGE_CURVE('',#11191,#11192,#7978,.T.); -#22751=EDGE_CURVE('',#11060,#11191,#7746,.T.); -#22753=EDGE_CURVE('',#11059,#11060,#7750,.T.); -#22755=EDGE_CURVE('',#11192,#11059,#7754,.T.); -#22759=ADVANCED_FACE('',(#22758),#22748,.T.); -#22765=EDGE_CURVE('',#11195,#11196,#8042,.T.); -#22767=EDGE_CURVE('',#11036,#11196,#7758,.T.); -#22769=EDGE_CURVE('',#11035,#11036,#7762,.T.); -#22771=EDGE_CURVE('',#11195,#11035,#7766,.T.); -#22775=ADVANCED_FACE('',(#22774),#22764,.T.); -#22782=EDGE_CURVE('',#11032,#11200,#7770,.T.); -#22784=EDGE_CURVE('',#11031,#11032,#7774,.T.); -#22786=EDGE_CURVE('',#11199,#11031,#7778,.T.); -#22790=ADVANCED_FACE('',(#22789),#22780,.T.); -#22797=EDGE_CURVE('',#11028,#11204,#7782,.T.); -#22803=ADVANCED_FACE('',(#22802),#22795,.T.); -#22809=EDGE_CURVE('',#11207,#11208,#8114,.T.); -#22811=EDGE_CURVE('',#11048,#11207,#7794,.T.); -#22813=EDGE_CURVE('',#11047,#11048,#7798,.T.); -#22815=EDGE_CURVE('',#11208,#11047,#7802,.T.); -#22819=ADVANCED_FACE('',(#22818),#22808,.T.); -#22825=EDGE_CURVE('',#11211,#11212,#8074,.T.); -#22827=EDGE_CURVE('',#11044,#11212,#7806,.T.); -#22829=EDGE_CURVE('',#11043,#11044,#7810,.T.); -#22831=EDGE_CURVE('',#11211,#11043,#7814,.T.); -#22835=ADVANCED_FACE('',(#22834),#22824,.T.); -#22841=EDGE_CURVE('',#11215,#11216,#8090,.T.); -#22843=EDGE_CURVE('',#11040,#11216,#7818,.T.); -#22845=EDGE_CURVE('',#11039,#11040,#7822,.T.); -#22847=EDGE_CURVE('',#11215,#11039,#7826,.T.); -#22851=ADVANCED_FACE('',(#22850),#22840,.T.); -#22858=EDGE_CURVE('',#11160,#11171,#7834,.T.); -#22861=EDGE_CURVE('',#11000,#10963,#7838,.T.); -#22863=EDGE_CURVE('',#10963,#10964,#7898,.T.); -#22865=EDGE_CURVE('',#10995,#10964,#7894,.T.); -#22868=EDGE_CURVE('',#11166,#11176,#7842,.T.); -#22871=EDGE_CURVE('',#11168,#11175,#7850,.T.); -#22874=EDGE_CURVE('',#11158,#11172,#7854,.T.); -#22878=ADVANCED_FACE('',(#22877),#22856,.T.); -#22887=EDGE_CURVE('',#11155,#11067,#7858,.T.); -#22891=ADVANCED_FACE('',(#22890),#22883,.T.); -#22900=EDGE_CURVE('',#11156,#11068,#7862,.T.); -#22904=ADVANCED_FACE('',(#22903),#22896,.T.); -#22916=ADVANCED_FACE('',(#22915),#22909,.T.); -#22923=EDGE_CURVE('',#10999,#10968,#7866,.T.); -#22925=EDGE_CURVE('',#10968,#10963,#7902,.T.); -#22930=ADVANCED_FACE('',(#22929),#22921,.T.); -#22937=EDGE_CURVE('',#11151,#11180,#7874,.T.); -#22940=EDGE_CURVE('',#10996,#10966,#7878,.T.); -#22942=EDGE_CURVE('',#10966,#10968,#7906,.T.); -#22946=EDGE_CURVE('',#11148,#11179,#7882,.T.); -#22950=ADVANCED_FACE('',(#22949),#22935,.T.); -#22959=EDGE_CURVE('',#11152,#11063,#7886,.T.); -#22963=ADVANCED_FACE('',(#22962),#22955,.T.); -#22972=EDGE_CURVE('',#11147,#11064,#7890,.T.); -#22976=ADVANCED_FACE('',(#22975),#22968,.T.); -#22988=ADVANCED_FACE('',(#22987),#22981,.T.); -#22996=EDGE_CURVE('',#10964,#10966,#7910,.T.); -#23001=ADVANCED_FACE('',(#23000),#22993,.T.); -#23013=ADVANCED_FACE('',(#23012),#23006,.T.); -#23019=EDGE_CURVE('',#10971,#10972,#7914,.T.); -#23021=EDGE_CURVE('',#10976,#10971,#7918,.T.); -#23023=EDGE_CURVE('',#10974,#10976,#7922,.T.); -#23025=EDGE_CURVE('',#10972,#10974,#7926,.T.); -#23029=ADVANCED_FACE('',(#23028),#23018,.T.); -#23035=EDGE_CURVE('',#10979,#10980,#7930,.T.); -#23038=EDGE_CURVE('',#10982,#10984,#7938,.T.); -#23040=EDGE_CURVE('',#10980,#10982,#7942,.T.); -#23044=ADVANCED_FACE('',(#23043),#23034,.T.); -#23050=EDGE_CURVE('',#10987,#10988,#7946,.T.); -#23052=EDGE_CURVE('',#10992,#10987,#7950,.T.); -#23054=EDGE_CURVE('',#10990,#10992,#7954,.T.); -#23056=EDGE_CURVE('',#10988,#10990,#7958,.T.); -#23060=ADVANCED_FACE('',(#23059),#23049,.T.); -#23067=EDGE_CURVE('',#11003,#10971,#7962,.T.); -#23070=EDGE_CURVE('',#11004,#10972,#8022,.T.); -#23074=ADVANCED_FACE('',(#23073),#23065,.T.); -#23081=EDGE_CURVE('',#11135,#11188,#7970,.T.); -#23084=EDGE_CURVE('',#11140,#11191,#7974,.T.); -#23087=EDGE_CURVE('',#11143,#11192,#7982,.T.); -#23090=EDGE_CURVE('',#11008,#10976,#7986,.T.); -#23095=EDGE_CURVE('',#11132,#11187,#7990,.T.); -#23099=ADVANCED_FACE('',(#23098),#23079,.T.); -#23108=EDGE_CURVE('',#11136,#11055,#7994,.T.); -#23112=ADVANCED_FACE('',(#23111),#23104,.T.); -#23121=EDGE_CURVE('',#11131,#11056,#7998,.T.); -#23125=ADVANCED_FACE('',(#23124),#23117,.T.); -#23137=ADVANCED_FACE('',(#23136),#23130,.T.); -#23144=EDGE_CURVE('',#11139,#11060,#8002,.T.); -#23150=ADVANCED_FACE('',(#23149),#23142,.T.); -#23157=EDGE_CURVE('',#11144,#11059,#8006,.T.); -#23163=ADVANCED_FACE('',(#23162),#23155,.T.); -#23175=ADVANCED_FACE('',(#23174),#23168,.T.); -#23182=EDGE_CURVE('',#11007,#10974,#8010,.T.); -#23188=ADVANCED_FACE('',(#23187),#23180,.T.); -#23195=EDGE_CURVE('',#11128,#11183,#8018,.T.); -#23202=EDGE_CURVE('',#11126,#11184,#8026,.T.); -#23206=ADVANCED_FACE('',(#23205),#23193,.T.); -#23215=EDGE_CURVE('',#11123,#11051,#8030,.T.); -#23219=ADVANCED_FACE('',(#23218),#23211,.T.); -#23228=EDGE_CURVE('',#11124,#11052,#8034,.T.); -#23232=ADVANCED_FACE('',(#23231),#23224,.T.); -#23244=ADVANCED_FACE('',(#23243),#23237,.T.); -#23253=EDGE_CURVE('',#11012,#10980,#8050,.T.); -#23257=ADVANCED_FACE('',(#23256),#23249,.T.); -#23264=EDGE_CURVE('',#11096,#11195,#8046,.T.); -#23269=EDGE_CURVE('',#11015,#10982,#8066,.T.); -#23272=EDGE_CURVE('',#11094,#11196,#8054,.T.); -#23276=ADVANCED_FACE('',(#23275),#23262,.T.); -#23285=EDGE_CURVE('',#11091,#11035,#8058,.T.); -#23289=ADVANCED_FACE('',(#23288),#23281,.T.); -#23298=EDGE_CURVE('',#11092,#11036,#8062,.T.); -#23302=ADVANCED_FACE('',(#23301),#23294,.T.); -#23314=ADVANCED_FACE('',(#23313),#23307,.T.); -#23326=ADVANCED_FACE('',(#23325),#23319,.T.); -#23333=EDGE_CURVE('',#11019,#10987,#8070,.T.); -#23336=EDGE_CURVE('',#11020,#10988,#8122,.T.); -#23340=ADVANCED_FACE('',(#23339),#23331,.T.); -#23347=EDGE_CURVE('',#11111,#11211,#8078,.T.); -#23350=EDGE_CURVE('',#11024,#10992,#8082,.T.); -#23355=EDGE_CURVE('',#11100,#11216,#8086,.T.); -#23358=EDGE_CURVE('',#11103,#11215,#8094,.T.); -#23361=EDGE_CURVE('',#11108,#11212,#8098,.T.); -#23365=ADVANCED_FACE('',(#23364),#23345,.T.); -#23374=EDGE_CURVE('',#11112,#11043,#8102,.T.); -#23378=ADVANCED_FACE('',(#23377),#23370,.T.); -#23387=EDGE_CURVE('',#11107,#11044,#8106,.T.); -#23391=ADVANCED_FACE('',(#23390),#23383,.T.); -#23403=ADVANCED_FACE('',(#23402),#23396,.T.); -#23410=EDGE_CURVE('',#11023,#10990,#8110,.T.); -#23416=ADVANCED_FACE('',(#23415),#23408,.T.); -#23423=EDGE_CURVE('',#11120,#11208,#8118,.T.); -#23430=EDGE_CURVE('',#11118,#11207,#8126,.T.); -#23434=ADVANCED_FACE('',(#23433),#23421,.T.); -#23443=EDGE_CURVE('',#11115,#11047,#8130,.T.); -#23447=ADVANCED_FACE('',(#23446),#23439,.T.); -#23456=EDGE_CURVE('',#11116,#11048,#8134,.T.); -#23460=ADVANCED_FACE('',(#23459),#23452,.T.); -#23472=ADVANCED_FACE('',(#23471),#23465,.T.); -#23479=EDGE_CURVE('',#11099,#11040,#8138,.T.); -#23485=ADVANCED_FACE('',(#23484),#23477,.T.); -#23492=EDGE_CURVE('',#11104,#11039,#8142,.T.); -#23498=ADVANCED_FACE('',(#23497),#23490,.T.); -#23510=ADVANCED_FACE('',(#23509),#23503,.T.); -#23517=EDGE_CURVE('',#11164,#11072,#8146,.T.); -#23523=ADVANCED_FACE('',(#23522),#23515,.T.); -#23530=EDGE_CURVE('',#11163,#11071,#8150,.T.); -#23536=ADVANCED_FACE('',(#23535),#23528,.T.); -#23548=ADVANCED_FACE('',(#23547),#23541,.T.); -#23555=EDGE_CURVE('',#11083,#11032,#8154,.T.); -#23561=ADVANCED_FACE('',(#23560),#23553,.T.); -#23568=EDGE_CURVE('',#11088,#11031,#8158,.T.); -#23574=ADVANCED_FACE('',(#23573),#23566,.T.); -#23586=ADVANCED_FACE('',(#23585),#23579,.T.); -#23598=ADVANCED_FACE('',(#23597),#23591,.T.); -#23605=EDGE_CURVE('',#11243,#11240,#8166,.T.); -#23607=EDGE_CURVE('',#11240,#11263,#8194,.T.); -#23609=EDGE_CURVE('',#11244,#11263,#8238,.T.); -#23613=ADVANCED_FACE('',(#23612),#23603,.T.); -#23620=EDGE_CURVE('',#11247,#11259,#8210,.T.); -#23622=EDGE_CURVE('',#11259,#11235,#8190,.T.); -#23624=EDGE_CURVE('',#11248,#11235,#8178,.T.); -#23628=ADVANCED_FACE('',(#23627),#23618,.T.); -#23635=EDGE_CURVE('',#11256,#11239,#8170,.T.); -#23637=EDGE_CURVE('',#11239,#11240,#8198,.T.); -#23642=ADVANCED_FACE('',(#23641),#23633,.T.); -#23649=EDGE_CURVE('',#11250,#11236,#8174,.T.); -#23651=EDGE_CURVE('',#11236,#11260,#8182,.T.); -#23653=EDGE_CURVE('',#11252,#11260,#8218,.T.); -#23657=ADVANCED_FACE('',(#23656),#23647,.T.); -#23664=EDGE_CURVE('',#11255,#11264,#8230,.T.); -#23666=EDGE_CURVE('',#11264,#11239,#8202,.T.); -#23671=ADVANCED_FACE('',(#23670),#23662,.T.); -#23679=EDGE_CURVE('',#11235,#11236,#8186,.T.); -#23684=ADVANCED_FACE('',(#23683),#23676,.T.); -#23690=EDGE_CURVE('',#11259,#11260,#8206,.T.); -#23697=ADVANCED_FACE('',(#23696),#23689,.T.); -#23703=EDGE_CURVE('',#11263,#11264,#8226,.T.); -#23710=ADVANCED_FACE('',(#23709),#23702,.T.); -#23719=EDGE_CURVE('',#11228,#11220,#8214,.T.); -#23721=EDGE_CURVE('',#11220,#11222,#8258,.T.); -#23723=EDGE_CURVE('',#11231,#11222,#8242,.T.); -#23729=ADVANCED_FACE('',(#23728),#23715,.T.); -#23736=EDGE_CURVE('',#11227,#11219,#8222,.T.); -#23738=EDGE_CURVE('',#11219,#11220,#8246,.T.); -#23743=ADVANCED_FACE('',(#23742),#23734,.T.); -#23752=EDGE_CURVE('',#11232,#11224,#8234,.T.); -#23754=EDGE_CURVE('',#11224,#11219,#8250,.T.); -#23761=ADVANCED_FACE('',(#23760),#23748,.T.); -#23769=EDGE_CURVE('',#11222,#11224,#8254,.T.); -#23774=ADVANCED_FACE('',(#23773),#23766,.T.); -#23786=ADVANCED_FACE('',(#23785),#23779,.T.); -#23793=EDGE_CURVE('',#11303,#11288,#8262,.T.); -#23795=EDGE_CURVE('',#11288,#11355,#8314,.T.); -#23797=EDGE_CURVE('',#11304,#11355,#8406,.T.); -#23801=ADVANCED_FACE('',(#23800),#23791,.T.); -#23808=EDGE_CURVE('',#11307,#11343,#8342,.T.); -#23810=EDGE_CURVE('',#11343,#11283,#8286,.T.); -#23812=EDGE_CURVE('',#11308,#11283,#8274,.T.); -#23816=ADVANCED_FACE('',(#23815),#23806,.T.); -#23823=EDGE_CURVE('',#11316,#11287,#8266,.T.); -#23825=EDGE_CURVE('',#11287,#11288,#8318,.T.); -#23830=ADVANCED_FACE('',(#23829),#23821,.T.); -#23837=EDGE_CURVE('',#11310,#11284,#8270,.T.); -#23839=EDGE_CURVE('',#11284,#11344,#8278,.T.); -#23841=EDGE_CURVE('',#11312,#11344,#8374,.T.); -#23845=ADVANCED_FACE('',(#23844),#23835,.T.); -#23852=EDGE_CURVE('',#11315,#11356,#8386,.T.); -#23854=EDGE_CURVE('',#11356,#11287,#8322,.T.); -#23859=ADVANCED_FACE('',(#23858),#23850,.T.); -#23867=EDGE_CURVE('',#11283,#11284,#8282,.T.); -#23872=ADVANCED_FACE('',(#23871),#23864,.T.); -#23878=EDGE_CURVE('',#11343,#11344,#8338,.T.); -#23885=ADVANCED_FACE('',(#23884),#23877,.T.); -#23891=EDGE_CURVE('',#11347,#11348,#8366,.T.); -#23893=EDGE_CURVE('',#11292,#11348,#8290,.T.); -#23895=EDGE_CURVE('',#11291,#11292,#8294,.T.); -#23897=EDGE_CURVE('',#11347,#11291,#8298,.T.); -#23901=ADVANCED_FACE('',(#23900),#23890,.T.); -#23907=EDGE_CURVE('',#11351,#11352,#8354,.T.); -#23909=EDGE_CURVE('',#11296,#11352,#8302,.T.); -#23911=EDGE_CURVE('',#11295,#11296,#8306,.T.); -#23913=EDGE_CURVE('',#11351,#11295,#8310,.T.); -#23917=ADVANCED_FACE('',(#23916),#23906,.T.); -#23923=EDGE_CURVE('',#11355,#11356,#8382,.T.); -#23930=ADVANCED_FACE('',(#23929),#23922,.T.); -#23936=EDGE_CURVE('',#11359,#11360,#8394,.T.); -#23938=EDGE_CURVE('',#11300,#11359,#8326,.T.); -#23940=EDGE_CURVE('',#11299,#11300,#8330,.T.); -#23942=EDGE_CURVE('',#11360,#11299,#8334,.T.); -#23946=ADVANCED_FACE('',(#23945),#23935,.T.); -#23955=EDGE_CURVE('',#11276,#11268,#8346,.T.); -#23957=EDGE_CURVE('',#11268,#11270,#8442,.T.); -#23959=EDGE_CURVE('',#11279,#11270,#8426,.T.); -#23962=EDGE_CURVE('',#11330,#11352,#8350,.T.); -#23965=EDGE_CURVE('',#11340,#11351,#8358,.T.); -#23968=EDGE_CURVE('',#11322,#11348,#8362,.T.); -#23971=EDGE_CURVE('',#11324,#11347,#8370,.T.); -#23977=ADVANCED_FACE('',(#23976),#23951,.T.); -#23984=EDGE_CURVE('',#11275,#11267,#8378,.T.); -#23986=EDGE_CURVE('',#11267,#11268,#8430,.T.); -#23991=ADVANCED_FACE('',(#23990),#23982,.T.); -#24000=EDGE_CURVE('',#11338,#11359,#8390,.T.); -#24003=EDGE_CURVE('',#11333,#11360,#8398,.T.); -#24006=EDGE_CURVE('',#11280,#11272,#8402,.T.); -#24008=EDGE_CURVE('',#11272,#11267,#8434,.T.); -#24015=ADVANCED_FACE('',(#24014),#23996,.T.); -#24022=EDGE_CURVE('',#11336,#11300,#8410,.T.); -#24028=ADVANCED_FACE('',(#24027),#24020,.T.); -#24037=EDGE_CURVE('',#11327,#11295,#8422,.T.); -#24041=ADVANCED_FACE('',(#24040),#24033,.T.); -#24048=EDGE_CURVE('',#11334,#11299,#8414,.T.); -#24054=ADVANCED_FACE('',(#24053),#24046,.T.); -#24061=EDGE_CURVE('',#11328,#11296,#8418,.T.); -#24067=ADVANCED_FACE('',(#24066),#24059,.T.); -#24079=ADVANCED_FACE('',(#24078),#24072,.T.); -#24091=ADVANCED_FACE('',(#24090),#24084,.T.); -#24099=EDGE_CURVE('',#11270,#11272,#8438,.T.); -#24104=ADVANCED_FACE('',(#24103),#24096,.T.); -#24116=ADVANCED_FACE('',(#24115),#24109,.T.); -#24123=EDGE_CURVE('',#11320,#11292,#8446,.T.); -#24129=ADVANCED_FACE('',(#24128),#24121,.T.); -#24136=EDGE_CURVE('',#11319,#11291,#8450,.T.); -#24142=ADVANCED_FACE('',(#24141),#24134,.T.); -#24154=ADVANCED_FACE('',(#24153),#24147,.T.); -#24161=EDGE_CURVE('',#11371,#11363,#8454,.T.); -#24163=EDGE_CURVE('',#11363,#11364,#8470,.T.); -#24165=EDGE_CURVE('',#11372,#11364,#8466,.T.); -#24169=ADVANCED_FACE('',(#24168),#24159,.T.); -#24176=EDGE_CURVE('',#11376,#11368,#8458,.T.); -#24178=EDGE_CURVE('',#11368,#11363,#8474,.T.); -#24183=ADVANCED_FACE('',(#24182),#24174,.T.); -#24190=EDGE_CURVE('',#11374,#11366,#8462,.T.); -#24192=EDGE_CURVE('',#11366,#11368,#8478,.T.); -#24197=ADVANCED_FACE('',(#24196),#24188,.T.); -#24205=EDGE_CURVE('',#11364,#11366,#8482,.T.); -#24210=ADVANCED_FACE('',(#24209),#24202,.T.); -#24222=ADVANCED_FACE('',(#24221),#24215,.T.); -#24229=EDGE_CURVE('',#11387,#11379,#8486,.T.); -#24231=EDGE_CURVE('',#11379,#11380,#8502,.T.); -#24233=EDGE_CURVE('',#11388,#11380,#8498,.T.); -#24237=ADVANCED_FACE('',(#24236),#24227,.T.); -#24244=EDGE_CURVE('',#11392,#11384,#8490,.T.); -#24246=EDGE_CURVE('',#11384,#11379,#8506,.T.); -#24251=ADVANCED_FACE('',(#24250),#24242,.T.); -#24258=EDGE_CURVE('',#11390,#11382,#8494,.T.); -#24260=EDGE_CURVE('',#11382,#11384,#8510,.T.); -#24265=ADVANCED_FACE('',(#24264),#24256,.T.); -#24273=EDGE_CURVE('',#11380,#11382,#8514,.T.); -#24278=ADVANCED_FACE('',(#24277),#24270,.T.); -#24290=ADVANCED_FACE('',(#24289),#24283,.T.); -#24297=EDGE_CURVE('',#11431,#11411,#8518,.T.); -#24299=EDGE_CURVE('',#11411,#11412,#8550,.T.); -#24301=EDGE_CURVE('',#11432,#11412,#8530,.T.); -#24305=ADVANCED_FACE('',(#24304),#24295,.T.); -#24312=EDGE_CURVE('',#11440,#11416,#8522,.T.); -#24314=EDGE_CURVE('',#11416,#11488,#8582,.T.); -#24316=EDGE_CURVE('',#11442,#11488,#8650,.T.); -#24320=ADVANCED_FACE('',(#24319),#24310,.T.); -#24327=EDGE_CURVE('',#11444,#11476,#8610,.T.); -#24329=EDGE_CURVE('',#11476,#11411,#8554,.T.); -#24334=ADVANCED_FACE('',(#24333),#24325,.T.); -#24341=EDGE_CURVE('',#11438,#11415,#8526,.T.); -#24343=EDGE_CURVE('',#11415,#11416,#8586,.T.); -#24348=ADVANCED_FACE('',(#24347),#24339,.T.); -#24356=EDGE_CURVE('',#11412,#11475,#8546,.T.); -#24358=EDGE_CURVE('',#11434,#11475,#8602,.T.); -#24362=ADVANCED_FACE('',(#24361),#24353,.T.); -#24369=EDGE_CURVE('',#11437,#11487,#8658,.T.); -#24371=EDGE_CURVE('',#11487,#11415,#8590,.T.); -#24376=ADVANCED_FACE('',(#24375),#24367,.T.); -#24382=EDGE_CURVE('',#11471,#11472,#8594,.T.); -#24384=EDGE_CURVE('',#11424,#11471,#8534,.T.); -#24386=EDGE_CURVE('',#11423,#11424,#8538,.T.); -#24388=EDGE_CURVE('',#11472,#11423,#8542,.T.); -#24392=ADVANCED_FACE('',(#24391),#24381,.T.); -#24398=EDGE_CURVE('',#11475,#11476,#8606,.T.); -#24405=ADVANCED_FACE('',(#24404),#24397,.T.); -#24411=EDGE_CURVE('',#11479,#11480,#8638,.T.); -#24413=EDGE_CURVE('',#11428,#11480,#8558,.T.); -#24415=EDGE_CURVE('',#11427,#11428,#8562,.T.); -#24417=EDGE_CURVE('',#11479,#11427,#8566,.T.); -#24421=ADVANCED_FACE('',(#24420),#24410,.T.); -#24427=EDGE_CURVE('',#11483,#11484,#8666,.T.); -#24429=EDGE_CURVE('',#11420,#11484,#8570,.T.); -#24431=EDGE_CURVE('',#11419,#11420,#8574,.T.); -#24433=EDGE_CURVE('',#11483,#11419,#8578,.T.); -#24437=ADVANCED_FACE('',(#24436),#24426,.T.); -#24443=EDGE_CURVE('',#11487,#11488,#8654,.T.); -#24450=ADVANCED_FACE('',(#24449),#24442,.T.); -#24457=EDGE_CURVE('',#11468,#11472,#8598,.T.); -#24464=EDGE_CURVE('',#11408,#11395,#8614,.T.); -#24466=EDGE_CURVE('',#11395,#11396,#8682,.T.); -#24468=EDGE_CURVE('',#11403,#11396,#8678,.T.); -#24471=EDGE_CURVE('',#11458,#11471,#8618,.T.); -#24475=ADVANCED_FACE('',(#24474),#24455,.T.); -#24482=EDGE_CURVE('',#11464,#11428,#8622,.T.); -#24485=EDGE_CURVE('',#11466,#11480,#8674,.T.); -#24489=ADVANCED_FACE('',(#24488),#24480,.T.); -#24498=EDGE_CURVE('',#11455,#11423,#8634,.T.); -#24502=ADVANCED_FACE('',(#24501),#24494,.T.); -#24509=EDGE_CURVE('',#11462,#11427,#8626,.T.); -#24515=ADVANCED_FACE('',(#24514),#24507,.T.); -#24522=EDGE_CURVE('',#11456,#11424,#8630,.T.); -#24528=ADVANCED_FACE('',(#24527),#24520,.T.); -#24535=EDGE_CURVE('',#11461,#11479,#8642,.T.); -#24541=ADVANCED_FACE('',(#24540),#24533,.T.); -#24553=ADVANCED_FACE('',(#24552),#24546,.T.); -#24562=EDGE_CURVE('',#11404,#11398,#8646,.T.); -#24564=EDGE_CURVE('',#11398,#11400,#8690,.T.); -#24566=EDGE_CURVE('',#11407,#11400,#8698,.T.); -#24573=EDGE_CURVE('',#11448,#11484,#8662,.T.); -#24576=EDGE_CURVE('',#11451,#11483,#8670,.T.); -#24582=ADVANCED_FACE('',(#24581),#24558,.T.); -#24590=EDGE_CURVE('',#11396,#11398,#8694,.T.); -#24595=ADVANCED_FACE('',(#24594),#24587,.T.); -#24602=EDGE_CURVE('',#11400,#11395,#8686,.T.); -#24608=ADVANCED_FACE('',(#24607),#24600,.T.); -#24620=ADVANCED_FACE('',(#24619),#24613,.T.); -#24627=EDGE_CURVE('',#11447,#11420,#8702,.T.); -#24633=ADVANCED_FACE('',(#24632),#24625,.T.); -#24640=EDGE_CURVE('',#11452,#11419,#8706,.T.); -#24646=ADVANCED_FACE('',(#24645),#24638,.T.); -#24658=ADVANCED_FACE('',(#24657),#24651,.T.); -#24661=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#24662=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); -#24665=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); -#24667=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( -#24666))GLOBAL_UNIT_ASSIGNED_CONTEXT((#24661,#24664,#24665))REPRESENTATION_CONTEXT('ID1','3')); -#24668=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#24660),#24667); -#24676=PRODUCT_DEFINITION('part definition','',#24675,#24672); -#24677=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR T_DISPLAY_S3.',#24676); -#24678=SHAPE_ASPECT('','solid data associated with T_DISPLAY_S3',#24677,.F.); -#24679=PROPERTY_DEFINITION('', -'shape for solid data with which properties are associated',#24678); -#24680=SHAPE_REPRESENTATION('',(#24660),#24667); -#24681=SHAPE_DEFINITION_REPRESENTATION(#24679,#24680); -#24682=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#24686=PROPERTY_DEFINITION('geometric validation property', -'area of T_DISPLAY_S3',#24678); -#24687=REPRESENTATION('surface area',(#24685),#24667); -#24688=PROPERTY_DEFINITION_REPRESENTATION(#24686,#24687); -#24689=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#24693=PROPERTY_DEFINITION('geometric validation property', -'volume of T_DISPLAY_S3',#24678); -#24694=REPRESENTATION('volume',(#24692),#24667); -#24695=PROPERTY_DEFINITION_REPRESENTATION(#24693,#24694); -#24697=PROPERTY_DEFINITION('geometric validation property', -'centroid of T_DISPLAY_S3',#24678); -#24698=REPRESENTATION('centroid',(#24696),#24667); -#24699=PROPERTY_DEFINITION_REPRESENTATION(#24697,#24698); -#24700=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#24704=PROPERTY_DEFINITION('geometric validation property', -'area of T_DISPLAY_S3',#24677); -#24705=REPRESENTATION('surface area',(#24703),#24667); -#24706=PROPERTY_DEFINITION_REPRESENTATION(#24704,#24705); -#24707=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); -#24711=PROPERTY_DEFINITION('geometric validation property', -'volume of T_DISPLAY_S3',#24677); -#24712=REPRESENTATION('volume',(#24710),#24667); -#24713=PROPERTY_DEFINITION_REPRESENTATION(#24711,#24712); -#24715=PROPERTY_DEFINITION('geometric validation property', -'centroid of T_DISPLAY_S3',#24677); -#24716=REPRESENTATION('centroid',(#24714),#24667); -#24717=PROPERTY_DEFINITION_REPRESENTATION(#24715,#24716); -#24718=SHAPE_DEFINITION_REPRESENTATION(#24677,#24668); -ENDSEC; -END-ISO-10303-21; +ISO-10303-21; +HEADER; +FILE_DESCRIPTION((''),'2;1'); +FILE_NAME('T_DISPLAY_S3','2022-09-07T11:43:41',('123456'),(''), +'CREO PARAMETRIC BY PTC INC, 2019080','CREO PARAMETRIC BY PTC INC, 2019080',''); +FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); +ENDSEC; +DATA; +#64=CARTESIAN_POINT('',(8.098266033901E1,1.085502E2,4.311724784545E0)); +#65=DIRECTION('',(0.E0,0.E0,1.E0)); +#66=DIRECTION('',(0.E0,1.E0,0.E0)); +#67=AXIS2_PLACEMENT_3D('',#64,#65,#66); +#69=DIRECTION('',(-1.E0,0.E0,0.E0)); +#70=VECTOR('',#69,2.350520218157E1); +#71=CARTESIAN_POINT('',(1.044878625206E2,1.095502E2,4.311724784545E0)); +#72=LINE('',#71,#70); +#73=CARTESIAN_POINT('',(1.044878625206E2,1.085502E2,4.311724784545E0)); +#74=DIRECTION('',(0.E0,0.E0,1.E0)); +#75=DIRECTION('',(9.999999765744E-1,-2.164513699654E-4,0.E0)); +#76=AXIS2_PLACEMENT_3D('',#73,#74,#75); +#78=DIRECTION('',(2.164513699637E-4,9.999999765744E-1,0.E0)); +#79=VECTOR('',#78,5.878220937701E1); +#80=CARTESIAN_POINT('',(1.054751390074E2,4.976777554863E1,4.311724784545E0)); +#81=LINE('',#80,#79); +#82=CARTESIAN_POINT('',(1.044751390308E2,4.9767992E1,4.311724784545E0)); +#83=DIRECTION('',(0.E0,0.E0,1.E0)); +#84=DIRECTION('',(0.E0,-1.E0,0.E0)); +#85=AXIS2_PLACEMENT_3D('',#82,#83,#84); +#87=DIRECTION('',(1.E0,0.E0,0.E0)); +#88=VECTOR('',#87,2.346889864187E1); +#89=CARTESIAN_POINT('',(8.100624038897E1,4.8767992E1,4.311724784545E0)); +#90=LINE('',#89,#88); +#91=CARTESIAN_POINT('',(8.100624038897E1,4.9767992E1,4.311724784545E0)); +#92=DIRECTION('',(0.E0,0.E0,1.E0)); +#93=DIRECTION('',(-9.999999195423E-1,-4.011426052273E-4,0.E0)); +#94=AXIS2_PLACEMENT_3D('',#91,#92,#93); +#96=DIRECTION('',(4.011426052263E-4,-9.999999195423E-1,0.E0)); +#97=VECTOR('',#96,5.878221272948E1); +#98=CARTESIAN_POINT('',(7.998266041947E1,1.085497988574E2,4.311724784545E0)); +#99=LINE('',#98,#97); +#100=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,4.311724784545E0)); +#101=DIRECTION('',(0.E0,0.E0,1.E0)); +#102=DIRECTION('',(1.E0,0.E0,0.E0)); +#103=AXIS2_PLACEMENT_3D('',#100,#101,#102); +#105=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,4.311724784545E0)); +#106=DIRECTION('',(0.E0,0.E0,1.E0)); +#107=DIRECTION('',(-1.E0,0.E0,0.E0)); +#108=AXIS2_PLACEMENT_3D('',#105,#106,#107); +#110=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,4.311724784545E0)); +#111=DIRECTION('',(0.E0,0.E0,1.E0)); +#112=DIRECTION('',(1.E0,0.E0,0.E0)); +#113=AXIS2_PLACEMENT_3D('',#110,#111,#112); +#115=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,4.311724784545E0)); +#116=DIRECTION('',(0.E0,0.E0,1.E0)); +#117=DIRECTION('',(-1.E0,0.E0,0.E0)); +#118=AXIS2_PLACEMENT_3D('',#115,#116,#117); +#120=DIRECTION('',(-1.E0,0.E0,0.E0)); +#121=VECTOR('',#120,7.03199E-1); +#122=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.311724784545E0)); +#123=LINE('',#122,#121); +#124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#125=VECTOR('',#124,9.55599E-1); +#126=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.311724784545E0)); +#127=LINE('',#126,#125); +#128=DIRECTION('',(-1.E0,0.E0,0.E0)); +#129=VECTOR('',#128,2.96799E-1); +#130=CARTESIAN_POINT('',(1.02432462E2,5.3689809E1,4.311724784545E0)); +#131=LINE('',#130,#129); +#132=DIRECTION('',(0.E0,1.E0,0.E0)); +#133=VECTOR('',#132,9.55599E-1); +#134=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.311724784545E0)); +#135=LINE('',#134,#133); +#136=DIRECTION('',(-1.E0,0.E0,0.E0)); +#137=VECTOR('',#136,7.03199E-1); +#138=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.311724784545E0)); +#139=LINE('',#138,#137); +#140=DIRECTION('',(0.E0,-1.E0,0.E0)); +#141=VECTOR('',#140,9.55599E-1); +#142=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.311724784545E0)); +#143=LINE('',#142,#141); +#144=DIRECTION('',(-1.E0,0.E0,0.E0)); +#145=VECTOR('',#144,2.96799E-1); +#146=CARTESIAN_POINT('',(1.01432464E2,5.3689809E1,4.311724784545E0)); +#147=LINE('',#146,#145); +#148=DIRECTION('',(0.E0,1.E0,0.E0)); +#149=VECTOR('',#148,9.55599E-1); +#150=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.311724784545E0)); +#151=LINE('',#150,#149); +#152=DIRECTION('',(-1.E0,0.E0,0.E0)); +#153=VECTOR('',#152,7.03199E-1); +#154=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.311724784545E0)); +#155=LINE('',#154,#153); +#156=DIRECTION('',(0.E0,-1.E0,0.E0)); +#157=VECTOR('',#156,9.55599E-1); +#158=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.311724784545E0)); +#159=LINE('',#158,#157); +#160=DIRECTION('',(-1.E0,0.E0,0.E0)); +#161=VECTOR('',#160,2.96799E-1); +#162=CARTESIAN_POINT('',(1.00432466E2,5.3689809E1,4.311724784545E0)); +#163=LINE('',#162,#161); +#164=DIRECTION('',(0.E0,1.E0,0.E0)); +#165=VECTOR('',#164,9.55599E-1); +#166=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.311724784545E0)); +#167=LINE('',#166,#165); +#168=DIRECTION('',(-1.E0,0.E0,0.E0)); +#169=VECTOR('',#168,7.03199E-1); +#170=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.311724784545E0)); +#171=LINE('',#170,#169); +#172=DIRECTION('',(0.E0,-1.E0,0.E0)); +#173=VECTOR('',#172,9.55599E-1); +#174=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.311724784545E0)); +#175=LINE('',#174,#173); +#176=DIRECTION('',(-1.E0,0.E0,0.E0)); +#177=VECTOR('',#176,1.371384E0); +#178=CARTESIAN_POINT('',(9.9432468E1,5.3689809E1,4.311724784545E0)); +#179=LINE('',#178,#177); +#180=DIRECTION('',(0.E0,-1.E0,0.E0)); +#181=VECTOR('',#180,4.699E0); +#182=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,4.311724784545E0)); +#183=LINE('',#182,#181); +#184=DIRECTION('',(1.E0,0.E0,0.E0)); +#185=VECTOR('',#184,6.321831E0); +#186=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,4.311724784545E0)); +#187=LINE('',#186,#185); +#188=DIRECTION('',(0.E0,1.E0,0.E0)); +#189=VECTOR('',#188,4.699E0); +#190=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,4.311724784545E0)); +#191=LINE('',#190,#189); +#192=DIRECTION('',(-1.E0,0.E0,0.E0)); +#193=VECTOR('',#192,1.247254E0); +#194=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,4.311724784545E0)); +#195=LINE('',#194,#193); +#196=DIRECTION('',(0.E0,1.E0,0.E0)); +#197=VECTOR('',#196,9.55599E-1); +#198=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.311724784545E0)); +#199=LINE('',#198,#197); +#200=DIRECTION('',(0.E0,1.E0,0.E0)); +#201=VECTOR('',#200,8.332010989979E-1); +#202=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.311724784545E0)); +#203=LINE('',#202,#201); +#204=DIRECTION('',(9.999694801760E-1,7.812727858096E-3,0.E0)); +#205=VECTOR('',#204,2.150068619716E0); +#206=CARTESIAN_POINT('',(9.3633191E1,4.991800209900E1,4.311724784545E0)); +#207=LINE('',#206,#205); +#208=DIRECTION('',(7.071017303986E-1,7.071118319385E-1,0.E0)); +#209=VECTOR('',#208,4.949740397364E-1); +#210=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,4.311724784545E0)); +#211=LINE('',#210,#209); +#212=DIRECTION('',(-1.088139673763E-6,9.999999999994E-1,0.E0)); +#213=VECTOR('',#212,2.756999000002E0); +#214=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,4.311724784545E0)); +#215=LINE('',#214,#213); +#216=DIRECTION('',(-1.E0,0.E0,0.E0)); +#217=VECTOR('',#216,1.049997E0); +#218=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,4.311724784545E0)); +#219=LINE('',#218,#217); +#220=DIRECTION('',(0.E0,1.E0,0.E0)); +#221=VECTOR('',#220,1.192998E0); +#222=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,4.311724784545E0)); +#223=LINE('',#222,#221); +#224=DIRECTION('',(-1.E0,0.E0,0.E0)); +#225=VECTOR('',#224,4.899998E0); +#226=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,4.311724784545E0)); +#227=LINE('',#226,#225); +#228=DIRECTION('',(0.E0,-1.E0,0.E0)); +#229=VECTOR('',#228,1.192997999999E0); +#230=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,4.311724784545E0)); +#231=LINE('',#230,#229); +#232=DIRECTION('',(-1.E0,-2.639156219341E-13,0.E0)); +#233=VECTOR('',#232,1.050001E0); +#234=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,4.311724784545E0)); +#235=LINE('',#234,#233); +#236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#237=VECTOR('',#236,2.907004E0); +#238=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,4.311724784545E0)); +#239=LINE('',#238,#237); +#240=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); +#241=VECTOR('',#240,3.535533905933E-1); +#242=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,4.311724784545E0)); +#243=LINE('',#242,#241); +#244=DIRECTION('',(9.999694801760E-1,7.812727858091E-3,0.E0)); +#245=VECTOR('',#244,2.280072587414E0); +#246=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,4.311724784545E0)); +#247=LINE('',#246,#245); +#248=DIRECTION('',(0.E0,-1.E0,0.E0)); +#249=VECTOR('',#248,8.178065866222E-1); +#250=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.311724784545E0)); +#251=LINE('',#250,#249); +#252=DIRECTION('',(1.E0,0.E0,0.E0)); +#253=VECTOR('',#252,6.99998E-1); +#254=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.311724784545E0)); +#255=LINE('',#254,#253); +#256=DIRECTION('',(0.E0,1.E0,0.E0)); +#257=VECTOR('',#256,8.232756474121E-1); +#258=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.311724784545E0)); +#259=LINE('',#258,#257); +#260=DIRECTION('',(9.999694801760E-1,7.812727858085E-3,0.E0)); +#261=VECTOR('',#260,5.700163968001E-1); +#262=CARTESIAN_POINT('',(9.2363193E1,4.990807964741E1,4.311724784545E0)); +#263=LINE('',#262,#261); +#264=DIRECTION('',(0.E0,-1.E0,0.E0)); +#265=VECTOR('',#264,8.277320303950E-1); +#266=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.311724784545E0)); +#267=LINE('',#266,#265); +#268=DIRECTION('',(1.E0,0.E0,0.E0)); +#269=VECTOR('',#268,6.99999E-1); +#270=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.311724784545E0)); +#271=LINE('',#270,#269); +#272=DIRECTION('',(-9.999999999999E-1,-4.347829787492E-7,0.E0)); +#273=VECTOR('',#272,1.510695874468E0); +#274=CARTESIAN_POINT('',(1.041634878745E2,6.138039865682E1,4.311724784545E0)); +#275=LINE('',#274,#273); +#276=DIRECTION('',(4.347830986934E-7,-9.999999999999E-1,0.E0)); +#277=VECTOR('',#276,1.083097488742E-1); +#278=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,4.311724784545E0)); +#279=LINE('',#278,#277); +#280=DIRECTION('',(4.347829843599E-7,-9.999999999999E-1,0.E0)); +#281=VECTOR('',#280,2.416612634713E0); +#282=CARTESIAN_POINT('',(1.026527924746E2,6.028870596627E1,4.311724784545E0)); +#283=LINE('',#282,#281); +#284=DIRECTION('',(4.347830455550E-7,-9.999999999999E-1,0.E0)); +#285=VECTOR('',#284,1.083068858375E-1); +#286=CARTESIAN_POINT('',(1.026527939529E2,5.688870888584E1,4.311724784545E0)); +#287=LINE('',#286,#285); +#288=DIRECTION('',(9.999999999999E-1,4.347829828982E-7,0.E0)); +#289=VECTOR('',#288,1.510694814134E0); +#290=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,4.311724784545E0)); +#291=LINE('',#290,#289); +#292=DIRECTION('',(-4.347829855229E-7,9.999999999999E-1,0.E0)); +#293=VECTOR('',#292,4.257082992832E0); +#294=CARTESIAN_POINT('',(1.049527939255E2,5.695185797116E1,4.311724784545E0)); +#295=LINE('',#294,#293); +#296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#297=VECTOR('',#296,5.08E0); +#298=CARTESIAN_POINT('',(9.52246E1,7.69366E1,4.311724784545E0)); +#299=LINE('',#298,#297); +#300=DIRECTION('',(0.E0,-1.E0,0.E0)); +#301=VECTOR('',#300,4.826E0); +#302=CARTESIAN_POINT('',(9.01446E1,7.69366E1,4.311724784545E0)); +#303=LINE('',#302,#301); +#304=DIRECTION('',(1.E0,0.E0,0.E0)); +#305=VECTOR('',#304,5.08E0); +#306=CARTESIAN_POINT('',(9.01446E1,7.21106E1,4.311724784545E0)); +#307=LINE('',#306,#305); +#308=DIRECTION('',(0.E0,1.E0,0.E0)); +#309=VECTOR('',#308,4.826E0); +#310=CARTESIAN_POINT('',(9.52246E1,7.21106E1,4.311724784545E0)); +#311=LINE('',#310,#309); +#312=DIRECTION('',(0.E0,1.E0,0.E0)); +#313=VECTOR('',#312,8.128E-1); +#314=CARTESIAN_POINT('',(8.9662E1,7.60222E1,4.311724784545E0)); +#315=LINE('',#314,#313); +#316=DIRECTION('',(0.E0,-1.E0,0.E0)); +#317=VECTOR('',#316,8.128E-1); +#318=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.311724784545E0)); +#319=LINE('',#318,#317); +#320=DIRECTION('',(0.E0,1.E0,0.E0)); +#321=VECTOR('',#320,8.128E-1); +#322=CARTESIAN_POINT('',(8.9662E1,7.47522E1,4.311724784545E0)); +#323=LINE('',#322,#321); +#324=DIRECTION('',(0.E0,-1.E0,0.E0)); +#325=VECTOR('',#324,8.128E-1); +#326=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.311724784545E0)); +#327=LINE('',#326,#325); +#328=DIRECTION('',(3.496765431890E-14,1.E0,0.E0)); +#329=VECTOR('',#328,8.128E-1); +#330=CARTESIAN_POINT('',(8.9662E1,7.34822E1,4.311724784545E0)); +#331=LINE('',#330,#329); +#332=DIRECTION('',(0.E0,-1.E0,0.E0)); +#333=VECTOR('',#332,8.128E-1); +#334=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.311724784545E0)); +#335=LINE('',#334,#333); +#336=DIRECTION('',(0.E0,1.E0,0.E0)); +#337=VECTOR('',#336,8.128E-1); +#338=CARTESIAN_POINT('',(8.9662E1,7.22122E1,4.311724784545E0)); +#339=LINE('',#338,#337); +#340=DIRECTION('',(0.E0,-1.E0,0.E0)); +#341=VECTOR('',#340,8.128E-1); +#342=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.311724784545E0)); +#343=LINE('',#342,#341); +#344=DIRECTION('',(0.E0,-1.E0,0.E0)); +#345=VECTOR('',#344,8.128E-1); +#346=CARTESIAN_POINT('',(9.57072E1,7.6835E1,4.311724784545E0)); +#347=LINE('',#346,#345); +#348=DIRECTION('',(0.E0,1.E0,0.E0)); +#349=VECTOR('',#348,8.128E-1); +#350=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.311724784545E0)); +#351=LINE('',#350,#349); +#352=DIRECTION('',(0.E0,-1.E0,0.E0)); +#353=VECTOR('',#352,8.128E-1); +#354=CARTESIAN_POINT('',(9.57072E1,7.5565E1,4.311724784545E0)); +#355=LINE('',#354,#353); +#356=DIRECTION('',(0.E0,1.E0,0.E0)); +#357=VECTOR('',#356,8.128E-1); +#358=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.311724784545E0)); +#359=LINE('',#358,#357); +#360=DIRECTION('',(0.E0,-1.E0,0.E0)); +#361=VECTOR('',#360,8.128E-1); +#362=CARTESIAN_POINT('',(9.57072E1,7.4295E1,4.311724784545E0)); +#363=LINE('',#362,#361); +#364=DIRECTION('',(0.E0,1.E0,0.E0)); +#365=VECTOR('',#364,8.128E-1); +#366=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.311724784545E0)); +#367=LINE('',#366,#365); +#368=DIRECTION('',(0.E0,-1.E0,0.E0)); +#369=VECTOR('',#368,8.128E-1); +#370=CARTESIAN_POINT('',(9.57072E1,7.3025E1,4.311724784545E0)); +#371=LINE('',#370,#369); +#372=DIRECTION('',(0.E0,1.E0,0.E0)); +#373=VECTOR('',#372,8.128E-1); +#374=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.311724784545E0)); +#375=LINE('',#374,#373); +#376=DIRECTION('',(-1.E0,0.E0,0.E0)); +#377=VECTOR('',#376,2.65391E-1); +#378=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.311724784545E0)); +#379=LINE('',#378,#377); +#380=DIRECTION('',(0.E0,1.E0,0.E0)); +#381=VECTOR('',#380,7.74624E-1); +#382=CARTESIAN_POINT('',(9.6946695E1,9.3530318E1,4.311724784545E0)); +#383=LINE('',#382,#381); +#384=DIRECTION('',(-1.E0,0.E0,0.E0)); +#385=VECTOR('',#384,7.74624E-1); +#386=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,4.311724784545E0)); +#387=LINE('',#386,#385); +#388=DIRECTION('',(0.E0,1.E0,0.E0)); +#389=VECTOR('',#388,2.65392E-1); +#390=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.311724784545E0)); +#391=LINE('',#390,#389); +#392=DIRECTION('',(-1.E0,0.E0,0.E0)); +#393=VECTOR('',#392,5.603189E0); +#394=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.311724784545E0)); +#395=LINE('',#394,#393); +#396=DIRECTION('',(0.E0,-1.E0,0.E0)); +#397=VECTOR('',#396,2.65392E-1); +#398=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.311724784545E0)); +#399=LINE('',#398,#397); +#400=DIRECTION('',(-1.E0,0.E0,0.E0)); +#401=VECTOR('',#400,7.74624E-1); +#402=CARTESIAN_POINT('',(9.0568882E1,9.4304942E1,4.311724784545E0)); +#403=LINE('',#402,#401); +#404=DIRECTION('',(0.E0,-1.E0,0.E0)); +#405=VECTOR('',#404,7.74624E-1); +#406=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,4.311724784545E0)); +#407=LINE('',#406,#405); +#408=DIRECTION('',(-1.E0,0.E0,0.E0)); +#409=VECTOR('',#408,2.65392E-1); +#410=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.311724784545E0)); +#411=LINE('',#410,#409); +#412=DIRECTION('',(0.E0,-1.E0,0.E0)); +#413=VECTOR('',#412,5.603189E0); +#414=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.311724784545E0)); +#415=LINE('',#414,#413); +#416=DIRECTION('',(1.E0,0.E0,0.E0)); +#417=VECTOR('',#416,2.65392E-1); +#418=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.311724784545E0)); +#419=LINE('',#418,#417); +#420=DIRECTION('',(0.E0,-1.E0,0.E0)); +#421=VECTOR('',#420,7.74624E-1); +#422=CARTESIAN_POINT('',(8.9794258E1,8.7927129E1,4.311724784545E0)); +#423=LINE('',#422,#421); +#424=DIRECTION('',(1.E0,0.E0,0.E0)); +#425=VECTOR('',#424,7.74624E-1); +#426=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,4.311724784545E0)); +#427=LINE('',#426,#425); +#428=DIRECTION('',(0.E0,-1.E0,0.E0)); +#429=VECTOR('',#428,2.65392E-1); +#430=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.311724784545E0)); +#431=LINE('',#430,#429); +#432=DIRECTION('',(1.E0,0.E0,0.E0)); +#433=VECTOR('',#432,5.603189E0); +#434=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.311724784545E0)); +#435=LINE('',#434,#433); +#436=DIRECTION('',(0.E0,1.E0,0.E0)); +#437=VECTOR('',#436,2.65392E-1); +#438=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.311724784545E0)); +#439=LINE('',#438,#437); +#440=DIRECTION('',(1.E0,0.E0,0.E0)); +#441=VECTOR('',#440,7.74624E-1); +#442=CARTESIAN_POINT('',(9.6172071E1,8.7152505E1,4.311724784545E0)); +#443=LINE('',#442,#441); +#444=DIRECTION('',(0.E0,1.E0,0.E0)); +#445=VECTOR('',#444,7.74624E-1); +#446=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,4.311724784545E0)); +#447=LINE('',#446,#445); +#448=DIRECTION('',(1.E0,0.E0,0.E0)); +#449=VECTOR('',#448,2.65391E-1); +#450=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.311724784545E0)); +#451=LINE('',#450,#449); +#452=DIRECTION('',(0.E0,1.E0,0.E0)); +#453=VECTOR('',#452,5.603189E0); +#454=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.311724784545E0)); +#455=LINE('',#454,#453); +#456=DIRECTION('',(-1.E0,0.E0,0.E0)); +#457=VECTOR('',#456,1.983232E0); +#458=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.311724784545E0)); +#459=LINE('',#458,#457); +#460=DIRECTION('',(0.E0,-1.E0,0.E0)); +#461=VECTOR('',#460,2.27914E-1); +#462=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.311724784545E0)); +#463=LINE('',#462,#461); +#464=DIRECTION('',(-1.E0,0.E0,0.E0)); +#465=VECTOR('',#464,8.458199999998E-2); +#466=CARTESIAN_POINT('',(9.4918784E1,8.2076798E1,4.311724784545E0)); +#467=LINE('',#466,#465); +#468=DIRECTION('',(0.E0,-1.E0,0.E0)); +#469=VECTOR('',#468,2.152396E0); +#470=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,4.311724784545E0)); +#471=LINE('',#470,#469); +#472=DIRECTION('',(1.E0,0.E0,0.E0)); +#473=VECTOR('',#472,8.458199999998E-2); +#474=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,4.311724784545E0)); +#475=LINE('',#474,#473); +#476=DIRECTION('',(0.E0,-1.E0,0.E0)); +#477=VECTOR('',#476,2.27914E-1); +#478=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.311724784545E0)); +#479=LINE('',#478,#477); +#480=DIRECTION('',(1.E0,0.E0,0.E0)); +#481=VECTOR('',#480,1.983232E0); +#482=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.311724784545E0)); +#483=LINE('',#482,#481); +#484=DIRECTION('',(0.E0,1.E0,0.E0)); +#485=VECTOR('',#484,2.27914E-1); +#486=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.311724784545E0)); +#487=LINE('',#486,#485); +#488=DIRECTION('',(1.E0,0.E0,0.E0)); +#489=VECTOR('',#488,8.458199999998E-2); +#490=CARTESIAN_POINT('',(9.6902016E1,7.9924402E1,4.311724784545E0)); +#491=LINE('',#490,#489); +#492=DIRECTION('',(0.E0,1.E0,0.E0)); +#493=VECTOR('',#492,2.152396E0); +#494=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,4.311724784545E0)); +#495=LINE('',#494,#493); +#496=DIRECTION('',(-1.E0,0.E0,0.E0)); +#497=VECTOR('',#496,8.458199999998E-2); +#498=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,4.311724784545E0)); +#499=LINE('',#498,#497); +#500=DIRECTION('',(0.E0,1.E0,0.E0)); +#501=VECTOR('',#500,2.27914E-1); +#502=CARTESIAN_POINT('',(9.6902016E1,8.2076798E1,4.311724784545E0)); +#503=LINE('',#502,#501); +#504=DIRECTION('',(-1.E0,0.E0,0.E0)); +#505=VECTOR('',#504,3.269998E0); +#506=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.311724784545E0)); +#507=LINE('',#506,#505); +#508=DIRECTION('',(0.E0,-1.E0,0.E0)); +#509=VECTOR('',#508,3.820002E0); +#510=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.311724784545E0)); +#511=LINE('',#510,#509); +#512=DIRECTION('',(1.E0,0.E0,0.E0)); +#513=VECTOR('',#512,3.269998E0); +#514=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.311724784545E0)); +#515=LINE('',#514,#513); +#516=DIRECTION('',(0.E0,1.E0,0.E0)); +#517=VECTOR('',#516,3.820002E0); +#518=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.311724784545E0)); +#519=LINE('',#518,#517); +#520=DIRECTION('',(0.E0,1.E0,0.E0)); +#521=VECTOR('',#520,1.589614029687E-1); +#522=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.311724784545E0)); +#523=LINE('',#522,#521); +#524=DIRECTION('',(-1.E0,0.E0,0.E0)); +#525=VECTOR('',#524,1.662328E0); +#526=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.311724784545E0)); +#527=LINE('',#526,#525); +#528=DIRECTION('',(0.E0,-1.E0,0.E0)); +#529=VECTOR('',#528,1.589614029687E-1); +#530=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.311724784545E0)); +#531=LINE('',#530,#529); +#532=DIRECTION('',(-1.E0,0.E0,0.E0)); +#533=VECTOR('',#532,5.5848E-1); +#534=CARTESIAN_POINT('',(1.00471072E2,1.02177639E2,4.311724784545E0)); +#535=LINE('',#534,#533); +#536=DIRECTION('',(0.E0,-1.E0,0.E0)); +#537=VECTOR('',#536,1.238402E0); +#538=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.311724784545E0)); +#539=LINE('',#538,#537); +#540=DIRECTION('',(-1.E0,0.E0,0.E0)); +#541=VECTOR('',#540,5.71518E-1); +#542=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.311724784545E0)); +#543=LINE('',#542,#541); +#544=DIRECTION('',(0.E0,-1.E0,0.E0)); +#545=VECTOR('',#544,1.003199E0); +#546=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.311724784545E0)); +#547=LINE('',#546,#545); +#548=DIRECTION('',(1.E0,0.E0,0.E0)); +#549=VECTOR('',#548,5.71518E-1); +#550=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.311724784545E0)); +#551=LINE('',#550,#549); +#552=DIRECTION('',(0.E0,-1.E0,0.E0)); +#553=VECTOR('',#552,1.278397E0); +#554=CARTESIAN_POINT('',(9.9912592E1,9.9936038E1,4.311724784545E0)); +#555=LINE('',#554,#553); +#556=DIRECTION('',(1.E0,0.E0,0.E0)); +#557=VECTOR('',#556,5.5848E-1); +#558=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.311724784545E0)); +#559=LINE('',#558,#557); +#560=DIRECTION('',(0.E0,-1.E0,0.E0)); +#561=VECTOR('',#560,1.31044E-1); +#562=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.311724784545E0)); +#563=LINE('',#562,#561); +#564=DIRECTION('',(1.E0,0.E0,0.E0)); +#565=VECTOR('',#564,1.484528E0); +#566=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.311724784545E0)); +#567=LINE('',#566,#565); +#568=DIRECTION('',(0.E0,1.E0,0.E0)); +#569=VECTOR('',#568,1.31044E-1); +#570=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.311724784545E0)); +#571=LINE('',#570,#569); +#572=DIRECTION('',(1.E0,0.E0,0.E0)); +#573=VECTOR('',#572,5.223891031842E-1); +#574=CARTESIAN_POINT('',(1.019556E2,9.8657641E1,4.311724784545E0)); +#575=LINE('',#574,#573); +#576=DIRECTION('',(0.E0,1.E0,0.E0)); +#577=VECTOR('',#576,3.519998E0); +#578=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.311724784545E0)); +#579=LINE('',#578,#577); +#580=DIRECTION('',(-1.E0,0.E0,0.E0)); +#581=VECTOR('',#580,3.445891031842E-1); +#582=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.311724784545E0)); +#583=LINE('',#582,#581); +#584=DIRECTION('',(-1.E0,0.E0,0.E0)); +#585=VECTOR('',#584,1.5516E0); +#586=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.311724784545E0)); +#587=LINE('',#586,#585); +#588=DIRECTION('',(0.E0,-1.E0,0.E0)); +#589=VECTOR('',#588,7.51602E-1); +#590=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.311724784545E0)); +#591=LINE('',#590,#589); +#592=DIRECTION('',(1.E0,0.E0,0.E0)); +#593=VECTOR('',#592,1.5516E0); +#594=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.311724784545E0)); +#595=LINE('',#594,#593); +#596=DIRECTION('',(0.E0,1.E0,0.E0)); +#597=VECTOR('',#596,7.51602E-1); +#598=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.311724784545E0)); +#599=LINE('',#598,#597); +#600=DIRECTION('',(-1.E0,0.E0,0.E0)); +#601=VECTOR('',#600,1.5516E0); +#602=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.311724784545E0)); +#603=LINE('',#602,#601); +#604=DIRECTION('',(0.E0,-1.E0,0.E0)); +#605=VECTOR('',#604,7.51602E-1); +#606=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.311724784545E0)); +#607=LINE('',#606,#605); +#608=DIRECTION('',(1.E0,0.E0,0.E0)); +#609=VECTOR('',#608,1.5516E0); +#610=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.311724784545E0)); +#611=LINE('',#610,#609); +#612=DIRECTION('',(0.E0,1.E0,0.E0)); +#613=VECTOR('',#612,7.51602E-1); +#614=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.311724784545E0)); +#615=LINE('',#614,#613); +#616=DIRECTION('',(-1.E0,0.E0,0.E0)); +#617=VECTOR('',#616,1.5516E0); +#618=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.311724784545E0)); +#619=LINE('',#618,#617); +#620=DIRECTION('',(0.E0,-1.E0,0.E0)); +#621=VECTOR('',#620,7.51602E-1); +#622=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.311724784545E0)); +#623=LINE('',#622,#621); +#624=DIRECTION('',(1.E0,0.E0,0.E0)); +#625=VECTOR('',#624,1.5516E0); +#626=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.311724784545E0)); +#627=LINE('',#626,#625); +#628=DIRECTION('',(0.E0,1.E0,0.E0)); +#629=VECTOR('',#628,7.51602E-1); +#630=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.311724784545E0)); +#631=LINE('',#630,#629); +#632=DIRECTION('',(0.E0,-1.E0,0.E0)); +#633=VECTOR('',#632,7.51602E-1); +#634=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.311724784545E0)); +#635=LINE('',#634,#633); +#636=DIRECTION('',(1.E0,0.E0,0.E0)); +#637=VECTOR('',#636,1.5516E0); +#638=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.311724784545E0)); +#639=LINE('',#638,#637); +#640=DIRECTION('',(0.E0,1.E0,0.E0)); +#641=VECTOR('',#640,7.51602E-1); +#642=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.311724784545E0)); +#643=LINE('',#642,#641); +#644=DIRECTION('',(-1.E0,0.E0,0.E0)); +#645=VECTOR('',#644,1.5516E0); +#646=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.311724784545E0)); +#647=LINE('',#646,#645); +#648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#649=VECTOR('',#648,1.5516E0); +#650=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.311724784545E0)); +#651=LINE('',#650,#649); +#652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#653=VECTOR('',#652,7.51602E-1); +#654=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.311724784545E0)); +#655=LINE('',#654,#653); +#656=DIRECTION('',(1.E0,0.E0,0.E0)); +#657=VECTOR('',#656,1.5516E0); +#658=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.311724784545E0)); +#659=LINE('',#658,#657); +#660=DIRECTION('',(0.E0,1.E0,0.E0)); +#661=VECTOR('',#660,7.51602E-1); +#662=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.311724784545E0)); +#663=LINE('',#662,#661); +#664=DIRECTION('',(0.E0,1.E0,0.E0)); +#665=VECTOR('',#664,7.51602E-1); +#666=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.311724784545E0)); +#667=LINE('',#666,#665); +#668=DIRECTION('',(-1.E0,0.E0,0.E0)); +#669=VECTOR('',#668,1.5516E0); +#670=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.311724784545E0)); +#671=LINE('',#670,#669); +#672=DIRECTION('',(0.E0,-1.E0,0.E0)); +#673=VECTOR('',#672,7.51602E-1); +#674=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.311724784545E0)); +#675=LINE('',#674,#673); +#676=DIRECTION('',(1.E0,0.E0,0.E0)); +#677=VECTOR('',#676,1.5516E0); +#678=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.311724784545E0)); +#679=LINE('',#678,#677); +#680=DIRECTION('',(-1.E0,0.E0,0.E0)); +#681=VECTOR('',#680,1.5516E0); +#682=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.311724784545E0)); +#683=LINE('',#682,#681); +#684=DIRECTION('',(0.E0,-1.E0,0.E0)); +#685=VECTOR('',#684,7.51602E-1); +#686=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.311724784545E0)); +#687=LINE('',#686,#685); +#688=DIRECTION('',(1.E0,0.E0,0.E0)); +#689=VECTOR('',#688,1.5516E0); +#690=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.311724784545E0)); +#691=LINE('',#690,#689); +#692=DIRECTION('',(0.E0,1.E0,0.E0)); +#693=VECTOR('',#692,7.51602E-1); +#694=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.311724784545E0)); +#695=LINE('',#694,#693); +#696=DIRECTION('',(-1.E0,0.E0,0.E0)); +#697=VECTOR('',#696,1.5516E0); +#698=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.311724784545E0)); +#699=LINE('',#698,#697); +#700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#701=VECTOR('',#700,7.51602E-1); +#702=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.311724784545E0)); +#703=LINE('',#702,#701); +#704=DIRECTION('',(1.E0,0.E0,0.E0)); +#705=VECTOR('',#704,1.5516E0); +#706=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.311724784545E0)); +#707=LINE('',#706,#705); +#708=DIRECTION('',(0.E0,1.E0,0.E0)); +#709=VECTOR('',#708,7.51602E-1); +#710=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.311724784545E0)); +#711=LINE('',#710,#709); +#712=DIRECTION('',(1.E0,0.E0,0.E0)); +#713=VECTOR('',#712,1.5516E0); +#714=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.311724784545E0)); +#715=LINE('',#714,#713); +#716=DIRECTION('',(0.E0,1.E0,0.E0)); +#717=VECTOR('',#716,7.51602E-1); +#718=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.311724784545E0)); +#719=LINE('',#718,#717); +#720=DIRECTION('',(-1.E0,0.E0,0.E0)); +#721=VECTOR('',#720,1.5516E0); +#722=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.311724784545E0)); +#723=LINE('',#722,#721); +#724=DIRECTION('',(0.E0,-1.E0,0.E0)); +#725=VECTOR('',#724,7.51602E-1); +#726=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.311724784545E0)); +#727=LINE('',#726,#725); +#728=DIRECTION('',(1.E0,0.E0,0.E0)); +#729=VECTOR('',#728,7.51601E-1); +#730=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.311724784545E0)); +#731=LINE('',#730,#729); +#732=DIRECTION('',(0.E0,1.E0,0.E0)); +#733=VECTOR('',#732,1.551599E0); +#734=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.311724784545E0)); +#735=LINE('',#734,#733); +#736=DIRECTION('',(-1.E0,0.E0,0.E0)); +#737=VECTOR('',#736,7.51601E-1); +#738=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.311724784545E0)); +#739=LINE('',#738,#737); +#740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#741=VECTOR('',#740,1.551599E0); +#742=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.311724784545E0)); +#743=LINE('',#742,#741); +#744=DIRECTION('',(-1.E0,0.E0,0.E0)); +#745=VECTOR('',#744,7.51601E-1); +#746=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.311724784545E0)); +#747=LINE('',#746,#745); +#748=DIRECTION('',(0.E0,-1.E0,0.E0)); +#749=VECTOR('',#748,1.551599E0); +#750=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.311724784545E0)); +#751=LINE('',#750,#749); +#752=DIRECTION('',(1.E0,0.E0,0.E0)); +#753=VECTOR('',#752,7.51601E-1); +#754=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.311724784545E0)); +#755=LINE('',#754,#753); +#756=DIRECTION('',(0.E0,1.E0,0.E0)); +#757=VECTOR('',#756,1.551599E0); +#758=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.311724784545E0)); +#759=LINE('',#758,#757); +#760=DIRECTION('',(-1.E0,0.E0,0.E0)); +#761=VECTOR('',#760,7.51601E-1); +#762=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.311724784545E0)); +#763=LINE('',#762,#761); +#764=DIRECTION('',(0.E0,-1.E0,0.E0)); +#765=VECTOR('',#764,1.551599E0); +#766=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.311724784545E0)); +#767=LINE('',#766,#765); +#768=DIRECTION('',(1.E0,0.E0,0.E0)); +#769=VECTOR('',#768,7.51601E-1); +#770=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.311724784545E0)); +#771=LINE('',#770,#769); +#772=DIRECTION('',(0.E0,1.E0,0.E0)); +#773=VECTOR('',#772,1.551599E0); +#774=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.311724784545E0)); +#775=LINE('',#774,#773); +#776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#777=VECTOR('',#776,1.5516E0); +#778=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.311724784545E0)); +#779=LINE('',#778,#777); +#780=DIRECTION('',(0.E0,-1.E0,0.E0)); +#781=VECTOR('',#780,7.51602E-1); +#782=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.311724784545E0)); +#783=LINE('',#782,#781); +#784=DIRECTION('',(1.E0,0.E0,0.E0)); +#785=VECTOR('',#784,1.5516E0); +#786=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.311724784545E0)); +#787=LINE('',#786,#785); +#788=DIRECTION('',(0.E0,1.E0,0.E0)); +#789=VECTOR('',#788,7.51602E-1); +#790=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.311724784545E0)); +#791=LINE('',#790,#789); +#792=DIRECTION('',(0.E0,1.E0,0.E0)); +#793=VECTOR('',#792,7.51602E-1); +#794=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.311724784545E0)); +#795=LINE('',#794,#793); +#796=DIRECTION('',(-1.E0,0.E0,0.E0)); +#797=VECTOR('',#796,1.5516E0); +#798=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.311724784545E0)); +#799=LINE('',#798,#797); +#800=DIRECTION('',(0.E0,-1.E0,0.E0)); +#801=VECTOR('',#800,7.51602E-1); +#802=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.311724784545E0)); +#803=LINE('',#802,#801); +#804=DIRECTION('',(1.E0,0.E0,0.E0)); +#805=VECTOR('',#804,1.5516E0); +#806=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.311724784545E0)); +#807=LINE('',#806,#805); +#808=DIRECTION('',(1.E0,0.E0,0.E0)); +#809=VECTOR('',#808,1.5516E0); +#810=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.311724784545E0)); +#811=LINE('',#810,#809); +#812=DIRECTION('',(0.E0,1.E0,0.E0)); +#813=VECTOR('',#812,7.51602E-1); +#814=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.311724784545E0)); +#815=LINE('',#814,#813); +#816=DIRECTION('',(-1.E0,0.E0,0.E0)); +#817=VECTOR('',#816,1.5516E0); +#818=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.311724784545E0)); +#819=LINE('',#818,#817); +#820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#821=VECTOR('',#820,7.51602E-1); +#822=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.311724784545E0)); +#823=LINE('',#822,#821); +#824=DIRECTION('',(0.E0,1.E0,0.E0)); +#825=VECTOR('',#824,7.51602E-1); +#826=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.311724784545E0)); +#827=LINE('',#826,#825); +#828=DIRECTION('',(-1.E0,0.E0,0.E0)); +#829=VECTOR('',#828,1.5516E0); +#830=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.311724784545E0)); +#831=LINE('',#830,#829); +#832=DIRECTION('',(0.E0,-1.E0,0.E0)); +#833=VECTOR('',#832,7.51602E-1); +#834=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.311724784545E0)); +#835=LINE('',#834,#833); +#836=DIRECTION('',(1.E0,0.E0,0.E0)); +#837=VECTOR('',#836,1.5516E0); +#838=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.311724784545E0)); +#839=LINE('',#838,#837); +#840=DIRECTION('',(-1.E0,0.E0,0.E0)); +#841=VECTOR('',#840,1.5516E0); +#842=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.311724784545E0)); +#843=LINE('',#842,#841); +#844=DIRECTION('',(0.E0,-1.E0,0.E0)); +#845=VECTOR('',#844,7.51602E-1); +#846=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.311724784545E0)); +#847=LINE('',#846,#845); +#848=DIRECTION('',(1.E0,0.E0,0.E0)); +#849=VECTOR('',#848,1.5516E0); +#850=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.311724784545E0)); +#851=LINE('',#850,#849); +#852=DIRECTION('',(0.E0,1.E0,0.E0)); +#853=VECTOR('',#852,7.51602E-1); +#854=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.311724784545E0)); +#855=LINE('',#854,#853); +#856=DIRECTION('',(0.E0,-1.E0,0.E0)); +#857=VECTOR('',#856,7.51602E-1); +#858=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.311724784545E0)); +#859=LINE('',#858,#857); +#860=DIRECTION('',(1.E0,0.E0,0.E0)); +#861=VECTOR('',#860,1.5516E0); +#862=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.311724784545E0)); +#863=LINE('',#862,#861); +#864=DIRECTION('',(0.E0,1.E0,0.E0)); +#865=VECTOR('',#864,7.51602E-1); +#866=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.311724784545E0)); +#867=LINE('',#866,#865); +#868=DIRECTION('',(-1.E0,0.E0,0.E0)); +#869=VECTOR('',#868,1.5516E0); +#870=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.311724784545E0)); +#871=LINE('',#870,#869); +#872=DIRECTION('',(-1.E0,0.E0,0.E0)); +#873=VECTOR('',#872,1.5516E0); +#874=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.311724784545E0)); +#875=LINE('',#874,#873); +#876=DIRECTION('',(0.E0,-1.E0,0.E0)); +#877=VECTOR('',#876,7.51602E-1); +#878=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.311724784545E0)); +#879=LINE('',#878,#877); +#880=DIRECTION('',(1.E0,0.E0,0.E0)); +#881=VECTOR('',#880,1.5516E0); +#882=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.311724784545E0)); +#883=LINE('',#882,#881); +#884=DIRECTION('',(0.E0,1.E0,0.E0)); +#885=VECTOR('',#884,7.51602E-1); +#886=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.311724784545E0)); +#887=LINE('',#886,#885); +#888=DIRECTION('',(-1.E0,0.E0,0.E0)); +#889=VECTOR('',#888,7.51602E-1); +#890=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.311724784545E0)); +#891=LINE('',#890,#889); +#892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#893=VECTOR('',#892,1.551599E0); +#894=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.311724784545E0)); +#895=LINE('',#894,#893); +#896=DIRECTION('',(1.E0,0.E0,0.E0)); +#897=VECTOR('',#896,7.51602E-1); +#898=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.311724784545E0)); +#899=LINE('',#898,#897); +#900=DIRECTION('',(0.E0,1.E0,0.E0)); +#901=VECTOR('',#900,1.551599E0); +#902=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.311724784545E0)); +#903=LINE('',#902,#901); +#904=DIRECTION('',(-1.E0,0.E0,0.E0)); +#905=VECTOR('',#904,7.01598E-1); +#906=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.311724784545E0)); +#907=LINE('',#906,#905); +#908=DIRECTION('',(0.E0,-1.E0,0.E0)); +#909=VECTOR('',#908,7.51602E-1); +#910=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.311724784545E0)); +#911=LINE('',#910,#909); +#912=DIRECTION('',(1.E0,0.E0,0.E0)); +#913=VECTOR('',#912,7.01598E-1); +#914=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.311724784545E0)); +#915=LINE('',#914,#913); +#916=DIRECTION('',(0.E0,1.E0,0.E0)); +#917=VECTOR('',#916,7.51602E-1); +#918=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.311724784545E0)); +#919=LINE('',#918,#917); +#920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#921=VECTOR('',#920,1.5516E0); +#922=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.311724784545E0)); +#923=LINE('',#922,#921); +#924=DIRECTION('',(1.E0,0.E0,0.E0)); +#925=VECTOR('',#924,7.51602E-1); +#926=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.311724784545E0)); +#927=LINE('',#926,#925); +#928=DIRECTION('',(0.E0,1.E0,0.E0)); +#929=VECTOR('',#928,1.5516E0); +#930=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.311724784545E0)); +#931=LINE('',#930,#929); +#932=DIRECTION('',(-1.E0,0.E0,0.E0)); +#933=VECTOR('',#932,7.51602E-1); +#934=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.311724784545E0)); +#935=LINE('',#934,#933); +#936=DIRECTION('',(0.E0,-1.E0,0.E0)); +#937=VECTOR('',#936,7.51601E-1); +#938=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.311724784545E0)); +#939=LINE('',#938,#937); +#940=DIRECTION('',(1.E0,0.E0,0.E0)); +#941=VECTOR('',#940,1.551599E0); +#942=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.311724784545E0)); +#943=LINE('',#942,#941); +#944=DIRECTION('',(0.E0,1.E0,0.E0)); +#945=VECTOR('',#944,7.51601E-1); +#946=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.311724784545E0)); +#947=LINE('',#946,#945); +#948=DIRECTION('',(-1.E0,0.E0,0.E0)); +#949=VECTOR('',#948,1.551599E0); +#950=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.311724784545E0)); +#951=LINE('',#950,#949); +#952=DIRECTION('',(-1.E0,0.E0,0.E0)); +#953=VECTOR('',#952,1.551599E0); +#954=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.311724784545E0)); +#955=LINE('',#954,#953); +#956=DIRECTION('',(0.E0,-1.E0,0.E0)); +#957=VECTOR('',#956,7.51601E-1); +#958=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.311724784545E0)); +#959=LINE('',#958,#957); +#960=DIRECTION('',(1.E0,0.E0,0.E0)); +#961=VECTOR('',#960,1.551599E0); +#962=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.311724784545E0)); +#963=LINE('',#962,#961); +#964=DIRECTION('',(0.E0,1.E0,0.E0)); +#965=VECTOR('',#964,7.51601E-1); +#966=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.311724784545E0)); +#967=LINE('',#966,#965); +#968=DIRECTION('',(0.E0,-1.E0,0.E0)); +#969=VECTOR('',#968,1.551599E0); +#970=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.311724784545E0)); +#971=LINE('',#970,#969); +#972=DIRECTION('',(1.E0,0.E0,0.E0)); +#973=VECTOR('',#972,7.51601E-1); +#974=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.311724784545E0)); +#975=LINE('',#974,#973); +#976=DIRECTION('',(0.E0,1.E0,0.E0)); +#977=VECTOR('',#976,1.551599E0); +#978=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.311724784545E0)); +#979=LINE('',#978,#977); +#980=DIRECTION('',(-1.E0,0.E0,0.E0)); +#981=VECTOR('',#980,7.51601E-1); +#982=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.311724784545E0)); +#983=LINE('',#982,#981); +#984=DIRECTION('',(0.E0,-1.E0,0.E0)); +#985=VECTOR('',#984,7.51601E-1); +#986=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.311724784545E0)); +#987=LINE('',#986,#985); +#988=DIRECTION('',(1.E0,0.E0,0.E0)); +#989=VECTOR('',#988,1.551599E0); +#990=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.311724784545E0)); +#991=LINE('',#990,#989); +#992=DIRECTION('',(0.E0,1.E0,0.E0)); +#993=VECTOR('',#992,7.51601E-1); +#994=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.311724784545E0)); +#995=LINE('',#994,#993); +#996=DIRECTION('',(-1.E0,0.E0,0.E0)); +#997=VECTOR('',#996,1.551599E0); +#998=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.311724784545E0)); +#999=LINE('',#998,#997); +#1000=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1001=VECTOR('',#1000,1.551599E0); +#1002=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.311724784545E0)); +#1003=LINE('',#1002,#1001); +#1004=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1005=VECTOR('',#1004,7.51601E-1); +#1006=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.311724784545E0)); +#1007=LINE('',#1006,#1005); +#1008=DIRECTION('',(1.E0,0.E0,0.E0)); +#1009=VECTOR('',#1008,1.551599E0); +#1010=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.311724784545E0)); +#1011=LINE('',#1010,#1009); +#1012=DIRECTION('',(0.E0,1.E0,0.E0)); +#1013=VECTOR('',#1012,7.51601E-1); +#1014=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.311724784545E0)); +#1015=LINE('',#1014,#1013); +#1016=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1017=VECTOR('',#1016,7.51601E-1); +#1018=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.311724784545E0)); +#1019=LINE('',#1018,#1017); +#1020=DIRECTION('',(1.E0,0.E0,0.E0)); +#1021=VECTOR('',#1020,1.551599E0); +#1022=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.311724784545E0)); +#1023=LINE('',#1022,#1021); +#1024=DIRECTION('',(0.E0,1.E0,0.E0)); +#1025=VECTOR('',#1024,7.51601E-1); +#1026=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.311724784545E0)); +#1027=LINE('',#1026,#1025); +#1028=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1029=VECTOR('',#1028,1.551599E0); +#1030=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.311724784545E0)); +#1031=LINE('',#1030,#1029); +#1032=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1033=VECTOR('',#1032,1.5516E0); +#1034=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.311724784545E0)); +#1035=LINE('',#1034,#1033); +#1036=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1037=VECTOR('',#1036,7.51601E-1); +#1038=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.311724784545E0)); +#1039=LINE('',#1038,#1037); +#1040=DIRECTION('',(1.E0,0.E0,0.E0)); +#1041=VECTOR('',#1040,1.5516E0); +#1042=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.311724784545E0)); +#1043=LINE('',#1042,#1041); +#1044=DIRECTION('',(0.E0,1.E0,0.E0)); +#1045=VECTOR('',#1044,7.51601E-1); +#1046=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.311724784545E0)); +#1047=LINE('',#1046,#1045); +#1048=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1049=VECTOR('',#1048,1.5516E0); +#1050=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.311724784545E0)); +#1051=LINE('',#1050,#1049); +#1052=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1053=VECTOR('',#1052,7.51601E-1); +#1054=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.311724784545E0)); +#1055=LINE('',#1054,#1053); +#1056=DIRECTION('',(1.E0,0.E0,0.E0)); +#1057=VECTOR('',#1056,1.5516E0); +#1058=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.311724784545E0)); +#1059=LINE('',#1058,#1057); +#1060=DIRECTION('',(0.E0,1.E0,0.E0)); +#1061=VECTOR('',#1060,7.51601E-1); +#1062=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.311724784545E0)); +#1063=LINE('',#1062,#1061); +#1064=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1065=VECTOR('',#1064,7.51601E-1); +#1066=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.311724784545E0)); +#1067=LINE('',#1066,#1065); +#1068=DIRECTION('',(1.E0,0.E0,0.E0)); +#1069=VECTOR('',#1068,1.5516E0); +#1070=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.311724784545E0)); +#1071=LINE('',#1070,#1069); +#1072=DIRECTION('',(0.E0,1.E0,0.E0)); +#1073=VECTOR('',#1072,7.51601E-1); +#1074=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.311724784545E0)); +#1075=LINE('',#1074,#1073); +#1076=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1077=VECTOR('',#1076,1.5516E0); +#1078=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.311724784545E0)); +#1079=LINE('',#1078,#1077); +#1080=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1081=VECTOR('',#1080,7.51601E-1); +#1082=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.311724784545E0)); +#1083=LINE('',#1082,#1081); +#1084=DIRECTION('',(1.E0,0.E0,0.E0)); +#1085=VECTOR('',#1084,1.5516E0); +#1086=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.311724784545E0)); +#1087=LINE('',#1086,#1085); +#1088=DIRECTION('',(0.E0,1.E0,0.E0)); +#1089=VECTOR('',#1088,7.51601E-1); +#1090=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.311724784545E0)); +#1091=LINE('',#1090,#1089); +#1092=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1093=VECTOR('',#1092,1.5516E0); +#1094=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.311724784545E0)); +#1095=LINE('',#1094,#1093); +#1096=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1097=VECTOR('',#1096,1.5516E0); +#1098=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.311724784545E0)); +#1099=LINE('',#1098,#1097); +#1100=DIRECTION('',(1.E0,0.E0,0.E0)); +#1101=VECTOR('',#1100,7.51601E-1); +#1102=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.311724784545E0)); +#1103=LINE('',#1102,#1101); +#1104=DIRECTION('',(0.E0,1.E0,0.E0)); +#1105=VECTOR('',#1104,1.5516E0); +#1106=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.311724784545E0)); +#1107=LINE('',#1106,#1105); +#1108=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1109=VECTOR('',#1108,7.51601E-1); +#1110=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.311724784545E0)); +#1111=LINE('',#1110,#1109); +#1112=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1113=VECTOR('',#1112,7.51601E-1); +#1114=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.311724784545E0)); +#1115=LINE('',#1114,#1113); +#1116=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1117=VECTOR('',#1116,1.5516E0); +#1118=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.311724784545E0)); +#1119=LINE('',#1118,#1117); +#1120=DIRECTION('',(1.E0,0.E0,0.E0)); +#1121=VECTOR('',#1120,7.51601E-1); +#1122=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.311724784545E0)); +#1123=LINE('',#1122,#1121); +#1124=DIRECTION('',(0.E0,1.E0,0.E0)); +#1125=VECTOR('',#1124,1.5516E0); +#1126=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.311724784545E0)); +#1127=LINE('',#1126,#1125); +#1128=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1129=VECTOR('',#1128,1.551599E0); +#1130=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.311724784545E0)); +#1131=LINE('',#1130,#1129); +#1132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1133=VECTOR('',#1132,7.51601E-1); +#1134=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.311724784545E0)); +#1135=LINE('',#1134,#1133); +#1136=DIRECTION('',(1.E0,0.E0,0.E0)); +#1137=VECTOR('',#1136,1.551599E0); +#1138=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.311724784545E0)); +#1139=LINE('',#1138,#1137); +#1140=DIRECTION('',(0.E0,1.E0,0.E0)); +#1141=VECTOR('',#1140,7.51601E-1); +#1142=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.311724784545E0)); +#1143=LINE('',#1142,#1141); +#1144=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1145=VECTOR('',#1144,7.51601E-1); +#1146=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.311724784545E0)); +#1147=LINE('',#1146,#1145); +#1148=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1149=VECTOR('',#1148,1.5516E0); +#1150=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.311724784545E0)); +#1151=LINE('',#1150,#1149); +#1152=DIRECTION('',(1.E0,0.E0,0.E0)); +#1153=VECTOR('',#1152,7.51601E-1); +#1154=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.311724784545E0)); +#1155=LINE('',#1154,#1153); +#1156=DIRECTION('',(0.E0,1.E0,0.E0)); +#1157=VECTOR('',#1156,1.5516E0); +#1158=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.311724784545E0)); +#1159=LINE('',#1158,#1157); +#1160=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1161=VECTOR('',#1160,7.51601E-1); +#1162=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.311724784545E0)); +#1163=LINE('',#1162,#1161); +#1164=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1165=VECTOR('',#1164,1.5516E0); +#1166=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.311724784545E0)); +#1167=LINE('',#1166,#1165); +#1168=DIRECTION('',(1.E0,0.E0,0.E0)); +#1169=VECTOR('',#1168,7.51601E-1); +#1170=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.311724784545E0)); +#1171=LINE('',#1170,#1169); +#1172=DIRECTION('',(0.E0,1.E0,0.E0)); +#1173=VECTOR('',#1172,1.5516E0); +#1174=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.311724784545E0)); +#1175=LINE('',#1174,#1173); +#1176=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1177=VECTOR('',#1176,7.51601E-1); +#1178=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.311724784545E0)); +#1179=LINE('',#1178,#1177); +#1180=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1181=VECTOR('',#1180,1.5516E0); +#1182=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.311724784545E0)); +#1183=LINE('',#1182,#1181); +#1184=DIRECTION('',(1.E0,0.E0,0.E0)); +#1185=VECTOR('',#1184,7.51601E-1); +#1186=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.311724784545E0)); +#1187=LINE('',#1186,#1185); +#1188=DIRECTION('',(0.E0,1.E0,0.E0)); +#1189=VECTOR('',#1188,1.5516E0); +#1190=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.311724784545E0)); +#1191=LINE('',#1190,#1189); +#1192=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1193=VECTOR('',#1192,1.5516E0); +#1194=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.311724784545E0)); +#1195=LINE('',#1194,#1193); +#1196=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1197=VECTOR('',#1196,7.51601E-1); +#1198=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.311724784545E0)); +#1199=LINE('',#1198,#1197); +#1200=DIRECTION('',(1.E0,0.E0,0.E0)); +#1201=VECTOR('',#1200,1.5516E0); +#1202=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.311724784545E0)); +#1203=LINE('',#1202,#1201); +#1204=DIRECTION('',(0.E0,1.E0,0.E0)); +#1205=VECTOR('',#1204,7.51601E-1); +#1206=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.311724784545E0)); +#1207=LINE('',#1206,#1205); +#1208=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1209=VECTOR('',#1208,7.51601E-1); +#1210=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.311724784545E0)); +#1211=LINE('',#1210,#1209); +#1212=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1213=VECTOR('',#1212,1.5516E0); +#1214=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.311724784545E0)); +#1215=LINE('',#1214,#1213); +#1216=DIRECTION('',(1.E0,0.E0,0.E0)); +#1217=VECTOR('',#1216,7.51601E-1); +#1218=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.311724784545E0)); +#1219=LINE('',#1218,#1217); +#1220=DIRECTION('',(0.E0,1.E0,0.E0)); +#1221=VECTOR('',#1220,1.5516E0); +#1222=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.311724784545E0)); +#1223=LINE('',#1222,#1221); +#1224=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1225=VECTOR('',#1224,1.5516E0); +#1226=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.311724784545E0)); +#1227=LINE('',#1226,#1225); +#1228=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1229=VECTOR('',#1228,7.45203E-1); +#1230=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.311724784545E0)); +#1231=LINE('',#1230,#1229); +#1232=DIRECTION('',(1.E0,0.E0,0.E0)); +#1233=VECTOR('',#1232,1.5516E0); +#1234=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.311724784545E0)); +#1235=LINE('',#1234,#1233); +#1236=DIRECTION('',(0.E0,1.E0,0.E0)); +#1237=VECTOR('',#1236,7.45203E-1); +#1238=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.311724784545E0)); +#1239=LINE('',#1238,#1237); +#1240=DIRECTION('',(0.E0,1.E0,0.E0)); +#1241=VECTOR('',#1240,1.183198E0); +#1242=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,4.311724784545E0)); +#1243=LINE('',#1242,#1241); +#1244=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1245=VECTOR('',#1244,2.673202E0); +#1246=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,4.311724784545E0)); +#1247=LINE('',#1246,#1245); +#1248=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1249=VECTOR('',#1248,1.183198E0); +#1250=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,4.311724784545E0)); +#1251=LINE('',#1250,#1249); +#1252=DIRECTION('',(1.E0,0.E0,0.E0)); +#1253=VECTOR('',#1252,2.673202E0); +#1254=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,4.311724784545E0)); +#1255=LINE('',#1254,#1253); +#1256=DIRECTION('',(1.E0,0.E0,0.E0)); +#1257=VECTOR('',#1256,1.183198E0); +#1258=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,4.311724784545E0)); +#1259=LINE('',#1258,#1257); +#1260=DIRECTION('',(0.E0,1.E0,0.E0)); +#1261=VECTOR('',#1260,2.673203E0); +#1262=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,4.311724784545E0)); +#1263=LINE('',#1262,#1261); +#1264=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1265=VECTOR('',#1264,1.183198E0); +#1266=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,4.311724784545E0)); +#1267=LINE('',#1266,#1265); +#1268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1269=VECTOR('',#1268,2.673203E0); +#1270=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,4.311724784545E0)); +#1271=LINE('',#1270,#1269); +#1272=DIRECTION('',(0.E0,1.E0,0.E0)); +#1273=VECTOR('',#1272,2.673203E0); +#1274=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,4.311724784545E0)); +#1275=LINE('',#1274,#1273); +#1276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1277=VECTOR('',#1276,1.183198E0); +#1278=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,4.311724784545E0)); +#1279=LINE('',#1278,#1277); +#1280=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1281=VECTOR('',#1280,2.673203E0); +#1282=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,4.311724784545E0)); +#1283=LINE('',#1282,#1281); +#1284=DIRECTION('',(1.E0,0.E0,0.E0)); +#1285=VECTOR('',#1284,1.183198E0); +#1286=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,4.311724784545E0)); +#1287=LINE('',#1286,#1285); +#1288=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1289=VECTOR('',#1288,1.183198E0); +#1290=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,4.311724784545E0)); +#1291=LINE('',#1290,#1289); +#1292=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1293=VECTOR('',#1292,2.673203E0); +#1294=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,4.311724784545E0)); +#1295=LINE('',#1294,#1293); +#1296=DIRECTION('',(1.E0,0.E0,0.E0)); +#1297=VECTOR('',#1296,1.183198E0); +#1298=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,4.311724784545E0)); +#1299=LINE('',#1298,#1297); +#1300=DIRECTION('',(0.E0,1.E0,0.E0)); +#1301=VECTOR('',#1300,2.673203E0); +#1302=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,4.311724784545E0)); +#1303=LINE('',#1302,#1301); +#1304=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1305=VECTOR('',#1304,2.673203E0); +#1306=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,4.311724784545E0)); +#1307=LINE('',#1306,#1305); +#1308=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1309=VECTOR('',#1308,1.183198E0); +#1310=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,4.311724784545E0)); +#1311=LINE('',#1310,#1309); +#1312=DIRECTION('',(1.E0,0.E0,0.E0)); +#1313=VECTOR('',#1312,2.673203E0); +#1314=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,4.311724784545E0)); +#1315=LINE('',#1314,#1313); +#1316=DIRECTION('',(0.E0,1.E0,0.E0)); +#1317=VECTOR('',#1316,1.183198E0); +#1318=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,4.311724784545E0)); +#1319=LINE('',#1318,#1317); +#1320=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1321=VECTOR('',#1320,2.673203E0); +#1322=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,4.311724784545E0)); +#1323=LINE('',#1322,#1321); +#1324=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1325=VECTOR('',#1324,1.183198E0); +#1326=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,4.311724784545E0)); +#1327=LINE('',#1326,#1325); +#1328=DIRECTION('',(1.E0,0.E0,0.E0)); +#1329=VECTOR('',#1328,2.673203E0); +#1330=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,4.311724784545E0)); +#1331=LINE('',#1330,#1329); +#1332=DIRECTION('',(0.E0,1.E0,0.E0)); +#1333=VECTOR('',#1332,1.183198E0); +#1334=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,4.311724784545E0)); +#1335=LINE('',#1334,#1333); +#1336=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1337=VECTOR('',#1336,1.183198E0); +#1338=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,4.311724784545E0)); +#1339=LINE('',#1338,#1337); +#1340=DIRECTION('',(1.E0,0.E0,0.E0)); +#1341=VECTOR('',#1340,2.673203E0); +#1342=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,4.311724784545E0)); +#1343=LINE('',#1342,#1341); +#1344=DIRECTION('',(0.E0,1.E0,0.E0)); +#1345=VECTOR('',#1344,1.183198E0); +#1346=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,4.311724784545E0)); +#1347=LINE('',#1346,#1345); +#1348=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1349=VECTOR('',#1348,2.673203E0); +#1350=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,4.311724784545E0)); +#1351=LINE('',#1350,#1349); +#1352=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1353=VECTOR('',#1352,1.183198E0); +#1354=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,4.311724784545E0)); +#1355=LINE('',#1354,#1353); +#1356=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1357=VECTOR('',#1356,2.673203E0); +#1358=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,4.311724784545E0)); +#1359=LINE('',#1358,#1357); +#1360=DIRECTION('',(1.E0,0.E0,0.E0)); +#1361=VECTOR('',#1360,1.183198E0); +#1362=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,4.311724784545E0)); +#1363=LINE('',#1362,#1361); +#1364=DIRECTION('',(0.E0,1.E0,0.E0)); +#1365=VECTOR('',#1364,2.673203E0); +#1366=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,4.311724784545E0)); +#1367=LINE('',#1366,#1365); +#1368=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1369=VECTOR('',#1368,1.6732E0); +#1370=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,4.311724784545E0)); +#1371=LINE('',#1370,#1369); +#1372=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1373=VECTOR('',#1372,3.143199E0); +#1374=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,4.311724784545E0)); +#1375=LINE('',#1374,#1373); +#1376=DIRECTION('',(1.E0,0.E0,0.E0)); +#1377=VECTOR('',#1376,1.6732E0); +#1378=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,4.311724784545E0)); +#1379=LINE('',#1378,#1377); +#1380=DIRECTION('',(0.E0,1.E0,0.E0)); +#1381=VECTOR('',#1380,3.143199E0); +#1382=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,4.311724784545E0)); +#1383=LINE('',#1382,#1381); +#1384=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1385=VECTOR('',#1384,1.6732E0); +#1386=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,4.311724784545E0)); +#1387=LINE('',#1386,#1385); +#1388=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1389=VECTOR('',#1388,3.143199E0); +#1390=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,4.311724784545E0)); +#1391=LINE('',#1390,#1389); +#1392=DIRECTION('',(1.E0,0.E0,0.E0)); +#1393=VECTOR('',#1392,1.6732E0); +#1394=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,4.311724784545E0)); +#1395=LINE('',#1394,#1393); +#1396=DIRECTION('',(0.E0,1.E0,0.E0)); +#1397=VECTOR('',#1396,3.143199E0); +#1398=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,4.311724784545E0)); +#1399=LINE('',#1398,#1397); +#1400=DIRECTION('',(0.E0,1.E0,0.E0)); +#1401=VECTOR('',#1400,1.6732E0); +#1402=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,4.311724784545E0)); +#1403=LINE('',#1402,#1401); +#1404=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1405=VECTOR('',#1404,3.1432E0); +#1406=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,4.311724784545E0)); +#1407=LINE('',#1406,#1405); +#1408=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1409=VECTOR('',#1408,1.6732E0); +#1410=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,4.311724784545E0)); +#1411=LINE('',#1410,#1409); +#1412=DIRECTION('',(1.E0,0.E0,0.E0)); +#1413=VECTOR('',#1412,3.1432E0); +#1414=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,4.311724784545E0)); +#1415=LINE('',#1414,#1413); +#1416=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1417=VECTOR('',#1416,1.673199E0); +#1418=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,4.311724784545E0)); +#1419=LINE('',#1418,#1417); +#1420=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1421=VECTOR('',#1420,3.143199E0); +#1422=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,4.311724784545E0)); +#1423=LINE('',#1422,#1421); +#1424=DIRECTION('',(1.E0,0.E0,0.E0)); +#1425=VECTOR('',#1424,1.673199E0); +#1426=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,4.311724784545E0)); +#1427=LINE('',#1426,#1425); +#1428=DIRECTION('',(0.E0,1.E0,0.E0)); +#1429=VECTOR('',#1428,3.143199E0); +#1430=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,4.311724784545E0)); +#1431=LINE('',#1430,#1429); +#1432=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1433=VECTOR('',#1432,3.143199E0); +#1434=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,4.311724784545E0)); +#1435=LINE('',#1434,#1433); +#1436=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1437=VECTOR('',#1436,1.6732E0); +#1438=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,4.311724784545E0)); +#1439=LINE('',#1438,#1437); +#1440=DIRECTION('',(1.E0,0.E0,0.E0)); +#1441=VECTOR('',#1440,3.143199E0); +#1442=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,4.311724784545E0)); +#1443=LINE('',#1442,#1441); +#1444=DIRECTION('',(0.E0,1.E0,0.E0)); +#1445=VECTOR('',#1444,1.6732E0); +#1446=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,4.311724784545E0)); +#1447=LINE('',#1446,#1445); +#1448=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1449=VECTOR('',#1448,4.313199E0); +#1450=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,4.311724784545E0)); +#1451=LINE('',#1450,#1449); +#1452=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1453=VECTOR('',#1452,2.003197E0); +#1454=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,4.311724784545E0)); +#1455=LINE('',#1454,#1453); +#1456=DIRECTION('',(1.E0,0.E0,0.E0)); +#1457=VECTOR('',#1456,4.313199E0); +#1458=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,4.311724784545E0)); +#1459=LINE('',#1458,#1457); +#1460=DIRECTION('',(0.E0,1.E0,0.E0)); +#1461=VECTOR('',#1460,2.003197E0); +#1462=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,4.311724784545E0)); +#1463=LINE('',#1462,#1461); +#1464=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1465=VECTOR('',#1464,4.3132E0); +#1466=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,4.311724784545E0)); +#1467=LINE('',#1466,#1465); +#1468=DIRECTION('',(1.E0,0.E0,0.E0)); +#1469=VECTOR('',#1468,2.003196E0); +#1470=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,4.311724784545E0)); +#1471=LINE('',#1470,#1469); +#1472=DIRECTION('',(0.E0,1.E0,0.E0)); +#1473=VECTOR('',#1472,4.3132E0); +#1474=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,4.311724784545E0)); +#1475=LINE('',#1474,#1473); +#1476=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1477=VECTOR('',#1476,2.003196E0); +#1478=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,4.311724784545E0)); +#1479=LINE('',#1478,#1477); +#1480=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1481=VECTOR('',#1480,1.033196E0); +#1482=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.311724784545E0)); +#1483=LINE('',#1482,#1481); +#1484=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1485=VECTOR('',#1484,9.31598E-1); +#1486=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.311724784545E0)); +#1487=LINE('',#1486,#1485); +#1488=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1489=VECTOR('',#1488,1.084E-1); +#1490=CARTESIAN_POINT('',(1.01910594E2,6.8718801E1,4.311724784545E0)); +#1491=LINE('',#1490,#1489); +#1492=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1493=VECTOR('',#1492,1.700002E0); +#1494=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,4.311724784545E0)); +#1495=LINE('',#1494,#1493); +#1496=DIRECTION('',(1.E0,0.E0,0.E0)); +#1497=VECTOR('',#1496,1.084E-1); +#1498=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,4.311724784545E0)); +#1499=LINE('',#1498,#1497); +#1500=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1501=VECTOR('',#1500,9.31598E-1); +#1502=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.311724784545E0)); +#1503=LINE('',#1502,#1501); +#1504=DIRECTION('',(1.E0,0.E0,0.E0)); +#1505=VECTOR('',#1504,1.033196E0); +#1506=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.311724784545E0)); +#1507=LINE('',#1506,#1505); +#1508=DIRECTION('',(0.E0,1.E0,0.E0)); +#1509=VECTOR('',#1508,9.31598E-1); +#1510=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.311724784545E0)); +#1511=LINE('',#1510,#1509); +#1512=DIRECTION('',(1.E0,0.E0,0.E0)); +#1513=VECTOR('',#1512,1.08399E-1); +#1514=CARTESIAN_POINT('',(1.0294379E2,6.7018799E1,4.311724784545E0)); +#1515=LINE('',#1514,#1513); +#1516=DIRECTION('',(0.E0,1.E0,0.E0)); +#1517=VECTOR('',#1516,1.700002E0); +#1518=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,4.311724784545E0)); +#1519=LINE('',#1518,#1517); +#1520=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1521=VECTOR('',#1520,1.08399E-1); +#1522=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,4.311724784545E0)); +#1523=LINE('',#1522,#1521); +#1524=DIRECTION('',(0.E0,1.E0,0.E0)); +#1525=VECTOR('',#1524,9.31598E-1); +#1526=CARTESIAN_POINT('',(1.0294379E2,6.8718801E1,4.311724784545E0)); +#1527=LINE('',#1526,#1525); +#1528=DIRECTION('',(0.E0,1.E0,0.E0)); +#1529=VECTOR('',#1528,9.31598E-1); +#1530=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.311724784545E0)); +#1531=LINE('',#1530,#1529); +#1532=DIRECTION('',(1.E0,0.E0,0.E0)); +#1533=VECTOR('',#1532,1.08394E-1); +#1534=CARTESIAN_POINT('',(1.01368995E2,6.7018799E1,4.311724784545E0)); +#1535=LINE('',#1534,#1533); +#1536=DIRECTION('',(0.E0,1.E0,0.E0)); +#1537=VECTOR('',#1536,1.700002E0); +#1538=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,4.311724784545E0)); +#1539=LINE('',#1538,#1537); +#1540=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1541=VECTOR('',#1540,1.08394E-1); +#1542=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,4.311724784545E0)); +#1543=LINE('',#1542,#1541); +#1544=DIRECTION('',(0.E0,1.E0,0.E0)); +#1545=VECTOR('',#1544,9.31598E-1); +#1546=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.311724784545E0)); +#1547=LINE('',#1546,#1545); +#1548=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1549=VECTOR('',#1548,1.033206E0); +#1550=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.311724784545E0)); +#1551=LINE('',#1550,#1549); +#1552=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1553=VECTOR('',#1552,9.31598E-1); +#1554=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.311724784545E0)); +#1555=LINE('',#1554,#1553); +#1556=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1557=VECTOR('',#1556,1.08395E-1); +#1558=CARTESIAN_POINT('',(1.00335789E2,6.8718801E1,4.311724784545E0)); +#1559=LINE('',#1558,#1557); +#1560=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1561=VECTOR('',#1560,1.700002E0); +#1562=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,4.311724784545E0)); +#1563=LINE('',#1562,#1561); +#1564=DIRECTION('',(1.E0,0.E0,0.E0)); +#1565=VECTOR('',#1564,1.08395E-1); +#1566=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,4.311724784545E0)); +#1567=LINE('',#1566,#1565); +#1568=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1569=VECTOR('',#1568,9.31598E-1); +#1570=CARTESIAN_POINT('',(1.00335789E2,6.7018799E1,4.311724784545E0)); +#1571=LINE('',#1570,#1569); +#1572=DIRECTION('',(1.E0,0.E0,0.E0)); +#1573=VECTOR('',#1572,1.033206E0); +#1574=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.311724784545E0)); +#1575=LINE('',#1574,#1573); +#1576=DIRECTION('',(0.E0,1.E0,0.E0)); +#1577=VECTOR('',#1576,9.144E-1); +#1578=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.311724784545E0)); +#1579=LINE('',#1578,#1577); +#1580=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1581=VECTOR('',#1580,8.50001E-1); +#1582=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.311724784545E0)); +#1583=LINE('',#1582,#1581); +#1584=DIRECTION('',(0.E0,1.E0,0.E0)); +#1585=VECTOR('',#1584,1.016E-1); +#1586=CARTESIAN_POINT('',(9.6515791E1,6.9072811E1,4.311724784545E0)); +#1587=LINE('',#1586,#1585); +#1588=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1589=VECTOR('',#1588,1.2E0); +#1590=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,4.311724784545E0)); +#1591=LINE('',#1590,#1589); +#1592=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1593=VECTOR('',#1592,1.083811E0); +#1594=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,4.311724784545E0)); +#1595=LINE('',#1594,#1593); +#1596=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1597=VECTOR('',#1596,9.06998E-1); +#1598=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.311724784545E0)); +#1599=LINE('',#1598,#1597); +#1600=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1601=VECTOR('',#1600,8.5E-1); +#1602=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.311724784545E0)); +#1603=LINE('',#1602,#1601); +#1604=DIRECTION('',(1.E0,0.E0,0.E0)); +#1605=VECTOR('',#1604,9.06998E-1); +#1606=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.311724784545E0)); +#1607=LINE('',#1606,#1605); +#1608=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1609=VECTOR('',#1608,1.051611E0); +#1610=CARTESIAN_POINT('',(9.5315791E1,6.72406E1,4.311724784545E0)); +#1611=LINE('',#1610,#1609); +#1612=DIRECTION('',(1.E0,0.E0,0.E0)); +#1613=VECTOR('',#1612,1.2E0); +#1614=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,4.311724784545E0)); +#1615=LINE('',#1614,#1613); +#1616=DIRECTION('',(0.E0,1.E0,0.E0)); +#1617=VECTOR('',#1616,1.016E-1); +#1618=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,4.311724784545E0)); +#1619=LINE('',#1618,#1617); +#1620=DIRECTION('',(1.E0,0.E0,0.E0)); +#1621=VECTOR('',#1620,8.50001E-1); +#1622=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.311724784545E0)); +#1623=LINE('',#1622,#1621); +#1624=DIRECTION('',(0.E0,1.E0,0.E0)); +#1625=VECTOR('',#1624,8.50001E-1); +#1626=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.311724784545E0)); +#1627=LINE('',#1626,#1625); +#1628=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1629=VECTOR('',#1628,8.50001E-1); +#1630=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.311724784545E0)); +#1631=LINE('',#1630,#1629); +#1632=DIRECTION('',(0.E0,1.E0,0.E0)); +#1633=VECTOR('',#1632,1.017821E0); +#1634=CARTESIAN_POINT('',(9.6515791E1,6.714059E1,4.311724784545E0)); +#1635=LINE('',#1634,#1633); +#1636=DIRECTION('',(1.E0,0.E0,0.E0)); +#1637=VECTOR('',#1636,8.50001E-1); +#1638=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.311724784545E0)); +#1639=LINE('',#1638,#1637); +#1640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1641=VECTOR('',#1640,9.144E-1); +#1642=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.311724784545E0)); +#1643=LINE('',#1642,#1641); +#1644=DIRECTION('',(0.E0,1.E0,0.E0)); +#1645=VECTOR('',#1644,1.016E-1); +#1646=CARTESIAN_POINT('',(9.0641592E1,7.1409611E1,4.311724784545E0)); +#1647=LINE('',#1646,#1645); +#1648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1649=VECTOR('',#1648,1.2E0); +#1650=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,4.311724784545E0)); +#1651=LINE('',#1650,#1649); +#1652=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1653=VECTOR('',#1652,1.051611E0); +#1654=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,4.311724784545E0)); +#1655=LINE('',#1654,#1653); +#1656=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1657=VECTOR('',#1656,9.24799E-1); +#1658=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.311724784545E0)); +#1659=LINE('',#1658,#1657); +#1660=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1661=VECTOR('',#1660,9.144E-1); +#1662=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.311724784545E0)); +#1663=LINE('',#1662,#1661); +#1664=DIRECTION('',(1.E0,0.E0,0.E0)); +#1665=VECTOR('',#1664,9.24799E-1); +#1666=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.311724784545E0)); +#1667=LINE('',#1666,#1665); +#1668=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1669=VECTOR('',#1668,1.051611E0); +#1670=CARTESIAN_POINT('',(8.9441592E1,6.95452E1,4.311724784545E0)); +#1671=LINE('',#1670,#1669); +#1672=DIRECTION('',(1.E0,0.E0,0.E0)); +#1673=VECTOR('',#1672,1.2E0); +#1674=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,4.311724784545E0)); +#1675=LINE('',#1674,#1673); +#1676=DIRECTION('',(0.E0,1.E0,0.E0)); +#1677=VECTOR('',#1676,1.016E-1); +#1678=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,4.311724784545E0)); +#1679=LINE('',#1678,#1677); +#1680=DIRECTION('',(1.E0,0.E0,0.E0)); +#1681=VECTOR('',#1680,9.144E-1); +#1682=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.311724784545E0)); +#1683=LINE('',#1682,#1681); +#1684=DIRECTION('',(0.E0,1.E0,0.E0)); +#1685=VECTOR('',#1684,9.144E-1); +#1686=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.311724784545E0)); +#1687=LINE('',#1686,#1685); +#1688=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1689=VECTOR('',#1688,9.144E-1); +#1690=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.311724784545E0)); +#1691=LINE('',#1690,#1689); +#1692=DIRECTION('',(0.E0,1.E0,0.E0)); +#1693=VECTOR('',#1692,9.85622E-1); +#1694=CARTESIAN_POINT('',(9.0641592E1,6.9509589E1,4.311724784545E0)); +#1695=LINE('',#1694,#1693); +#1696=DIRECTION('',(1.E0,0.E0,0.E0)); +#1697=VECTOR('',#1696,9.144E-1); +#1698=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.311724784545E0)); +#1699=LINE('',#1698,#1697); +#1700=DIRECTION('',(0.E0,1.E0,0.E0)); +#1701=VECTOR('',#1700,9.144E-1); +#1702=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.311724784545E0)); +#1703=LINE('',#1702,#1701); +#1704=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1705=VECTOR('',#1704,9.144E-1); +#1706=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.311724784545E0)); +#1707=LINE('',#1706,#1705); +#1708=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1709=VECTOR('',#1708,9.24799E-1); +#1710=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.311724784545E0)); +#1711=LINE('',#1710,#1709); +#1712=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1713=VECTOR('',#1712,1.051611E0); +#1714=CARTESIAN_POINT('',(8.7999992E1,6.70114E1,4.311724784545E0)); +#1715=LINE('',#1714,#1713); +#1716=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1717=VECTOR('',#1716,1.2E0); +#1718=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,4.311724784545E0)); +#1719=LINE('',#1718,#1717); +#1720=DIRECTION('',(1.E0,0.E0,0.E0)); +#1721=VECTOR('',#1720,1.016E-1); +#1722=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,4.311724784545E0)); +#1723=LINE('',#1722,#1721); +#1724=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1725=VECTOR('',#1724,9.144E-1); +#1726=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.311724784545E0)); +#1727=LINE('',#1726,#1725); +#1728=DIRECTION('',(1.E0,0.E0,0.E0)); +#1729=VECTOR('',#1728,9.144E-1); +#1730=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.311724784545E0)); +#1731=LINE('',#1730,#1729); +#1732=DIRECTION('',(0.E0,1.E0,0.E0)); +#1733=VECTOR('',#1732,9.144E-1); +#1734=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.311724784545E0)); +#1735=LINE('',#1734,#1733); +#1736=DIRECTION('',(1.E0,0.E0,0.E0)); +#1737=VECTOR('',#1736,9.85621E-1); +#1738=CARTESIAN_POINT('',(8.7964381E1,6.58114E1,4.311724784545E0)); +#1739=LINE('',#1738,#1737); +#1740=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1741=VECTOR('',#1740,9.144E-1); +#1742=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.311724784545E0)); +#1743=LINE('',#1742,#1741); +#1744=DIRECTION('',(1.E0,0.E0,0.E0)); +#1745=VECTOR('',#1744,9.144E-1); +#1746=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.311724784545E0)); +#1747=LINE('',#1746,#1745); +#1748=DIRECTION('',(0.E0,1.E0,0.E0)); +#1749=VECTOR('',#1748,9.144E-1); +#1750=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.311724784545E0)); +#1751=LINE('',#1750,#1749); +#1752=DIRECTION('',(1.E0,0.E0,0.E0)); +#1753=VECTOR('',#1752,1.016E-1); +#1754=CARTESIAN_POINT('',(8.9864402E1,6.58114E1,4.311724784545E0)); +#1755=LINE('',#1754,#1753); +#1756=DIRECTION('',(0.E0,1.E0,0.E0)); +#1757=VECTOR('',#1756,1.2E0); +#1758=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,4.311724784545E0)); +#1759=LINE('',#1758,#1757); +#1760=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1761=VECTOR('',#1760,1.05161E0); +#1762=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,4.311724784545E0)); +#1763=LINE('',#1762,#1761); +#1764=DIRECTION('',(0.E0,1.E0,0.E0)); +#1765=VECTOR('',#1764,9.24799E-1); +#1766=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.311724784545E0)); +#1767=LINE('',#1766,#1765); +#1768=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1769=VECTOR('',#1768,9.24799E-1); +#1770=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.311724784545E0)); +#1771=LINE('',#1770,#1769); +#1772=DIRECTION('',(0.E0,1.E0,0.E0)); +#1773=VECTOR('',#1772,1.051611E0); +#1774=CARTESIAN_POINT('',(9.0850992E1,6.30682E1,4.311724784545E0)); +#1775=LINE('',#1774,#1773); +#1776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1777=VECTOR('',#1776,1.2E0); +#1778=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,4.311724784545E0)); +#1779=LINE('',#1778,#1777); +#1780=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1781=VECTOR('',#1780,1.016E-1); +#1782=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,4.311724784545E0)); +#1783=LINE('',#1782,#1781); +#1784=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1785=VECTOR('',#1784,9.144E-1); +#1786=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.311724784545E0)); +#1787=LINE('',#1786,#1785); +#1788=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1789=VECTOR('',#1788,9.144E-1); +#1790=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.311724784545E0)); +#1791=LINE('',#1790,#1789); +#1792=DIRECTION('',(1.E0,0.E0,0.E0)); +#1793=VECTOR('',#1792,9.144E-1); +#1794=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.311724784545E0)); +#1795=LINE('',#1794,#1793); +#1796=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1797=VECTOR('',#1796,9.85622E-1); +#1798=CARTESIAN_POINT('',(8.9650992E1,6.3103811E1,4.311724784545E0)); +#1799=LINE('',#1798,#1797); +#1800=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1801=VECTOR('',#1800,9.144E-1); +#1802=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.311724784545E0)); +#1803=LINE('',#1802,#1801); +#1804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1805=VECTOR('',#1804,9.144E-1); +#1806=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.311724784545E0)); +#1807=LINE('',#1806,#1805); +#1808=DIRECTION('',(1.E0,0.E0,0.E0)); +#1809=VECTOR('',#1808,9.144E-1); +#1810=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.311724784545E0)); +#1811=LINE('',#1810,#1809); +#1812=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1813=VECTOR('',#1812,1.016E-1); +#1814=CARTESIAN_POINT('',(8.9650992E1,6.1203789E1,4.311724784545E0)); +#1815=LINE('',#1814,#1813); +#1816=DIRECTION('',(1.E0,0.E0,0.E0)); +#1817=VECTOR('',#1816,1.2E0); +#1818=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,4.311724784545E0)); +#1819=LINE('',#1818,#1817); +#1820=DIRECTION('',(0.E0,1.E0,0.E0)); +#1821=VECTOR('',#1820,1.051611E0); +#1822=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,4.311724784545E0)); +#1823=LINE('',#1822,#1821); +#1824=DIRECTION('',(1.E0,0.E0,0.E0)); +#1825=VECTOR('',#1824,9.24799E-1); +#1826=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.311724784545E0)); +#1827=LINE('',#1826,#1825); +#1828=DIRECTION('',(0.E0,1.E0,0.E0)); +#1829=VECTOR('',#1828,9.144E-1); +#1830=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.311724784545E0)); +#1831=LINE('',#1830,#1829); +#1832=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1833=VECTOR('',#1832,9.49998E-1); +#1834=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.311724784545E0)); +#1835=LINE('',#1834,#1833); +#1836=DIRECTION('',(0.E0,1.E0,0.E0)); +#1837=VECTOR('',#1836,2.00002E-1); +#1838=CARTESIAN_POINT('',(9.2295591E1,6.0466199E1,4.311724784545E0)); +#1839=LINE('',#1838,#1837); +#1840=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1841=VECTOR('',#1840,2.799999E0); +#1842=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,4.311724784545E0)); +#1843=LINE('',#1842,#1841); +#1844=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1845=VECTOR('',#1844,2.00002E-1); +#1846=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,4.311724784545E0)); +#1847=LINE('',#1846,#1845); +#1848=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1849=VECTOR('',#1848,9.49998E-1); +#1850=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.311724784545E0)); +#1851=LINE('',#1850,#1849); +#1852=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1853=VECTOR('',#1852,1.399998E0); +#1854=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.311724784545E0)); +#1855=LINE('',#1854,#1853); +#1856=DIRECTION('',(1.E0,0.E0,0.E0)); +#1857=VECTOR('',#1856,9.49998E-1); +#1858=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.311724784545E0)); +#1859=LINE('',#1858,#1857); +#1860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1861=VECTOR('',#1860,2.00002E-1); +#1862=CARTESIAN_POINT('',(8.9495592E1,5.9066201E1,4.311724784545E0)); +#1863=LINE('',#1862,#1861); +#1864=DIRECTION('',(1.E0,0.E0,0.E0)); +#1865=VECTOR('',#1864,2.799999E0); +#1866=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,4.311724784545E0)); +#1867=LINE('',#1866,#1865); +#1868=DIRECTION('',(0.E0,1.E0,0.E0)); +#1869=VECTOR('',#1868,2.00002E-1); +#1870=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,4.311724784545E0)); +#1871=LINE('',#1870,#1869); +#1872=DIRECTION('',(1.E0,0.E0,0.E0)); +#1873=VECTOR('',#1872,9.49998E-1); +#1874=CARTESIAN_POINT('',(9.2295591E1,5.9066201E1,4.311724784545E0)); +#1875=LINE('',#1874,#1873); +#1876=DIRECTION('',(0.E0,1.E0,0.E0)); +#1877=VECTOR('',#1876,1.399998E0); +#1878=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.311724784545E0)); +#1879=LINE('',#1878,#1877); +#1880=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1881=VECTOR('',#1880,1.049998E0); +#1882=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.311724784545E0)); +#1883=LINE('',#1882,#1881); +#1884=DIRECTION('',(0.E0,1.E0,0.E0)); +#1885=VECTOR('',#1884,3.E-1); +#1886=CARTESIAN_POINT('',(9.839959E1,6.4622997E1,4.311724784545E0)); +#1887=LINE('',#1886,#1885); +#1888=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1889=VECTOR('',#1888,1.800002E0); +#1890=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,4.311724784545E0)); +#1891=LINE('',#1890,#1889); +#1892=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1893=VECTOR('',#1892,3.E-1); +#1894=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,4.311724784545E0)); +#1895=LINE('',#1894,#1893); +#1896=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1897=VECTOR('',#1896,1.049998E0); +#1898=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.311724784545E0)); +#1899=LINE('',#1898,#1897); +#1900=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1901=VECTOR('',#1900,5.99998E-1); +#1902=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.311724784545E0)); +#1903=LINE('',#1902,#1901); +#1904=DIRECTION('',(1.E0,0.E0,0.E0)); +#1905=VECTOR('',#1904,1.049998E0); +#1906=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.311724784545E0)); +#1907=LINE('',#1906,#1905); +#1908=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1909=VECTOR('',#1908,3.50002E-1); +#1910=CARTESIAN_POINT('',(9.6599588E1,6.4022999E1,4.311724784545E0)); +#1911=LINE('',#1910,#1909); +#1912=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1913=VECTOR('',#1912,1.049998E0); +#1914=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.311724784545E0)); +#1915=LINE('',#1914,#1913); +#1916=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1917=VECTOR('',#1916,5.99999E-1); +#1918=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.311724784545E0)); +#1919=LINE('',#1918,#1917); +#1920=DIRECTION('',(1.E0,0.E0,0.E0)); +#1921=VECTOR('',#1920,1.049998E0); +#1922=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.311724784545E0)); +#1923=LINE('',#1922,#1921); +#1924=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1925=VECTOR('',#1924,3.49999E-1); +#1926=CARTESIAN_POINT('',(9.6599588E1,6.3072998E1,4.311724784545E0)); +#1927=LINE('',#1926,#1925); +#1928=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1929=VECTOR('',#1928,1.049998E0); +#1930=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.311724784545E0)); +#1931=LINE('',#1930,#1929); +#1932=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1933=VECTOR('',#1932,5.99999E-1); +#1934=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.311724784545E0)); +#1935=LINE('',#1934,#1933); +#1936=DIRECTION('',(1.E0,0.E0,0.E0)); +#1937=VECTOR('',#1936,1.049998E0); +#1938=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.311724784545E0)); +#1939=LINE('',#1938,#1937); +#1940=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1941=VECTOR('',#1940,3.00002E-1); +#1942=CARTESIAN_POINT('',(9.6599588E1,6.2123E1,4.311724784545E0)); +#1943=LINE('',#1942,#1941); +#1944=DIRECTION('',(1.E0,0.E0,0.E0)); +#1945=VECTOR('',#1944,1.800002E0); +#1946=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,4.311724784545E0)); +#1947=LINE('',#1946,#1945); +#1948=DIRECTION('',(0.E0,1.E0,0.E0)); +#1949=VECTOR('',#1948,3.00002E-1); +#1950=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,4.311724784545E0)); +#1951=LINE('',#1950,#1949); +#1952=DIRECTION('',(1.E0,0.E0,0.E0)); +#1953=VECTOR('',#1952,1.049998E0); +#1954=CARTESIAN_POINT('',(9.839959E1,6.2123E1,4.311724784545E0)); +#1955=LINE('',#1954,#1953); +#1956=DIRECTION('',(0.E0,1.E0,0.E0)); +#1957=VECTOR('',#1956,5.99999E-1); +#1958=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.311724784545E0)); +#1959=LINE('',#1958,#1957); +#1960=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1961=VECTOR('',#1960,1.049998E0); +#1962=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.311724784545E0)); +#1963=LINE('',#1962,#1961); +#1964=DIRECTION('',(0.E0,1.E0,0.E0)); +#1965=VECTOR('',#1964,1.3E0); +#1966=CARTESIAN_POINT('',(9.839959E1,6.2722999E1,4.311724784545E0)); +#1967=LINE('',#1966,#1965); +#1968=DIRECTION('',(1.E0,0.E0,0.E0)); +#1969=VECTOR('',#1968,1.049998E0); +#1970=CARTESIAN_POINT('',(9.839959E1,6.4022999E1,4.311724784545E0)); +#1971=LINE('',#1970,#1969); +#1972=DIRECTION('',(0.E0,1.E0,0.E0)); +#1973=VECTOR('',#1972,5.99998E-1); +#1974=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.311724784545E0)); +#1975=LINE('',#1974,#1973); +#1976=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1977=VECTOR('',#1976,1.5516E0); +#1978=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.311724784545E0)); +#1979=LINE('',#1978,#1977); +#1980=DIRECTION('',(-3.781484007547E-14,-1.E0,0.E0)); +#1981=VECTOR('',#1980,7.51602E-1); +#1982=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.311724784545E0)); +#1983=LINE('',#1982,#1981); +#1984=DIRECTION('',(1.E0,0.E0,0.E0)); +#1985=VECTOR('',#1984,1.5516E0); +#1986=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.311724784545E0)); +#1987=LINE('',#1986,#1985); +#1988=DIRECTION('',(0.E0,1.E0,0.E0)); +#1989=VECTOR('',#1988,7.51602E-1); +#1990=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.311724784545E0)); +#1991=LINE('',#1990,#1989); +#1992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#1993=VECTOR('',#1992,1.183198E0); +#1994=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,4.311724784545E0)); +#1995=LINE('',#1994,#1993); +#1996=DIRECTION('',(0.E0,-1.E0,0.E0)); +#1997=VECTOR('',#1996,2.673202E0); +#1998=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,4.311724784545E0)); +#1999=LINE('',#1998,#1997); +#2000=DIRECTION('',(1.E0,0.E0,0.E0)); +#2001=VECTOR('',#2000,1.183198E0); +#2002=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,4.311724784545E0)); +#2003=LINE('',#2002,#2001); +#2004=DIRECTION('',(0.E0,1.E0,0.E0)); +#2005=VECTOR('',#2004,2.673202E0); +#2006=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,4.311724784545E0)); +#2007=LINE('',#2006,#2005); +#2008=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2009=VECTOR('',#2008,5.99999E-1); +#2010=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.311724784545E0)); +#2011=LINE('',#2010,#2009); +#2012=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2013=VECTOR('',#2012,4.99999E-1); +#2014=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.311724784545E0)); +#2015=LINE('',#2014,#2013); +#2016=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2017=VECTOR('',#2016,1.3E0); +#2018=CARTESIAN_POINT('',(8.4738393E1,6.4222198E1,4.311724784545E0)); +#2019=LINE('',#2018,#2017); +#2020=DIRECTION('',(0.E0,1.E0,0.E0)); +#2021=VECTOR('',#2020,4.99999E-1); +#2022=CARTESIAN_POINT('',(8.3438393E1,6.4222198E1,4.311724784545E0)); +#2023=LINE('',#2022,#2021); +#2024=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2025=VECTOR('',#2024,5.99999E-1); +#2026=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.311724784545E0)); +#2027=LINE('',#2026,#2025); +#2028=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2029=VECTOR('',#2028,4.99999E-1); +#2030=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.311724784545E0)); +#2031=LINE('',#2030,#2029); +#2032=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2033=VECTOR('',#2032,2.99999E-1); +#2034=CARTESIAN_POINT('',(8.2838394E1,6.4222198E1,4.311724784545E0)); +#2035=LINE('',#2034,#2033); +#2036=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2037=VECTOR('',#2036,1.800001E0); +#2038=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,4.311724784545E0)); +#2039=LINE('',#2038,#2037); +#2040=DIRECTION('',(1.E0,0.E0,0.E0)); +#2041=VECTOR('',#2040,2.99999E-1); +#2042=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,4.311724784545E0)); +#2043=LINE('',#2042,#2041); +#2044=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2045=VECTOR('',#2044,4.99999E-1); +#2046=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.311724784545E0)); +#2047=LINE('',#2046,#2045); +#2048=DIRECTION('',(1.E0,0.E0,0.E0)); +#2049=VECTOR('',#2048,5.99999E-1); +#2050=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.311724784545E0)); +#2051=LINE('',#2050,#2049); +#2052=DIRECTION('',(0.E0,1.E0,0.E0)); +#2053=VECTOR('',#2052,4.99999E-1); +#2054=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.311724784545E0)); +#2055=LINE('',#2054,#2053); +#2056=DIRECTION('',(1.E0,0.E0,0.E0)); +#2057=VECTOR('',#2056,3.50002E-1); +#2058=CARTESIAN_POINT('',(8.3438393E1,6.2422197E1,4.311724784545E0)); +#2059=LINE('',#2058,#2057); +#2060=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2061=VECTOR('',#2060,4.99999E-1); +#2062=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.311724784545E0)); +#2063=LINE('',#2062,#2061); +#2064=DIRECTION('',(1.E0,0.E0,0.E0)); +#2065=VECTOR('',#2064,5.99999E-1); +#2066=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.311724784545E0)); +#2067=LINE('',#2066,#2065); +#2068=DIRECTION('',(0.E0,1.E0,0.E0)); +#2069=VECTOR('',#2068,4.99999E-1); +#2070=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.311724784545E0)); +#2071=LINE('',#2070,#2069); +#2072=DIRECTION('',(1.E0,0.E0,0.E0)); +#2073=VECTOR('',#2072,3.49999E-1); +#2074=CARTESIAN_POINT('',(8.4388394E1,6.2422197E1,4.311724784545E0)); +#2075=LINE('',#2074,#2073); +#2076=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2077=VECTOR('',#2076,4.99999E-1); +#2078=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.311724784545E0)); +#2079=LINE('',#2078,#2077); +#2080=DIRECTION('',(1.E0,0.E0,0.E0)); +#2081=VECTOR('',#2080,5.99999E-1); +#2082=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.311724784545E0)); +#2083=LINE('',#2082,#2081); +#2084=DIRECTION('',(0.E0,1.E0,0.E0)); +#2085=VECTOR('',#2084,4.99999E-1); +#2086=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.311724784545E0)); +#2087=LINE('',#2086,#2085); +#2088=DIRECTION('',(1.E0,0.E0,0.E0)); +#2089=VECTOR('',#2088,3.00002E-1); +#2090=CARTESIAN_POINT('',(8.5338392E1,6.2422197E1,4.311724784545E0)); +#2091=LINE('',#2090,#2089); +#2092=DIRECTION('',(0.E0,1.E0,0.E0)); +#2093=VECTOR('',#2092,1.800001E0); +#2094=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,4.311724784545E0)); +#2095=LINE('',#2094,#2093); +#2096=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2097=VECTOR('',#2096,3.00002E-1); +#2098=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,4.311724784545E0)); +#2099=LINE('',#2098,#2097); +#2100=DIRECTION('',(0.E0,1.E0,0.E0)); +#2101=VECTOR('',#2100,4.99999E-1); +#2102=CARTESIAN_POINT('',(8.5338392E1,6.4222198E1,4.311724784545E0)); +#2103=LINE('',#2102,#2101); +#2104=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,4.311724784545E0)); +#2105=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2106=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2107=AXIS2_PLACEMENT_3D('',#2104,#2105,#2106); +#2109=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,4.311724784545E0)); +#2110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2111=DIRECTION('',(1.E0,0.E0,0.E0)); +#2112=AXIS2_PLACEMENT_3D('',#2109,#2110,#2111); +#2114=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,4.311724784545E0)); +#2115=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2116=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2117=AXIS2_PLACEMENT_3D('',#2114,#2115,#2116); +#2119=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,4.311724784545E0)); +#2120=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2121=DIRECTION('',(1.E0,0.E0,0.E0)); +#2122=AXIS2_PLACEMENT_3D('',#2119,#2120,#2121); +#2124=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,4.311724784545E0)); +#2125=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2126=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2127=AXIS2_PLACEMENT_3D('',#2124,#2125,#2126); +#2129=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,4.311724784545E0)); +#2130=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2131=DIRECTION('',(1.E0,0.E0,0.E0)); +#2132=AXIS2_PLACEMENT_3D('',#2129,#2130,#2131); +#2134=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,4.311724784545E0)); +#2135=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2136=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2137=AXIS2_PLACEMENT_3D('',#2134,#2135,#2136); +#2139=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,4.311724784545E0)); +#2140=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2141=DIRECTION('',(1.E0,0.E0,0.E0)); +#2142=AXIS2_PLACEMENT_3D('',#2139,#2140,#2141); +#2144=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,4.311724784545E0)); +#2145=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2146=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2147=AXIS2_PLACEMENT_3D('',#2144,#2145,#2146); +#2149=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,4.311724784545E0)); +#2150=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2151=DIRECTION('',(1.E0,0.E0,0.E0)); +#2152=AXIS2_PLACEMENT_3D('',#2149,#2150,#2151); +#2154=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,4.311724784545E0)); +#2155=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2156=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2157=AXIS2_PLACEMENT_3D('',#2154,#2155,#2156); +#2159=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,4.311724784545E0)); +#2160=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2161=DIRECTION('',(1.E0,0.E0,0.E0)); +#2162=AXIS2_PLACEMENT_3D('',#2159,#2160,#2161); +#2164=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,4.311724784545E0)); +#2165=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2166=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2167=AXIS2_PLACEMENT_3D('',#2164,#2165,#2166); +#2169=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,4.311724784545E0)); +#2170=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2171=DIRECTION('',(1.E0,0.E0,0.E0)); +#2172=AXIS2_PLACEMENT_3D('',#2169,#2170,#2171); +#2174=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,4.311724784545E0)); +#2175=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2176=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2177=AXIS2_PLACEMENT_3D('',#2174,#2175,#2176); +#2179=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,4.311724784545E0)); +#2180=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2181=DIRECTION('',(1.E0,0.E0,0.E0)); +#2182=AXIS2_PLACEMENT_3D('',#2179,#2180,#2181); +#2184=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,4.311724784545E0)); +#2185=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2186=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2187=AXIS2_PLACEMENT_3D('',#2184,#2185,#2186); +#2189=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,4.311724784545E0)); +#2190=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2191=DIRECTION('',(1.E0,0.E0,0.E0)); +#2192=AXIS2_PLACEMENT_3D('',#2189,#2190,#2191); +#2194=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,4.311724784545E0)); +#2195=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2196=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2197=AXIS2_PLACEMENT_3D('',#2194,#2195,#2196); +#2199=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,4.311724784545E0)); +#2200=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2201=DIRECTION('',(1.E0,0.E0,0.E0)); +#2202=AXIS2_PLACEMENT_3D('',#2199,#2200,#2201); +#2204=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,4.311724784545E0)); +#2205=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2206=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2207=AXIS2_PLACEMENT_3D('',#2204,#2205,#2206); +#2209=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,4.311724784545E0)); +#2210=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2211=DIRECTION('',(1.E0,0.E0,0.E0)); +#2212=AXIS2_PLACEMENT_3D('',#2209,#2210,#2211); +#2214=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,4.311724784545E0)); +#2215=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2216=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2217=AXIS2_PLACEMENT_3D('',#2214,#2215,#2216); +#2219=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,4.311724784545E0)); +#2220=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2221=DIRECTION('',(1.E0,0.E0,0.E0)); +#2222=AXIS2_PLACEMENT_3D('',#2219,#2220,#2221); +#2224=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,4.311724784545E0)); +#2225=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2226=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2227=AXIS2_PLACEMENT_3D('',#2224,#2225,#2226); +#2229=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,4.311724784545E0)); +#2230=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2231=DIRECTION('',(1.E0,0.E0,0.E0)); +#2232=AXIS2_PLACEMENT_3D('',#2229,#2230,#2231); +#2234=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,4.311724784545E0)); +#2235=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2236=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2237=AXIS2_PLACEMENT_3D('',#2234,#2235,#2236); +#2239=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,4.311724784545E0)); +#2240=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2241=DIRECTION('',(1.E0,0.E0,0.E0)); +#2242=AXIS2_PLACEMENT_3D('',#2239,#2240,#2241); +#2244=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,4.311724784545E0)); +#2245=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2246=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2247=AXIS2_PLACEMENT_3D('',#2244,#2245,#2246); +#2249=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,4.311724784545E0)); +#2250=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2251=DIRECTION('',(1.E0,0.E0,0.E0)); +#2252=AXIS2_PLACEMENT_3D('',#2249,#2250,#2251); +#2254=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,4.311724784545E0)); +#2255=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2256=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2257=AXIS2_PLACEMENT_3D('',#2254,#2255,#2256); +#2259=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,4.311724784545E0)); +#2260=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2261=DIRECTION('',(1.E0,0.E0,0.E0)); +#2262=AXIS2_PLACEMENT_3D('',#2259,#2260,#2261); +#2264=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,4.311724784545E0)); +#2265=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2266=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2267=AXIS2_PLACEMENT_3D('',#2264,#2265,#2266); +#2269=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,4.311724784545E0)); +#2270=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2271=DIRECTION('',(1.E0,0.E0,0.E0)); +#2272=AXIS2_PLACEMENT_3D('',#2269,#2270,#2271); +#2274=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,4.311724784545E0)); +#2275=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2276=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2277=AXIS2_PLACEMENT_3D('',#2274,#2275,#2276); +#2279=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,4.311724784545E0)); +#2280=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2281=DIRECTION('',(1.E0,0.E0,0.E0)); +#2282=AXIS2_PLACEMENT_3D('',#2279,#2280,#2281); +#2284=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,4.311724784545E0)); +#2285=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2286=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2287=AXIS2_PLACEMENT_3D('',#2284,#2285,#2286); +#2289=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,4.311724784545E0)); +#2290=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2291=DIRECTION('',(1.E0,0.E0,0.E0)); +#2292=AXIS2_PLACEMENT_3D('',#2289,#2290,#2291); +#2294=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,4.311724784545E0)); +#2295=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2297=AXIS2_PLACEMENT_3D('',#2294,#2295,#2296); +#2299=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,4.311724784545E0)); +#2300=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2301=DIRECTION('',(1.E0,0.E0,0.E0)); +#2302=AXIS2_PLACEMENT_3D('',#2299,#2300,#2301); +#2304=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,4.311724784545E0)); +#2305=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2306=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2307=AXIS2_PLACEMENT_3D('',#2304,#2305,#2306); +#2309=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,4.311724784545E0)); +#2310=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2311=DIRECTION('',(1.E0,0.E0,0.E0)); +#2312=AXIS2_PLACEMENT_3D('',#2309,#2310,#2311); +#2314=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,4.311724784545E0)); +#2315=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2316=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2317=AXIS2_PLACEMENT_3D('',#2314,#2315,#2316); +#2319=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,4.311724784545E0)); +#2320=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2321=DIRECTION('',(1.E0,0.E0,0.E0)); +#2322=AXIS2_PLACEMENT_3D('',#2319,#2320,#2321); +#2324=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,4.311724784545E0)); +#2325=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2326=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2327=AXIS2_PLACEMENT_3D('',#2324,#2325,#2326); +#2329=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,4.311724784545E0)); +#2330=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2331=DIRECTION('',(1.E0,0.E0,0.E0)); +#2332=AXIS2_PLACEMENT_3D('',#2329,#2330,#2331); +#2334=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,4.311724784545E0)); +#2335=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2336=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2337=AXIS2_PLACEMENT_3D('',#2334,#2335,#2336); +#2339=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,4.311724784545E0)); +#2340=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2341=DIRECTION('',(1.E0,0.E0,0.E0)); +#2342=AXIS2_PLACEMENT_3D('',#2339,#2340,#2341); +#2344=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,3.111724784545E0)); +#2345=DIRECTION('',(0.E0,0.E0,1.E0)); +#2346=DIRECTION('',(1.E0,0.E0,0.E0)); +#2347=AXIS2_PLACEMENT_3D('',#2344,#2345,#2346); +#2349=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,3.111724784545E0)); +#2350=DIRECTION('',(0.E0,0.E0,1.E0)); +#2351=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2352=AXIS2_PLACEMENT_3D('',#2349,#2350,#2351); +#2354=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,3.111724784545E0)); +#2355=DIRECTION('',(0.E0,0.E0,1.E0)); +#2356=DIRECTION('',(1.E0,0.E0,0.E0)); +#2357=AXIS2_PLACEMENT_3D('',#2354,#2355,#2356); +#2359=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,3.111724784545E0)); +#2360=DIRECTION('',(0.E0,0.E0,1.E0)); +#2361=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2362=AXIS2_PLACEMENT_3D('',#2359,#2360,#2361); +#2364=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,3.111724784545E0)); +#2365=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2366=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2367=AXIS2_PLACEMENT_3D('',#2364,#2365,#2366); +#2369=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,3.111724784545E0)); +#2370=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2371=DIRECTION('',(1.E0,0.E0,0.E0)); +#2372=AXIS2_PLACEMENT_3D('',#2369,#2370,#2371); +#2374=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,3.111724784545E0)); +#2375=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2376=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2377=AXIS2_PLACEMENT_3D('',#2374,#2375,#2376); +#2379=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,3.111724784545E0)); +#2380=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2381=DIRECTION('',(1.E0,0.E0,0.E0)); +#2382=AXIS2_PLACEMENT_3D('',#2379,#2380,#2381); +#2384=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,3.111724784545E0)); +#2385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2386=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2387=AXIS2_PLACEMENT_3D('',#2384,#2385,#2386); +#2389=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,3.111724784545E0)); +#2390=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2391=DIRECTION('',(1.E0,0.E0,0.E0)); +#2392=AXIS2_PLACEMENT_3D('',#2389,#2390,#2391); +#2394=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,3.111724784545E0)); +#2395=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2396=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2397=AXIS2_PLACEMENT_3D('',#2394,#2395,#2396); +#2399=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,3.111724784545E0)); +#2400=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2401=DIRECTION('',(1.E0,0.E0,0.E0)); +#2402=AXIS2_PLACEMENT_3D('',#2399,#2400,#2401); +#2404=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,3.111724784545E0)); +#2405=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2406=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2407=AXIS2_PLACEMENT_3D('',#2404,#2405,#2406); +#2409=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,3.111724784545E0)); +#2410=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2411=DIRECTION('',(1.E0,0.E0,0.E0)); +#2412=AXIS2_PLACEMENT_3D('',#2409,#2410,#2411); +#2414=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,3.111724784545E0)); +#2415=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2416=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2417=AXIS2_PLACEMENT_3D('',#2414,#2415,#2416); +#2419=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,3.111724784545E0)); +#2420=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2421=DIRECTION('',(1.E0,0.E0,0.E0)); +#2422=AXIS2_PLACEMENT_3D('',#2419,#2420,#2421); +#2424=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,3.111724784545E0)); +#2425=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2426=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2427=AXIS2_PLACEMENT_3D('',#2424,#2425,#2426); +#2429=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,3.111724784545E0)); +#2430=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2431=DIRECTION('',(1.E0,0.E0,0.E0)); +#2432=AXIS2_PLACEMENT_3D('',#2429,#2430,#2431); +#2434=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,3.111724784545E0)); +#2435=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2436=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2437=AXIS2_PLACEMENT_3D('',#2434,#2435,#2436); +#2439=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,3.111724784545E0)); +#2440=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2441=DIRECTION('',(1.E0,0.E0,0.E0)); +#2442=AXIS2_PLACEMENT_3D('',#2439,#2440,#2441); +#2444=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,3.111724784545E0)); +#2445=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2446=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2447=AXIS2_PLACEMENT_3D('',#2444,#2445,#2446); +#2449=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,3.111724784545E0)); +#2450=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2451=DIRECTION('',(1.E0,0.E0,0.E0)); +#2452=AXIS2_PLACEMENT_3D('',#2449,#2450,#2451); +#2454=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,3.111724784545E0)); +#2455=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2456=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2457=AXIS2_PLACEMENT_3D('',#2454,#2455,#2456); +#2459=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,3.111724784545E0)); +#2460=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2461=DIRECTION('',(1.E0,0.E0,0.E0)); +#2462=AXIS2_PLACEMENT_3D('',#2459,#2460,#2461); +#2464=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,3.111724784545E0)); +#2465=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2466=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2467=AXIS2_PLACEMENT_3D('',#2464,#2465,#2466); +#2469=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,3.111724784545E0)); +#2470=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2471=DIRECTION('',(1.E0,0.E0,0.E0)); +#2472=AXIS2_PLACEMENT_3D('',#2469,#2470,#2471); +#2474=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,3.111724784545E0)); +#2475=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2476=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2477=AXIS2_PLACEMENT_3D('',#2474,#2475,#2476); +#2479=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,3.111724784545E0)); +#2480=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2481=DIRECTION('',(1.E0,0.E0,0.E0)); +#2482=AXIS2_PLACEMENT_3D('',#2479,#2480,#2481); +#2484=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,3.111724784545E0)); +#2485=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2486=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2487=AXIS2_PLACEMENT_3D('',#2484,#2485,#2486); +#2489=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,3.111724784545E0)); +#2490=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2491=DIRECTION('',(1.E0,0.E0,0.E0)); +#2492=AXIS2_PLACEMENT_3D('',#2489,#2490,#2491); +#2494=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,3.111724784545E0)); +#2495=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2496=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2497=AXIS2_PLACEMENT_3D('',#2494,#2495,#2496); +#2499=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,3.111724784545E0)); +#2500=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2501=DIRECTION('',(1.E0,0.E0,0.E0)); +#2502=AXIS2_PLACEMENT_3D('',#2499,#2500,#2501); +#2504=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,3.111724784545E0)); +#2505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2506=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2507=AXIS2_PLACEMENT_3D('',#2504,#2505,#2506); +#2509=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,3.111724784545E0)); +#2510=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2511=DIRECTION('',(1.E0,0.E0,0.E0)); +#2512=AXIS2_PLACEMENT_3D('',#2509,#2510,#2511); +#2514=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,3.111724784545E0)); +#2515=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2516=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2517=AXIS2_PLACEMENT_3D('',#2514,#2515,#2516); +#2519=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,3.111724784545E0)); +#2520=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2521=DIRECTION('',(1.E0,0.E0,0.E0)); +#2522=AXIS2_PLACEMENT_3D('',#2519,#2520,#2521); +#2524=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,3.111724784545E0)); +#2525=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2526=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2527=AXIS2_PLACEMENT_3D('',#2524,#2525,#2526); +#2529=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,3.111724784545E0)); +#2530=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2531=DIRECTION('',(1.E0,0.E0,0.E0)); +#2532=AXIS2_PLACEMENT_3D('',#2529,#2530,#2531); +#2534=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,3.111724784545E0)); +#2535=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2536=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2537=AXIS2_PLACEMENT_3D('',#2534,#2535,#2536); +#2539=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,3.111724784545E0)); +#2540=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2541=DIRECTION('',(1.E0,0.E0,0.E0)); +#2542=AXIS2_PLACEMENT_3D('',#2539,#2540,#2541); +#2544=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,3.111724784545E0)); +#2545=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2546=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2547=AXIS2_PLACEMENT_3D('',#2544,#2545,#2546); +#2549=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,3.111724784545E0)); +#2550=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2551=DIRECTION('',(1.E0,0.E0,0.E0)); +#2552=AXIS2_PLACEMENT_3D('',#2549,#2550,#2551); +#2554=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,3.111724784545E0)); +#2555=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2556=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2557=AXIS2_PLACEMENT_3D('',#2554,#2555,#2556); +#2559=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,3.111724784545E0)); +#2560=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2561=DIRECTION('',(1.E0,0.E0,0.E0)); +#2562=AXIS2_PLACEMENT_3D('',#2559,#2560,#2561); +#2564=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,3.111724784545E0)); +#2565=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2566=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2567=AXIS2_PLACEMENT_3D('',#2564,#2565,#2566); +#2569=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,3.111724784545E0)); +#2570=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2571=DIRECTION('',(1.E0,0.E0,0.E0)); +#2572=AXIS2_PLACEMENT_3D('',#2569,#2570,#2571); +#2574=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,3.111724784545E0)); +#2575=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2576=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2577=AXIS2_PLACEMENT_3D('',#2574,#2575,#2576); +#2579=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,3.111724784545E0)); +#2580=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2581=DIRECTION('',(1.E0,0.E0,0.E0)); +#2582=AXIS2_PLACEMENT_3D('',#2579,#2580,#2581); +#2584=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,3.111724784545E0)); +#2585=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2586=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2587=AXIS2_PLACEMENT_3D('',#2584,#2585,#2586); +#2589=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,3.111724784545E0)); +#2590=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2591=DIRECTION('',(1.E0,0.E0,0.E0)); +#2592=AXIS2_PLACEMENT_3D('',#2589,#2590,#2591); +#2594=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,3.111724784545E0)); +#2595=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2596=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2597=AXIS2_PLACEMENT_3D('',#2594,#2595,#2596); +#2599=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,3.111724784545E0)); +#2600=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2601=DIRECTION('',(1.E0,0.E0,0.E0)); +#2602=AXIS2_PLACEMENT_3D('',#2599,#2600,#2601); +#2604=DIRECTION('',(1.E0,0.E0,0.E0)); +#2605=VECTOR('',#2604,7.613631337673E-1); +#2606=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,3.111724784545E0)); +#2607=LINE('',#2606,#2605); +#2608=DIRECTION('',(0.E0,1.E0,0.E0)); +#2609=VECTOR('',#2608,2.344466E0); +#2610=CARTESIAN_POINT('',(8.348498713377E1,8.5605734E1,3.111724784545E0)); +#2611=LINE('',#2610,#2609); +#2612=DIRECTION('',(1.E0,0.E0,0.E0)); +#2613=VECTOR('',#2612,1.85E1); +#2614=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,3.111724784545E0)); +#2615=LINE('',#2614,#2613); +#2616=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2617=VECTOR('',#2616,2.444567E0); +#2618=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,3.111724784545E0)); +#2619=LINE('',#2618,#2617); +#2620=DIRECTION('',(1.E0,0.E0,0.E0)); +#2621=VECTOR('',#2620,8.416958662327E-1); +#2622=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,3.111724784545E0)); +#2623=LINE('',#2622,#2621); +#2624=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2625=VECTOR('',#2624,1.503198E0); +#2626=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,3.111724784545E0)); +#2627=LINE('',#2626,#2625); +#2628=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2629=VECTOR('',#2628,8.416958662327E-1); +#2630=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,3.111724784545E0)); +#2631=LINE('',#2630,#2629); +#2632=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2633=VECTOR('',#2632,1.852235E0); +#2634=CARTESIAN_POINT('',(1.019849871338E2,8.4002435E1,3.111724784545E0)); +#2635=LINE('',#2634,#2633); +#2636=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2637=VECTOR('',#2636,1.85E1); +#2638=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,3.111724784545E0)); +#2639=LINE('',#2638,#2637); +#2640=DIRECTION('',(0.E0,1.E0,0.E0)); +#2641=VECTOR('',#2640,1.752337E0); +#2642=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,3.111724784545E0)); +#2643=LINE('',#2642,#2641); +#2644=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2645=VECTOR('',#2644,7.613631337673E-1); +#2646=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,3.111724784545E0)); +#2647=LINE('',#2646,#2645); +#2648=DIRECTION('',(0.E0,1.E0,0.E0)); +#2649=VECTOR('',#2648,1.703197E0); +#2650=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,3.111724784545E0)); +#2651=LINE('',#2650,#2649); +#2652=DIRECTION('',(1.E0,0.E0,0.E0)); +#2653=VECTOR('',#2652,7.203201E0); +#2654=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,3.111724784545E0)); +#2655=LINE('',#2654,#2653); +#2656=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2657=VECTOR('',#2656,8.77007E-1); +#2658=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,3.111724784545E0)); +#2659=LINE('',#2658,#2657); +#2660=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2661=VECTOR('',#2660,4.266E-1); +#2662=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.111724784545E0)); +#2663=LINE('',#2662,#2661); +#2664=DIRECTION('',(0.E0,1.E0,0.E0)); +#2665=VECTOR('',#2664,6.3E0); +#2666=CARTESIAN_POINT('',(9.5772392E1,4.8767992E1,3.111724784545E0)); +#2667=LINE('',#2666,#2665); +#2668=DIRECTION('',(1.E0,0.E0,0.E0)); +#2669=VECTOR('',#2668,8.702747030836E0); +#2670=CARTESIAN_POINT('',(9.5772392E1,4.8767992E1,3.111724784545E0)); +#2671=LINE('',#2670,#2669); +#2672=CARTESIAN_POINT('',(1.044751390308E2,4.9767992E1,3.111724784545E0)); +#2673=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2674=DIRECTION('',(9.999999765744E-1,-2.164513699654E-4,0.E0)); +#2675=AXIS2_PLACEMENT_3D('',#2672,#2673,#2674); +#2677=DIRECTION('',(2.164513699637E-4,9.999999765744E-1,0.E0)); +#2678=VECTOR('',#2677,5.878220937701E1); +#2679=CARTESIAN_POINT('',(1.054751390074E2,4.976777554863E1,3.111724784545E0)); +#2680=LINE('',#2679,#2678); +#2681=CARTESIAN_POINT('',(1.044878625206E2,1.085502E2,3.111724784545E0)); +#2682=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2683=DIRECTION('',(0.E0,1.E0,0.E0)); +#2684=AXIS2_PLACEMENT_3D('',#2681,#2682,#2683); +#2686=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2687=VECTOR('',#2686,2.350520218157E1); +#2688=CARTESIAN_POINT('',(1.044878625206E2,1.095502E2,3.111724784545E0)); +#2689=LINE('',#2688,#2687); +#2690=CARTESIAN_POINT('',(8.098266033901E1,1.085502E2,3.111724784545E0)); +#2691=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2692=DIRECTION('',(-9.999999195423E-1,-4.011426052273E-4,0.E0)); +#2693=AXIS2_PLACEMENT_3D('',#2690,#2691,#2692); +#2695=DIRECTION('',(4.011426052263E-4,-9.999999195423E-1,0.E0)); +#2696=VECTOR('',#2695,5.878221272948E1); +#2697=CARTESIAN_POINT('',(7.998266041947E1,1.085497988574E2,3.111724784545E0)); +#2698=LINE('',#2697,#2696); +#2699=CARTESIAN_POINT('',(8.100624038897E1,4.9767992E1,3.111724784545E0)); +#2700=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2701=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2702=AXIS2_PLACEMENT_3D('',#2699,#2700,#2701); +#2704=DIRECTION('',(1.E0,0.E0,0.E0)); +#2705=VECTOR('',#2704,8.416151611030E0); +#2706=CARTESIAN_POINT('',(8.100624038897E1,4.8767992E1,3.111724784545E0)); +#2707=LINE('',#2706,#2705); +#2708=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2709=VECTOR('',#2708,6.3E0); +#2710=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,3.111724784545E0)); +#2711=LINE('',#2710,#2709); +#2712=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2713=VECTOR('',#2712,4.26601E-1); +#2714=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,3.111724784545E0)); +#2715=LINE('',#2714,#2713); +#2716=DIRECTION('',(0.E0,1.E0,0.E0)); +#2717=VECTOR('',#2716,8.77007E-1); +#2718=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.111724784545E0)); +#2719=LINE('',#2718,#2717); +#2720=DIRECTION('',(0.E0,1.E0,0.E0)); +#2721=VECTOR('',#2720,3.35E0); +#2722=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,3.111724784545E0)); +#2723=LINE('',#2722,#2721); +#2724=DIRECTION('',(1.E0,0.E0,0.E0)); +#2725=VECTOR('',#2724,4.2E0); +#2726=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,3.111724784545E0)); +#2727=LINE('',#2726,#2725); +#2728=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2729=VECTOR('',#2728,3.35E0); +#2730=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,3.111724784545E0)); +#2731=LINE('',#2730,#2729); +#2732=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2733=VECTOR('',#2732,4.2E0); +#2734=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,3.111724784545E0)); +#2735=LINE('',#2734,#2733); +#2736=DIRECTION('',(0.E0,1.E0,0.E0)); +#2737=VECTOR('',#2736,3.35E0); +#2738=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,3.111724784545E0)); +#2739=LINE('',#2738,#2737); +#2740=DIRECTION('',(1.E0,0.E0,0.E0)); +#2741=VECTOR('',#2740,4.2E0); +#2742=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,3.111724784545E0)); +#2743=LINE('',#2742,#2741); +#2744=DIRECTION('',(0.E0,-1.E0,0.E0)); +#2745=VECTOR('',#2744,3.35E0); +#2746=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,3.111724784545E0)); +#2747=LINE('',#2746,#2745); +#2748=DIRECTION('',(-1.E0,0.E0,0.E0)); +#2749=VECTOR('',#2748,4.2E0); +#2750=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,3.111724784545E0)); +#2751=LINE('',#2750,#2749); +#2752=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2753=VECTOR('',#2752,1.2E0); +#2754=CARTESIAN_POINT('',(8.3619282E1,1.06429602E2,4.311724784545E0)); +#2755=LINE('',#2754,#2753); +#2756=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2757=VECTOR('',#2756,1.2E0); +#2758=CARTESIAN_POINT('',(8.1669583E1,1.06429602E2,4.311724784545E0)); +#2759=LINE('',#2758,#2757); +#2760=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2761=VECTOR('',#2760,1.2E0); +#2762=CARTESIAN_POINT('',(1.03596963E2,1.06426003E2,4.311724784545E0)); +#2763=LINE('',#2762,#2761); +#2764=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2765=VECTOR('',#2764,1.2E0); +#2766=CARTESIAN_POINT('',(1.01714615E2,1.06426003E2,4.311724784545E0)); +#2767=LINE('',#2766,#2765); +#2768=DIRECTION('',(0.E0,0.E0,1.E0)); +#2769=VECTOR('',#2768,1.2E0); +#2770=CARTESIAN_POINT('',(1.034531915E2,1.00711E2,3.111724784545E0)); +#2771=LINE('',#2770,#2769); +#2772=DIRECTION('',(0.E0,0.E0,1.E0)); +#2773=VECTOR('',#2772,1.2E0); +#2774=CARTESIAN_POINT('',(1.048563895E2,1.00711E2,3.111724784545E0)); +#2775=LINE('',#2774,#2773); +#2776=DIRECTION('',(0.E0,0.E0,1.E0)); +#2777=VECTOR('',#2776,1.2E0); +#2778=CARTESIAN_POINT('',(1.034531915E2,9.8171E1,3.111724784545E0)); +#2779=LINE('',#2778,#2777); +#2780=DIRECTION('',(0.E0,0.E0,1.E0)); +#2781=VECTOR('',#2780,1.2E0); +#2782=CARTESIAN_POINT('',(1.048563895E2,9.8171E1,3.111724784545E0)); +#2783=LINE('',#2782,#2781); +#2784=DIRECTION('',(0.E0,0.E0,1.E0)); +#2785=VECTOR('',#2784,1.2E0); +#2786=CARTESIAN_POINT('',(1.034531915E2,9.5631E1,3.111724784545E0)); +#2787=LINE('',#2786,#2785); +#2788=DIRECTION('',(0.E0,0.E0,1.E0)); +#2789=VECTOR('',#2788,1.2E0); +#2790=CARTESIAN_POINT('',(1.048563895E2,9.5631E1,3.111724784545E0)); +#2791=LINE('',#2790,#2789); +#2792=DIRECTION('',(0.E0,0.E0,1.E0)); +#2793=VECTOR('',#2792,1.2E0); +#2794=CARTESIAN_POINT('',(1.034531915E2,9.3091E1,3.111724784545E0)); +#2795=LINE('',#2794,#2793); +#2796=DIRECTION('',(0.E0,0.E0,1.E0)); +#2797=VECTOR('',#2796,1.2E0); +#2798=CARTESIAN_POINT('',(1.048563895E2,9.3091E1,3.111724784545E0)); +#2799=LINE('',#2798,#2797); +#2800=DIRECTION('',(0.E0,0.E0,1.E0)); +#2801=VECTOR('',#2800,1.2E0); +#2802=CARTESIAN_POINT('',(1.034531915E2,9.0551E1,3.111724784545E0)); +#2803=LINE('',#2802,#2801); +#2804=DIRECTION('',(0.E0,0.E0,1.E0)); +#2805=VECTOR('',#2804,1.2E0); +#2806=CARTESIAN_POINT('',(1.048563895E2,9.0551E1,3.111724784545E0)); +#2807=LINE('',#2806,#2805); +#2808=DIRECTION('',(0.E0,0.E0,1.E0)); +#2809=VECTOR('',#2808,1.2E0); +#2810=CARTESIAN_POINT('',(1.034531915E2,8.8011E1,3.111724784545E0)); +#2811=LINE('',#2810,#2809); +#2812=DIRECTION('',(0.E0,0.E0,1.E0)); +#2813=VECTOR('',#2812,1.2E0); +#2814=CARTESIAN_POINT('',(1.048563895E2,8.8011E1,3.111724784545E0)); +#2815=LINE('',#2814,#2813); +#2816=DIRECTION('',(0.E0,0.E0,1.E0)); +#2817=VECTOR('',#2816,1.2E0); +#2818=CARTESIAN_POINT('',(1.033531915E2,8.5471E1,3.111724784545E0)); +#2819=LINE('',#2818,#2817); +#2820=DIRECTION('',(0.E0,0.E0,1.E0)); +#2821=VECTOR('',#2820,1.2E0); +#2822=CARTESIAN_POINT('',(1.049563895E2,8.5471E1,3.111724784545E0)); +#2823=LINE('',#2822,#2821); +#2824=DIRECTION('',(0.E0,0.E0,1.E0)); +#2825=VECTOR('',#2824,1.2E0); +#2826=CARTESIAN_POINT('',(1.033531915E2,8.2931E1,3.111724784545E0)); +#2827=LINE('',#2826,#2825); +#2828=DIRECTION('',(0.E0,0.E0,1.E0)); +#2829=VECTOR('',#2828,1.2E0); +#2830=CARTESIAN_POINT('',(1.049563895E2,8.2931E1,3.111724784545E0)); +#2831=LINE('',#2830,#2829); +#2832=DIRECTION('',(0.E0,0.E0,1.E0)); +#2833=VECTOR('',#2832,1.2E0); +#2834=CARTESIAN_POINT('',(1.033531915E2,8.0391E1,3.111724784545E0)); +#2835=LINE('',#2834,#2833); +#2836=DIRECTION('',(0.E0,0.E0,1.E0)); +#2837=VECTOR('',#2836,1.2E0); +#2838=CARTESIAN_POINT('',(1.049563895E2,8.0391E1,3.111724784545E0)); +#2839=LINE('',#2838,#2837); +#2840=DIRECTION('',(0.E0,0.E0,1.E0)); +#2841=VECTOR('',#2840,1.2E0); +#2842=CARTESIAN_POINT('',(1.033531915E2,7.7851E1,3.111724784545E0)); +#2843=LINE('',#2842,#2841); +#2844=DIRECTION('',(0.E0,0.E0,1.E0)); +#2845=VECTOR('',#2844,1.2E0); +#2846=CARTESIAN_POINT('',(1.049563895E2,7.7851E1,3.111724784545E0)); +#2847=LINE('',#2846,#2845); +#2848=DIRECTION('',(0.E0,0.E0,1.E0)); +#2849=VECTOR('',#2848,1.2E0); +#2850=CARTESIAN_POINT('',(1.033531915E2,7.5311E1,3.111724784545E0)); +#2851=LINE('',#2850,#2849); +#2852=DIRECTION('',(0.E0,0.E0,1.E0)); +#2853=VECTOR('',#2852,1.2E0); +#2854=CARTESIAN_POINT('',(1.049563895E2,7.5311E1,3.111724784545E0)); +#2855=LINE('',#2854,#2853); +#2856=DIRECTION('',(0.E0,0.E0,1.E0)); +#2857=VECTOR('',#2856,1.2E0); +#2858=CARTESIAN_POINT('',(1.033531915E2,7.2771E1,3.111724784545E0)); +#2859=LINE('',#2858,#2857); +#2860=DIRECTION('',(0.E0,0.E0,1.E0)); +#2861=VECTOR('',#2860,1.2E0); +#2862=CARTESIAN_POINT('',(1.049563895E2,7.2771E1,3.111724784545E0)); +#2863=LINE('',#2862,#2861); +#2864=DIRECTION('',(0.E0,0.E0,1.E0)); +#2865=VECTOR('',#2864,1.2E0); +#2866=CARTESIAN_POINT('',(8.04931915E1,1.00711399E2,3.111724784545E0)); +#2867=LINE('',#2866,#2865); +#2868=DIRECTION('',(0.E0,0.E0,1.E0)); +#2869=VECTOR('',#2868,1.2E0); +#2870=CARTESIAN_POINT('',(8.20963895E1,1.00711399E2,3.111724784545E0)); +#2871=LINE('',#2870,#2869); +#2872=DIRECTION('',(0.E0,0.E0,1.E0)); +#2873=VECTOR('',#2872,1.2E0); +#2874=CARTESIAN_POINT('',(8.05931915E1,9.8171399E1,3.111724784545E0)); +#2875=LINE('',#2874,#2873); +#2876=DIRECTION('',(0.E0,0.E0,1.E0)); +#2877=VECTOR('',#2876,1.2E0); +#2878=CARTESIAN_POINT('',(8.19963895E1,9.8171399E1,3.111724784545E0)); +#2879=LINE('',#2878,#2877); +#2880=DIRECTION('',(0.E0,0.E0,1.E0)); +#2881=VECTOR('',#2880,1.2E0); +#2882=CARTESIAN_POINT('',(8.05931915E1,9.5631399E1,3.111724784545E0)); +#2883=LINE('',#2882,#2881); +#2884=DIRECTION('',(0.E0,0.E0,1.E0)); +#2885=VECTOR('',#2884,1.2E0); +#2886=CARTESIAN_POINT('',(8.19963895E1,9.5631399E1,3.111724784545E0)); +#2887=LINE('',#2886,#2885); +#2888=DIRECTION('',(0.E0,0.E0,1.E0)); +#2889=VECTOR('',#2888,1.2E0); +#2890=CARTESIAN_POINT('',(8.05931915E1,9.3091399E1,3.111724784545E0)); +#2891=LINE('',#2890,#2889); +#2892=DIRECTION('',(0.E0,0.E0,1.E0)); +#2893=VECTOR('',#2892,1.2E0); +#2894=CARTESIAN_POINT('',(8.19963895E1,9.3091399E1,3.111724784545E0)); +#2895=LINE('',#2894,#2893); +#2896=DIRECTION('',(0.E0,0.E0,1.E0)); +#2897=VECTOR('',#2896,1.2E0); +#2898=CARTESIAN_POINT('',(8.05931915E1,9.0551399E1,3.111724784545E0)); +#2899=LINE('',#2898,#2897); +#2900=DIRECTION('',(0.E0,0.E0,1.E0)); +#2901=VECTOR('',#2900,1.2E0); +#2902=CARTESIAN_POINT('',(8.19963895E1,9.0551399E1,3.111724784545E0)); +#2903=LINE('',#2902,#2901); +#2904=DIRECTION('',(0.E0,0.E0,1.E0)); +#2905=VECTOR('',#2904,1.2E0); +#2906=CARTESIAN_POINT('',(8.05931915E1,8.8011399E1,3.111724784545E0)); +#2907=LINE('',#2906,#2905); +#2908=DIRECTION('',(0.E0,0.E0,1.E0)); +#2909=VECTOR('',#2908,1.2E0); +#2910=CARTESIAN_POINT('',(8.19963895E1,8.8011399E1,3.111724784545E0)); +#2911=LINE('',#2910,#2909); +#2912=DIRECTION('',(0.E0,0.E0,1.E0)); +#2913=VECTOR('',#2912,1.2E0); +#2914=CARTESIAN_POINT('',(8.05931915E1,8.5471399E1,3.111724784545E0)); +#2915=LINE('',#2914,#2913); +#2916=DIRECTION('',(0.E0,0.E0,1.E0)); +#2917=VECTOR('',#2916,1.2E0); +#2918=CARTESIAN_POINT('',(8.19963895E1,8.5471399E1,3.111724784545E0)); +#2919=LINE('',#2918,#2917); +#2920=DIRECTION('',(0.E0,0.E0,1.E0)); +#2921=VECTOR('',#2920,1.2E0); +#2922=CARTESIAN_POINT('',(8.05931915E1,8.2931399E1,3.111724784545E0)); +#2923=LINE('',#2922,#2921); +#2924=DIRECTION('',(0.E0,0.E0,1.E0)); +#2925=VECTOR('',#2924,1.2E0); +#2926=CARTESIAN_POINT('',(8.19963895E1,8.2931399E1,3.111724784545E0)); +#2927=LINE('',#2926,#2925); +#2928=DIRECTION('',(0.E0,0.E0,1.E0)); +#2929=VECTOR('',#2928,1.2E0); +#2930=CARTESIAN_POINT('',(8.05931915E1,8.0391399E1,3.111724784545E0)); +#2931=LINE('',#2930,#2929); +#2932=DIRECTION('',(0.E0,0.E0,1.E0)); +#2933=VECTOR('',#2932,1.2E0); +#2934=CARTESIAN_POINT('',(8.19963895E1,8.0391399E1,3.111724784545E0)); +#2935=LINE('',#2934,#2933); +#2936=DIRECTION('',(0.E0,0.E0,1.E0)); +#2937=VECTOR('',#2936,1.2E0); +#2938=CARTESIAN_POINT('',(8.05931915E1,7.7851399E1,3.111724784545E0)); +#2939=LINE('',#2938,#2937); +#2940=DIRECTION('',(0.E0,0.E0,1.E0)); +#2941=VECTOR('',#2940,1.2E0); +#2942=CARTESIAN_POINT('',(8.19963895E1,7.7851399E1,3.111724784545E0)); +#2943=LINE('',#2942,#2941); +#2944=DIRECTION('',(0.E0,0.E0,1.E0)); +#2945=VECTOR('',#2944,1.2E0); +#2946=CARTESIAN_POINT('',(8.05931915E1,7.5311399E1,3.111724784545E0)); +#2947=LINE('',#2946,#2945); +#2948=DIRECTION('',(0.E0,0.E0,1.E0)); +#2949=VECTOR('',#2948,1.2E0); +#2950=CARTESIAN_POINT('',(8.19963895E1,7.5311399E1,3.111724784545E0)); +#2951=LINE('',#2950,#2949); +#2952=DIRECTION('',(0.E0,0.E0,1.E0)); +#2953=VECTOR('',#2952,1.2E0); +#2954=CARTESIAN_POINT('',(8.05931915E1,7.2771399E1,3.111724784545E0)); +#2955=LINE('',#2954,#2953); +#2956=DIRECTION('',(0.E0,0.E0,1.E0)); +#2957=VECTOR('',#2956,1.2E0); +#2958=CARTESIAN_POINT('',(8.19963895E1,7.2771399E1,3.111724784545E0)); +#2959=LINE('',#2958,#2957); +#2960=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2961=VECTOR('',#2960,5.E-1); +#2962=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,3.111724784545E0)); +#2963=LINE('',#2962,#2961); +#2964=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2965=VECTOR('',#2964,5.E-1); +#2966=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,3.111724784545E0)); +#2967=LINE('',#2966,#2965); +#2968=DIRECTION('',(0.E0,1.E0,0.E0)); +#2969=VECTOR('',#2968,1.622658E0); +#2970=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,2.111724784545E0)); +#2971=LINE('',#2970,#2969); +#2972=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2973=VECTOR('',#2972,6.E-1); +#2974=CARTESIAN_POINT('',(8.348498713377E1,8.3772858E1,2.111724784545E0)); +#2975=LINE('',#2974,#2973); +#2976=DIRECTION('',(0.E0,1.E0,0.E0)); +#2977=VECTOR('',#2976,1.622658E0); +#2978=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,1.511724784545E0)); +#2979=LINE('',#2978,#2977); +#2980=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2981=VECTOR('',#2980,4.E-1); +#2982=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,1.511724784545E0)); +#2983=LINE('',#2982,#2981); +#2984=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2985=VECTOR('',#2984,5.E-1); +#2986=CARTESIAN_POINT('',(8.348498713377E1,8.5605734E1,3.111724784545E0)); +#2987=LINE('',#2986,#2985); +#2988=DIRECTION('',(0.E0,1.E0,0.E0)); +#2989=VECTOR('',#2988,1.703197E0); +#2990=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,2.611724784545E0)); +#2991=LINE('',#2990,#2989); +#2992=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2993=VECTOR('',#2992,5.E-1); +#2994=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,3.111724784545E0)); +#2995=LINE('',#2994,#2993); +#2996=DIRECTION('',(0.E0,0.E0,-1.E0)); +#2997=VECTOR('',#2996,1.E0); +#2998=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,3.111724784545E0)); +#2999=LINE('',#2998,#2997); +#3000=DIRECTION('',(1.E0,0.E0,0.E0)); +#3001=VECTOR('',#3000,4.902868662327E-1); +#3002=CARTESIAN_POINT('',(1.019849871338E2,8.3772858E1,2.111724784545E0)); +#3003=LINE('',#3002,#3001); +#3004=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3005=VECTOR('',#3004,2.168906E0); +#3006=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,2.111724784545E0)); +#3007=LINE('',#3006,#3005); +#3008=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3009=VECTOR('',#3008,1.9400012E1); +#3010=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,2.111724784545E0)); +#3011=LINE('',#3010,#3009); +#3012=DIRECTION('',(0.E0,1.E0,0.E0)); +#3013=VECTOR('',#3012,2.168906E0); +#3014=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,2.111724784545E0)); +#3015=LINE('',#3014,#3013); +#3016=DIRECTION('',(1.E0,0.E0,0.E0)); +#3017=VECTOR('',#3016,4.097251337673E-1); +#3018=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,2.111724784545E0)); +#3019=LINE('',#3018,#3017); +#3020=DIRECTION('',(0.E0,1.E0,0.E0)); +#3021=VECTOR('',#3020,1.622658E0); +#3022=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,2.111724784545E0)); +#3023=LINE('',#3022,#3021); +#3024=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3025=VECTOR('',#3024,5.E-1); +#3026=CARTESIAN_POINT('',(1.019849871338E2,8.4002435E1,3.111724784545E0)); +#3027=LINE('',#3026,#3025); +#3028=DIRECTION('',(0.E0,1.E0,0.E0)); +#3029=VECTOR('',#3028,1.503198E0); +#3030=CARTESIAN_POINT('',(1.019849871338E2,8.4002435E1,2.611724784545E0)); +#3031=LINE('',#3030,#3029); +#3032=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3033=VECTOR('',#3032,5.E-1); +#3034=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,3.111724784545E0)); +#3035=LINE('',#3034,#3033); +#3036=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3037=VECTOR('',#3036,2.E0); +#3038=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,3.111724784545E0)); +#3039=LINE('',#3038,#3037); +#3040=DIRECTION('',(0.E0,1.E0,0.E0)); +#3041=VECTOR('',#3040,1.622658E0); +#3042=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.511724784545E0)); +#3043=LINE('',#3042,#3041); +#3044=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3045=VECTOR('',#3044,6.E-1); +#3046=CARTESIAN_POINT('',(1.019849871338E2,8.3772858E1,2.111724784545E0)); +#3047=LINE('',#3046,#3045); +#3048=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3049=VECTOR('',#3048,1.85E1); +#3050=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,2.111724784545E0)); +#3051=LINE('',#3050,#3049); +#3052=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3053=VECTOR('',#3052,1.E0); +#3054=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,3.111724784545E0)); +#3055=LINE('',#3054,#3053); +#3056=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3057=VECTOR('',#3056,1.85E1); +#3058=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.511724784545E0)); +#3059=LINE('',#3058,#3057); +#3060=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3061=VECTOR('',#3060,4.E-1); +#3062=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.511724784545E0)); +#3063=LINE('',#3062,#3061); +#3064=DIRECTION('',(1.E0,0.E0,0.E0)); +#3065=VECTOR('',#3064,4.097251337673E-1); +#3066=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,1.511724784545E0)); +#3067=LINE('',#3066,#3065); +#3068=DIRECTION('',(0.E0,1.E0,0.E0)); +#3069=VECTOR('',#3068,2.168906E0); +#3070=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,1.511724784545E0)); +#3071=LINE('',#3070,#3069); +#3072=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3073=VECTOR('',#3072,1.9400012E1); +#3074=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,1.511724784545E0)); +#3075=LINE('',#3074,#3073); +#3076=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3077=VECTOR('',#3076,2.168906E0); +#3078=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,1.511724784545E0)); +#3079=LINE('',#3078,#3077); +#3080=DIRECTION('',(1.E0,0.E0,0.E0)); +#3081=VECTOR('',#3080,4.902868662327E-1); +#3082=CARTESIAN_POINT('',(1.019849871338E2,8.3772858E1,1.511724784545E0)); +#3083=LINE('',#3082,#3081); +#3084=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3085=VECTOR('',#3084,6.E-1); +#3086=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,2.111724784545E0)); +#3087=LINE('',#3086,#3085); +#3088=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3089=VECTOR('',#3088,6.E-1); +#3090=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,2.111724784545E0)); +#3091=LINE('',#3090,#3089); +#3092=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3093=VECTOR('',#3092,6.E-1); +#3094=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,2.111724784545E0)); +#3095=LINE('',#3094,#3093); +#3096=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3097=VECTOR('',#3096,6.E-1); +#3098=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,2.111724784545E0)); +#3099=LINE('',#3098,#3097); +#3100=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3101=VECTOR('',#3100,5.8E0); +#3102=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,1.111724784545E0)); +#3103=LINE('',#3102,#3101); +#3104=DIRECTION('',(1.E0,0.E0,0.E0)); +#3105=VECTOR('',#3104,1.85E1); +#3106=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,1.111724784545E0)); +#3107=LINE('',#3106,#3105); +#3108=DIRECTION('',(0.E0,1.E0,0.E0)); +#3109=VECTOR('',#3108,5.8E0); +#3110=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,1.111724784545E0)); +#3111=LINE('',#3110,#3109); +#3112=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3113=VECTOR('',#3112,1.85E1); +#3114=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.111724784545E0)); +#3115=LINE('',#3114,#3113); +#3116=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3117=VECTOR('',#3116,2.E0); +#3118=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,3.111724784545E0)); +#3119=LINE('',#3118,#3117); +#3120=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3121=VECTOR('',#3120,5.E-1); +#3122=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,3.111724784545E0)); +#3123=LINE('',#3122,#3121); +#3124=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3125=VECTOR('',#3124,5.E-1); +#3126=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,3.111724784545E0)); +#3127=LINE('',#3126,#3125); +#3128=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3129=VECTOR('',#3128,8.416958662327E-1); +#3130=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,2.611724784545E0)); +#3131=LINE('',#3130,#3129); +#3132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3133=VECTOR('',#3132,1.503198E0); +#3134=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,2.611724784545E0)); +#3135=LINE('',#3134,#3133); +#3136=DIRECTION('',(1.E0,0.E0,0.E0)); +#3137=VECTOR('',#3136,8.416958662327E-1); +#3138=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,2.611724784545E0)); +#3139=LINE('',#3138,#3137); +#3140=DIRECTION('',(1.E0,0.E0,0.E0)); +#3141=VECTOR('',#3140,7.613631337673E-1); +#3142=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,2.611724784545E0)); +#3143=LINE('',#3142,#3141); +#3144=DIRECTION('',(0.E0,1.E0,0.E0)); +#3145=VECTOR('',#3144,1.703197E0); +#3146=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,2.611724784545E0)); +#3147=LINE('',#3146,#3145); +#3148=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3149=VECTOR('',#3148,7.613631337673E-1); +#3150=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,2.611724784545E0)); +#3151=LINE('',#3150,#3149); +#3152=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3153=VECTOR('',#3152,3.E-1); +#3154=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,3.111724784545E0)); +#3155=LINE('',#3154,#3153); +#3156=DIRECTION('',(0.E0,9.870188906415E-14,-1.E0)); +#3157=VECTOR('',#3156,7.198876764135E-2); +#3158=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.111724784545E0)); +#3159=LINE('',#3158,#3157); +#3160=DIRECTION('',(0.E0,9.870236536546E-14,-1.E0)); +#3161=VECTOR('',#3160,7.198842025004E-2); +#3162=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.111724784545E0)); +#3163=LINE('',#3162,#3161); +#3164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3165=VECTOR('',#3164,3.E-1); +#3166=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,3.111724784545E0)); +#3167=LINE('',#3166,#3165); +#3168=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3169=VECTOR('',#3168,8.77007E-1); +#3170=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,2.811724784545E0)); +#3171=LINE('',#3170,#3169); +#3172=DIRECTION('',(1.E0,0.E0,0.E0)); +#3173=VECTOR('',#3172,7.203201E0); +#3174=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,2.811724784545E0)); +#3175=LINE('',#3174,#3173); +#3176=DIRECTION('',(0.E0,1.E0,0.E0)); +#3177=VECTOR('',#3176,8.77007E-1); +#3178=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,2.811724784545E0)); +#3179=LINE('',#3178,#3177); +#3180=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3181=VECTOR('',#3180,7.203201E0); +#3182=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,2.811724784545E0)); +#3183=LINE('',#3182,#3181); +#3184=DIRECTION('',(0.E0,-3.116261985912E-14,-1.E0)); +#3185=VECTOR('',#3184,2.280112323587E-1); +#3186=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.039736016904E0)); +#3187=LINE('',#3186,#3185); +#3188=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,1.811724784545E0)); +#3189=DIRECTION('',(0.E0,1.E0,0.E0)); +#3190=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3191=AXIS2_PLACEMENT_3D('',#3188,#3189,#3190); +#3193=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3194=VECTOR('',#3193,5.6E-1); +#3195=CARTESIAN_POINT('',(8.8122392E1,5.5067992E1,1.811724784545E0)); +#3196=LINE('',#3195,#3194); +#3197=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,1.251724784545E0)); +#3198=DIRECTION('',(0.E0,1.E0,0.E0)); +#3199=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3200=AXIS2_PLACEMENT_3D('',#3197,#3198,#3199); +#3202=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,1.251724784545E0)); +#3203=DIRECTION('',(0.E0,1.E0,0.E0)); +#3204=DIRECTION('',(1.E0,0.E0,-1.041901607725E-14)); +#3205=AXIS2_PLACEMENT_3D('',#3202,#3203,#3204); +#3207=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,1.811724784545E0)); +#3208=DIRECTION('',(0.E0,1.E0,0.E0)); +#3209=DIRECTION('',(3.281538461539E-1,0.E0,9.446242921154E-1)); +#3210=AXIS2_PLACEMENT_3D('',#3207,#3208,#3209); +#3212=DIRECTION('',(0.E0,-3.116257238072E-14,-1.E0)); +#3213=VECTOR('',#3212,2.280115797500E-1); +#3214=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.039736364295E0)); +#3215=LINE('',#3214,#3213); +#3216=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,1.811724784545E0)); +#3217=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3218=DIRECTION('',(0.E0,0.E0,1.E0)); +#3219=AXIS2_PLACEMENT_3D('',#3216,#3217,#3218); +#3221=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,1.811724784545E0)); +#3222=DIRECTION('',(0.E0,1.E0,0.E0)); +#3223=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3224=AXIS2_PLACEMENT_3D('',#3221,#3222,#3223); +#3226=DIRECTION('',(0.E0,1.E0,0.E0)); +#3227=VECTOR('',#3226,1.2E0); +#3228=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,3.111724784545E0)); +#3229=LINE('',#3228,#3227); +#3230=DIRECTION('',(1.E0,0.E0,0.E0)); +#3231=VECTOR('',#3230,6.35E0); +#3232=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,3.111724784545E0)); +#3233=LINE('',#3232,#3231); +#3234=DIRECTION('',(3.552713678800E-14,-1.E0,0.E0)); +#3235=VECTOR('',#3234,1.2E0); +#3236=CARTESIAN_POINT('',(8.9422392E1,4.8767992E1,3.111724784545E0)); +#3237=LINE('',#3236,#3235); +#3238=DIRECTION('',(1.E0,0.E0,0.E0)); +#3239=VECTOR('',#3238,6.35E0); +#3240=CARTESIAN_POINT('',(8.9422392E1,4.8767992E1,3.111724784545E0)); +#3241=LINE('',#3240,#3239); +#3242=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3243=VECTOR('',#3242,1.2E0); +#3244=CARTESIAN_POINT('',(8.100624038897E1,4.8767992E1,4.311724784545E0)); +#3245=LINE('',#3244,#3243); +#3246=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3247=VECTOR('',#3246,1.2E0); +#3248=CARTESIAN_POINT('',(1.044751390308E2,4.8767992E1,4.311724784545E0)); +#3249=LINE('',#3248,#3247); +#3250=DIRECTION('',(0.E0,1.184237892934E-14,-1.E0)); +#3251=VECTOR('',#3250,1.2E0); +#3252=CARTESIAN_POINT('',(7.998266041947E1,1.085497988574E2,4.311724784545E0)); +#3253=LINE('',#3252,#3251); +#3254=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3255=VECTOR('',#3254,1.2E0); +#3256=CARTESIAN_POINT('',(8.000624046943E1,4.976759085739E1,4.311724784545E0)); +#3257=LINE('',#3256,#3255); +#3258=DIRECTION('',(0.E0,2.368475785867E-14,-1.E0)); +#3259=VECTOR('',#3258,1.2E0); +#3260=CARTESIAN_POINT('',(1.054878624972E2,1.085499835486E2,4.311724784545E0)); +#3261=LINE('',#3260,#3259); +#3262=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3263=VECTOR('',#3262,1.2E0); +#3264=CARTESIAN_POINT('',(1.054751390074E2,4.976777554863E1,4.311724784545E0)); +#3265=LINE('',#3264,#3263); +#3266=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3267=VECTOR('',#3266,1.2E0); +#3268=CARTESIAN_POINT('',(8.098266033901E1,1.095502E2,4.311724784545E0)); +#3269=LINE('',#3268,#3267); +#3270=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3271=VECTOR('',#3270,1.2E0); +#3272=CARTESIAN_POINT('',(1.044878625206E2,1.095502E2,4.311724784545E0)); +#3273=LINE('',#3272,#3271); +#3274=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,1.811724784545E0)); +#3275=DIRECTION('',(0.E0,1.E0,0.E0)); +#3276=DIRECTION('',(0.E0,0.E0,1.E0)); +#3277=AXIS2_PLACEMENT_3D('',#3274,#3275,#3276); +#3279=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,1.811724784545E0)); +#3280=DIRECTION('',(0.E0,1.E0,0.E0)); +#3281=DIRECTION('',(0.E0,0.E0,1.E0)); +#3282=AXIS2_PLACEMENT_3D('',#3279,#3280,#3281); +#3284=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3285=VECTOR('',#3284,5.6E-1); +#3286=CARTESIAN_POINT('',(9.7072392E1,4.7567992E1,1.811724784545E0)); +#3287=LINE('',#3286,#3285); +#3288=DIRECTION('',(0.E0,1.E0,0.E0)); +#3289=VECTOR('',#3288,7.5E0); +#3290=CARTESIAN_POINT('',(9.7072392E1,4.7567992E1,1.811724784545E0)); +#3291=LINE('',#3290,#3289); +#3292=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3293=VECTOR('',#3292,5.6E-1); +#3294=CARTESIAN_POINT('',(9.7072392E1,5.5067992E1,1.811724784545E0)); +#3295=LINE('',#3294,#3293); +#3296=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3297=VECTOR('',#3296,7.5E0); +#3298=CARTESIAN_POINT('',(9.7072392E1,5.5067992E1,1.251724784545E0)); +#3299=LINE('',#3298,#3297); +#3300=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,1.251724784545E0)); +#3301=DIRECTION('',(0.E0,1.E0,0.E0)); +#3302=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3303=AXIS2_PLACEMENT_3D('',#3300,#3301,#3302); +#3305=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3306=VECTOR('',#3305,6.35E0); +#3307=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,-4.827521545509E-2)); +#3308=LINE('',#3307,#3306); +#3309=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3310=VECTOR('',#3309,7.5E0); +#3311=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,-4.827521545509E-2)); +#3312=LINE('',#3311,#3310); +#3313=DIRECTION('',(1.E0,0.E0,0.E0)); +#3314=VECTOR('',#3313,6.35E0); +#3315=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,-4.827521545509E-2)); +#3316=LINE('',#3315,#3314); +#3317=DIRECTION('',(0.E0,1.E0,0.E0)); +#3318=VECTOR('',#3317,7.5E0); +#3319=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,-4.827521545509E-2)); +#3320=LINE('',#3319,#3318); +#3321=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,1.251724784545E0)); +#3322=DIRECTION('',(0.E0,1.E0,0.E0)); +#3323=DIRECTION('',(1.E0,0.E0,0.E0)); +#3324=AXIS2_PLACEMENT_3D('',#3321,#3322,#3323); +#3326=DIRECTION('',(0.E0,0.E0,1.E0)); +#3327=VECTOR('',#3326,5.6E-1); +#3328=CARTESIAN_POINT('',(8.8122392E1,4.7567992E1,1.251724784545E0)); +#3329=LINE('',#3328,#3327); +#3330=DIRECTION('',(0.E0,1.E0,0.E0)); +#3331=VECTOR('',#3330,7.5E0); +#3332=CARTESIAN_POINT('',(8.8122392E1,4.7567992E1,1.251724784545E0)); +#3333=LINE('',#3332,#3331); +#3334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3335=VECTOR('',#3334,7.5E0); +#3336=CARTESIAN_POINT('',(8.8122392E1,5.5067992E1,1.811724784545E0)); +#3337=LINE('',#3336,#3335); +#3338=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,1.811724784545E0)); +#3339=DIRECTION('',(0.E0,1.E0,0.E0)); +#3340=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3341=AXIS2_PLACEMENT_3D('',#3338,#3339,#3340); +#3343=DIRECTION('',(1.E0,0.E0,0.E0)); +#3344=VECTOR('',#3343,6.35E0); +#3345=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,2.811724784545E0)); +#3346=LINE('',#3345,#3344); +#3347=DIRECTION('',(0.E0,1.E0,0.E0)); +#3348=VECTOR('',#3347,7.2E0); +#3349=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,2.811724784545E0)); +#3350=LINE('',#3349,#3348); +#3351=DIRECTION('',(1.E0,0.E0,0.E0)); +#3352=VECTOR('',#3351,6.35E0); +#3353=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,2.811724784545E0)); +#3354=LINE('',#3353,#3352); +#3355=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3356=VECTOR('',#3355,7.2E0); +#3357=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,2.811724784545E0)); +#3358=LINE('',#3357,#3356); +#3359=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,1.811724784545E0)); +#3360=DIRECTION('',(0.E0,1.E0,0.E0)); +#3361=DIRECTION('',(0.E0,0.E0,1.E0)); +#3362=AXIS2_PLACEMENT_3D('',#3359,#3360,#3361); +#3364=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3365=VECTOR('',#3364,5.6E-1); +#3366=CARTESIAN_POINT('',(9.6772392E1,4.7567992E1,1.811724784545E0)); +#3367=LINE('',#3366,#3365); +#3368=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3369=VECTOR('',#3368,7.2E0); +#3370=CARTESIAN_POINT('',(9.6772392E1,5.4767992E1,1.251724784545E0)); +#3371=LINE('',#3370,#3369); +#3372=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3373=VECTOR('',#3372,5.6E-1); +#3374=CARTESIAN_POINT('',(9.6772392E1,5.4767992E1,1.811724784545E0)); +#3375=LINE('',#3374,#3373); +#3376=DIRECTION('',(0.E0,1.E0,0.E0)); +#3377=VECTOR('',#3376,7.2E0); +#3378=CARTESIAN_POINT('',(9.6772392E1,4.7567992E1,1.811724784545E0)); +#3379=LINE('',#3378,#3377); +#3380=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,1.251724784545E0)); +#3381=DIRECTION('',(0.E0,1.E0,0.E0)); +#3382=DIRECTION('',(1.E0,0.E0,0.E0)); +#3383=AXIS2_PLACEMENT_3D('',#3380,#3381,#3382); +#3385=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3386=VECTOR('',#3385,6.35E0); +#3387=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,2.517247845449E-1)); +#3388=LINE('',#3387,#3386); +#3389=DIRECTION('',(0.E0,1.E0,0.E0)); +#3390=VECTOR('',#3389,7.2E0); +#3391=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,2.517247845449E-1)); +#3392=LINE('',#3391,#3390); +#3393=DIRECTION('',(1.E0,0.E0,0.E0)); +#3394=VECTOR('',#3393,6.35E0); +#3395=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,2.517247845449E-1)); +#3396=LINE('',#3395,#3394); +#3397=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3398=VECTOR('',#3397,7.2E0); +#3399=CARTESIAN_POINT('',(9.5772392E1,5.4767992E1,2.517247845449E-1)); +#3400=LINE('',#3399,#3398); +#3401=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,1.251724784545E0)); +#3402=DIRECTION('',(0.E0,1.E0,0.E0)); +#3403=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3404=AXIS2_PLACEMENT_3D('',#3401,#3402,#3403); +#3406=DIRECTION('',(0.E0,0.E0,1.E0)); +#3407=VECTOR('',#3406,5.6E-1); +#3408=CARTESIAN_POINT('',(8.8422392E1,4.7567992E1,1.251724784545E0)); +#3409=LINE('',#3408,#3407); +#3410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3411=VECTOR('',#3410,7.2E0); +#3412=CARTESIAN_POINT('',(8.8422392E1,5.4767992E1,1.811724784545E0)); +#3413=LINE('',#3412,#3411); +#3414=DIRECTION('',(0.E0,1.E0,0.E0)); +#3415=VECTOR('',#3414,7.2E0); +#3416=CARTESIAN_POINT('',(8.8422392E1,4.7567992E1,1.251724784545E0)); +#3417=LINE('',#3416,#3415); +#3418=CARTESIAN_POINT('',(9.5772392E1,5.4767992E1,1.251724784545E0)); +#3419=DIRECTION('',(0.E0,1.E0,0.E0)); +#3420=DIRECTION('',(1.E0,0.E0,0.E0)); +#3421=AXIS2_PLACEMENT_3D('',#3418,#3419,#3420); +#3423=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,1.251724784545E0)); +#3424=DIRECTION('',(0.E0,1.E0,0.E0)); +#3425=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3426=AXIS2_PLACEMENT_3D('',#3423,#3424,#3425); +#3428=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3429=VECTOR('',#3428,5.6E-1); +#3430=CARTESIAN_POINT('',(8.8422392E1,5.4767992E1,1.811724784545E0)); +#3431=LINE('',#3430,#3429); +#3432=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,1.811724784545E0)); +#3433=DIRECTION('',(0.E0,1.E0,0.E0)); +#3434=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3435=AXIS2_PLACEMENT_3D('',#3432,#3433,#3434); +#3437=CARTESIAN_POINT('',(9.5772392E1,5.4767992E1,1.811724784545E0)); +#3438=DIRECTION('',(0.E0,1.E0,0.E0)); +#3439=DIRECTION('',(0.E0,0.E0,1.E0)); +#3440=AXIS2_PLACEMENT_3D('',#3437,#3438,#3439); +#3442=DIRECTION('',(0.E0,1.E0,0.E0)); +#3443=VECTOR('',#3442,3.35E0); +#3444=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,1.611724784545E0)); +#3445=LINE('',#3444,#3443); +#3446=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3447=VECTOR('',#3446,1.5E0); +#3448=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,3.111724784545E0)); +#3449=LINE('',#3448,#3447); +#3450=CARTESIAN_POINT('',(8.30967915E1,5.10872005E1,1.611724784545E0)); +#3451=DIRECTION('',(0.E0,0.E0,1.E0)); +#3452=DIRECTION('',(4.060244204343E-14,1.E0,0.E0)); +#3453=AXIS2_PLACEMENT_3D('',#3450,#3451,#3452); +#3455=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3456=VECTOR('',#3455,6.999999999999E-1); +#3457=CARTESIAN_POINT('',(8.37967915E1,5.21372005E1,1.611724784545E0)); +#3458=LINE('',#3457,#3456); +#3459=CARTESIAN_POINT('',(8.37967915E1,5.10872005E1,1.611724784545E0)); +#3460=DIRECTION('',(0.E0,0.E0,1.E0)); +#3461=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3462=AXIS2_PLACEMENT_3D('',#3459,#3460,#3461); +#3464=DIRECTION('',(1.E0,0.E0,0.E0)); +#3465=VECTOR('',#3464,6.999999999999E-1); +#3466=CARTESIAN_POINT('',(8.30967915E1,5.00372005E1,1.611724784545E0)); +#3467=LINE('',#3466,#3465); +#3468=CARTESIAN_POINT('',(1.01194792E2,5.09699995E1,1.611724784545E0)); +#3469=DIRECTION('',(0.E0,0.E0,1.E0)); +#3470=DIRECTION('',(0.E0,1.E0,0.E0)); +#3471=AXIS2_PLACEMENT_3D('',#3468,#3469,#3470); +#3473=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3474=VECTOR('',#3473,6.999999999999E-1); +#3475=CARTESIAN_POINT('',(1.01894792E2,5.20199995E1,1.611724784545E0)); +#3476=LINE('',#3475,#3474); +#3477=CARTESIAN_POINT('',(1.01894792E2,5.09699995E1,1.611724784545E0)); +#3478=DIRECTION('',(0.E0,0.E0,1.E0)); +#3479=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3480=AXIS2_PLACEMENT_3D('',#3477,#3478,#3479); +#3482=DIRECTION('',(1.E0,0.E0,0.E0)); +#3483=VECTOR('',#3482,6.999999999999E-1); +#3484=CARTESIAN_POINT('',(1.01194792E2,4.99199995E1,1.611724784545E0)); +#3485=LINE('',#3484,#3483); +#3486=CARTESIAN_POINT('',(8.30967915E1,5.10872005E1,9.117247845449E-1)); +#3487=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3488=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3489=AXIS2_PLACEMENT_3D('',#3486,#3487,#3488); +#3491=DIRECTION('',(-3.639602904773E-10,-1.015061051086E-14,1.E0)); +#3492=VECTOR('',#3491,7.E-1); +#3493=CARTESIAN_POINT('',(8.309679150025E1,5.21372005E1,9.117247845449E-1)); +#3494=LINE('',#3493,#3492); +#3495=CARTESIAN_POINT('',(8.30967915E1,5.03372005E1,9.117247845449E-1)); +#3496=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3497=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3498=AXIS2_PLACEMENT_3D('',#3495,#3496,#3497); +#3500=DIRECTION('',(-1.E0,-2.030122102172E-14,0.E0)); +#3501=VECTOR('',#3500,6.999999999999E-1); +#3502=CARTESIAN_POINT('',(1.01894792E2,5.02199995E1,6.117247845449E-1)); +#3503=LINE('',#3502,#3501); +#3504=CARTESIAN_POINT('',(1.01894792E2,5.09699995E1,6.117247845449E-1)); +#3505=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3506=DIRECTION('',(0.E0,1.E0,0.E0)); +#3507=AXIS2_PLACEMENT_3D('',#3504,#3505,#3506); +#3509=DIRECTION('',(1.E0,3.045183153258E-14,0.E0)); +#3510=VECTOR('',#3509,7.E-1); +#3511=CARTESIAN_POINT('',(1.01194792E2,5.17199995E1,6.117247845449E-1)); +#3512=LINE('',#3511,#3510); +#3513=CARTESIAN_POINT('',(1.01194792E2,5.09699995E1,6.117247845449E-1)); +#3514=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3515=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3516=AXIS2_PLACEMENT_3D('',#3513,#3514,#3515); +#3518=DIRECTION('',(-1.E0,-3.045183153258E-14,0.E0)); +#3519=VECTOR('',#3518,6.999999999999E-1); +#3520=CARTESIAN_POINT('',(8.37967915E1,5.03372005E1,6.117247845449E-1)); +#3521=LINE('',#3520,#3519); +#3522=CARTESIAN_POINT('',(8.37967915E1,5.10872005E1,6.117247845449E-1)); +#3523=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3524=DIRECTION('',(0.E0,1.E0,0.E0)); +#3525=AXIS2_PLACEMENT_3D('',#3522,#3523,#3524); +#3527=DIRECTION('',(1.E0,3.045183153258E-14,0.E0)); +#3528=VECTOR('',#3527,6.999999999999E-1); +#3529=CARTESIAN_POINT('',(8.30967915E1,5.18372005E1,6.117247845449E-1)); +#3530=LINE('',#3529,#3528); +#3531=CARTESIAN_POINT('',(8.30967915E1,5.10872005E1,6.117247845449E-1)); +#3532=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3533=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3534=AXIS2_PLACEMENT_3D('',#3531,#3532,#3533); +#3536=CARTESIAN_POINT('',(1.01894792E2,5.02199995E1,9.117247845449E-1)); +#3537=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3538=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3539=AXIS2_PLACEMENT_3D('',#3536,#3537,#3538); +#3541=CARTESIAN_POINT('',(1.01194792E2,5.02199995E1,9.117247845449E-1)); +#3542=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3543=DIRECTION('',(0.E0,2.368475785867E-14,-1.E0)); +#3544=AXIS2_PLACEMENT_3D('',#3541,#3542,#3543); +#3546=CARTESIAN_POINT('',(1.01194792E2,5.17199995E1,9.117247845449E-1)); +#3547=DIRECTION('',(1.E0,0.E0,0.E0)); +#3548=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3549=AXIS2_PLACEMENT_3D('',#3546,#3547,#3548); +#3551=CARTESIAN_POINT('',(1.01894792E2,5.17199995E1,9.117247845449E-1)); +#3552=DIRECTION('',(1.E0,0.E0,0.E0)); +#3553=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3554=AXIS2_PLACEMENT_3D('',#3551,#3552,#3553); +#3556=CARTESIAN_POINT('',(1.01894792E2,5.09699995E1,9.117247845449E-1)); +#3557=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3558=DIRECTION('',(0.E0,1.E0,0.E0)); +#3559=AXIS2_PLACEMENT_3D('',#3556,#3557,#3558); +#3561=DIRECTION('',(3.639602904773E-10,-1.015061051086E-14,1.E0)); +#3562=VECTOR('',#3561,7.E-1); +#3563=CARTESIAN_POINT('',(1.018947919997E2,4.99199995E1,9.117247845449E-1)); +#3564=LINE('',#3563,#3562); +#3565=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3566=VECTOR('',#3565,6.999999977315E-1); +#3567=CARTESIAN_POINT('',(1.018947919997E2,4.99199995E1,9.117247845449E-1)); +#3568=LINE('',#3567,#3566); +#3569=DIRECTION('',(-2.876703319998E-9,-1.015061051086E-14,1.E0)); +#3570=VECTOR('',#3569,7.E-1); +#3571=CARTESIAN_POINT('',(1.011947920020E2,4.99199995E1,9.117247845449E-1)); +#3572=LINE('',#3571,#3570); +#3573=CARTESIAN_POINT('',(1.01194792E2,5.09699995E1,9.117247845449E-1)); +#3574=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3575=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3576=AXIS2_PLACEMENT_3D('',#3573,#3574,#3575); +#3578=DIRECTION('',(-3.639602904773E-10,0.E0,1.E0)); +#3579=VECTOR('',#3578,7.E-1); +#3580=CARTESIAN_POINT('',(1.011947920003E2,5.20199995E1,9.117247845449E-1)); +#3581=LINE('',#3580,#3579); +#3582=DIRECTION('',(1.E0,0.E0,0.E0)); +#3583=VECTOR('',#3582,6.999999977315E-1); +#3584=CARTESIAN_POINT('',(1.011947920003E2,5.20199995E1,9.117247845449E-1)); +#3585=LINE('',#3584,#3583); +#3586=DIRECTION('',(2.876703319998E-9,0.E0,1.E0)); +#3587=VECTOR('',#3586,7.E-1); +#3588=CARTESIAN_POINT('',(1.018947919980E2,5.20199995E1,9.117247845449E-1)); +#3589=LINE('',#3588,#3587); +#3590=CARTESIAN_POINT('',(8.37967915E1,5.03372005E1,9.117247845449E-1)); +#3591=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3592=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3593=AXIS2_PLACEMENT_3D('',#3590,#3591,#3592); +#3595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3596=VECTOR('',#3595,6.999999977315E-1); +#3597=CARTESIAN_POINT('',(8.379679149975E1,5.00372005E1,9.117247845449E-1)); +#3598=LINE('',#3597,#3596); +#3599=DIRECTION('',(-2.876703319998E-9,0.E0,1.E0)); +#3600=VECTOR('',#3599,7.E-1); +#3601=CARTESIAN_POINT('',(8.309679150201E1,5.00372005E1,9.117247845449E-1)); +#3602=LINE('',#3601,#3600); +#3603=CARTESIAN_POINT('',(8.37967915E1,5.10872005E1,9.117247845449E-1)); +#3604=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3605=DIRECTION('',(0.E0,1.E0,0.E0)); +#3606=AXIS2_PLACEMENT_3D('',#3603,#3604,#3605); +#3608=DIRECTION('',(3.639602904773E-10,0.E0,1.E0)); +#3609=VECTOR('',#3608,7.E-1); +#3610=CARTESIAN_POINT('',(8.379679149975E1,5.00372005E1,9.117247845449E-1)); +#3611=LINE('',#3610,#3609); +#3612=CARTESIAN_POINT('',(8.37967915E1,5.18372005E1,9.117247845449E-1)); +#3613=DIRECTION('',(1.E0,0.E0,0.E0)); +#3614=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3615=AXIS2_PLACEMENT_3D('',#3612,#3613,#3614); +#3617=CARTESIAN_POINT('',(8.30967915E1,5.18372005E1,9.117247845449E-1)); +#3618=DIRECTION('',(1.E0,0.E0,0.E0)); +#3619=DIRECTION('',(0.E0,-2.368475785867E-14,-1.E0)); +#3620=AXIS2_PLACEMENT_3D('',#3617,#3618,#3619); +#3622=DIRECTION('',(1.E0,0.E0,0.E0)); +#3623=VECTOR('',#3622,6.999999977315E-1); +#3624=CARTESIAN_POINT('',(8.309679150025E1,5.21372005E1,9.117247845449E-1)); +#3625=LINE('',#3624,#3623); +#3626=DIRECTION('',(2.876662717556E-9,-1.015061051086E-14,1.E0)); +#3627=VECTOR('',#3626,7.E-1); +#3628=CARTESIAN_POINT('',(8.379679149799E1,5.21372005E1,9.117247845449E-1)); +#3629=LINE('',#3628,#3627); +#3630=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3631=VECTOR('',#3630,4.2E0); +#3632=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,1.611724784545E0)); +#3633=LINE('',#3632,#3631); +#3634=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3635=VECTOR('',#3634,1.5E0); +#3636=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,3.111724784545E0)); +#3637=LINE('',#3636,#3635); +#3638=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3639=VECTOR('',#3638,3.35E0); +#3640=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,1.611724784545E0)); +#3641=LINE('',#3640,#3639); +#3642=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3643=VECTOR('',#3642,1.5E0); +#3644=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,3.111724784545E0)); +#3645=LINE('',#3644,#3643); +#3646=DIRECTION('',(1.E0,0.E0,0.E0)); +#3647=VECTOR('',#3646,4.2E0); +#3648=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,1.611724784545E0)); +#3649=LINE('',#3648,#3647); +#3650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3651=VECTOR('',#3650,1.5E0); +#3652=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,3.111724784545E0)); +#3653=LINE('',#3652,#3651); +#3654=DIRECTION('',(0.E0,1.E0,0.E0)); +#3655=VECTOR('',#3654,3.35E0); +#3656=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,1.611724784545E0)); +#3657=LINE('',#3656,#3655); +#3658=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3659=VECTOR('',#3658,1.5E0); +#3660=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,3.111724784545E0)); +#3661=LINE('',#3660,#3659); +#3662=DIRECTION('',(1.E0,0.E0,0.E0)); +#3663=VECTOR('',#3662,4.2E0); +#3664=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,1.611724784545E0)); +#3665=LINE('',#3664,#3663); +#3666=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3667=VECTOR('',#3666,1.5E0); +#3668=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,3.111724784545E0)); +#3669=LINE('',#3668,#3667); +#3670=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3671=VECTOR('',#3670,3.35E0); +#3672=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,1.611724784545E0)); +#3673=LINE('',#3672,#3671); +#3674=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3675=VECTOR('',#3674,1.5E0); +#3676=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,3.111724784545E0)); +#3677=LINE('',#3676,#3675); +#3678=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3679=VECTOR('',#3678,4.2E0); +#3680=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,1.611724784545E0)); +#3681=LINE('',#3680,#3679); +#3682=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3683=VECTOR('',#3682,1.5E0); +#3684=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,3.111724784545E0)); +#3685=LINE('',#3684,#3683); +#3686=DIRECTION('',(0.E0,0.E0,1.E0)); +#3687=VECTOR('',#3686,5.E-1); +#3688=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.311724784545E0)); +#3689=LINE('',#3688,#3687); +#3690=DIRECTION('',(1.E0,0.E0,0.E0)); +#3691=VECTOR('',#3690,7.03199E-1); +#3692=CARTESIAN_POINT('',(9.9432468E1,5.3689809E1,4.811724784545E0)); +#3693=LINE('',#3692,#3691); +#3694=DIRECTION('',(0.E0,0.E0,1.E0)); +#3695=VECTOR('',#3694,5.E-1); +#3696=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.311724784545E0)); +#3697=LINE('',#3696,#3695); +#3698=DIRECTION('',(0.E0,0.E0,1.E0)); +#3699=VECTOR('',#3698,5.E-1); +#3700=CARTESIAN_POINT('',(1.00432466E2,5.3689809E1,4.311724784545E0)); +#3701=LINE('',#3700,#3699); +#3702=DIRECTION('',(1.E0,0.E0,0.E0)); +#3703=VECTOR('',#3702,7.03199E-1); +#3704=CARTESIAN_POINT('',(1.00432466E2,5.3689809E1,4.811724784545E0)); +#3705=LINE('',#3704,#3703); +#3706=DIRECTION('',(0.E0,0.E0,1.E0)); +#3707=VECTOR('',#3706,5.E-1); +#3708=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.311724784545E0)); +#3709=LINE('',#3708,#3707); +#3710=DIRECTION('',(0.E0,0.E0,1.E0)); +#3711=VECTOR('',#3710,5.E-1); +#3712=CARTESIAN_POINT('',(1.01432464E2,5.3689809E1,4.311724784545E0)); +#3713=LINE('',#3712,#3711); +#3714=DIRECTION('',(1.E0,0.E0,0.E0)); +#3715=VECTOR('',#3714,7.03199E-1); +#3716=CARTESIAN_POINT('',(1.01432464E2,5.3689809E1,4.811724784545E0)); +#3717=LINE('',#3716,#3715); +#3718=DIRECTION('',(0.E0,0.E0,1.E0)); +#3719=VECTOR('',#3718,5.E-1); +#3720=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.311724784545E0)); +#3721=LINE('',#3720,#3719); +#3722=DIRECTION('',(0.E0,0.E0,1.E0)); +#3723=VECTOR('',#3722,5.E-1); +#3724=CARTESIAN_POINT('',(1.02432462E2,5.3689809E1,4.311724784545E0)); +#3725=LINE('',#3724,#3723); +#3726=DIRECTION('',(1.E0,0.E0,0.E0)); +#3727=VECTOR('',#3726,7.03199E-1); +#3728=CARTESIAN_POINT('',(1.02432462E2,5.3689809E1,4.811724784545E0)); +#3729=LINE('',#3728,#3727); +#3730=DIRECTION('',(0.E0,0.E0,1.E0)); +#3731=VECTOR('',#3730,5.E-1); +#3732=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.311724784545E0)); +#3733=LINE('',#3732,#3731); +#3734=DIRECTION('',(0.E0,0.E0,1.E0)); +#3735=VECTOR('',#3734,2.8E0); +#3736=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,4.311724784545E0)); +#3737=LINE('',#3736,#3735); +#3738=DIRECTION('',(0.E0,0.E0,1.E0)); +#3739=VECTOR('',#3738,5.E-1); +#3740=CARTESIAN_POINT('',(9.9432468E1,5.3689809E1,4.311724784545E0)); +#3741=LINE('',#3740,#3739); +#3742=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3743=VECTOR('',#3742,9.55599E-1); +#3744=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.811724784545E0)); +#3745=LINE('',#3744,#3743); +#3746=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3747=VECTOR('',#3746,7.03199E-1); +#3748=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.811724784545E0)); +#3749=LINE('',#3748,#3747); +#3750=DIRECTION('',(0.E0,1.E0,0.E0)); +#3751=VECTOR('',#3750,9.55599E-1); +#3752=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.811724784545E0)); +#3753=LINE('',#3752,#3751); +#3754=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3755=VECTOR('',#3754,9.55599E-1); +#3756=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.811724784545E0)); +#3757=LINE('',#3756,#3755); +#3758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3759=VECTOR('',#3758,7.03199E-1); +#3760=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.811724784545E0)); +#3761=LINE('',#3760,#3759); +#3762=DIRECTION('',(0.E0,1.E0,0.E0)); +#3763=VECTOR('',#3762,9.55599E-1); +#3764=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.811724784545E0)); +#3765=LINE('',#3764,#3763); +#3766=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3767=VECTOR('',#3766,9.55599E-1); +#3768=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.811724784545E0)); +#3769=LINE('',#3768,#3767); +#3770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3771=VECTOR('',#3770,7.03199E-1); +#3772=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.811724784545E0)); +#3773=LINE('',#3772,#3771); +#3774=DIRECTION('',(0.E0,1.E0,0.E0)); +#3775=VECTOR('',#3774,9.55599E-1); +#3776=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.811724784545E0)); +#3777=LINE('',#3776,#3775); +#3778=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3779=VECTOR('',#3778,9.55599E-1); +#3780=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.811724784545E0)); +#3781=LINE('',#3780,#3779); +#3782=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3783=VECTOR('',#3782,7.03199E-1); +#3784=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.811724784545E0)); +#3785=LINE('',#3784,#3783); +#3786=DIRECTION('',(0.E0,1.E0,0.E0)); +#3787=VECTOR('',#3786,9.55599E-1); +#3788=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.811724784545E0)); +#3789=LINE('',#3788,#3787); +#3790=DIRECTION('',(0.E0,0.E0,1.E0)); +#3791=VECTOR('',#3790,5.E-1); +#3792=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.311724784545E0)); +#3793=LINE('',#3792,#3791); +#3794=DIRECTION('',(0.E0,0.E0,1.E0)); +#3795=VECTOR('',#3794,5.E-1); +#3796=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.311724784545E0)); +#3797=LINE('',#3796,#3795); +#3798=DIRECTION('',(0.E0,0.E0,1.E0)); +#3799=VECTOR('',#3798,5.E-1); +#3800=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.311724784545E0)); +#3801=LINE('',#3800,#3799); +#3802=DIRECTION('',(0.E0,0.E0,1.E0)); +#3803=VECTOR('',#3802,5.E-1); +#3804=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.311724784545E0)); +#3805=LINE('',#3804,#3803); +#3806=DIRECTION('',(0.E0,0.E0,1.E0)); +#3807=VECTOR('',#3806,5.E-1); +#3808=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.311724784545E0)); +#3809=LINE('',#3808,#3807); +#3810=DIRECTION('',(0.E0,0.E0,1.E0)); +#3811=VECTOR('',#3810,5.E-1); +#3812=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.311724784545E0)); +#3813=LINE('',#3812,#3811); +#3814=DIRECTION('',(0.E0,0.E0,1.E0)); +#3815=VECTOR('',#3814,5.E-1); +#3816=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.311724784545E0)); +#3817=LINE('',#3816,#3815); +#3818=DIRECTION('',(0.E0,0.E0,1.E0)); +#3819=VECTOR('',#3818,2.8E0); +#3820=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,4.311724784545E0)); +#3821=LINE('',#3820,#3819); +#3822=DIRECTION('',(0.E0,0.E0,1.E0)); +#3823=VECTOR('',#3822,2.8E0); +#3824=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,4.311724784545E0)); +#3825=LINE('',#3824,#3823); +#3826=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3827=VECTOR('',#3826,5.E-1); +#3828=CARTESIAN_POINT('',(9.86219995E1,4.8990809E1,6.311724784545E0)); +#3829=LINE('',#3828,#3827); +#3830=DIRECTION('',(1.E0,0.E0,0.E0)); +#3831=VECTOR('',#3830,4.E-1); +#3832=CARTESIAN_POINT('',(9.86219995E1,4.8990809E1,5.811724784545E0)); +#3833=LINE('',#3832,#3831); +#3834=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3835=VECTOR('',#3834,9.5E-1); +#3836=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,5.811724784545E0)); +#3837=LINE('',#3836,#3835); +#3838=DIRECTION('',(1.E0,0.E0,0.E0)); +#3839=VECTOR('',#3838,4.4E0); +#3840=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,4.861724784545E0)); +#3841=LINE('',#3840,#3839); +#3842=DIRECTION('',(0.E0,0.E0,1.E0)); +#3843=VECTOR('',#3842,9.5E-1); +#3844=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,4.861724784545E0)); +#3845=LINE('',#3844,#3843); +#3846=DIRECTION('',(1.E0,0.E0,0.E0)); +#3847=VECTOR('',#3846,4.E-1); +#3848=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,5.811724784545E0)); +#3849=LINE('',#3848,#3847); +#3850=DIRECTION('',(0.E0,0.E0,1.E0)); +#3851=VECTOR('',#3850,5.E-1); +#3852=CARTESIAN_POINT('',(1.038219995E2,4.8990809E1,5.811724784545E0)); +#3853=LINE('',#3852,#3851); +#3854=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3855=VECTOR('',#3854,4.E-1); +#3856=CARTESIAN_POINT('',(1.038219995E2,4.8990809E1,6.311724784545E0)); +#3857=LINE('',#3856,#3855); +#3858=DIRECTION('',(0.E0,0.E0,1.E0)); +#3859=VECTOR('',#3858,4.E-1); +#3860=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.311724784545E0)); +#3861=LINE('',#3860,#3859); +#3862=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3863=VECTOR('',#3862,4.4E0); +#3864=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.711724784545E0)); +#3865=LINE('',#3864,#3863); +#3866=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3867=VECTOR('',#3866,4.E-1); +#3868=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.711724784545E0)); +#3869=LINE('',#3868,#3867); +#3870=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3871=VECTOR('',#3870,4.E-1); +#3872=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.311724784545E0)); +#3873=LINE('',#3872,#3871); +#3874=DIRECTION('',(0.E0,0.E0,1.E0)); +#3875=VECTOR('',#3874,2.8E0); +#3876=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,4.311724784545E0)); +#3877=LINE('',#3876,#3875); +#3878=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3879=VECTOR('',#3878,6.321831E0); +#3880=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,7.111724784545E0)); +#3881=LINE('',#3880,#3879); +#3882=DIRECTION('',(0.E0,1.E0,0.E0)); +#3883=VECTOR('',#3882,4.699E0); +#3884=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,7.111724784545E0)); +#3885=LINE('',#3884,#3883); +#3886=DIRECTION('',(1.E0,0.E0,0.E0)); +#3887=VECTOR('',#3886,6.321831E0); +#3888=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,7.111724784545E0)); +#3889=LINE('',#3888,#3887); +#3890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3891=VECTOR('',#3890,4.699E0); +#3892=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,7.111724784545E0)); +#3893=LINE('',#3892,#3891); +#3894=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3895=VECTOR('',#3894,3.5E0); +#3896=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,6.311724784545E0)); +#3897=LINE('',#3896,#3895); +#3898=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3899=VECTOR('',#3898,3.5E0); +#3900=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,6.311724784545E0)); +#3901=LINE('',#3900,#3899); +#3902=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3903=VECTOR('',#3902,3.5E0); +#3904=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,5.811724784545E0)); +#3905=LINE('',#3904,#3903); +#3906=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3907=VECTOR('',#3906,3.5E0); +#3908=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,5.811724784545E0)); +#3909=LINE('',#3908,#3907); +#3910=DIRECTION('',(0.E0,0.E0,1.E0)); +#3911=VECTOR('',#3910,4.E-1); +#3912=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,6.311724784545E0)); +#3913=LINE('',#3912,#3911); +#3914=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3915=VECTOR('',#3914,4.E-1); +#3916=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,6.311724784545E0)); +#3917=LINE('',#3916,#3915); +#3918=DIRECTION('',(0.E0,0.E0,1.E0)); +#3919=VECTOR('',#3918,5.E-1); +#3920=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,5.811724784545E0)); +#3921=LINE('',#3920,#3919); +#3922=DIRECTION('',(1.E0,0.E0,0.E0)); +#3923=VECTOR('',#3922,4.E-1); +#3924=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,5.811724784545E0)); +#3925=LINE('',#3924,#3923); +#3926=DIRECTION('',(0.E0,0.E0,1.E0)); +#3927=VECTOR('',#3926,9.5E-1); +#3928=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,4.861724784545E0)); +#3929=LINE('',#3928,#3927); +#3930=DIRECTION('',(1.E0,0.E0,0.E0)); +#3931=VECTOR('',#3930,4.4E0); +#3932=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,4.861724784545E0)); +#3933=LINE('',#3932,#3931); +#3934=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3935=VECTOR('',#3934,9.5E-1); +#3936=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,5.811724784545E0)); +#3937=LINE('',#3936,#3935); +#3938=DIRECTION('',(1.E0,0.E0,0.E0)); +#3939=VECTOR('',#3938,4.E-1); +#3940=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,5.811724784545E0)); +#3941=LINE('',#3940,#3939); +#3942=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3943=VECTOR('',#3942,5.E-1); +#3944=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,6.311724784545E0)); +#3945=LINE('',#3944,#3943); +#3946=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3947=VECTOR('',#3946,4.E-1); +#3948=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,6.311724784545E0)); +#3949=LINE('',#3948,#3947); +#3950=DIRECTION('',(0.E0,0.E0,-1.E0)); +#3951=VECTOR('',#3950,4.E-1); +#3952=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,6.711724784545E0)); +#3953=LINE('',#3952,#3951); +#3954=DIRECTION('',(-1.E0,0.E0,0.E0)); +#3955=VECTOR('',#3954,4.4E0); +#3956=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,6.711724784545E0)); +#3957=LINE('',#3956,#3955); +#3958=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3959=VECTOR('',#3958,3.5E0); +#3960=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,5.811724784545E0)); +#3961=LINE('',#3960,#3959); +#3962=DIRECTION('',(0.E0,1.E0,0.E0)); +#3963=VECTOR('',#3962,3.5E0); +#3964=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,4.861724784545E0)); +#3965=LINE('',#3964,#3963); +#3966=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3967=VECTOR('',#3966,3.5E0); +#3968=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,6.311724784545E0)); +#3969=LINE('',#3968,#3967); +#3970=DIRECTION('',(0.E0,1.E0,0.E0)); +#3971=VECTOR('',#3970,3.5E0); +#3972=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,4.861724784545E0)); +#3973=LINE('',#3972,#3971); +#3974=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3975=VECTOR('',#3974,3.5E0); +#3976=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,5.811724784545E0)); +#3977=LINE('',#3976,#3975); +#3978=DIRECTION('',(0.E0,-1.E0,0.E0)); +#3979=VECTOR('',#3978,3.5E0); +#3980=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,6.311724784545E0)); +#3981=LINE('',#3980,#3979); +#3982=DIRECTION('',(0.E0,1.E0,0.E0)); +#3983=VECTOR('',#3982,3.5E0); +#3984=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.711724784545E0)); +#3985=LINE('',#3984,#3983); +#3986=DIRECTION('',(0.E0,1.E0,0.E0)); +#3987=VECTOR('',#3986,3.5E0); +#3988=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.711724784545E0)); +#3989=LINE('',#3988,#3987); +#3990=DIRECTION('',(0.E0,0.E0,1.E0)); +#3991=VECTOR('',#3990,5.E-1); +#3992=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.311724784545E0)); +#3993=LINE('',#3992,#3991); +#3994=DIRECTION('',(0.E0,0.E0,1.E0)); +#3995=VECTOR('',#3994,5.E-1); +#3996=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.311724784545E0)); +#3997=LINE('',#3996,#3995); +#3998=DIRECTION('',(9.999694801760E-1,7.812727858096E-3,0.E0)); +#3999=VECTOR('',#3998,8.000254166355E-1); +#4000=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,7.111724784545E0)); +#4001=LINE('',#4000,#3999); +#4002=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4003=VECTOR('',#4002,7.E-1); +#4004=CARTESIAN_POINT('',(9.0183193E1,4.989104738086E1,7.811724784545E0)); +#4005=LINE('',#4004,#4003); +#4006=DIRECTION('',(0.E0,-1.015061051086E-14,-1.E0)); +#4007=VECTOR('',#4006,7.E-1); +#4008=CARTESIAN_POINT('',(9.5083191E1,4.992933090015E1,7.811724784545E0)); +#4009=LINE('',#4008,#4007); +#4010=DIRECTION('',(9.999694801760E-1,7.812727858103E-3,0.E0)); +#4011=VECTOR('',#4010,7.000243646204E-1); +#4012=CARTESIAN_POINT('',(9.5083191E1,4.992933090015E1,7.111724784545E0)); +#4013=LINE('',#4012,#4011); +#4014=DIRECTION('',(0.E0,0.E0,1.E0)); +#4015=VECTOR('',#4014,5.E-1); +#4016=CARTESIAN_POINT('',(9.3633191E1,4.991800209900E1,4.311724784545E0)); +#4017=LINE('',#4016,#4015); +#4018=DIRECTION('',(9.999694801760E-1,7.812727858104E-3,0.E0)); +#4019=VECTOR('',#4018,7.000203644983E-1); +#4020=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.811724784545E0)); +#4021=LINE('',#4020,#4019); +#4022=DIRECTION('',(0.E0,0.E0,1.E0)); +#4023=VECTOR('',#4022,5.E-1); +#4024=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.311724784545E0)); +#4025=LINE('',#4024,#4023); +#4026=DIRECTION('',(0.E0,0.E0,1.E0)); +#4027=VECTOR('',#4026,5.E-1); +#4028=CARTESIAN_POINT('',(9.2363193E1,4.990807964741E1,4.311724784545E0)); +#4029=LINE('',#4028,#4027); +#4030=DIRECTION('',(9.999694801760E-1,7.812727858086E-3,0.E0)); +#4031=VECTOR('',#4030,7.000193644678E-1); +#4032=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.811724784545E0)); +#4033=LINE('',#4032,#4031); +#4034=DIRECTION('',(0.E0,0.E0,1.E0)); +#4035=VECTOR('',#4034,5.E-1); +#4036=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.311724784545E0)); +#4037=LINE('',#4036,#4035); +#4038=DIRECTION('',(0.E0,0.E0,1.E0)); +#4039=VECTOR('',#4038,2.8E0); +#4040=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,4.311724784545E0)); +#4041=LINE('',#4040,#4039); +#4042=DIRECTION('',(-1.804121354189E-13,1.E0,0.E0)); +#4043=VECTOR('',#4042,3.150753619141E0); +#4044=CARTESIAN_POINT('',(9.0183193E1,4.989104738086E1,7.111724784545E0)); +#4045=LINE('',#4044,#4043); +#4046=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4047=VECTOR('',#4046,3.112470099855E0); +#4048=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,7.111724784545E0)); +#4049=LINE('',#4048,#4047); +#4050=DIRECTION('',(-1.E0,-2.639156219341E-13,0.E0)); +#4051=VECTOR('',#4050,1.050001E0); +#4052=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.111724784545E0)); +#4053=LINE('',#4052,#4051); +#4054=DIRECTION('',(0.E0,0.E0,1.E0)); +#4055=VECTOR('',#4054,2.8E0); +#4056=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,4.311724784545E0)); +#4057=LINE('',#4056,#4055); +#4058=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4059=VECTOR('',#4058,2.907004E0); +#4060=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,7.111724784545E0)); +#4061=LINE('',#4060,#4059); +#4062=DIRECTION('',(0.E0,0.E0,1.E0)); +#4063=VECTOR('',#4062,2.8E0); +#4064=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,4.311724784545E0)); +#4065=LINE('',#4064,#4063); +#4066=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); +#4067=VECTOR('',#4066,3.535533905933E-1); +#4068=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,7.111724784545E0)); +#4069=LINE('',#4068,#4067); +#4070=DIRECTION('',(0.E0,0.E0,1.E0)); +#4071=VECTOR('',#4070,2.8E0); +#4072=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,4.311724784545E0)); +#4073=LINE('',#4072,#4071); +#4074=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4075=VECTOR('',#4074,1.192998E0); +#4076=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,7.411724784545E0)); +#4077=LINE('',#4076,#4075); +#4078=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4079=VECTOR('',#4078,4.E-1); +#4080=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.811724784545E0)); +#4081=LINE('',#4080,#4079); +#4082=DIRECTION('',(1.804121354189E-13,-1.E0,0.E0)); +#4083=VECTOR('',#4082,3.150753619141E0); +#4084=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.811724784545E0)); +#4085=LINE('',#4084,#4083); +#4086=DIRECTION('',(0.E0,0.E0,1.E0)); +#4087=VECTOR('',#4086,3.1E0); +#4088=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,4.311724784545E0)); +#4089=LINE('',#4088,#4087); +#4090=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4091=VECTOR('',#4090,1.192998E0); +#4092=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,7.411724784545E0)); +#4093=LINE('',#4092,#4091); +#4094=DIRECTION('',(1.E0,-1.776356839400E-14,0.E0)); +#4095=VECTOR('',#4094,4.E-1); +#4096=CARTESIAN_POINT('',(9.4683191E1,5.3041801E1,7.411724784545E0)); +#4097=LINE('',#4096,#4095); +#4098=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4099=VECTOR('',#4098,1.192998E0); +#4100=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,7.411724784545E0)); +#4101=LINE('',#4100,#4099); +#4102=DIRECTION('',(1.E0,1.776356839396E-14,0.E0)); +#4103=VECTOR('',#4102,4.000000000009E-1); +#4104=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.411724784545E0)); +#4105=LINE('',#4104,#4103); +#4106=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4107=VECTOR('',#4106,1.507002E0); +#4108=CARTESIAN_POINT('',(9.4683191E1,5.3041801E1,7.411724784545E0)); +#4109=LINE('',#4108,#4107); +#4110=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4111=VECTOR('',#4110,2.7E0); +#4112=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,7.411724784545E0)); +#4113=LINE('',#4112,#4111); +#4114=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4115=VECTOR('',#4114,4.099997999999E0); +#4116=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,4.711724784545E0)); +#4117=LINE('',#4116,#4115); +#4118=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4119=VECTOR('',#4118,2.7E0); +#4120=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,7.411724784545E0)); +#4121=LINE('',#4120,#4119); +#4122=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4123=VECTOR('',#4122,4.000000000009E-1); +#4124=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,7.411724784545E0)); +#4125=LINE('',#4124,#4123); +#4126=DIRECTION('',(0.E0,0.E0,1.E0)); +#4127=VECTOR('',#4126,3.1E0); +#4128=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,4.311724784545E0)); +#4129=LINE('',#4128,#4127); +#4130=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4131=VECTOR('',#4130,4.E-1); +#4132=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,7.411724784545E0)); +#4133=LINE('',#4132,#4131); +#4134=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4135=VECTOR('',#4134,2.7E0); +#4136=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,4.711724784545E0)); +#4137=LINE('',#4136,#4135); +#4138=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4139=VECTOR('',#4138,2.7E0); +#4140=CARTESIAN_POINT('',(9.4683191E1,5.1534799E1,7.411724784545E0)); +#4141=LINE('',#4140,#4139); +#4142=DIRECTION('',(1.E0,0.E0,0.E0)); +#4143=VECTOR('',#4142,4.099997999999E0); +#4144=CARTESIAN_POINT('',(9.0583193E1,5.1534799E1,7.411724784545E0)); +#4145=LINE('',#4144,#4143); +#4146=DIRECTION('',(0.E0,0.E0,1.E0)); +#4147=VECTOR('',#4146,2.7E0); +#4148=CARTESIAN_POINT('',(9.0583193E1,5.1534799E1,4.711724784545E0)); +#4149=LINE('',#4148,#4147); +#4150=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4151=VECTOR('',#4150,4.099997999999E0); +#4152=CARTESIAN_POINT('',(9.4683191E1,5.1534799E1,4.711724784545E0)); +#4153=LINE('',#4152,#4151); +#4154=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4155=VECTOR('',#4154,1.507002E0); +#4156=CARTESIAN_POINT('',(9.0583193E1,5.3041801E1,7.411724784545E0)); +#4157=LINE('',#4156,#4155); +#4158=DIRECTION('',(1.E0,0.E0,0.E0)); +#4159=VECTOR('',#4158,4.099997999999E0); +#4160=CARTESIAN_POINT('',(9.0583193E1,5.3041801E1,7.411724784545E0)); +#4161=LINE('',#4160,#4159); +#4162=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4163=VECTOR('',#4162,1.192998E0); +#4164=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,7.411724784545E0)); +#4165=LINE('',#4164,#4163); +#4166=DIRECTION('',(0.E0,0.E0,1.E0)); +#4167=VECTOR('',#4166,2.8E0); +#4168=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,4.311724784545E0)); +#4169=LINE('',#4168,#4167); +#4170=DIRECTION('',(0.E0,1.E0,0.E0)); +#4171=VECTOR('',#4170,3.112470099855E0); +#4172=CARTESIAN_POINT('',(9.5083191E1,4.992933090015E1,7.811724784545E0)); +#4173=LINE('',#4172,#4171); +#4174=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4175=VECTOR('',#4174,4.E-1); +#4176=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,7.811724784545E0)); +#4177=LINE('',#4176,#4175); +#4178=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4179=VECTOR('',#4178,1.049997E0); +#4180=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,7.111724784545E0)); +#4181=LINE('',#4180,#4179); +#4182=DIRECTION('',(0.E0,0.E0,1.E0)); +#4183=VECTOR('',#4182,2.8E0); +#4184=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,4.311724784545E0)); +#4185=LINE('',#4184,#4183); +#4186=DIRECTION('',(-1.088139673763E-6,9.999999999994E-1,0.E0)); +#4187=VECTOR('',#4186,2.756999000002E0); +#4188=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,7.111724784545E0)); +#4189=LINE('',#4188,#4187); +#4190=DIRECTION('',(0.E0,0.E0,1.E0)); +#4191=VECTOR('',#4190,2.8E0); +#4192=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,4.311724784545E0)); +#4193=LINE('',#4192,#4191); +#4194=DIRECTION('',(7.071017303986E-1,7.071118319385E-1,0.E0)); +#4195=VECTOR('',#4194,4.949740397364E-1); +#4196=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,7.111724784545E0)); +#4197=LINE('',#4196,#4195); +#4198=DIRECTION('',(0.E0,0.E0,1.E0)); +#4199=VECTOR('',#4198,2.8E0); +#4200=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,4.311724784545E0)); +#4201=LINE('',#4200,#4199); +#4202=DIRECTION('',(1.E0,0.E0,0.E0)); +#4203=VECTOR('',#4202,4.899998E0); +#4204=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.811724784545E0)); +#4205=LINE('',#4204,#4203); +#4206=DIRECTION('',(9.999694801760E-1,7.812727858090E-3,0.E0)); +#4207=VECTOR('',#4206,4.900147551640E0); +#4208=CARTESIAN_POINT('',(9.0183193E1,4.989104738086E1,7.811724784545E0)); +#4209=LINE('',#4208,#4207); +#4210=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4211=VECTOR('',#4210,2.7E0); +#4212=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,4.711724784545E0)); +#4213=LINE('',#4212,#4211); +#4214=DIRECTION('',(0.E0,1.E0,0.E0)); +#4215=VECTOR('',#4214,8.232756474121E-1); +#4216=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.811724784545E0)); +#4217=LINE('',#4216,#4215); +#4218=DIRECTION('',(1.E0,0.E0,0.E0)); +#4219=VECTOR('',#4218,6.99998E-1); +#4220=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.811724784545E0)); +#4221=LINE('',#4220,#4219); +#4222=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4223=VECTOR('',#4222,8.178065866222E-1); +#4224=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.811724784545E0)); +#4225=LINE('',#4224,#4223); +#4226=DIRECTION('',(0.E0,1.E0,0.E0)); +#4227=VECTOR('',#4226,8.332010989979E-1); +#4228=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.811724784545E0)); +#4229=LINE('',#4228,#4227); +#4230=DIRECTION('',(1.E0,0.E0,0.E0)); +#4231=VECTOR('',#4230,6.99999E-1); +#4232=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.811724784545E0)); +#4233=LINE('',#4232,#4231); +#4234=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4235=VECTOR('',#4234,8.277320303950E-1); +#4236=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.811724784545E0)); +#4237=LINE('',#4236,#4235); +#4238=DIRECTION('',(0.E0,0.E0,1.E0)); +#4239=VECTOR('',#4238,5.E-1); +#4240=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.311724784545E0)); +#4241=LINE('',#4240,#4239); +#4242=DIRECTION('',(0.E0,0.E0,1.E0)); +#4243=VECTOR('',#4242,5.E-1); +#4244=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.311724784545E0)); +#4245=LINE('',#4244,#4243); +#4246=CARTESIAN_POINT('',(1.046527925E2,6.1730402E1,4.311724784545E0)); +#4247=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4248=DIRECTION('',(-8.133401577001E-1,-5.817884391017E-1,0.E0)); +#4249=AXIS2_PLACEMENT_3D('',#4246,#4247,#4248); +#4251=CARTESIAN_POINT('',(1.046527925E2,6.1730402E1,4.811724784545E0)); +#4252=DIRECTION('',(0.E0,0.E0,1.E0)); +#4253=DIRECTION('',(4.986703344733E-1,-8.667917267235E-1,0.E0)); +#4254=AXIS2_PLACEMENT_3D('',#4251,#4252,#4253); +#4256=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4257=VECTOR('',#4256,8.E-1); +#4258=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,5.711724784545E0)); +#4259=LINE('',#4258,#4257); +#4260=DIRECTION('',(-4.347829819897E-7,9.999999999999E-1,0.E0)); +#4261=VECTOR('',#4260,1.85E0); +#4262=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,4.911724784545E0)); +#4263=LINE('',#4262,#4261); +#4264=DIRECTION('',(0.E0,0.E0,1.E0)); +#4265=VECTOR('',#4264,8.E-1); +#4266=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,4.911724784545E0)); +#4267=LINE('',#4266,#4265); +#4268=DIRECTION('',(4.347829819897E-7,-9.999999999999E-1,0.E0)); +#4269=VECTOR('',#4268,1.85E0); +#4270=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,5.711724784545E0)); +#4271=LINE('',#4270,#4269); +#4272=DIRECTION('',(-4.347830193781E-7,9.999999999999E-1,0.E0)); +#4273=VECTOR('',#4272,1.714549711622E-1); +#4274=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,4.811724784545E0)); +#4275=LINE('',#4274,#4273); +#4276=DIRECTION('',(0.E0,0.E0,1.E0)); +#4277=VECTOR('',#4276,1.3E0); +#4278=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,4.811724784545E0)); +#4279=LINE('',#4278,#4277); +#4280=DIRECTION('',(-4.347829556157E-7,9.999999999999E-1,0.E0)); +#4281=VECTOR('',#4280,1.714580360060E-1); +#4282=CARTESIAN_POINT('',(1.049527920745E2,6.120894096399E1,4.811724784545E0)); +#4283=LINE('',#4282,#4281); +#4284=DIRECTION('',(0.E0,0.E0,1.E0)); +#4285=VECTOR('',#4284,5.E-1); +#4286=CARTESIAN_POINT('',(1.049527920745E2,6.120894096399E1,4.311724784545E0)); +#4287=LINE('',#4286,#4285); +#4288=DIRECTION('',(0.E0,-1.421085471520E-14,1.E0)); +#4289=VECTOR('',#4288,5.E-1); +#4290=CARTESIAN_POINT('',(1.049527939255E2,5.695185797116E1,4.311724784545E0)); +#4291=LINE('',#4290,#4289); +#4292=DIRECTION('',(9.999999999999E-1,4.347829778745E-7,0.E0)); +#4293=VECTOR('',#4292,1.12E0); +#4294=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,5.711724784545E0)); +#4295=LINE('',#4294,#4293); +#4296=DIRECTION('',(9.999999999999E-1,4.347829778745E-7,0.E0)); +#4297=VECTOR('',#4296,1.12E0); +#4298=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,5.711724784545E0)); +#4299=LINE('',#4298,#4297); +#4300=DIRECTION('',(9.999999999999E-1,4.347829778745E-7,0.E0)); +#4301=VECTOR('',#4300,1.12E0); +#4302=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,4.911724784545E0)); +#4303=LINE('',#4302,#4301); +#4304=DIRECTION('',(9.999999999999E-1,4.347829842187E-7,0.E0)); +#4305=VECTOR('',#4304,1.12E0); +#4306=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,4.911724784545E0)); +#4307=LINE('',#4306,#4305); +#4308=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4309=VECTOR('',#4308,8.E-1); +#4310=CARTESIAN_POINT('',(1.060727934022E2,5.815540148696E1,5.711724784545E0)); +#4311=LINE('',#4310,#4309); +#4312=DIRECTION('',(4.347829743081E-7,-9.999999999999E-1,0.E0)); +#4313=VECTOR('',#4312,1.85E0); +#4314=CARTESIAN_POINT('',(1.060727925978E2,6.000540148696E1,5.711724784545E0)); +#4315=LINE('',#4314,#4313); +#4316=DIRECTION('',(0.E0,0.E0,1.E0)); +#4317=VECTOR('',#4316,8.E-1); +#4318=CARTESIAN_POINT('',(1.060727925978E2,6.000540148696E1,4.911724784545E0)); +#4319=LINE('',#4318,#4317); +#4320=DIRECTION('',(-4.347829743081E-7,9.999999999999E-1,0.E0)); +#4321=VECTOR('',#4320,1.85E0); +#4322=CARTESIAN_POINT('',(1.060727934022E2,5.815540148696E1,4.911724784545E0)); +#4323=LINE('',#4322,#4321); +#4324=DIRECTION('',(9.999999999999E-1,4.347829965508E-7,0.E0)); +#4325=VECTOR('',#4324,7.893051858680E-1); +#4326=CARTESIAN_POINT('',(1.041634888141E2,5.678040265682E1,4.811724784545E0)); +#4327=LINE('',#4326,#4325); +#4328=DIRECTION('',(0.E0,0.E0,1.E0)); +#4329=VECTOR('',#4328,5.E-1); +#4330=CARTESIAN_POINT('',(1.041634888141E2,5.678040265682E1,4.311724784545E0)); +#4331=LINE('',#4330,#4329); +#4332=DIRECTION('',(0.E0,0.E0,1.E0)); +#4333=VECTOR('',#4332,1.8E0); +#4334=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,4.311724784545E0)); +#4335=LINE('',#4334,#4333); +#4336=CARTESIAN_POINT('',(1.046527925E2,5.6430398E1,4.311724784545E0)); +#4337=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4338=DIRECTION('',(4.986734111194E-1,8.667899567038E-1,0.E0)); +#4339=AXIS2_PLACEMENT_3D('',#4336,#4337,#4338); +#4341=CARTESIAN_POINT('',(1.046527925E2,5.6430398E1,4.811724784545E0)); +#4342=DIRECTION('',(0.E0,0.E0,1.E0)); +#4343=DIRECTION('',(-8.133385957526E-1,5.817906226978E-1,0.E0)); +#4344=AXIS2_PLACEMENT_3D('',#4341,#4342,#4343); +#4346=DIRECTION('',(4.347829930175E-7,-9.999999999999E-1,0.E0)); +#4347=VECTOR('',#4346,9.833822848562E-1); +#4348=CARTESIAN_POINT('',(1.026527920471E2,6.127208825113E1,4.811724784545E0)); +#4349=LINE('',#4348,#4347); +#4350=DIRECTION('',(0.E0,0.E0,1.E0)); +#4351=VECTOR('',#4350,5.E-1); +#4352=CARTESIAN_POINT('',(1.026527920471E2,6.127208825113E1,4.311724784545E0)); +#4353=LINE('',#4352,#4351); +#4354=DIRECTION('',(0.E0,0.E0,1.E0)); +#4355=VECTOR('',#4354,1.8E0); +#4356=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,4.311724784545E0)); +#4357=LINE('',#4356,#4355); +#4358=DIRECTION('',(0.E0,-1.421085471520E-14,1.E0)); +#4359=VECTOR('',#4358,5.E-1); +#4360=CARTESIAN_POINT('',(1.026527939529E2,5.688870888584E1,4.311724784545E0)); +#4361=LINE('',#4360,#4359); +#4362=DIRECTION('',(4.347829624983E-7,-9.999999999999E-1,0.E0)); +#4363=VECTOR('',#4362,9.833844457199E-1); +#4364=CARTESIAN_POINT('',(1.026527935254E2,5.787209333156E1,4.811724784545E0)); +#4365=LINE('',#4364,#4363); +#4366=DIRECTION('',(0.E0,0.E0,1.E0)); +#4367=VECTOR('',#4366,5.E-1); +#4368=CARTESIAN_POINT('',(1.026527935254E2,5.787209333156E1,4.311724784545E0)); +#4369=LINE('',#4368,#4367); +#4370=DIRECTION('',(0.E0,0.E0,1.E0)); +#4371=VECTOR('',#4370,5.E-1); +#4372=CARTESIAN_POINT('',(1.026527924746E2,6.028870596627E1,4.311724784545E0)); +#4373=LINE('',#4372,#4371); +#4374=CARTESIAN_POINT('',(1.024027875E2,6.0780397E1,4.811724784545E0)); +#4375=DIRECTION('',(0.E0,0.E0,1.E0)); +#4376=DIRECTION('',(4.532352195273E-1,8.913909556306E-1,0.E0)); +#4377=AXIS2_PLACEMENT_3D('',#4374,#4375,#4376); +#4379=CARTESIAN_POINT('',(1.024027875E2,6.0780397E1,4.311724784545E0)); +#4380=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4381=DIRECTION('',(4.532359946503E-1,-8.913905615124E-1,0.E0)); +#4382=AXIS2_PLACEMENT_3D('',#4379,#4380,#4381); +#4384=DIRECTION('',(-9.999999999999E-1,-4.347829954896E-7,0.E0)); +#4385=VECTOR('',#4384,7.893041255340E-1); +#4386=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,4.811724784545E0)); +#4387=LINE('',#4386,#4385); +#4388=DIRECTION('',(0.E0,0.E0,1.E0)); +#4389=VECTOR('',#4388,1.3E0); +#4390=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,4.811724784545E0)); +#4391=LINE('',#4390,#4389); +#4392=DIRECTION('',(0.E0,0.E0,1.E0)); +#4393=VECTOR('',#4392,5.E-1); +#4394=CARTESIAN_POINT('',(1.041634878745E2,6.138039865682E1,4.311724784545E0)); +#4395=LINE('',#4394,#4393); +#4396=DIRECTION('',(9.999999999999E-1,4.347829875835E-7,0.E0)); +#4397=VECTOR('',#4396,2.300000000002E0); +#4398=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,6.111724784545E0)); +#4399=LINE('',#4398,#4397); +#4400=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); +#4401=VECTOR('',#4400,4.599996E0); +#4402=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,6.111724784545E0)); +#4403=LINE('',#4402,#4401); +#4404=DIRECTION('',(-9.999999999999E-1,-4.347829844941E-7,0.E0)); +#4405=VECTOR('',#4404,2.300000000002E0); +#4406=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,6.111724784545E0)); +#4407=LINE('',#4406,#4405); +#4408=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); +#4409=VECTOR('',#4408,4.599996E0); +#4410=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,6.111724784545E0)); +#4411=LINE('',#4410,#4409); +#4412=CARTESIAN_POINT('',(1.0240279E2,5.7380401E1,4.811724784545E0)); +#4413=DIRECTION('',(0.E0,0.E0,1.E0)); +#4414=DIRECTION('',(4.532329563718E-1,8.913921063474E-1,0.E0)); +#4415=AXIS2_PLACEMENT_3D('',#4412,#4413,#4414); +#4417=CARTESIAN_POINT('',(1.0240279E2,5.7380401E1,4.311724784545E0)); +#4418=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4419=DIRECTION('',(4.532337314959E-1,-8.913917122311E-1,0.E0)); +#4420=AXIS2_PLACEMENT_3D('',#4417,#4418,#4419); +#4422=DIRECTION('',(0.E0,0.E0,1.E0)); +#4423=VECTOR('',#4422,2.E0); +#4424=CARTESIAN_POINT('',(9.52246E1,7.69366E1,4.311724784545E0)); +#4425=LINE('',#4424,#4423); +#4426=DIRECTION('',(0.E0,0.E0,1.E0)); +#4427=VECTOR('',#4426,2.E0); +#4428=CARTESIAN_POINT('',(9.52246E1,7.21106E1,4.311724784545E0)); +#4429=LINE('',#4428,#4427); +#4430=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4431=VECTOR('',#4430,8.128E-1); +#4432=CARTESIAN_POINT('',(9.52246E1,7.6835E1,5.311724784545E0)); +#4433=LINE('',#4432,#4431); +#4434=DIRECTION('',(0.E0,0.E0,1.E0)); +#4435=VECTOR('',#4434,5.E-1); +#4436=CARTESIAN_POINT('',(9.52246E1,7.6835E1,5.311724784545E0)); +#4437=LINE('',#4436,#4435); +#4438=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4439=VECTOR('',#4438,8.128E-1); +#4440=CARTESIAN_POINT('',(9.52246E1,7.6835E1,5.811724784545E0)); +#4441=LINE('',#4440,#4439); +#4442=DIRECTION('',(0.E0,0.E0,1.E0)); +#4443=VECTOR('',#4442,5.E-1); +#4444=CARTESIAN_POINT('',(9.52246E1,7.60222E1,5.311724784545E0)); +#4445=LINE('',#4444,#4443); +#4446=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4447=VECTOR('',#4446,8.128E-1); +#4448=CARTESIAN_POINT('',(9.52246E1,7.5565E1,5.311724784545E0)); +#4449=LINE('',#4448,#4447); +#4450=DIRECTION('',(0.E0,0.E0,1.E0)); +#4451=VECTOR('',#4450,5.E-1); +#4452=CARTESIAN_POINT('',(9.52246E1,7.5565E1,5.311724784545E0)); +#4453=LINE('',#4452,#4451); +#4454=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4455=VECTOR('',#4454,8.128E-1); +#4456=CARTESIAN_POINT('',(9.52246E1,7.5565E1,5.811724784545E0)); +#4457=LINE('',#4456,#4455); +#4458=DIRECTION('',(0.E0,0.E0,1.E0)); +#4459=VECTOR('',#4458,5.E-1); +#4460=CARTESIAN_POINT('',(9.52246E1,7.47522E1,5.311724784545E0)); +#4461=LINE('',#4460,#4459); +#4462=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4463=VECTOR('',#4462,8.128E-1); +#4464=CARTESIAN_POINT('',(9.52246E1,7.4295E1,5.311724784545E0)); +#4465=LINE('',#4464,#4463); +#4466=DIRECTION('',(0.E0,0.E0,1.E0)); +#4467=VECTOR('',#4466,5.E-1); +#4468=CARTESIAN_POINT('',(9.52246E1,7.4295E1,5.311724784545E0)); +#4469=LINE('',#4468,#4467); +#4470=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4471=VECTOR('',#4470,8.128E-1); +#4472=CARTESIAN_POINT('',(9.52246E1,7.4295E1,5.811724784545E0)); +#4473=LINE('',#4472,#4471); +#4474=DIRECTION('',(0.E0,0.E0,1.E0)); +#4475=VECTOR('',#4474,5.E-1); +#4476=CARTESIAN_POINT('',(9.52246E1,7.34822E1,5.311724784545E0)); +#4477=LINE('',#4476,#4475); +#4478=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4479=VECTOR('',#4478,8.128E-1); +#4480=CARTESIAN_POINT('',(9.52246E1,7.3025E1,5.311724784545E0)); +#4481=LINE('',#4480,#4479); +#4482=DIRECTION('',(0.E0,0.E0,1.E0)); +#4483=VECTOR('',#4482,5.E-1); +#4484=CARTESIAN_POINT('',(9.52246E1,7.3025E1,5.311724784545E0)); +#4485=LINE('',#4484,#4483); +#4486=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4487=VECTOR('',#4486,8.128E-1); +#4488=CARTESIAN_POINT('',(9.52246E1,7.3025E1,5.811724784545E0)); +#4489=LINE('',#4488,#4487); +#4490=DIRECTION('',(0.E0,0.E0,1.E0)); +#4491=VECTOR('',#4490,5.E-1); +#4492=CARTESIAN_POINT('',(9.52246E1,7.22122E1,5.311724784545E0)); +#4493=LINE('',#4492,#4491); +#4494=DIRECTION('',(0.E0,0.E0,1.E0)); +#4495=VECTOR('',#4494,2.E0); +#4496=CARTESIAN_POINT('',(9.01446E1,7.21106E1,4.311724784545E0)); +#4497=LINE('',#4496,#4495); +#4498=DIRECTION('',(0.E0,0.E0,1.E0)); +#4499=VECTOR('',#4498,2.E0); +#4500=CARTESIAN_POINT('',(9.01446E1,7.69366E1,4.311724784545E0)); +#4501=LINE('',#4500,#4499); +#4502=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4503=VECTOR('',#4502,8.128E-1); +#4504=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.311724784545E0)); +#4505=LINE('',#4504,#4503); +#4506=DIRECTION('',(0.E0,0.E0,1.E0)); +#4507=VECTOR('',#4506,5.E-1); +#4508=CARTESIAN_POINT('',(9.01446E1,7.60222E1,5.311724784545E0)); +#4509=LINE('',#4508,#4507); +#4510=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4511=VECTOR('',#4510,8.128E-1); +#4512=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.811724784545E0)); +#4513=LINE('',#4512,#4511); +#4514=DIRECTION('',(0.E0,0.E0,1.E0)); +#4515=VECTOR('',#4514,5.E-1); +#4516=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.311724784545E0)); +#4517=LINE('',#4516,#4515); +#4518=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4519=VECTOR('',#4518,8.128E-1); +#4520=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.311724784545E0)); +#4521=LINE('',#4520,#4519); +#4522=DIRECTION('',(0.E0,0.E0,1.E0)); +#4523=VECTOR('',#4522,5.E-1); +#4524=CARTESIAN_POINT('',(9.01446E1,7.47522E1,5.311724784545E0)); +#4525=LINE('',#4524,#4523); +#4526=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4527=VECTOR('',#4526,8.128E-1); +#4528=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.811724784545E0)); +#4529=LINE('',#4528,#4527); +#4530=DIRECTION('',(0.E0,0.E0,1.E0)); +#4531=VECTOR('',#4530,5.E-1); +#4532=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.311724784545E0)); +#4533=LINE('',#4532,#4531); +#4534=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4535=VECTOR('',#4534,8.128E-1); +#4536=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.311724784545E0)); +#4537=LINE('',#4536,#4535); +#4538=DIRECTION('',(0.E0,0.E0,1.E0)); +#4539=VECTOR('',#4538,5.E-1); +#4540=CARTESIAN_POINT('',(9.01446E1,7.34822E1,5.311724784545E0)); +#4541=LINE('',#4540,#4539); +#4542=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4543=VECTOR('',#4542,8.128E-1); +#4544=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.811724784545E0)); +#4545=LINE('',#4544,#4543); +#4546=DIRECTION('',(0.E0,0.E0,1.E0)); +#4547=VECTOR('',#4546,5.E-1); +#4548=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.311724784545E0)); +#4549=LINE('',#4548,#4547); +#4550=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4551=VECTOR('',#4550,8.128E-1); +#4552=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.311724784545E0)); +#4553=LINE('',#4552,#4551); +#4554=DIRECTION('',(0.E0,0.E0,1.E0)); +#4555=VECTOR('',#4554,5.E-1); +#4556=CARTESIAN_POINT('',(9.01446E1,7.22122E1,5.311724784545E0)); +#4557=LINE('',#4556,#4555); +#4558=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4559=VECTOR('',#4558,8.128E-1); +#4560=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.811724784545E0)); +#4561=LINE('',#4560,#4559); +#4562=DIRECTION('',(0.E0,0.E0,1.E0)); +#4563=VECTOR('',#4562,5.E-1); +#4564=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.311724784545E0)); +#4565=LINE('',#4564,#4563); +#4566=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4567=VECTOR('',#4566,5.08E0); +#4568=CARTESIAN_POINT('',(9.52246E1,7.69366E1,6.311724784545E0)); +#4569=LINE('',#4568,#4567); +#4570=DIRECTION('',(0.E0,1.E0,0.E0)); +#4571=VECTOR('',#4570,4.826E0); +#4572=CARTESIAN_POINT('',(9.52246E1,7.21106E1,6.311724784545E0)); +#4573=LINE('',#4572,#4571); +#4574=DIRECTION('',(1.E0,0.E0,0.E0)); +#4575=VECTOR('',#4574,5.08E0); +#4576=CARTESIAN_POINT('',(9.01446E1,7.21106E1,6.311724784545E0)); +#4577=LINE('',#4576,#4575); +#4578=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4579=VECTOR('',#4578,4.826E0); +#4580=CARTESIAN_POINT('',(9.01446E1,7.69366E1,6.311724784545E0)); +#4581=LINE('',#4580,#4579); +#4582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4583=VECTOR('',#4582,4.826E-1); +#4584=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.311724784545E0)); +#4585=LINE('',#4584,#4583); +#4586=DIRECTION('',(1.E0,0.E0,0.E0)); +#4587=VECTOR('',#4586,4.826E-1); +#4588=CARTESIAN_POINT('',(8.9662E1,7.60222E1,5.311724784545E0)); +#4589=LINE('',#4588,#4587); +#4590=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4591=VECTOR('',#4590,4.826E-1); +#4592=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.311724784545E0)); +#4593=LINE('',#4592,#4591); +#4594=DIRECTION('',(1.E0,0.E0,0.E0)); +#4595=VECTOR('',#4594,4.826E-1); +#4596=CARTESIAN_POINT('',(8.9662E1,7.47522E1,5.311724784545E0)); +#4597=LINE('',#4596,#4595); +#4598=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4599=VECTOR('',#4598,4.825999999999E-1); +#4600=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.311724784545E0)); +#4601=LINE('',#4600,#4599); +#4602=DIRECTION('',(1.E0,0.E0,0.E0)); +#4603=VECTOR('',#4602,4.826E-1); +#4604=CARTESIAN_POINT('',(8.9662E1,7.34822E1,5.311724784545E0)); +#4605=LINE('',#4604,#4603); +#4606=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4607=VECTOR('',#4606,4.826E-1); +#4608=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.311724784545E0)); +#4609=LINE('',#4608,#4607); +#4610=DIRECTION('',(1.E0,0.E0,0.E0)); +#4611=VECTOR('',#4610,4.826E-1); +#4612=CARTESIAN_POINT('',(8.9662E1,7.22122E1,5.311724784545E0)); +#4613=LINE('',#4612,#4611); +#4614=DIRECTION('',(1.E0,0.E0,0.E0)); +#4615=VECTOR('',#4614,4.826E-1); +#4616=CARTESIAN_POINT('',(9.52246E1,7.60222E1,5.311724784545E0)); +#4617=LINE('',#4616,#4615); +#4618=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4619=VECTOR('',#4618,4.826E-1); +#4620=CARTESIAN_POINT('',(9.57072E1,7.6835E1,5.311724784545E0)); +#4621=LINE('',#4620,#4619); +#4622=DIRECTION('',(1.E0,0.E0,0.E0)); +#4623=VECTOR('',#4622,4.826E-1); +#4624=CARTESIAN_POINT('',(9.52246E1,7.47522E1,5.311724784545E0)); +#4625=LINE('',#4624,#4623); +#4626=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4627=VECTOR('',#4626,4.826E-1); +#4628=CARTESIAN_POINT('',(9.57072E1,7.5565E1,5.311724784545E0)); +#4629=LINE('',#4628,#4627); +#4630=DIRECTION('',(1.E0,0.E0,0.E0)); +#4631=VECTOR('',#4630,4.826E-1); +#4632=CARTESIAN_POINT('',(9.52246E1,7.34822E1,5.311724784545E0)); +#4633=LINE('',#4632,#4631); +#4634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4635=VECTOR('',#4634,4.826E-1); +#4636=CARTESIAN_POINT('',(9.57072E1,7.4295E1,5.311724784545E0)); +#4637=LINE('',#4636,#4635); +#4638=DIRECTION('',(1.E0,0.E0,0.E0)); +#4639=VECTOR('',#4638,4.826E-1); +#4640=CARTESIAN_POINT('',(9.52246E1,7.22122E1,5.311724784545E0)); +#4641=LINE('',#4640,#4639); +#4642=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4643=VECTOR('',#4642,4.826E-1); +#4644=CARTESIAN_POINT('',(9.57072E1,7.3025E1,5.311724784545E0)); +#4645=LINE('',#4644,#4643); +#4646=DIRECTION('',(1.E0,0.E0,0.E0)); +#4647=VECTOR('',#4646,1.6764E0); +#4648=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.311724784545E0)); +#4649=LINE('',#4648,#4647); +#4650=DIRECTION('',(0.E0,0.E0,1.E0)); +#4651=VECTOR('',#4650,1.E0); +#4652=CARTESIAN_POINT('',(8.9662E1,7.6835E1,4.311724784545E0)); +#4653=LINE('',#4652,#4651); +#4654=DIRECTION('',(0.E0,0.E0,1.E0)); +#4655=VECTOR('',#4654,1.E0); +#4656=CARTESIAN_POINT('',(9.61136E1,7.6835E1,4.811724784545E0)); +#4657=LINE('',#4656,#4655); +#4658=DIRECTION('',(1.E0,0.E0,0.E0)); +#4659=VECTOR('',#4658,1.6764E0); +#4660=CARTESIAN_POINT('',(9.57072E1,7.6835E1,4.311724784545E0)); +#4661=LINE('',#4660,#4659); +#4662=DIRECTION('',(0.E0,0.E0,1.E0)); +#4663=VECTOR('',#4662,5.E-1); +#4664=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.311724784545E0)); +#4665=LINE('',#4664,#4663); +#4666=DIRECTION('',(0.E0,0.E0,1.E0)); +#4667=VECTOR('',#4666,1.E0); +#4668=CARTESIAN_POINT('',(8.92556E1,7.6835E1,4.811724784545E0)); +#4669=LINE('',#4668,#4667); +#4670=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4671=VECTOR('',#4670,1.E0); +#4672=CARTESIAN_POINT('',(8.92556E1,7.60222E1,5.811724784545E0)); +#4673=LINE('',#4672,#4671); +#4674=DIRECTION('',(1.E0,0.E0,0.E0)); +#4675=VECTOR('',#4674,8.89E-1); +#4676=CARTESIAN_POINT('',(8.92556E1,7.60222E1,5.811724784545E0)); +#4677=LINE('',#4676,#4675); +#4678=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4679=VECTOR('',#4678,8.128E-1); +#4680=CARTESIAN_POINT('',(8.92556E1,7.6835E1,5.811724784545E0)); +#4681=LINE('',#4680,#4679); +#4682=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4683=VECTOR('',#4682,8.89E-1); +#4684=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.811724784545E0)); +#4685=LINE('',#4684,#4683); +#4686=DIRECTION('',(1.E0,0.E0,0.E0)); +#4687=VECTOR('',#4686,8.89E-1); +#4688=CARTESIAN_POINT('',(8.92556E1,7.47522E1,5.811724784545E0)); +#4689=LINE('',#4688,#4687); +#4690=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4691=VECTOR('',#4690,8.128E-1); +#4692=CARTESIAN_POINT('',(8.92556E1,7.5565E1,5.811724784545E0)); +#4693=LINE('',#4692,#4691); +#4694=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4695=VECTOR('',#4694,8.89E-1); +#4696=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.811724784545E0)); +#4697=LINE('',#4696,#4695); +#4698=DIRECTION('',(1.E0,0.E0,0.E0)); +#4699=VECTOR('',#4698,8.89E-1); +#4700=CARTESIAN_POINT('',(8.92556E1,7.34822E1,5.811724784545E0)); +#4701=LINE('',#4700,#4699); +#4702=DIRECTION('',(-1.748382715945E-14,-1.E0,0.E0)); +#4703=VECTOR('',#4702,8.128E-1); +#4704=CARTESIAN_POINT('',(8.92556E1,7.4295E1,5.811724784545E0)); +#4705=LINE('',#4704,#4703); +#4706=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4707=VECTOR('',#4706,8.89E-1); +#4708=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.811724784545E0)); +#4709=LINE('',#4708,#4707); +#4710=DIRECTION('',(1.E0,0.E0,0.E0)); +#4711=VECTOR('',#4710,8.89E-1); +#4712=CARTESIAN_POINT('',(8.92556E1,7.22122E1,5.811724784545E0)); +#4713=LINE('',#4712,#4711); +#4714=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4715=VECTOR('',#4714,8.128E-1); +#4716=CARTESIAN_POINT('',(8.92556E1,7.3025E1,5.811724784545E0)); +#4717=LINE('',#4716,#4715); +#4718=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4719=VECTOR('',#4718,8.89E-1); +#4720=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.811724784545E0)); +#4721=LINE('',#4720,#4719); +#4722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4723=VECTOR('',#4722,8.89E-1); +#4724=CARTESIAN_POINT('',(9.61136E1,7.6835E1,5.811724784545E0)); +#4725=LINE('',#4724,#4723); +#4726=DIRECTION('',(0.E0,1.E0,0.E0)); +#4727=VECTOR('',#4726,8.128E-1); +#4728=CARTESIAN_POINT('',(9.61136E1,7.60222E1,5.811724784545E0)); +#4729=LINE('',#4728,#4727); +#4730=DIRECTION('',(1.E0,0.E0,0.E0)); +#4731=VECTOR('',#4730,8.89E-1); +#4732=CARTESIAN_POINT('',(9.52246E1,7.60222E1,5.811724784545E0)); +#4733=LINE('',#4732,#4731); +#4734=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4735=VECTOR('',#4734,8.89E-1); +#4736=CARTESIAN_POINT('',(9.61136E1,7.5565E1,5.811724784545E0)); +#4737=LINE('',#4736,#4735); +#4738=DIRECTION('',(0.E0,1.E0,0.E0)); +#4739=VECTOR('',#4738,8.128E-1); +#4740=CARTESIAN_POINT('',(9.61136E1,7.47522E1,5.811724784545E0)); +#4741=LINE('',#4740,#4739); +#4742=DIRECTION('',(1.E0,0.E0,0.E0)); +#4743=VECTOR('',#4742,8.89E-1); +#4744=CARTESIAN_POINT('',(9.52246E1,7.47522E1,5.811724784545E0)); +#4745=LINE('',#4744,#4743); +#4746=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4747=VECTOR('',#4746,8.89E-1); +#4748=CARTESIAN_POINT('',(9.61136E1,7.4295E1,5.811724784545E0)); +#4749=LINE('',#4748,#4747); +#4750=DIRECTION('',(0.E0,1.E0,0.E0)); +#4751=VECTOR('',#4750,8.128E-1); +#4752=CARTESIAN_POINT('',(9.61136E1,7.34822E1,5.811724784545E0)); +#4753=LINE('',#4752,#4751); +#4754=DIRECTION('',(1.E0,0.E0,0.E0)); +#4755=VECTOR('',#4754,8.89E-1); +#4756=CARTESIAN_POINT('',(9.52246E1,7.34822E1,5.811724784545E0)); +#4757=LINE('',#4756,#4755); +#4758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4759=VECTOR('',#4758,8.89E-1); +#4760=CARTESIAN_POINT('',(9.61136E1,7.3025E1,5.811724784545E0)); +#4761=LINE('',#4760,#4759); +#4762=DIRECTION('',(0.E0,1.E0,0.E0)); +#4763=VECTOR('',#4762,8.128E-1); +#4764=CARTESIAN_POINT('',(9.61136E1,7.22122E1,5.811724784545E0)); +#4765=LINE('',#4764,#4763); +#4766=DIRECTION('',(1.E0,0.E0,0.E0)); +#4767=VECTOR('',#4766,8.89E-1); +#4768=CARTESIAN_POINT('',(9.52246E1,7.22122E1,5.811724784545E0)); +#4769=LINE('',#4768,#4767); +#4770=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4771=VECTOR('',#4770,1.6764E0); +#4772=CARTESIAN_POINT('',(8.9662E1,7.60222E1,4.311724784545E0)); +#4773=LINE('',#4772,#4771); +#4774=DIRECTION('',(0.E0,0.E0,1.E0)); +#4775=VECTOR('',#4774,5.E-1); +#4776=CARTESIAN_POINT('',(8.79856E1,7.60222E1,4.311724784545E0)); +#4777=LINE('',#4776,#4775); +#4778=DIRECTION('',(0.E0,0.E0,1.E0)); +#4779=VECTOR('',#4778,1.E0); +#4780=CARTESIAN_POINT('',(9.57072E1,7.60222E1,4.311724784545E0)); +#4781=LINE('',#4780,#4779); +#4782=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4783=VECTOR('',#4782,1.6764E0); +#4784=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.311724784545E0)); +#4785=LINE('',#4784,#4783); +#4786=DIRECTION('',(0.E0,1.E0,0.E0)); +#4787=VECTOR('',#4786,8.128E-1); +#4788=CARTESIAN_POINT('',(8.9662E1,7.60222E1,5.311724784545E0)); +#4789=LINE('',#4788,#4787); +#4790=DIRECTION('',(0.E0,0.E0,1.E0)); +#4791=VECTOR('',#4790,1.E0); +#4792=CARTESIAN_POINT('',(8.9662E1,7.60222E1,4.311724784545E0)); +#4793=LINE('',#4792,#4791); +#4794=DIRECTION('',(0.E0,0.E0,1.E0)); +#4795=VECTOR('',#4794,5.E-1); +#4796=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.311724784545E0)); +#4797=LINE('',#4796,#4795); +#4798=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4799=VECTOR('',#4798,8.128E-1); +#4800=CARTESIAN_POINT('',(8.92556E1,7.6835E1,4.811724784545E0)); +#4801=LINE('',#4800,#4799); +#4802=DIRECTION('',(1.E0,0.E0,0.E0)); +#4803=VECTOR('',#4802,1.27E0); +#4804=CARTESIAN_POINT('',(8.79856E1,7.60222E1,4.811724784545E0)); +#4805=LINE('',#4804,#4803); +#4806=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4807=VECTOR('',#4806,8.128E-1); +#4808=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.811724784545E0)); +#4809=LINE('',#4808,#4807); +#4810=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4811=VECTOR('',#4810,1.27E0); +#4812=CARTESIAN_POINT('',(8.92556E1,7.6835E1,4.811724784545E0)); +#4813=LINE('',#4812,#4811); +#4814=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4815=VECTOR('',#4814,8.128E-1); +#4816=CARTESIAN_POINT('',(8.92556E1,7.5565E1,4.811724784545E0)); +#4817=LINE('',#4816,#4815); +#4818=DIRECTION('',(1.E0,0.E0,0.E0)); +#4819=VECTOR('',#4818,1.27E0); +#4820=CARTESIAN_POINT('',(8.79856E1,7.47522E1,4.811724784545E0)); +#4821=LINE('',#4820,#4819); +#4822=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4823=VECTOR('',#4822,8.128E-1); +#4824=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.811724784545E0)); +#4825=LINE('',#4824,#4823); +#4826=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4827=VECTOR('',#4826,1.27E0); +#4828=CARTESIAN_POINT('',(8.92556E1,7.5565E1,4.811724784545E0)); +#4829=LINE('',#4828,#4827); +#4830=DIRECTION('',(-1.748382715945E-14,-1.E0,0.E0)); +#4831=VECTOR('',#4830,8.128E-1); +#4832=CARTESIAN_POINT('',(8.92556E1,7.4295E1,4.811724784545E0)); +#4833=LINE('',#4832,#4831); +#4834=DIRECTION('',(1.E0,0.E0,0.E0)); +#4835=VECTOR('',#4834,1.27E0); +#4836=CARTESIAN_POINT('',(8.79856E1,7.34822E1,4.811724784545E0)); +#4837=LINE('',#4836,#4835); +#4838=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4839=VECTOR('',#4838,8.128E-1); +#4840=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.811724784545E0)); +#4841=LINE('',#4840,#4839); +#4842=DIRECTION('',(-1.E0,-1.118964938205E-14,0.E0)); +#4843=VECTOR('',#4842,1.27E0); +#4844=CARTESIAN_POINT('',(8.92556E1,7.4295E1,4.811724784545E0)); +#4845=LINE('',#4844,#4843); +#4846=DIRECTION('',(0.E0,1.E0,0.E0)); +#4847=VECTOR('',#4846,8.128E-1); +#4848=CARTESIAN_POINT('',(8.92556E1,7.22122E1,4.811724784545E0)); +#4849=LINE('',#4848,#4847); +#4850=DIRECTION('',(1.E0,0.E0,0.E0)); +#4851=VECTOR('',#4850,1.27E0); +#4852=CARTESIAN_POINT('',(8.79856E1,7.22122E1,4.811724784545E0)); +#4853=LINE('',#4852,#4851); +#4854=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4855=VECTOR('',#4854,8.128E-1); +#4856=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.811724784545E0)); +#4857=LINE('',#4856,#4855); +#4858=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4859=VECTOR('',#4858,1.27E0); +#4860=CARTESIAN_POINT('',(8.92556E1,7.3025E1,4.811724784545E0)); +#4861=LINE('',#4860,#4859); +#4862=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4863=VECTOR('',#4862,8.128E-1); +#4864=CARTESIAN_POINT('',(9.61136E1,7.6835E1,4.811724784545E0)); +#4865=LINE('',#4864,#4863); +#4866=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4867=VECTOR('',#4866,1.27E0); +#4868=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.811724784545E0)); +#4869=LINE('',#4868,#4867); +#4870=DIRECTION('',(0.E0,1.E0,0.E0)); +#4871=VECTOR('',#4870,8.128E-1); +#4872=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.811724784545E0)); +#4873=LINE('',#4872,#4871); +#4874=DIRECTION('',(1.E0,0.E0,0.E0)); +#4875=VECTOR('',#4874,1.27E0); +#4876=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.811724784545E0)); +#4877=LINE('',#4876,#4875); +#4878=DIRECTION('',(0.E0,1.E0,0.E0)); +#4879=VECTOR('',#4878,8.128E-1); +#4880=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.811724784545E0)); +#4881=LINE('',#4880,#4879); +#4882=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4883=VECTOR('',#4882,1.27E0); +#4884=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.811724784545E0)); +#4885=LINE('',#4884,#4883); +#4886=DIRECTION('',(0.E0,1.E0,0.E0)); +#4887=VECTOR('',#4886,8.128E-1); +#4888=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.811724784545E0)); +#4889=LINE('',#4888,#4887); +#4890=DIRECTION('',(1.E0,0.E0,0.E0)); +#4891=VECTOR('',#4890,1.27E0); +#4892=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.811724784545E0)); +#4893=LINE('',#4892,#4891); +#4894=DIRECTION('',(0.E0,1.E0,0.E0)); +#4895=VECTOR('',#4894,8.128E-1); +#4896=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.811724784545E0)); +#4897=LINE('',#4896,#4895); +#4898=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4899=VECTOR('',#4898,1.27E0); +#4900=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.811724784545E0)); +#4901=LINE('',#4900,#4899); +#4902=DIRECTION('',(0.E0,1.E0,0.E0)); +#4903=VECTOR('',#4902,8.128E-1); +#4904=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.811724784545E0)); +#4905=LINE('',#4904,#4903); +#4906=DIRECTION('',(1.E0,0.E0,0.E0)); +#4907=VECTOR('',#4906,1.27E0); +#4908=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.811724784545E0)); +#4909=LINE('',#4908,#4907); +#4910=DIRECTION('',(0.E0,1.E0,0.E0)); +#4911=VECTOR('',#4910,8.128E-1); +#4912=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.811724784545E0)); +#4913=LINE('',#4912,#4911); +#4914=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4915=VECTOR('',#4914,1.27E0); +#4916=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.811724784545E0)); +#4917=LINE('',#4916,#4915); +#4918=DIRECTION('',(0.E0,1.E0,0.E0)); +#4919=VECTOR('',#4918,8.128E-1); +#4920=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.811724784545E0)); +#4921=LINE('',#4920,#4919); +#4922=DIRECTION('',(1.E0,0.E0,0.E0)); +#4923=VECTOR('',#4922,1.27E0); +#4924=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.811724784545E0)); +#4925=LINE('',#4924,#4923); +#4926=DIRECTION('',(0.E0,0.E0,1.E0)); +#4927=VECTOR('',#4926,1.E0); +#4928=CARTESIAN_POINT('',(8.92556E1,7.5565E1,4.811724784545E0)); +#4929=LINE('',#4928,#4927); +#4930=DIRECTION('',(1.E0,0.E0,0.E0)); +#4931=VECTOR('',#4930,1.6764E0); +#4932=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.311724784545E0)); +#4933=LINE('',#4932,#4931); +#4934=DIRECTION('',(0.E0,0.E0,1.E0)); +#4935=VECTOR('',#4934,1.E0); +#4936=CARTESIAN_POINT('',(8.9662E1,7.5565E1,4.311724784545E0)); +#4937=LINE('',#4936,#4935); +#4938=DIRECTION('',(0.E0,0.E0,1.E0)); +#4939=VECTOR('',#4938,1.E0); +#4940=CARTESIAN_POINT('',(9.61136E1,7.5565E1,4.811724784545E0)); +#4941=LINE('',#4940,#4939); +#4942=DIRECTION('',(1.E0,0.E0,0.E0)); +#4943=VECTOR('',#4942,1.6764E0); +#4944=CARTESIAN_POINT('',(9.57072E1,7.5565E1,4.311724784545E0)); +#4945=LINE('',#4944,#4943); +#4946=DIRECTION('',(0.E0,0.E0,1.E0)); +#4947=VECTOR('',#4946,5.E-1); +#4948=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.311724784545E0)); +#4949=LINE('',#4948,#4947); +#4950=DIRECTION('',(0.E0,0.E0,1.E0)); +#4951=VECTOR('',#4950,5.E-1); +#4952=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.311724784545E0)); +#4953=LINE('',#4952,#4951); +#4954=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4955=VECTOR('',#4954,1.6764E0); +#4956=CARTESIAN_POINT('',(8.9662E1,7.47522E1,4.311724784545E0)); +#4957=LINE('',#4956,#4955); +#4958=DIRECTION('',(0.E0,0.E0,1.E0)); +#4959=VECTOR('',#4958,5.E-1); +#4960=CARTESIAN_POINT('',(8.79856E1,7.47522E1,4.311724784545E0)); +#4961=LINE('',#4960,#4959); +#4962=DIRECTION('',(0.E0,0.E0,1.E0)); +#4963=VECTOR('',#4962,1.E0); +#4964=CARTESIAN_POINT('',(8.92556E1,7.47522E1,4.811724784545E0)); +#4965=LINE('',#4964,#4963); +#4966=DIRECTION('',(0.E0,1.421085471520E-14,1.E0)); +#4967=VECTOR('',#4966,1.E0); +#4968=CARTESIAN_POINT('',(9.57072E1,7.47522E1,4.311724784545E0)); +#4969=LINE('',#4968,#4967); +#4970=DIRECTION('',(0.E0,0.E0,-1.E0)); +#4971=VECTOR('',#4970,1.E0); +#4972=CARTESIAN_POINT('',(9.61136E1,7.47522E1,5.811724784545E0)); +#4973=LINE('',#4972,#4971); +#4974=DIRECTION('',(-1.E0,0.E0,0.E0)); +#4975=VECTOR('',#4974,1.6764E0); +#4976=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.311724784545E0)); +#4977=LINE('',#4976,#4975); +#4978=DIRECTION('',(0.E0,1.E0,0.E0)); +#4979=VECTOR('',#4978,8.128E-1); +#4980=CARTESIAN_POINT('',(8.9662E1,7.47522E1,5.311724784545E0)); +#4981=LINE('',#4980,#4979); +#4982=DIRECTION('',(0.E0,0.E0,1.E0)); +#4983=VECTOR('',#4982,1.E0); +#4984=CARTESIAN_POINT('',(8.9662E1,7.47522E1,4.311724784545E0)); +#4985=LINE('',#4984,#4983); +#4986=DIRECTION('',(0.E0,-1.E0,0.E0)); +#4987=VECTOR('',#4986,8.128E-1); +#4988=CARTESIAN_POINT('',(9.57072E1,7.5565E1,5.311724784545E0)); +#4989=LINE('',#4988,#4987); +#4990=DIRECTION('',(0.E0,0.E0,1.E0)); +#4991=VECTOR('',#4990,1.E0); +#4992=CARTESIAN_POINT('',(9.57072E1,7.5565E1,4.311724784545E0)); +#4993=LINE('',#4992,#4991); +#4994=DIRECTION('',(0.E0,0.E0,1.E0)); +#4995=VECTOR('',#4994,5.E-1); +#4996=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.311724784545E0)); +#4997=LINE('',#4996,#4995); +#4998=DIRECTION('',(0.E0,4.263256414561E-14,1.E0)); +#4999=VECTOR('',#4998,1.E0); +#5000=CARTESIAN_POINT('',(8.92556E1,7.4295E1,4.811724784545E0)); +#5001=LINE('',#5000,#4999); +#5002=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5003=VECTOR('',#5002,1.E0); +#5004=CARTESIAN_POINT('',(8.92556E1,7.34822E1,5.811724784545E0)); +#5005=LINE('',#5004,#5003); +#5006=DIRECTION('',(1.E0,0.E0,0.E0)); +#5007=VECTOR('',#5006,1.6764E0); +#5008=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.311724784545E0)); +#5009=LINE('',#5008,#5007); +#5010=DIRECTION('',(0.E0,1.421085471520E-14,1.E0)); +#5011=VECTOR('',#5010,1.E0); +#5012=CARTESIAN_POINT('',(8.9662E1,7.4295E1,4.311724784545E0)); +#5013=LINE('',#5012,#5011); +#5014=DIRECTION('',(0.E0,0.E0,1.E0)); +#5015=VECTOR('',#5014,1.E0); +#5016=CARTESIAN_POINT('',(9.61136E1,7.4295E1,4.811724784545E0)); +#5017=LINE('',#5016,#5015); +#5018=DIRECTION('',(1.E0,0.E0,0.E0)); +#5019=VECTOR('',#5018,1.6764E0); +#5020=CARTESIAN_POINT('',(9.57072E1,7.4295E1,4.311724784545E0)); +#5021=LINE('',#5020,#5019); +#5022=DIRECTION('',(0.E0,0.E0,1.E0)); +#5023=VECTOR('',#5022,5.E-1); +#5024=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.311724784545E0)); +#5025=LINE('',#5024,#5023); +#5026=DIRECTION('',(0.E0,2.842170943040E-14,1.E0)); +#5027=VECTOR('',#5026,5.E-1); +#5028=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.311724784545E0)); +#5029=LINE('',#5028,#5027); +#5030=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5031=VECTOR('',#5030,1.6764E0); +#5032=CARTESIAN_POINT('',(8.9662E1,7.34822E1,4.311724784545E0)); +#5033=LINE('',#5032,#5031); +#5034=DIRECTION('',(0.E0,0.E0,1.E0)); +#5035=VECTOR('',#5034,5.E-1); +#5036=CARTESIAN_POINT('',(8.79856E1,7.34822E1,4.311724784545E0)); +#5037=LINE('',#5036,#5035); +#5038=DIRECTION('',(0.E0,0.E0,1.E0)); +#5039=VECTOR('',#5038,1.E0); +#5040=CARTESIAN_POINT('',(9.57072E1,7.34822E1,4.311724784545E0)); +#5041=LINE('',#5040,#5039); +#5042=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5043=VECTOR('',#5042,1.6764E0); +#5044=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.311724784545E0)); +#5045=LINE('',#5044,#5043); +#5046=DIRECTION('',(3.496765431890E-14,1.E0,0.E0)); +#5047=VECTOR('',#5046,8.128E-1); +#5048=CARTESIAN_POINT('',(8.9662E1,7.34822E1,5.311724784545E0)); +#5049=LINE('',#5048,#5047); +#5050=DIRECTION('',(0.E0,0.E0,1.E0)); +#5051=VECTOR('',#5050,1.E0); +#5052=CARTESIAN_POINT('',(8.9662E1,7.34822E1,4.311724784545E0)); +#5053=LINE('',#5052,#5051); +#5054=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5055=VECTOR('',#5054,8.128E-1); +#5056=CARTESIAN_POINT('',(9.57072E1,7.4295E1,5.311724784545E0)); +#5057=LINE('',#5056,#5055); +#5058=DIRECTION('',(0.E0,0.E0,1.E0)); +#5059=VECTOR('',#5058,1.E0); +#5060=CARTESIAN_POINT('',(9.57072E1,7.4295E1,4.311724784545E0)); +#5061=LINE('',#5060,#5059); +#5062=DIRECTION('',(0.E0,0.E0,1.E0)); +#5063=VECTOR('',#5062,1.E0); +#5064=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.811724784545E0)); +#5065=LINE('',#5064,#5063); +#5066=DIRECTION('',(0.E0,0.E0,1.E0)); +#5067=VECTOR('',#5066,5.E-1); +#5068=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.311724784545E0)); +#5069=LINE('',#5068,#5067); +#5070=DIRECTION('',(0.E0,1.421085471520E-14,1.E0)); +#5071=VECTOR('',#5070,1.E0); +#5072=CARTESIAN_POINT('',(8.92556E1,7.3025E1,4.811724784545E0)); +#5073=LINE('',#5072,#5071); +#5074=DIRECTION('',(1.E0,0.E0,0.E0)); +#5075=VECTOR('',#5074,1.6764E0); +#5076=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.311724784545E0)); +#5077=LINE('',#5076,#5075); +#5078=DIRECTION('',(0.E0,1.421085471520E-14,1.E0)); +#5079=VECTOR('',#5078,1.E0); +#5080=CARTESIAN_POINT('',(8.9662E1,7.3025E1,4.311724784545E0)); +#5081=LINE('',#5080,#5079); +#5082=DIRECTION('',(0.E0,0.E0,1.E0)); +#5083=VECTOR('',#5082,1.E0); +#5084=CARTESIAN_POINT('',(9.61136E1,7.3025E1,4.811724784545E0)); +#5085=LINE('',#5084,#5083); +#5086=DIRECTION('',(1.E0,0.E0,0.E0)); +#5087=VECTOR('',#5086,1.6764E0); +#5088=CARTESIAN_POINT('',(9.57072E1,7.3025E1,4.311724784545E0)); +#5089=LINE('',#5088,#5087); +#5090=DIRECTION('',(0.E0,0.E0,1.E0)); +#5091=VECTOR('',#5090,5.E-1); +#5092=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.311724784545E0)); +#5093=LINE('',#5092,#5091); +#5094=DIRECTION('',(0.E0,0.E0,1.E0)); +#5095=VECTOR('',#5094,5.E-1); +#5096=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.311724784545E0)); +#5097=LINE('',#5096,#5095); +#5098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5099=VECTOR('',#5098,1.6764E0); +#5100=CARTESIAN_POINT('',(8.9662E1,7.22122E1,4.311724784545E0)); +#5101=LINE('',#5100,#5099); +#5102=DIRECTION('',(0.E0,0.E0,1.E0)); +#5103=VECTOR('',#5102,5.E-1); +#5104=CARTESIAN_POINT('',(8.79856E1,7.22122E1,4.311724784545E0)); +#5105=LINE('',#5104,#5103); +#5106=DIRECTION('',(0.E0,0.E0,1.E0)); +#5107=VECTOR('',#5106,1.E0); +#5108=CARTESIAN_POINT('',(8.92556E1,7.22122E1,4.811724784545E0)); +#5109=LINE('',#5108,#5107); +#5110=DIRECTION('',(0.E0,0.E0,1.E0)); +#5111=VECTOR('',#5110,1.E0); +#5112=CARTESIAN_POINT('',(9.57072E1,7.22122E1,4.311724784545E0)); +#5113=LINE('',#5112,#5111); +#5114=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5115=VECTOR('',#5114,1.E0); +#5116=CARTESIAN_POINT('',(9.61136E1,7.22122E1,5.811724784545E0)); +#5117=LINE('',#5116,#5115); +#5118=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5119=VECTOR('',#5118,1.6764E0); +#5120=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.311724784545E0)); +#5121=LINE('',#5120,#5119); +#5122=DIRECTION('',(0.E0,1.E0,0.E0)); +#5123=VECTOR('',#5122,8.128E-1); +#5124=CARTESIAN_POINT('',(8.9662E1,7.22122E1,5.311724784545E0)); +#5125=LINE('',#5124,#5123); +#5126=DIRECTION('',(0.E0,0.E0,1.E0)); +#5127=VECTOR('',#5126,1.E0); +#5128=CARTESIAN_POINT('',(8.9662E1,7.22122E1,4.311724784545E0)); +#5129=LINE('',#5128,#5127); +#5130=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5131=VECTOR('',#5130,8.128E-1); +#5132=CARTESIAN_POINT('',(9.57072E1,7.3025E1,5.311724784545E0)); +#5133=LINE('',#5132,#5131); +#5134=DIRECTION('',(0.E0,0.E0,1.E0)); +#5135=VECTOR('',#5134,1.E0); +#5136=CARTESIAN_POINT('',(9.57072E1,7.3025E1,4.311724784545E0)); +#5137=LINE('',#5136,#5135); +#5138=DIRECTION('',(0.E0,0.E0,1.E0)); +#5139=VECTOR('',#5138,5.E-1); +#5140=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.311724784545E0)); +#5141=LINE('',#5140,#5139); +#5142=DIRECTION('',(0.E0,0.E0,1.E0)); +#5143=VECTOR('',#5142,1.E0); +#5144=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.811724784545E0)); +#5145=LINE('',#5144,#5143); +#5146=DIRECTION('',(0.E0,0.E0,1.E0)); +#5147=VECTOR('',#5146,5.E-1); +#5148=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.311724784545E0)); +#5149=LINE('',#5148,#5147); +#5150=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5151=VECTOR('',#5150,8.128E-1); +#5152=CARTESIAN_POINT('',(9.57072E1,7.6835E1,5.311724784545E0)); +#5153=LINE('',#5152,#5151); +#5154=DIRECTION('',(0.E0,0.E0,1.E0)); +#5155=VECTOR('',#5154,1.E0); +#5156=CARTESIAN_POINT('',(9.57072E1,7.6835E1,4.311724784545E0)); +#5157=LINE('',#5156,#5155); +#5158=DIRECTION('',(0.E0,0.E0,1.E0)); +#5159=VECTOR('',#5158,2.E-1); +#5160=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.311724784545E0)); +#5161=LINE('',#5160,#5159); +#5162=DIRECTION('',(0.E0,0.E0,1.E0)); +#5163=VECTOR('',#5162,2.E-1); +#5164=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.311724784545E0)); +#5165=LINE('',#5164,#5163); +#5166=DIRECTION('',(0.E0,1.E0,0.E0)); +#5167=VECTOR('',#5166,5.603189E0); +#5168=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.511724784545E0)); +#5169=LINE('',#5168,#5167); +#5170=DIRECTION('',(0.E0,0.E0,1.E0)); +#5171=VECTOR('',#5170,2.E-1); +#5172=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.311724784545E0)); +#5173=LINE('',#5172,#5171); +#5174=DIRECTION('',(0.E0,0.E0,1.E0)); +#5175=VECTOR('',#5174,8.E-1); +#5176=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,4.311724784545E0)); +#5177=LINE('',#5176,#5175); +#5178=DIRECTION('',(0.E0,0.E0,1.E0)); +#5179=VECTOR('',#5178,2.E-1); +#5180=CARTESIAN_POINT('',(9.6946695E1,9.3530318E1,4.311724784545E0)); +#5181=LINE('',#5180,#5179); +#5182=DIRECTION('',(1.E0,0.E0,0.E0)); +#5183=VECTOR('',#5182,2.65392E-1); +#5184=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.511724784545E0)); +#5185=LINE('',#5184,#5183); +#5186=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5187=VECTOR('',#5186,5.603189E0); +#5188=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.511724784545E0)); +#5189=LINE('',#5188,#5187); +#5190=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5191=VECTOR('',#5190,2.65392E-1); +#5192=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.511724784545E0)); +#5193=LINE('',#5192,#5191); +#5194=DIRECTION('',(0.E0,1.E0,0.E0)); +#5195=VECTOR('',#5194,2.65392E-1); +#5196=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.511724784545E0)); +#5197=LINE('',#5196,#5195); +#5198=DIRECTION('',(1.E0,0.E0,0.E0)); +#5199=VECTOR('',#5198,5.603189E0); +#5200=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.511724784545E0)); +#5201=LINE('',#5200,#5199); +#5202=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5203=VECTOR('',#5202,2.65392E-1); +#5204=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.511724784545E0)); +#5205=LINE('',#5204,#5203); +#5206=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5207=VECTOR('',#5206,2.65391E-1); +#5208=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.511724784545E0)); +#5209=LINE('',#5208,#5207); +#5210=DIRECTION('',(0.E0,1.E0,0.E0)); +#5211=VECTOR('',#5210,5.603189E0); +#5212=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.511724784545E0)); +#5213=LINE('',#5212,#5211); +#5214=DIRECTION('',(1.E0,0.E0,0.E0)); +#5215=VECTOR('',#5214,2.65391E-1); +#5216=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.511724784545E0)); +#5217=LINE('',#5216,#5215); +#5218=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5219=VECTOR('',#5218,2.65392E-1); +#5220=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.511724784545E0)); +#5221=LINE('',#5220,#5219); +#5222=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5223=VECTOR('',#5222,5.603189E0); +#5224=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.511724784545E0)); +#5225=LINE('',#5224,#5223); +#5226=DIRECTION('',(0.E0,1.E0,0.E0)); +#5227=VECTOR('',#5226,2.65392E-1); +#5228=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.511724784545E0)); +#5229=LINE('',#5228,#5227); +#5230=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5231=VECTOR('',#5230,5.603189E0); +#5232=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.511724784545E0)); +#5233=LINE('',#5232,#5231); +#5234=DIRECTION('',(0.E0,0.E0,1.E0)); +#5235=VECTOR('',#5234,2.E-1); +#5236=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.311724784545E0)); +#5237=LINE('',#5236,#5235); +#5238=DIRECTION('',(0.E0,0.E0,1.E0)); +#5239=VECTOR('',#5238,8.E-1); +#5240=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,4.311724784545E0)); +#5241=LINE('',#5240,#5239); +#5242=DIRECTION('',(0.E0,0.E0,1.E0)); +#5243=VECTOR('',#5242,2.E-1); +#5244=CARTESIAN_POINT('',(8.9794258E1,8.7927129E1,4.311724784545E0)); +#5245=LINE('',#5244,#5243); +#5246=DIRECTION('',(0.E0,0.E0,1.E0)); +#5247=VECTOR('',#5246,2.E-1); +#5248=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.311724784545E0)); +#5249=LINE('',#5248,#5247); +#5250=DIRECTION('',(0.E0,0.E0,1.E0)); +#5251=VECTOR('',#5250,2.E-1); +#5252=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.311724784545E0)); +#5253=LINE('',#5252,#5251); +#5254=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5255=VECTOR('',#5254,5.603189E0); +#5256=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.511724784545E0)); +#5257=LINE('',#5256,#5255); +#5258=DIRECTION('',(0.E0,0.E0,1.E0)); +#5259=VECTOR('',#5258,2.E-1); +#5260=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.311724784545E0)); +#5261=LINE('',#5260,#5259); +#5262=DIRECTION('',(0.E0,0.E0,1.E0)); +#5263=VECTOR('',#5262,8.E-1); +#5264=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,4.311724784545E0)); +#5265=LINE('',#5264,#5263); +#5266=DIRECTION('',(0.E0,0.E0,1.E0)); +#5267=VECTOR('',#5266,2.E-1); +#5268=CARTESIAN_POINT('',(9.0568882E1,9.4304942E1,4.311724784545E0)); +#5269=LINE('',#5268,#5267); +#5270=DIRECTION('',(0.E0,0.E0,1.E0)); +#5271=VECTOR('',#5270,2.E-1); +#5272=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.311724784545E0)); +#5273=LINE('',#5272,#5271); +#5274=DIRECTION('',(0.E0,0.E0,1.E0)); +#5275=VECTOR('',#5274,2.E-1); +#5276=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.311724784545E0)); +#5277=LINE('',#5276,#5275); +#5278=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5279=VECTOR('',#5278,7.152437E0); +#5280=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,5.111724784545E0)); +#5281=LINE('',#5280,#5279); +#5282=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5283=VECTOR('',#5282,7.152437E0); +#5284=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,5.111724784545E0)); +#5285=LINE('',#5284,#5283); +#5286=DIRECTION('',(0.E0,1.E0,0.E0)); +#5287=VECTOR('',#5286,7.152437E0); +#5288=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,5.111724784545E0)); +#5289=LINE('',#5288,#5287); +#5290=DIRECTION('',(1.E0,0.E0,0.E0)); +#5291=VECTOR('',#5290,7.152437E0); +#5292=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,5.111724784545E0)); +#5293=LINE('',#5292,#5291); +#5294=DIRECTION('',(1.E0,0.E0,0.E0)); +#5295=VECTOR('',#5294,5.603189E0); +#5296=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.511724784545E0)); +#5297=LINE('',#5296,#5295); +#5298=DIRECTION('',(0.E0,0.E0,1.E0)); +#5299=VECTOR('',#5298,2.E-1); +#5300=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.311724784545E0)); +#5301=LINE('',#5300,#5299); +#5302=DIRECTION('',(0.E0,0.E0,1.E0)); +#5303=VECTOR('',#5302,8.E-1); +#5304=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,4.311724784545E0)); +#5305=LINE('',#5304,#5303); +#5306=DIRECTION('',(0.E0,0.E0,1.E0)); +#5307=VECTOR('',#5306,2.E-1); +#5308=CARTESIAN_POINT('',(9.6172071E1,8.7152505E1,4.311724784545E0)); +#5309=LINE('',#5308,#5307); +#5310=DIRECTION('',(0.E0,0.E0,1.E0)); +#5311=VECTOR('',#5310,2.E-1); +#5312=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.311724784545E0)); +#5313=LINE('',#5312,#5311); +#5314=DIRECTION('',(0.E0,0.E0,1.E0)); +#5315=VECTOR('',#5314,2.E-1); +#5316=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.311724784545E0)); +#5317=LINE('',#5316,#5315); +#5318=DIRECTION('',(0.E0,0.E0,1.E0)); +#5319=VECTOR('',#5318,2.E-1); +#5320=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.311724784545E0)); +#5321=LINE('',#5320,#5319); +#5322=DIRECTION('',(0.E0,0.E0,1.E0)); +#5323=VECTOR('',#5322,2.E-1); +#5324=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.311724784545E0)); +#5325=LINE('',#5324,#5323); +#5326=DIRECTION('',(0.E0,0.E0,1.E0)); +#5327=VECTOR('',#5326,2.E-1); +#5328=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.311724784545E0)); +#5329=LINE('',#5328,#5327); +#5330=DIRECTION('',(0.E0,0.E0,1.E0)); +#5331=VECTOR('',#5330,2.E-1); +#5332=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.311724784545E0)); +#5333=LINE('',#5332,#5331); +#5334=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5335=VECTOR('',#5334,2.27914E-1); +#5336=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.511724784545E0)); +#5337=LINE('',#5336,#5335); +#5338=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5339=VECTOR('',#5338,1.983232E0); +#5340=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.511724784545E0)); +#5341=LINE('',#5340,#5339); +#5342=DIRECTION('',(0.E0,1.E0,0.E0)); +#5343=VECTOR('',#5342,2.27914E-1); +#5344=CARTESIAN_POINT('',(9.6902016E1,8.2076798E1,4.511724784545E0)); +#5345=LINE('',#5344,#5343); +#5346=DIRECTION('',(0.E0,1.E0,0.E0)); +#5347=VECTOR('',#5346,2.27914E-1); +#5348=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.511724784545E0)); +#5349=LINE('',#5348,#5347); +#5350=DIRECTION('',(1.E0,0.E0,0.E0)); +#5351=VECTOR('',#5350,1.983232E0); +#5352=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.511724784545E0)); +#5353=LINE('',#5352,#5351); +#5354=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5355=VECTOR('',#5354,2.27914E-1); +#5356=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.511724784545E0)); +#5357=LINE('',#5356,#5355); +#5358=DIRECTION('',(1.E0,0.E0,0.E0)); +#5359=VECTOR('',#5358,1.983232E0); +#5360=CARTESIAN_POINT('',(9.4918784E1,8.2076798E1,4.511724784545E0)); +#5361=LINE('',#5360,#5359); +#5362=DIRECTION('',(0.E0,0.E0,1.E0)); +#5363=VECTOR('',#5362,2.E-1); +#5364=CARTESIAN_POINT('',(9.6902016E1,8.2076798E1,4.311724784545E0)); +#5365=LINE('',#5364,#5363); +#5366=DIRECTION('',(0.E0,0.E0,1.E0)); +#5367=VECTOR('',#5366,8.E-1); +#5368=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,4.311724784545E0)); +#5369=LINE('',#5368,#5367); +#5370=DIRECTION('',(0.E0,0.E0,1.E0)); +#5371=VECTOR('',#5370,2.E-1); +#5372=CARTESIAN_POINT('',(9.4918784E1,8.2076798E1,4.311724784545E0)); +#5373=LINE('',#5372,#5371); +#5374=DIRECTION('',(0.E0,0.E0,1.E0)); +#5375=VECTOR('',#5374,8.E-1); +#5376=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,4.311724784545E0)); +#5377=LINE('',#5376,#5375); +#5378=DIRECTION('',(1.E0,0.E0,0.E0)); +#5379=VECTOR('',#5378,1.983232E0); +#5380=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.511724784545E0)); +#5381=LINE('',#5380,#5379); +#5382=DIRECTION('',(0.E0,0.E0,1.E0)); +#5383=VECTOR('',#5382,2.E-1); +#5384=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.311724784545E0)); +#5385=LINE('',#5384,#5383); +#5386=DIRECTION('',(0.E0,0.E0,1.E0)); +#5387=VECTOR('',#5386,8.E-1); +#5388=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,4.311724784545E0)); +#5389=LINE('',#5388,#5387); +#5390=DIRECTION('',(0.E0,0.E0,1.E0)); +#5391=VECTOR('',#5390,2.E-1); +#5392=CARTESIAN_POINT('',(9.6902016E1,7.9924402E1,4.311724784545E0)); +#5393=LINE('',#5392,#5391); +#5394=DIRECTION('',(0.E0,0.E0,1.E0)); +#5395=VECTOR('',#5394,8.E-1); +#5396=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,4.311724784545E0)); +#5397=LINE('',#5396,#5395); +#5398=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5399=VECTOR('',#5398,2.152396E0); +#5400=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,5.111724784545E0)); +#5401=LINE('',#5400,#5399); +#5402=DIRECTION('',(0.E0,1.E0,0.E0)); +#5403=VECTOR('',#5402,2.152396E0); +#5404=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,5.111724784545E0)); +#5405=LINE('',#5404,#5403); +#5406=DIRECTION('',(1.E0,0.E0,0.E0)); +#5407=VECTOR('',#5406,2.152396E0); +#5408=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,5.111724784545E0)); +#5409=LINE('',#5408,#5407); +#5410=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5411=VECTOR('',#5410,2.152396E0); +#5412=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,5.111724784545E0)); +#5413=LINE('',#5412,#5411); +#5414=DIRECTION('',(0.E0,0.E0,1.E0)); +#5415=VECTOR('',#5414,5.E-1); +#5416=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.311724784545E0)); +#5417=LINE('',#5416,#5415); +#5418=DIRECTION('',(0.E0,0.E0,1.E0)); +#5419=VECTOR('',#5418,5.E-1); +#5420=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.311724784545E0)); +#5421=LINE('',#5420,#5419); +#5422=DIRECTION('',(0.E0,0.E0,1.E0)); +#5423=VECTOR('',#5422,5.E-1); +#5424=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.311724784545E0)); +#5425=LINE('',#5424,#5423); +#5426=DIRECTION('',(0.E0,0.E0,1.E0)); +#5427=VECTOR('',#5426,5.E-1); +#5428=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.311724784545E0)); +#5429=LINE('',#5428,#5427); +#5430=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5431=VECTOR('',#5430,3.269998E0); +#5432=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.811724784545E0)); +#5433=LINE('',#5432,#5431); +#5434=DIRECTION('',(0.E0,1.E0,0.E0)); +#5435=VECTOR('',#5434,3.820002E0); +#5436=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.811724784545E0)); +#5437=LINE('',#5436,#5435); +#5438=DIRECTION('',(1.E0,0.E0,0.E0)); +#5439=VECTOR('',#5438,3.269998E0); +#5440=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.811724784545E0)); +#5441=LINE('',#5440,#5439); +#5442=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5443=VECTOR('',#5442,3.820002E0); +#5444=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.811724784545E0)); +#5445=LINE('',#5444,#5443); +#5446=DIRECTION('',(1.E0,0.E0,0.E0)); +#5447=VECTOR('',#5446,1.662328E0); +#5448=CARTESIAN_POINT('',(1.00471072E2,1.02177639E2,4.511724784545E0)); +#5449=LINE('',#5448,#5447); +#5450=DIRECTION('',(0.E0,0.E0,1.E0)); +#5451=VECTOR('',#5450,2.E-1); +#5452=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.311724784545E0)); +#5453=LINE('',#5452,#5451); +#5454=DIRECTION('',(0.E0,0.E0,1.E0)); +#5455=VECTOR('',#5454,3.E-1); +#5456=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.311724784545E0)); +#5457=LINE('',#5456,#5455); +#5458=DIRECTION('',(0.E0,0.E0,1.E0)); +#5459=VECTOR('',#5458,2.E-1); +#5460=CARTESIAN_POINT('',(1.00471072E2,1.02177639E2,4.311724784545E0)); +#5461=LINE('',#5460,#5459); +#5462=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5463=VECTOR('',#5462,1.589614029687E-1); +#5464=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.511724784545E0)); +#5465=LINE('',#5464,#5463); +#5466=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5467=VECTOR('',#5466,1.662328E0); +#5468=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.511724784545E0)); +#5469=LINE('',#5468,#5467); +#5470=DIRECTION('',(0.E0,1.E0,0.E0)); +#5471=VECTOR('',#5470,1.589614029687E-1); +#5472=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.511724784545E0)); +#5473=LINE('',#5472,#5471); +#5474=DIRECTION('',(1.E0,0.E0,0.E0)); +#5475=VECTOR('',#5474,5.71518E-1); +#5476=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.511724784545E0)); +#5477=LINE('',#5476,#5475); +#5478=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5479=VECTOR('',#5478,1.003199E0); +#5480=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.511724784545E0)); +#5481=LINE('',#5480,#5479); +#5482=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5483=VECTOR('',#5482,5.71518E-1); +#5484=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.511724784545E0)); +#5485=LINE('',#5484,#5483); +#5486=DIRECTION('',(0.E0,1.E0,0.E0)); +#5487=VECTOR('',#5486,1.31044E-1); +#5488=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.511724784545E0)); +#5489=LINE('',#5488,#5487); +#5490=DIRECTION('',(1.E0,0.E0,0.E0)); +#5491=VECTOR('',#5490,1.484528E0); +#5492=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.511724784545E0)); +#5493=LINE('',#5492,#5491); +#5494=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5495=VECTOR('',#5494,1.31044E-1); +#5496=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.511724784545E0)); +#5497=LINE('',#5496,#5495); +#5498=DIRECTION('',(0.E0,0.E0,1.E0)); +#5499=VECTOR('',#5498,2.E-1); +#5500=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.311724784545E0)); +#5501=LINE('',#5500,#5499); +#5502=DIRECTION('',(0.E0,0.E0,1.E0)); +#5503=VECTOR('',#5502,2.E-1); +#5504=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.311724784545E0)); +#5505=LINE('',#5504,#5503); +#5506=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5507=VECTOR('',#5506,1.003199E0); +#5508=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.511724784545E0)); +#5509=LINE('',#5508,#5507); +#5510=DIRECTION('',(0.E0,0.E0,1.E0)); +#5511=VECTOR('',#5510,2.E-1); +#5512=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.311724784545E0)); +#5513=LINE('',#5512,#5511); +#5514=DIRECTION('',(0.E0,0.E0,1.E0)); +#5515=VECTOR('',#5514,3.E-1); +#5516=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.311724784545E0)); +#5517=LINE('',#5516,#5515); +#5518=DIRECTION('',(0.E0,0.E0,1.E0)); +#5519=VECTOR('',#5518,2.E-1); +#5520=CARTESIAN_POINT('',(9.9912592E1,9.9936038E1,4.311724784545E0)); +#5521=LINE('',#5520,#5519); +#5522=DIRECTION('',(0.E0,0.E0,1.E0)); +#5523=VECTOR('',#5522,2.E-1); +#5524=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.311724784545E0)); +#5525=LINE('',#5524,#5523); +#5526=DIRECTION('',(0.E0,0.E0,1.E0)); +#5527=VECTOR('',#5526,2.E-1); +#5528=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.311724784545E0)); +#5529=LINE('',#5528,#5527); +#5530=DIRECTION('',(-7.470663144326E-4,9.999997209459E-1,0.E0)); +#5531=VECTOR('',#5530,1.779996996715E0); +#5532=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.611724784545E0)); +#5533=LINE('',#5532,#5531); +#5534=DIRECTION('',(1.E0,0.E0,0.E0)); +#5535=VECTOR('',#5534,2.565397103184E0); +#5536=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.611724784545E0)); +#5537=LINE('',#5536,#5535); +#5538=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5539=VECTOR('',#5538,3.519998E0); +#5540=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.611724784545E0)); +#5541=LINE('',#5540,#5539); +#5542=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5543=VECTOR('',#5542,2.565397103184E0); +#5544=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.611724784545E0)); +#5545=LINE('',#5544,#5543); +#5546=DIRECTION('',(7.642380812332E-4,9.999997079700E-1,0.E0)); +#5547=VECTOR('',#5546,1.740002008133E0); +#5548=CARTESIAN_POINT('',(1.024766593274E2,1.004376375E2,4.611724784545E0)); +#5549=LINE('',#5548,#5547); +#5550=DIRECTION('',(0.E0,0.E0,1.E0)); +#5551=VECTOR('',#5550,3.E-1); +#5552=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.311724784545E0)); +#5553=LINE('',#5552,#5551); +#5554=DIRECTION('',(1.E0,0.E0,0.E0)); +#5555=VECTOR('',#5554,1.484528E0); +#5556=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.511724784545E0)); +#5557=LINE('',#5556,#5555); +#5558=DIRECTION('',(0.E0,0.E0,1.E0)); +#5559=VECTOR('',#5558,2.E-1); +#5560=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.311724784545E0)); +#5561=LINE('',#5560,#5559); +#5562=DIRECTION('',(0.E0,0.E0,1.E0)); +#5563=VECTOR('',#5562,3.E-1); +#5564=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.311724784545E0)); +#5565=LINE('',#5564,#5563); +#5566=DIRECTION('',(0.E0,0.E0,1.E0)); +#5567=VECTOR('',#5566,2.E-1); +#5568=CARTESIAN_POINT('',(1.019556E2,9.8657641E1,4.311724784545E0)); +#5569=LINE('',#5568,#5567); +#5570=DIRECTION('',(0.E0,0.E0,1.E0)); +#5571=VECTOR('',#5570,2.E-1); +#5572=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.311724784545E0)); +#5573=LINE('',#5572,#5571); +#5574=DIRECTION('',(0.E0,0.E0,1.E0)); +#5575=VECTOR('',#5574,2.E-1); +#5576=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.311724784545E0)); +#5577=LINE('',#5576,#5575); +#5578=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.611724784545E0)); +#5579=DIRECTION('',(0.E0,0.E0,1.E0)); +#5580=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5581=AXIS2_PLACEMENT_3D('',#5578,#5579,#5580); +#5583=DIRECTION('',(0.E0,0.E0,1.E0)); +#5584=VECTOR('',#5583,9.E-1); +#5585=CARTESIAN_POINT('',(1.0147267E2,9.94376375E1,4.611724784545E0)); +#5586=LINE('',#5585,#5584); +#5587=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.611724784545E0)); +#5588=DIRECTION('',(0.E0,0.E0,1.E0)); +#5589=DIRECTION('',(1.E0,0.E0,0.E0)); +#5590=AXIS2_PLACEMENT_3D('',#5587,#5588,#5589); +#5592=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.611724784545E0)); +#5593=DIRECTION('',(0.E0,0.E0,1.E0)); +#5594=DIRECTION('',(0.E0,1.E0,0.E0)); +#5595=AXIS2_PLACEMENT_3D('',#5592,#5593,#5594); +#5597=DIRECTION('',(0.E0,0.E0,1.E0)); +#5598=VECTOR('',#5597,9.E-1); +#5599=CARTESIAN_POINT('',(1.0147267E2,1.014376375E2,4.611724784545E0)); +#5600=LINE('',#5599,#5598); +#5601=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); +#5602=DIRECTION('',(0.E0,0.E0,1.E0)); +#5603=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5604=AXIS2_PLACEMENT_3D('',#5601,#5602,#5603); +#5606=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); +#5607=DIRECTION('',(0.E0,0.E0,1.E0)); +#5608=DIRECTION('',(0.E0,1.E0,0.E0)); +#5609=AXIS2_PLACEMENT_3D('',#5606,#5607,#5608); +#5611=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); +#5612=DIRECTION('',(0.E0,0.E0,1.E0)); +#5613=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5614=AXIS2_PLACEMENT_3D('',#5611,#5612,#5613); +#5616=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); +#5617=DIRECTION('',(0.E0,0.E0,1.E0)); +#5618=DIRECTION('',(0.E0,1.E0,0.E0)); +#5619=AXIS2_PLACEMENT_3D('',#5616,#5617,#5618); +#5621=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); +#5622=DIRECTION('',(0.E0,0.E0,1.E0)); +#5623=DIRECTION('',(0.E0,1.E0,0.E0)); +#5624=AXIS2_PLACEMENT_3D('',#5621,#5622,#5623); +#5626=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,5.511724784545E0)); +#5627=DIRECTION('',(0.E0,0.E0,1.E0)); +#5628=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5629=AXIS2_PLACEMENT_3D('',#5626,#5627,#5628); +#5631=DIRECTION('',(0.E0,0.E0,1.E0)); +#5632=VECTOR('',#5631,1.2E0); +#5633=CARTESIAN_POINT('',(1.0147267E2,9.96376375E1,4.311724784545E0)); +#5634=LINE('',#5633,#5632); +#5635=DIRECTION('',(0.E0,0.E0,1.E0)); +#5636=VECTOR('',#5635,1.2E0); +#5637=CARTESIAN_POINT('',(1.0147267E2,1.012376375E2,4.311724784545E0)); +#5638=LINE('',#5637,#5636); +#5639=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); +#5640=DIRECTION('',(0.E0,0.E0,1.E0)); +#5641=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5642=AXIS2_PLACEMENT_3D('',#5639,#5640,#5641); +#5644=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); +#5645=DIRECTION('',(0.E0,0.E0,1.E0)); +#5646=DIRECTION('',(0.E0,1.E0,0.E0)); +#5647=AXIS2_PLACEMENT_3D('',#5644,#5645,#5646); +#5649=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); +#5650=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5651=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5652=AXIS2_PLACEMENT_3D('',#5649,#5650,#5651); +#5654=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); +#5655=DIRECTION('',(0.E0,0.E0,-1.E0)); +#5656=DIRECTION('',(0.E0,1.E0,0.E0)); +#5657=AXIS2_PLACEMENT_3D('',#5654,#5655,#5656); +#5659=DIRECTION('',(0.E0,0.E0,1.E0)); +#5660=VECTOR('',#5659,1.2E0); +#5661=CARTESIAN_POINT('',(1.0147267E2,1.001876375E2,4.311724784545E0)); +#5662=LINE('',#5661,#5660); +#5663=DIRECTION('',(0.E0,0.E0,1.E0)); +#5664=VECTOR('',#5663,1.2E0); +#5665=CARTESIAN_POINT('',(1.0147267E2,1.006876375E2,4.311724784545E0)); +#5666=LINE('',#5665,#5664); +#5667=DIRECTION('',(0.E0,0.E0,1.E0)); +#5668=VECTOR('',#5667,5.E-1); +#5669=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.311724784545E0)); +#5670=LINE('',#5669,#5668); +#5671=DIRECTION('',(0.E0,0.E0,1.E0)); +#5672=VECTOR('',#5671,5.E-1); +#5673=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.311724784545E0)); +#5674=LINE('',#5673,#5672); +#5675=DIRECTION('',(0.E0,0.E0,1.E0)); +#5676=VECTOR('',#5675,5.E-1); +#5677=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.311724784545E0)); +#5678=LINE('',#5677,#5676); +#5679=DIRECTION('',(0.E0,0.E0,1.E0)); +#5680=VECTOR('',#5679,5.E-1); +#5681=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.311724784545E0)); +#5682=LINE('',#5681,#5680); +#5683=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5684=VECTOR('',#5683,1.5516E0); +#5685=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.811724784545E0)); +#5686=LINE('',#5685,#5684); +#5687=DIRECTION('',(0.E0,1.E0,0.E0)); +#5688=VECTOR('',#5687,7.51602E-1); +#5689=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.811724784545E0)); +#5690=LINE('',#5689,#5688); +#5691=DIRECTION('',(1.E0,0.E0,0.E0)); +#5692=VECTOR('',#5691,1.5516E0); +#5693=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.811724784545E0)); +#5694=LINE('',#5693,#5692); +#5695=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5696=VECTOR('',#5695,7.51602E-1); +#5697=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.811724784545E0)); +#5698=LINE('',#5697,#5696); +#5699=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5700=VECTOR('',#5699,1.5516E0); +#5701=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.811724784545E0)); +#5702=LINE('',#5701,#5700); +#5703=DIRECTION('',(0.E0,1.E0,0.E0)); +#5704=VECTOR('',#5703,7.51602E-1); +#5705=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.811724784545E0)); +#5706=LINE('',#5705,#5704); +#5707=DIRECTION('',(1.E0,0.E0,0.E0)); +#5708=VECTOR('',#5707,1.5516E0); +#5709=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.811724784545E0)); +#5710=LINE('',#5709,#5708); +#5711=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5712=VECTOR('',#5711,7.51602E-1); +#5713=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.811724784545E0)); +#5714=LINE('',#5713,#5712); +#5715=DIRECTION('',(0.E0,0.E0,1.E0)); +#5716=VECTOR('',#5715,5.E-1); +#5717=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.311724784545E0)); +#5718=LINE('',#5717,#5716); +#5719=DIRECTION('',(0.E0,0.E0,1.E0)); +#5720=VECTOR('',#5719,5.E-1); +#5721=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.311724784545E0)); +#5722=LINE('',#5721,#5720); +#5723=DIRECTION('',(0.E0,0.E0,1.E0)); +#5724=VECTOR('',#5723,5.E-1); +#5725=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.311724784545E0)); +#5726=LINE('',#5725,#5724); +#5727=DIRECTION('',(0.E0,0.E0,1.E0)); +#5728=VECTOR('',#5727,5.E-1); +#5729=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.311724784545E0)); +#5730=LINE('',#5729,#5728); +#5731=DIRECTION('',(0.E0,0.E0,1.E0)); +#5732=VECTOR('',#5731,4.E-1); +#5733=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.311724784545E0)); +#5734=LINE('',#5733,#5732); +#5735=DIRECTION('',(0.E0,0.E0,1.E0)); +#5736=VECTOR('',#5735,4.E-1); +#5737=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.311724784545E0)); +#5738=LINE('',#5737,#5736); +#5739=DIRECTION('',(0.E0,0.E0,1.E0)); +#5740=VECTOR('',#5739,4.E-1); +#5741=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.311724784545E0)); +#5742=LINE('',#5741,#5740); +#5743=DIRECTION('',(0.E0,0.E0,1.E0)); +#5744=VECTOR('',#5743,4.E-1); +#5745=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.311724784545E0)); +#5746=LINE('',#5745,#5744); +#5747=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5748=VECTOR('',#5747,1.5516E0); +#5749=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.711724784545E0)); +#5750=LINE('',#5749,#5748); +#5751=DIRECTION('',(0.E0,1.E0,0.E0)); +#5752=VECTOR('',#5751,7.51602E-1); +#5753=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.711724784545E0)); +#5754=LINE('',#5753,#5752); +#5755=DIRECTION('',(1.E0,0.E0,0.E0)); +#5756=VECTOR('',#5755,1.5516E0); +#5757=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.711724784545E0)); +#5758=LINE('',#5757,#5756); +#5759=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5760=VECTOR('',#5759,7.51602E-1); +#5761=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.711724784545E0)); +#5762=LINE('',#5761,#5760); +#5763=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5764=VECTOR('',#5763,7.51602E-1); +#5765=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.711724784545E0)); +#5766=LINE('',#5765,#5764); +#5767=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5768=VECTOR('',#5767,1.5516E0); +#5769=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.711724784545E0)); +#5770=LINE('',#5769,#5768); +#5771=DIRECTION('',(0.E0,1.E0,0.E0)); +#5772=VECTOR('',#5771,7.51602E-1); +#5773=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.711724784545E0)); +#5774=LINE('',#5773,#5772); +#5775=DIRECTION('',(1.E0,0.E0,0.E0)); +#5776=VECTOR('',#5775,1.5516E0); +#5777=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.711724784545E0)); +#5778=LINE('',#5777,#5776); +#5779=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5780=VECTOR('',#5779,1.5516E0); +#5781=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.711724784545E0)); +#5782=LINE('',#5781,#5780); +#5783=DIRECTION('',(0.E0,1.E0,0.E0)); +#5784=VECTOR('',#5783,7.51602E-1); +#5785=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.711724784545E0)); +#5786=LINE('',#5785,#5784); +#5787=DIRECTION('',(1.E0,0.E0,0.E0)); +#5788=VECTOR('',#5787,1.5516E0); +#5789=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.711724784545E0)); +#5790=LINE('',#5789,#5788); +#5791=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5792=VECTOR('',#5791,7.51602E-1); +#5793=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.711724784545E0)); +#5794=LINE('',#5793,#5792); +#5795=DIRECTION('',(0.E0,1.E0,0.E0)); +#5796=VECTOR('',#5795,7.51602E-1); +#5797=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.711724784545E0)); +#5798=LINE('',#5797,#5796); +#5799=DIRECTION('',(1.E0,0.E0,0.E0)); +#5800=VECTOR('',#5799,1.5516E0); +#5801=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.711724784545E0)); +#5802=LINE('',#5801,#5800); +#5803=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5804=VECTOR('',#5803,7.51602E-1); +#5805=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.711724784545E0)); +#5806=LINE('',#5805,#5804); +#5807=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5808=VECTOR('',#5807,1.5516E0); +#5809=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.711724784545E0)); +#5810=LINE('',#5809,#5808); +#5811=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5812=VECTOR('',#5811,1.5516E0); +#5813=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.711724784545E0)); +#5814=LINE('',#5813,#5812); +#5815=DIRECTION('',(0.E0,1.E0,0.E0)); +#5816=VECTOR('',#5815,7.51602E-1); +#5817=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.711724784545E0)); +#5818=LINE('',#5817,#5816); +#5819=DIRECTION('',(1.E0,0.E0,0.E0)); +#5820=VECTOR('',#5819,1.5516E0); +#5821=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.711724784545E0)); +#5822=LINE('',#5821,#5820); +#5823=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5824=VECTOR('',#5823,7.51602E-1); +#5825=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.711724784545E0)); +#5826=LINE('',#5825,#5824); +#5827=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5828=VECTOR('',#5827,1.5516E0); +#5829=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.711724784545E0)); +#5830=LINE('',#5829,#5828); +#5831=DIRECTION('',(0.E0,1.E0,0.E0)); +#5832=VECTOR('',#5831,7.51602E-1); +#5833=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.711724784545E0)); +#5834=LINE('',#5833,#5832); +#5835=DIRECTION('',(1.E0,0.E0,0.E0)); +#5836=VECTOR('',#5835,1.5516E0); +#5837=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.711724784545E0)); +#5838=LINE('',#5837,#5836); +#5839=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5840=VECTOR('',#5839,7.51602E-1); +#5841=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.711724784545E0)); +#5842=LINE('',#5841,#5840); +#5843=DIRECTION('',(1.E0,0.E0,0.E0)); +#5844=VECTOR('',#5843,1.5516E0); +#5845=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.711724784545E0)); +#5846=LINE('',#5845,#5844); +#5847=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5848=VECTOR('',#5847,7.51602E-1); +#5849=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.711724784545E0)); +#5850=LINE('',#5849,#5848); +#5851=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5852=VECTOR('',#5851,1.5516E0); +#5853=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.711724784545E0)); +#5854=LINE('',#5853,#5852); +#5855=DIRECTION('',(0.E0,1.E0,0.E0)); +#5856=VECTOR('',#5855,7.51602E-1); +#5857=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.711724784545E0)); +#5858=LINE('',#5857,#5856); +#5859=DIRECTION('',(1.E0,0.E0,0.E0)); +#5860=VECTOR('',#5859,7.51601E-1); +#5861=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.711724784545E0)); +#5862=LINE('',#5861,#5860); +#5863=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5864=VECTOR('',#5863,1.551599E0); +#5865=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.711724784545E0)); +#5866=LINE('',#5865,#5864); +#5867=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5868=VECTOR('',#5867,7.51601E-1); +#5869=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.711724784545E0)); +#5870=LINE('',#5869,#5868); +#5871=DIRECTION('',(0.E0,1.E0,0.E0)); +#5872=VECTOR('',#5871,1.551599E0); +#5873=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.711724784545E0)); +#5874=LINE('',#5873,#5872); +#5875=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5876=VECTOR('',#5875,7.51601E-1); +#5877=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.711724784545E0)); +#5878=LINE('',#5877,#5876); +#5879=DIRECTION('',(0.E0,1.E0,0.E0)); +#5880=VECTOR('',#5879,1.551599E0); +#5881=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.711724784545E0)); +#5882=LINE('',#5881,#5880); +#5883=DIRECTION('',(1.E0,0.E0,0.E0)); +#5884=VECTOR('',#5883,7.51601E-1); +#5885=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.711724784545E0)); +#5886=LINE('',#5885,#5884); +#5887=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5888=VECTOR('',#5887,1.551599E0); +#5889=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.711724784545E0)); +#5890=LINE('',#5889,#5888); +#5891=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5892=VECTOR('',#5891,7.51601E-1); +#5893=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.711724784545E0)); +#5894=LINE('',#5893,#5892); +#5895=DIRECTION('',(0.E0,1.E0,0.E0)); +#5896=VECTOR('',#5895,1.551599E0); +#5897=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.711724784545E0)); +#5898=LINE('',#5897,#5896); +#5899=DIRECTION('',(1.E0,0.E0,0.E0)); +#5900=VECTOR('',#5899,7.51601E-1); +#5901=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.711724784545E0)); +#5902=LINE('',#5901,#5900); +#5903=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5904=VECTOR('',#5903,1.551599E0); +#5905=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.711724784545E0)); +#5906=LINE('',#5905,#5904); +#5907=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5908=VECTOR('',#5907,1.5516E0); +#5909=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.711724784545E0)); +#5910=LINE('',#5909,#5908); +#5911=DIRECTION('',(0.E0,1.E0,0.E0)); +#5912=VECTOR('',#5911,7.51602E-1); +#5913=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.711724784545E0)); +#5914=LINE('',#5913,#5912); +#5915=DIRECTION('',(1.E0,0.E0,0.E0)); +#5916=VECTOR('',#5915,1.5516E0); +#5917=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.711724784545E0)); +#5918=LINE('',#5917,#5916); +#5919=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5920=VECTOR('',#5919,7.51602E-1); +#5921=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.711724784545E0)); +#5922=LINE('',#5921,#5920); +#5923=DIRECTION('',(0.E0,1.E0,0.E0)); +#5924=VECTOR('',#5923,7.51602E-1); +#5925=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.711724784545E0)); +#5926=LINE('',#5925,#5924); +#5927=DIRECTION('',(1.E0,0.E0,0.E0)); +#5928=VECTOR('',#5927,1.5516E0); +#5929=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.711724784545E0)); +#5930=LINE('',#5929,#5928); +#5931=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5932=VECTOR('',#5931,7.51602E-1); +#5933=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.711724784545E0)); +#5934=LINE('',#5933,#5932); +#5935=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5936=VECTOR('',#5935,1.5516E0); +#5937=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.711724784545E0)); +#5938=LINE('',#5937,#5936); +#5939=DIRECTION('',(1.E0,0.E0,0.E0)); +#5940=VECTOR('',#5939,1.5516E0); +#5941=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.711724784545E0)); +#5942=LINE('',#5941,#5940); +#5943=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5944=VECTOR('',#5943,7.51602E-1); +#5945=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.711724784545E0)); +#5946=LINE('',#5945,#5944); +#5947=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5948=VECTOR('',#5947,1.5516E0); +#5949=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.711724784545E0)); +#5950=LINE('',#5949,#5948); +#5951=DIRECTION('',(0.E0,1.E0,0.E0)); +#5952=VECTOR('',#5951,7.51602E-1); +#5953=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.711724784545E0)); +#5954=LINE('',#5953,#5952); +#5955=DIRECTION('',(0.E0,1.E0,0.E0)); +#5956=VECTOR('',#5955,7.51602E-1); +#5957=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.711724784545E0)); +#5958=LINE('',#5957,#5956); +#5959=DIRECTION('',(1.E0,0.E0,0.E0)); +#5960=VECTOR('',#5959,1.5516E0); +#5961=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.711724784545E0)); +#5962=LINE('',#5961,#5960); +#5963=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5964=VECTOR('',#5963,7.51602E-1); +#5965=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.711724784545E0)); +#5966=LINE('',#5965,#5964); +#5967=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5968=VECTOR('',#5967,1.5516E0); +#5969=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.711724784545E0)); +#5970=LINE('',#5969,#5968); +#5971=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5972=VECTOR('',#5971,1.5516E0); +#5973=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.711724784545E0)); +#5974=LINE('',#5973,#5972); +#5975=DIRECTION('',(0.E0,1.E0,0.E0)); +#5976=VECTOR('',#5975,7.51602E-1); +#5977=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.711724784545E0)); +#5978=LINE('',#5977,#5976); +#5979=DIRECTION('',(1.E0,0.E0,0.E0)); +#5980=VECTOR('',#5979,1.5516E0); +#5981=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.711724784545E0)); +#5982=LINE('',#5981,#5980); +#5983=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5984=VECTOR('',#5983,7.51602E-1); +#5985=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.711724784545E0)); +#5986=LINE('',#5985,#5984); +#5987=DIRECTION('',(0.E0,-1.E0,0.E0)); +#5988=VECTOR('',#5987,7.51602E-1); +#5989=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.711724784545E0)); +#5990=LINE('',#5989,#5988); +#5991=DIRECTION('',(-1.E0,0.E0,0.E0)); +#5992=VECTOR('',#5991,1.5516E0); +#5993=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.711724784545E0)); +#5994=LINE('',#5993,#5992); +#5995=DIRECTION('',(0.E0,1.E0,0.E0)); +#5996=VECTOR('',#5995,7.51602E-1); +#5997=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.711724784545E0)); +#5998=LINE('',#5997,#5996); +#5999=DIRECTION('',(1.E0,0.E0,0.E0)); +#6000=VECTOR('',#5999,1.5516E0); +#6001=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.711724784545E0)); +#6002=LINE('',#6001,#6000); +#6003=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6004=VECTOR('',#6003,1.5516E0); +#6005=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.711724784545E0)); +#6006=LINE('',#6005,#6004); +#6007=DIRECTION('',(0.E0,1.E0,0.E0)); +#6008=VECTOR('',#6007,7.51602E-1); +#6009=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.711724784545E0)); +#6010=LINE('',#6009,#6008); +#6011=DIRECTION('',(1.E0,0.E0,0.E0)); +#6012=VECTOR('',#6011,1.5516E0); +#6013=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.711724784545E0)); +#6014=LINE('',#6013,#6012); +#6015=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6016=VECTOR('',#6015,7.51602E-1); +#6017=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.711724784545E0)); +#6018=LINE('',#6017,#6016); +#6019=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6020=VECTOR('',#6019,7.51602E-1); +#6021=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.711724784545E0)); +#6022=LINE('',#6021,#6020); +#6023=DIRECTION('',(0.E0,1.E0,0.E0)); +#6024=VECTOR('',#6023,1.551599E0); +#6025=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.711724784545E0)); +#6026=LINE('',#6025,#6024); +#6027=DIRECTION('',(1.E0,0.E0,0.E0)); +#6028=VECTOR('',#6027,7.51602E-1); +#6029=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.711724784545E0)); +#6030=LINE('',#6029,#6028); +#6031=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6032=VECTOR('',#6031,1.551599E0); +#6033=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.711724784545E0)); +#6034=LINE('',#6033,#6032); +#6035=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6036=VECTOR('',#6035,7.01598E-1); +#6037=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.711724784545E0)); +#6038=LINE('',#6037,#6036); +#6039=DIRECTION('',(0.E0,1.E0,0.E0)); +#6040=VECTOR('',#6039,7.51602E-1); +#6041=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.711724784545E0)); +#6042=LINE('',#6041,#6040); +#6043=DIRECTION('',(1.E0,0.E0,0.E0)); +#6044=VECTOR('',#6043,7.01598E-1); +#6045=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.711724784545E0)); +#6046=LINE('',#6045,#6044); +#6047=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6048=VECTOR('',#6047,7.51602E-1); +#6049=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.711724784545E0)); +#6050=LINE('',#6049,#6048); +#6051=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6052=VECTOR('',#6051,1.5516E0); +#6053=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.711724784545E0)); +#6054=LINE('',#6053,#6052); +#6055=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6056=VECTOR('',#6055,7.51602E-1); +#6057=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.711724784545E0)); +#6058=LINE('',#6057,#6056); +#6059=DIRECTION('',(0.E0,1.E0,0.E0)); +#6060=VECTOR('',#6059,1.5516E0); +#6061=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.711724784545E0)); +#6062=LINE('',#6061,#6060); +#6063=DIRECTION('',(1.E0,0.E0,0.E0)); +#6064=VECTOR('',#6063,7.51602E-1); +#6065=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.711724784545E0)); +#6066=LINE('',#6065,#6064); +#6067=DIRECTION('',(0.E0,0.E0,1.E0)); +#6068=VECTOR('',#6067,4.E-1); +#6069=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.311724784545E0)); +#6070=LINE('',#6069,#6068); +#6071=DIRECTION('',(0.E0,0.E0,1.E0)); +#6072=VECTOR('',#6071,4.E-1); +#6073=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.311724784545E0)); +#6074=LINE('',#6073,#6072); +#6075=DIRECTION('',(0.E0,0.E0,1.E0)); +#6076=VECTOR('',#6075,4.E-1); +#6077=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.311724784545E0)); +#6078=LINE('',#6077,#6076); +#6079=DIRECTION('',(0.E0,0.E0,1.E0)); +#6080=VECTOR('',#6079,4.E-1); +#6081=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.311724784545E0)); +#6082=LINE('',#6081,#6080); +#6083=DIRECTION('',(0.E0,0.E0,1.E0)); +#6084=VECTOR('',#6083,4.E-1); +#6085=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.311724784545E0)); +#6086=LINE('',#6085,#6084); +#6087=DIRECTION('',(0.E0,0.E0,1.E0)); +#6088=VECTOR('',#6087,4.E-1); +#6089=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.311724784545E0)); +#6090=LINE('',#6089,#6088); +#6091=DIRECTION('',(0.E0,0.E0,1.E0)); +#6092=VECTOR('',#6091,4.E-1); +#6093=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.311724784545E0)); +#6094=LINE('',#6093,#6092); +#6095=DIRECTION('',(0.E0,0.E0,1.E0)); +#6096=VECTOR('',#6095,4.E-1); +#6097=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.311724784545E0)); +#6098=LINE('',#6097,#6096); +#6099=DIRECTION('',(0.E0,0.E0,1.E0)); +#6100=VECTOR('',#6099,4.E-1); +#6101=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.311724784545E0)); +#6102=LINE('',#6101,#6100); +#6103=DIRECTION('',(0.E0,0.E0,1.E0)); +#6104=VECTOR('',#6103,4.E-1); +#6105=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.311724784545E0)); +#6106=LINE('',#6105,#6104); +#6107=DIRECTION('',(0.E0,0.E0,1.E0)); +#6108=VECTOR('',#6107,4.E-1); +#6109=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.311724784545E0)); +#6110=LINE('',#6109,#6108); +#6111=DIRECTION('',(0.E0,0.E0,1.E0)); +#6112=VECTOR('',#6111,4.E-1); +#6113=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.311724784545E0)); +#6114=LINE('',#6113,#6112); +#6115=DIRECTION('',(0.E0,0.E0,1.E0)); +#6116=VECTOR('',#6115,4.E-1); +#6117=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.311724784545E0)); +#6118=LINE('',#6117,#6116); +#6119=DIRECTION('',(0.E0,0.E0,1.E0)); +#6120=VECTOR('',#6119,4.E-1); +#6121=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.311724784545E0)); +#6122=LINE('',#6121,#6120); +#6123=DIRECTION('',(0.E0,0.E0,1.E0)); +#6124=VECTOR('',#6123,4.E-1); +#6125=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.311724784545E0)); +#6126=LINE('',#6125,#6124); +#6127=DIRECTION('',(0.E0,0.E0,1.E0)); +#6128=VECTOR('',#6127,4.E-1); +#6129=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.311724784545E0)); +#6130=LINE('',#6129,#6128); +#6131=DIRECTION('',(0.E0,0.E0,1.E0)); +#6132=VECTOR('',#6131,4.E-1); +#6133=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.311724784545E0)); +#6134=LINE('',#6133,#6132); +#6135=DIRECTION('',(0.E0,0.E0,1.E0)); +#6136=VECTOR('',#6135,4.E-1); +#6137=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.311724784545E0)); +#6138=LINE('',#6137,#6136); +#6139=DIRECTION('',(0.E0,0.E0,1.E0)); +#6140=VECTOR('',#6139,4.E-1); +#6141=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.311724784545E0)); +#6142=LINE('',#6141,#6140); +#6143=DIRECTION('',(0.E0,0.E0,1.E0)); +#6144=VECTOR('',#6143,4.E-1); +#6145=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.311724784545E0)); +#6146=LINE('',#6145,#6144); +#6147=DIRECTION('',(0.E0,0.E0,1.E0)); +#6148=VECTOR('',#6147,4.E-1); +#6149=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.311724784545E0)); +#6150=LINE('',#6149,#6148); +#6151=DIRECTION('',(0.E0,0.E0,1.E0)); +#6152=VECTOR('',#6151,4.E-1); +#6153=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.311724784545E0)); +#6154=LINE('',#6153,#6152); +#6155=DIRECTION('',(0.E0,0.E0,1.E0)); +#6156=VECTOR('',#6155,4.E-1); +#6157=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.311724784545E0)); +#6158=LINE('',#6157,#6156); +#6159=DIRECTION('',(0.E0,0.E0,1.E0)); +#6160=VECTOR('',#6159,4.E-1); +#6161=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.311724784545E0)); +#6162=LINE('',#6161,#6160); +#6163=DIRECTION('',(0.E0,0.E0,1.E0)); +#6164=VECTOR('',#6163,4.E-1); +#6165=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.311724784545E0)); +#6166=LINE('',#6165,#6164); +#6167=DIRECTION('',(0.E0,0.E0,1.E0)); +#6168=VECTOR('',#6167,4.E-1); +#6169=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.311724784545E0)); +#6170=LINE('',#6169,#6168); +#6171=DIRECTION('',(0.E0,0.E0,1.E0)); +#6172=VECTOR('',#6171,4.E-1); +#6173=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.311724784545E0)); +#6174=LINE('',#6173,#6172); +#6175=DIRECTION('',(0.E0,0.E0,1.E0)); +#6176=VECTOR('',#6175,4.E-1); +#6177=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.311724784545E0)); +#6178=LINE('',#6177,#6176); +#6179=DIRECTION('',(0.E0,0.E0,1.E0)); +#6180=VECTOR('',#6179,4.E-1); +#6181=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.311724784545E0)); +#6182=LINE('',#6181,#6180); +#6183=DIRECTION('',(0.E0,0.E0,1.E0)); +#6184=VECTOR('',#6183,4.E-1); +#6185=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.311724784545E0)); +#6186=LINE('',#6185,#6184); +#6187=DIRECTION('',(0.E0,0.E0,1.E0)); +#6188=VECTOR('',#6187,4.E-1); +#6189=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.311724784545E0)); +#6190=LINE('',#6189,#6188); +#6191=DIRECTION('',(0.E0,0.E0,1.E0)); +#6192=VECTOR('',#6191,4.E-1); +#6193=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.311724784545E0)); +#6194=LINE('',#6193,#6192); +#6195=DIRECTION('',(0.E0,0.E0,1.E0)); +#6196=VECTOR('',#6195,4.E-1); +#6197=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.311724784545E0)); +#6198=LINE('',#6197,#6196); +#6199=DIRECTION('',(0.E0,0.E0,1.E0)); +#6200=VECTOR('',#6199,4.E-1); +#6201=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.311724784545E0)); +#6202=LINE('',#6201,#6200); +#6203=DIRECTION('',(0.E0,0.E0,1.E0)); +#6204=VECTOR('',#6203,4.E-1); +#6205=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.311724784545E0)); +#6206=LINE('',#6205,#6204); +#6207=DIRECTION('',(0.E0,0.E0,1.E0)); +#6208=VECTOR('',#6207,4.E-1); +#6209=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.311724784545E0)); +#6210=LINE('',#6209,#6208); +#6211=DIRECTION('',(0.E0,0.E0,1.E0)); +#6212=VECTOR('',#6211,4.E-1); +#6213=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.311724784545E0)); +#6214=LINE('',#6213,#6212); +#6215=DIRECTION('',(0.E0,0.E0,1.E0)); +#6216=VECTOR('',#6215,4.E-1); +#6217=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.311724784545E0)); +#6218=LINE('',#6217,#6216); +#6219=DIRECTION('',(0.E0,0.E0,1.E0)); +#6220=VECTOR('',#6219,4.E-1); +#6221=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.311724784545E0)); +#6222=LINE('',#6221,#6220); +#6223=DIRECTION('',(0.E0,0.E0,1.E0)); +#6224=VECTOR('',#6223,4.E-1); +#6225=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.311724784545E0)); +#6226=LINE('',#6225,#6224); +#6227=DIRECTION('',(0.E0,0.E0,1.E0)); +#6228=VECTOR('',#6227,4.E-1); +#6229=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.311724784545E0)); +#6230=LINE('',#6229,#6228); +#6231=DIRECTION('',(0.E0,0.E0,1.E0)); +#6232=VECTOR('',#6231,4.E-1); +#6233=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.311724784545E0)); +#6234=LINE('',#6233,#6232); +#6235=DIRECTION('',(0.E0,0.E0,1.E0)); +#6236=VECTOR('',#6235,4.E-1); +#6237=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.311724784545E0)); +#6238=LINE('',#6237,#6236); +#6239=DIRECTION('',(0.E0,0.E0,1.E0)); +#6240=VECTOR('',#6239,4.E-1); +#6241=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.311724784545E0)); +#6242=LINE('',#6241,#6240); +#6243=DIRECTION('',(0.E0,0.E0,1.E0)); +#6244=VECTOR('',#6243,4.E-1); +#6245=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.311724784545E0)); +#6246=LINE('',#6245,#6244); +#6247=DIRECTION('',(0.E0,0.E0,1.E0)); +#6248=VECTOR('',#6247,4.E-1); +#6249=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.311724784545E0)); +#6250=LINE('',#6249,#6248); +#6251=DIRECTION('',(0.E0,0.E0,1.E0)); +#6252=VECTOR('',#6251,4.E-1); +#6253=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.311724784545E0)); +#6254=LINE('',#6253,#6252); +#6255=DIRECTION('',(0.E0,0.E0,1.E0)); +#6256=VECTOR('',#6255,4.E-1); +#6257=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.311724784545E0)); +#6258=LINE('',#6257,#6256); +#6259=DIRECTION('',(0.E0,0.E0,1.E0)); +#6260=VECTOR('',#6259,4.E-1); +#6261=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.311724784545E0)); +#6262=LINE('',#6261,#6260); +#6263=DIRECTION('',(0.E0,0.E0,1.E0)); +#6264=VECTOR('',#6263,4.E-1); +#6265=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.311724784545E0)); +#6266=LINE('',#6265,#6264); +#6267=DIRECTION('',(0.E0,0.E0,1.E0)); +#6268=VECTOR('',#6267,4.E-1); +#6269=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.311724784545E0)); +#6270=LINE('',#6269,#6268); +#6271=DIRECTION('',(0.E0,0.E0,1.E0)); +#6272=VECTOR('',#6271,4.E-1); +#6273=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.311724784545E0)); +#6274=LINE('',#6273,#6272); +#6275=DIRECTION('',(0.E0,0.E0,1.E0)); +#6276=VECTOR('',#6275,4.E-1); +#6277=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.311724784545E0)); +#6278=LINE('',#6277,#6276); +#6279=DIRECTION('',(0.E0,0.E0,1.E0)); +#6280=VECTOR('',#6279,4.E-1); +#6281=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.311724784545E0)); +#6282=LINE('',#6281,#6280); +#6283=DIRECTION('',(0.E0,0.E0,1.E0)); +#6284=VECTOR('',#6283,4.E-1); +#6285=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.311724784545E0)); +#6286=LINE('',#6285,#6284); +#6287=DIRECTION('',(0.E0,0.E0,1.E0)); +#6288=VECTOR('',#6287,4.E-1); +#6289=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.311724784545E0)); +#6290=LINE('',#6289,#6288); +#6291=DIRECTION('',(0.E0,0.E0,1.E0)); +#6292=VECTOR('',#6291,4.E-1); +#6293=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.311724784545E0)); +#6294=LINE('',#6293,#6292); +#6295=DIRECTION('',(0.E0,0.E0,1.E0)); +#6296=VECTOR('',#6295,4.E-1); +#6297=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.311724784545E0)); +#6298=LINE('',#6297,#6296); +#6299=DIRECTION('',(0.E0,0.E0,1.E0)); +#6300=VECTOR('',#6299,4.E-1); +#6301=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.311724784545E0)); +#6302=LINE('',#6301,#6300); +#6303=DIRECTION('',(0.E0,0.E0,1.E0)); +#6304=VECTOR('',#6303,4.E-1); +#6305=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.311724784545E0)); +#6306=LINE('',#6305,#6304); +#6307=DIRECTION('',(0.E0,0.E0,1.E0)); +#6308=VECTOR('',#6307,4.E-1); +#6309=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.311724784545E0)); +#6310=LINE('',#6309,#6308); +#6311=DIRECTION('',(0.E0,0.E0,1.E0)); +#6312=VECTOR('',#6311,4.E-1); +#6313=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.311724784545E0)); +#6314=LINE('',#6313,#6312); +#6315=DIRECTION('',(0.E0,0.E0,1.E0)); +#6316=VECTOR('',#6315,4.E-1); +#6317=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.311724784545E0)); +#6318=LINE('',#6317,#6316); +#6319=DIRECTION('',(0.E0,0.E0,1.E0)); +#6320=VECTOR('',#6319,4.E-1); +#6321=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.311724784545E0)); +#6322=LINE('',#6321,#6320); +#6323=DIRECTION('',(0.E0,0.E0,1.E0)); +#6324=VECTOR('',#6323,4.E-1); +#6325=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.311724784545E0)); +#6326=LINE('',#6325,#6324); +#6327=DIRECTION('',(0.E0,0.E0,1.E0)); +#6328=VECTOR('',#6327,4.E-1); +#6329=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.311724784545E0)); +#6330=LINE('',#6329,#6328); +#6331=DIRECTION('',(0.E0,0.E0,1.E0)); +#6332=VECTOR('',#6331,4.E-1); +#6333=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.311724784545E0)); +#6334=LINE('',#6333,#6332); +#6335=DIRECTION('',(0.E0,0.E0,1.E0)); +#6336=VECTOR('',#6335,4.E-1); +#6337=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.311724784545E0)); +#6338=LINE('',#6337,#6336); +#6339=DIRECTION('',(0.E0,0.E0,1.E0)); +#6340=VECTOR('',#6339,4.E-1); +#6341=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.311724784545E0)); +#6342=LINE('',#6341,#6340); +#6343=DIRECTION('',(0.E0,0.E0,1.E0)); +#6344=VECTOR('',#6343,4.E-1); +#6345=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.311724784545E0)); +#6346=LINE('',#6345,#6344); +#6347=DIRECTION('',(0.E0,0.E0,1.E0)); +#6348=VECTOR('',#6347,4.E-1); +#6349=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.311724784545E0)); +#6350=LINE('',#6349,#6348); +#6351=DIRECTION('',(0.E0,0.E0,1.E0)); +#6352=VECTOR('',#6351,4.E-1); +#6353=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.311724784545E0)); +#6354=LINE('',#6353,#6352); +#6355=DIRECTION('',(0.E0,0.E0,1.E0)); +#6356=VECTOR('',#6355,4.E-1); +#6357=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.311724784545E0)); +#6358=LINE('',#6357,#6356); +#6359=DIRECTION('',(0.E0,0.E0,1.E0)); +#6360=VECTOR('',#6359,4.E-1); +#6361=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.311724784545E0)); +#6362=LINE('',#6361,#6360); +#6363=DIRECTION('',(0.E0,0.E0,1.E0)); +#6364=VECTOR('',#6363,4.E-1); +#6365=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.311724784545E0)); +#6366=LINE('',#6365,#6364); +#6367=DIRECTION('',(0.E0,0.E0,1.E0)); +#6368=VECTOR('',#6367,4.E-1); +#6369=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.311724784545E0)); +#6370=LINE('',#6369,#6368); +#6371=DIRECTION('',(0.E0,0.E0,1.E0)); +#6372=VECTOR('',#6371,5.E-1); +#6373=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.311724784545E0)); +#6374=LINE('',#6373,#6372); +#6375=DIRECTION('',(0.E0,0.E0,1.E0)); +#6376=VECTOR('',#6375,5.E-1); +#6377=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.311724784545E0)); +#6378=LINE('',#6377,#6376); +#6379=DIRECTION('',(0.E0,0.E0,1.E0)); +#6380=VECTOR('',#6379,5.E-1); +#6381=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.311724784545E0)); +#6382=LINE('',#6381,#6380); +#6383=DIRECTION('',(0.E0,0.E0,1.E0)); +#6384=VECTOR('',#6383,5.E-1); +#6385=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.311724784545E0)); +#6386=LINE('',#6385,#6384); +#6387=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6388=VECTOR('',#6387,7.51601E-1); +#6389=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.811724784545E0)); +#6390=LINE('',#6389,#6388); +#6391=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6392=VECTOR('',#6391,1.551599E0); +#6393=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.811724784545E0)); +#6394=LINE('',#6393,#6392); +#6395=DIRECTION('',(0.E0,1.E0,0.E0)); +#6396=VECTOR('',#6395,7.51601E-1); +#6397=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.811724784545E0)); +#6398=LINE('',#6397,#6396); +#6399=DIRECTION('',(1.E0,0.E0,0.E0)); +#6400=VECTOR('',#6399,1.551599E0); +#6401=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.811724784545E0)); +#6402=LINE('',#6401,#6400); +#6403=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6404=VECTOR('',#6403,1.551599E0); +#6405=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.811724784545E0)); +#6406=LINE('',#6405,#6404); +#6407=DIRECTION('',(0.E0,1.E0,0.E0)); +#6408=VECTOR('',#6407,7.51601E-1); +#6409=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.811724784545E0)); +#6410=LINE('',#6409,#6408); +#6411=DIRECTION('',(1.E0,0.E0,0.E0)); +#6412=VECTOR('',#6411,1.551599E0); +#6413=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.811724784545E0)); +#6414=LINE('',#6413,#6412); +#6415=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6416=VECTOR('',#6415,7.51601E-1); +#6417=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.811724784545E0)); +#6418=LINE('',#6417,#6416); +#6419=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6420=VECTOR('',#6419,1.551599E0); +#6421=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.811724784545E0)); +#6422=LINE('',#6421,#6420); +#6423=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6424=VECTOR('',#6423,7.51601E-1); +#6425=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.811724784545E0)); +#6426=LINE('',#6425,#6424); +#6427=DIRECTION('',(0.E0,1.E0,0.E0)); +#6428=VECTOR('',#6427,1.551599E0); +#6429=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.811724784545E0)); +#6430=LINE('',#6429,#6428); +#6431=DIRECTION('',(1.E0,0.E0,0.E0)); +#6432=VECTOR('',#6431,7.51601E-1); +#6433=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.811724784545E0)); +#6434=LINE('',#6433,#6432); +#6435=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6436=VECTOR('',#6435,7.51601E-1); +#6437=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.811724784545E0)); +#6438=LINE('',#6437,#6436); +#6439=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6440=VECTOR('',#6439,1.551599E0); +#6441=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.811724784545E0)); +#6442=LINE('',#6441,#6440); +#6443=DIRECTION('',(0.E0,1.E0,0.E0)); +#6444=VECTOR('',#6443,7.51601E-1); +#6445=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.811724784545E0)); +#6446=LINE('',#6445,#6444); +#6447=DIRECTION('',(1.E0,0.E0,0.E0)); +#6448=VECTOR('',#6447,1.551599E0); +#6449=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.811724784545E0)); +#6450=LINE('',#6449,#6448); +#6451=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6452=VECTOR('',#6451,1.551599E0); +#6453=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.811724784545E0)); +#6454=LINE('',#6453,#6452); +#6455=DIRECTION('',(0.E0,1.E0,0.E0)); +#6456=VECTOR('',#6455,7.51601E-1); +#6457=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.811724784545E0)); +#6458=LINE('',#6457,#6456); +#6459=DIRECTION('',(1.E0,0.E0,0.E0)); +#6460=VECTOR('',#6459,1.551599E0); +#6461=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.811724784545E0)); +#6462=LINE('',#6461,#6460); +#6463=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6464=VECTOR('',#6463,7.51601E-1); +#6465=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.811724784545E0)); +#6466=LINE('',#6465,#6464); +#6467=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6468=VECTOR('',#6467,7.51601E-1); +#6469=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.811724784545E0)); +#6470=LINE('',#6469,#6468); +#6471=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6472=VECTOR('',#6471,1.551599E0); +#6473=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.811724784545E0)); +#6474=LINE('',#6473,#6472); +#6475=DIRECTION('',(0.E0,1.E0,0.E0)); +#6476=VECTOR('',#6475,7.51601E-1); +#6477=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.811724784545E0)); +#6478=LINE('',#6477,#6476); +#6479=DIRECTION('',(1.E0,0.E0,0.E0)); +#6480=VECTOR('',#6479,1.551599E0); +#6481=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.811724784545E0)); +#6482=LINE('',#6481,#6480); +#6483=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6484=VECTOR('',#6483,1.5516E0); +#6485=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.811724784545E0)); +#6486=LINE('',#6485,#6484); +#6487=DIRECTION('',(0.E0,1.E0,0.E0)); +#6488=VECTOR('',#6487,7.51601E-1); +#6489=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.811724784545E0)); +#6490=LINE('',#6489,#6488); +#6491=DIRECTION('',(1.E0,0.E0,0.E0)); +#6492=VECTOR('',#6491,1.5516E0); +#6493=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.811724784545E0)); +#6494=LINE('',#6493,#6492); +#6495=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6496=VECTOR('',#6495,7.51601E-1); +#6497=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.811724784545E0)); +#6498=LINE('',#6497,#6496); +#6499=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6500=VECTOR('',#6499,1.5516E0); +#6501=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.811724784545E0)); +#6502=LINE('',#6501,#6500); +#6503=DIRECTION('',(0.E0,1.E0,0.E0)); +#6504=VECTOR('',#6503,7.51601E-1); +#6505=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.811724784545E0)); +#6506=LINE('',#6505,#6504); +#6507=DIRECTION('',(1.E0,0.E0,0.E0)); +#6508=VECTOR('',#6507,1.5516E0); +#6509=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.811724784545E0)); +#6510=LINE('',#6509,#6508); +#6511=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6512=VECTOR('',#6511,7.51601E-1); +#6513=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.811724784545E0)); +#6514=LINE('',#6513,#6512); +#6515=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6516=VECTOR('',#6515,7.51601E-1); +#6517=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.811724784545E0)); +#6518=LINE('',#6517,#6516); +#6519=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6520=VECTOR('',#6519,1.5516E0); +#6521=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.811724784545E0)); +#6522=LINE('',#6521,#6520); +#6523=DIRECTION('',(0.E0,1.E0,0.E0)); +#6524=VECTOR('',#6523,7.51601E-1); +#6525=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.811724784545E0)); +#6526=LINE('',#6525,#6524); +#6527=DIRECTION('',(1.E0,0.E0,0.E0)); +#6528=VECTOR('',#6527,1.5516E0); +#6529=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.811724784545E0)); +#6530=LINE('',#6529,#6528); +#6531=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6532=VECTOR('',#6531,7.51601E-1); +#6533=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.811724784545E0)); +#6534=LINE('',#6533,#6532); +#6535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6536=VECTOR('',#6535,1.5516E0); +#6537=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.811724784545E0)); +#6538=LINE('',#6537,#6536); +#6539=DIRECTION('',(0.E0,1.E0,0.E0)); +#6540=VECTOR('',#6539,7.51601E-1); +#6541=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.811724784545E0)); +#6542=LINE('',#6541,#6540); +#6543=DIRECTION('',(1.E0,0.E0,0.E0)); +#6544=VECTOR('',#6543,1.5516E0); +#6545=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.811724784545E0)); +#6546=LINE('',#6545,#6544); +#6547=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6548=VECTOR('',#6547,1.5516E0); +#6549=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.811724784545E0)); +#6550=LINE('',#6549,#6548); +#6551=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6552=VECTOR('',#6551,7.51601E-1); +#6553=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.811724784545E0)); +#6554=LINE('',#6553,#6552); +#6555=DIRECTION('',(0.E0,1.E0,0.E0)); +#6556=VECTOR('',#6555,1.5516E0); +#6557=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.811724784545E0)); +#6558=LINE('',#6557,#6556); +#6559=DIRECTION('',(1.E0,0.E0,0.E0)); +#6560=VECTOR('',#6559,7.51601E-1); +#6561=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.811724784545E0)); +#6562=LINE('',#6561,#6560); +#6563=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6564=VECTOR('',#6563,7.51601E-1); +#6565=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.811724784545E0)); +#6566=LINE('',#6565,#6564); +#6567=DIRECTION('',(0.E0,1.E0,0.E0)); +#6568=VECTOR('',#6567,1.5516E0); +#6569=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.811724784545E0)); +#6570=LINE('',#6569,#6568); +#6571=DIRECTION('',(1.E0,0.E0,0.E0)); +#6572=VECTOR('',#6571,7.51601E-1); +#6573=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.811724784545E0)); +#6574=LINE('',#6573,#6572); +#6575=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6576=VECTOR('',#6575,1.5516E0); +#6577=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.811724784545E0)); +#6578=LINE('',#6577,#6576); +#6579=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6580=VECTOR('',#6579,1.551599E0); +#6581=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.811724784545E0)); +#6582=LINE('',#6581,#6580); +#6583=DIRECTION('',(0.E0,1.E0,0.E0)); +#6584=VECTOR('',#6583,7.51601E-1); +#6585=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.811724784545E0)); +#6586=LINE('',#6585,#6584); +#6587=DIRECTION('',(1.E0,0.E0,0.E0)); +#6588=VECTOR('',#6587,1.551599E0); +#6589=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.811724784545E0)); +#6590=LINE('',#6589,#6588); +#6591=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6592=VECTOR('',#6591,7.51601E-1); +#6593=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.811724784545E0)); +#6594=LINE('',#6593,#6592); +#6595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6596=VECTOR('',#6595,7.51601E-1); +#6597=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.811724784545E0)); +#6598=LINE('',#6597,#6596); +#6599=DIRECTION('',(0.E0,1.E0,0.E0)); +#6600=VECTOR('',#6599,1.5516E0); +#6601=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.811724784545E0)); +#6602=LINE('',#6601,#6600); +#6603=DIRECTION('',(1.E0,0.E0,0.E0)); +#6604=VECTOR('',#6603,7.51601E-1); +#6605=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.811724784545E0)); +#6606=LINE('',#6605,#6604); +#6607=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6608=VECTOR('',#6607,1.5516E0); +#6609=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.811724784545E0)); +#6610=LINE('',#6609,#6608); +#6611=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6612=VECTOR('',#6611,7.51601E-1); +#6613=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.811724784545E0)); +#6614=LINE('',#6613,#6612); +#6615=DIRECTION('',(0.E0,1.E0,0.E0)); +#6616=VECTOR('',#6615,1.5516E0); +#6617=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.811724784545E0)); +#6618=LINE('',#6617,#6616); +#6619=DIRECTION('',(1.E0,0.E0,0.E0)); +#6620=VECTOR('',#6619,7.51601E-1); +#6621=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.811724784545E0)); +#6622=LINE('',#6621,#6620); +#6623=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6624=VECTOR('',#6623,1.5516E0); +#6625=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.811724784545E0)); +#6626=LINE('',#6625,#6624); +#6627=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6628=VECTOR('',#6627,7.51601E-1); +#6629=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.811724784545E0)); +#6630=LINE('',#6629,#6628); +#6631=DIRECTION('',(0.E0,1.E0,0.E0)); +#6632=VECTOR('',#6631,1.5516E0); +#6633=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.811724784545E0)); +#6634=LINE('',#6633,#6632); +#6635=DIRECTION('',(1.E0,0.E0,0.E0)); +#6636=VECTOR('',#6635,7.51601E-1); +#6637=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.811724784545E0)); +#6638=LINE('',#6637,#6636); +#6639=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6640=VECTOR('',#6639,1.5516E0); +#6641=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.811724784545E0)); +#6642=LINE('',#6641,#6640); +#6643=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6644=VECTOR('',#6643,1.5516E0); +#6645=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.811724784545E0)); +#6646=LINE('',#6645,#6644); +#6647=DIRECTION('',(0.E0,1.E0,0.E0)); +#6648=VECTOR('',#6647,7.51601E-1); +#6649=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.811724784545E0)); +#6650=LINE('',#6649,#6648); +#6651=DIRECTION('',(1.E0,0.E0,0.E0)); +#6652=VECTOR('',#6651,1.5516E0); +#6653=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.811724784545E0)); +#6654=LINE('',#6653,#6652); +#6655=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6656=VECTOR('',#6655,7.51601E-1); +#6657=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.811724784545E0)); +#6658=LINE('',#6657,#6656); +#6659=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6660=VECTOR('',#6659,7.51601E-1); +#6661=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.811724784545E0)); +#6662=LINE('',#6661,#6660); +#6663=DIRECTION('',(0.E0,1.E0,0.E0)); +#6664=VECTOR('',#6663,1.5516E0); +#6665=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.811724784545E0)); +#6666=LINE('',#6665,#6664); +#6667=DIRECTION('',(1.E0,0.E0,0.E0)); +#6668=VECTOR('',#6667,7.51601E-1); +#6669=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.811724784545E0)); +#6670=LINE('',#6669,#6668); +#6671=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6672=VECTOR('',#6671,1.5516E0); +#6673=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.811724784545E0)); +#6674=LINE('',#6673,#6672); +#6675=DIRECTION('',(-1.E0,0.E0,0.E0)); +#6676=VECTOR('',#6675,1.5516E0); +#6677=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.811724784545E0)); +#6678=LINE('',#6677,#6676); +#6679=DIRECTION('',(0.E0,1.E0,0.E0)); +#6680=VECTOR('',#6679,7.45203E-1); +#6681=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.811724784545E0)); +#6682=LINE('',#6681,#6680); +#6683=DIRECTION('',(1.E0,0.E0,0.E0)); +#6684=VECTOR('',#6683,1.5516E0); +#6685=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.811724784545E0)); +#6686=LINE('',#6685,#6684); +#6687=DIRECTION('',(0.E0,-1.E0,0.E0)); +#6688=VECTOR('',#6687,7.45203E-1); +#6689=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.811724784545E0)); +#6690=LINE('',#6689,#6688); +#6691=DIRECTION('',(0.E0,0.E0,1.E0)); +#6692=VECTOR('',#6691,5.E-1); +#6693=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.311724784545E0)); +#6694=LINE('',#6693,#6692); +#6695=DIRECTION('',(0.E0,0.E0,1.E0)); +#6696=VECTOR('',#6695,5.E-1); +#6697=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.311724784545E0)); +#6698=LINE('',#6697,#6696); +#6699=DIRECTION('',(0.E0,0.E0,1.E0)); +#6700=VECTOR('',#6699,5.E-1); +#6701=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.311724784545E0)); +#6702=LINE('',#6701,#6700); +#6703=DIRECTION('',(0.E0,0.E0,1.E0)); +#6704=VECTOR('',#6703,5.E-1); +#6705=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.311724784545E0)); +#6706=LINE('',#6705,#6704); +#6707=DIRECTION('',(0.E0,0.E0,1.E0)); +#6708=VECTOR('',#6707,5.E-1); +#6709=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.311724784545E0)); +#6710=LINE('',#6709,#6708); +#6711=DIRECTION('',(0.E0,0.E0,1.E0)); +#6712=VECTOR('',#6711,5.E-1); +#6713=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.311724784545E0)); +#6714=LINE('',#6713,#6712); +#6715=DIRECTION('',(0.E0,0.E0,1.E0)); +#6716=VECTOR('',#6715,5.E-1); +#6717=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.311724784545E0)); +#6718=LINE('',#6717,#6716); +#6719=DIRECTION('',(0.E0,0.E0,1.E0)); +#6720=VECTOR('',#6719,5.E-1); +#6721=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.311724784545E0)); +#6722=LINE('',#6721,#6720); +#6723=DIRECTION('',(0.E0,0.E0,1.E0)); +#6724=VECTOR('',#6723,5.E-1); +#6725=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.311724784545E0)); +#6726=LINE('',#6725,#6724); +#6727=DIRECTION('',(0.E0,0.E0,1.E0)); +#6728=VECTOR('',#6727,5.E-1); +#6729=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.311724784545E0)); +#6730=LINE('',#6729,#6728); +#6731=DIRECTION('',(0.E0,0.E0,1.E0)); +#6732=VECTOR('',#6731,5.E-1); +#6733=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.311724784545E0)); +#6734=LINE('',#6733,#6732); +#6735=DIRECTION('',(0.E0,0.E0,1.E0)); +#6736=VECTOR('',#6735,5.E-1); +#6737=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.311724784545E0)); +#6738=LINE('',#6737,#6736); +#6739=DIRECTION('',(0.E0,0.E0,1.E0)); +#6740=VECTOR('',#6739,5.E-1); +#6741=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.311724784545E0)); +#6742=LINE('',#6741,#6740); +#6743=DIRECTION('',(0.E0,0.E0,1.E0)); +#6744=VECTOR('',#6743,5.E-1); +#6745=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.311724784545E0)); +#6746=LINE('',#6745,#6744); +#6747=DIRECTION('',(0.E0,0.E0,1.E0)); +#6748=VECTOR('',#6747,5.E-1); +#6749=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.311724784545E0)); +#6750=LINE('',#6749,#6748); +#6751=DIRECTION('',(0.E0,0.E0,1.E0)); +#6752=VECTOR('',#6751,5.E-1); +#6753=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.311724784545E0)); +#6754=LINE('',#6753,#6752); +#6755=DIRECTION('',(0.E0,0.E0,1.E0)); +#6756=VECTOR('',#6755,5.E-1); +#6757=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.311724784545E0)); +#6758=LINE('',#6757,#6756); +#6759=DIRECTION('',(0.E0,0.E0,1.E0)); +#6760=VECTOR('',#6759,5.E-1); +#6761=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.311724784545E0)); +#6762=LINE('',#6761,#6760); +#6763=DIRECTION('',(0.E0,0.E0,1.E0)); +#6764=VECTOR('',#6763,5.E-1); +#6765=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.311724784545E0)); +#6766=LINE('',#6765,#6764); +#6767=DIRECTION('',(0.E0,0.E0,1.E0)); +#6768=VECTOR('',#6767,5.E-1); +#6769=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.311724784545E0)); +#6770=LINE('',#6769,#6768); +#6771=DIRECTION('',(0.E0,0.E0,1.E0)); +#6772=VECTOR('',#6771,5.E-1); +#6773=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.311724784545E0)); +#6774=LINE('',#6773,#6772); +#6775=DIRECTION('',(0.E0,0.E0,1.E0)); +#6776=VECTOR('',#6775,5.E-1); +#6777=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.311724784545E0)); +#6778=LINE('',#6777,#6776); +#6779=DIRECTION('',(0.E0,0.E0,1.E0)); +#6780=VECTOR('',#6779,5.E-1); +#6781=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.311724784545E0)); +#6782=LINE('',#6781,#6780); +#6783=DIRECTION('',(0.E0,0.E0,1.E0)); +#6784=VECTOR('',#6783,5.E-1); +#6785=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.311724784545E0)); +#6786=LINE('',#6785,#6784); +#6787=DIRECTION('',(0.E0,0.E0,1.E0)); +#6788=VECTOR('',#6787,5.E-1); +#6789=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.311724784545E0)); +#6790=LINE('',#6789,#6788); +#6791=DIRECTION('',(0.E0,0.E0,1.E0)); +#6792=VECTOR('',#6791,5.E-1); +#6793=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.311724784545E0)); +#6794=LINE('',#6793,#6792); +#6795=DIRECTION('',(0.E0,0.E0,1.E0)); +#6796=VECTOR('',#6795,5.E-1); +#6797=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.311724784545E0)); +#6798=LINE('',#6797,#6796); +#6799=DIRECTION('',(0.E0,0.E0,1.E0)); +#6800=VECTOR('',#6799,5.E-1); +#6801=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.311724784545E0)); +#6802=LINE('',#6801,#6800); +#6803=DIRECTION('',(0.E0,0.E0,1.E0)); +#6804=VECTOR('',#6803,5.E-1); +#6805=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.311724784545E0)); +#6806=LINE('',#6805,#6804); +#6807=DIRECTION('',(0.E0,0.E0,1.E0)); +#6808=VECTOR('',#6807,5.E-1); +#6809=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.311724784545E0)); +#6810=LINE('',#6809,#6808); +#6811=DIRECTION('',(0.E0,0.E0,1.E0)); +#6812=VECTOR('',#6811,5.E-1); +#6813=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.311724784545E0)); +#6814=LINE('',#6813,#6812); +#6815=DIRECTION('',(0.E0,0.E0,1.E0)); +#6816=VECTOR('',#6815,5.E-1); +#6817=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.311724784545E0)); +#6818=LINE('',#6817,#6816); +#6819=DIRECTION('',(0.E0,0.E0,1.E0)); +#6820=VECTOR('',#6819,5.E-1); +#6821=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.311724784545E0)); +#6822=LINE('',#6821,#6820); +#6823=DIRECTION('',(0.E0,0.E0,1.E0)); +#6824=VECTOR('',#6823,5.E-1); +#6825=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.311724784545E0)); +#6826=LINE('',#6825,#6824); +#6827=DIRECTION('',(0.E0,0.E0,1.E0)); +#6828=VECTOR('',#6827,5.E-1); +#6829=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.311724784545E0)); +#6830=LINE('',#6829,#6828); +#6831=DIRECTION('',(0.E0,0.E0,1.E0)); +#6832=VECTOR('',#6831,5.E-1); +#6833=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.311724784545E0)); +#6834=LINE('',#6833,#6832); +#6835=DIRECTION('',(0.E0,0.E0,1.E0)); +#6836=VECTOR('',#6835,5.E-1); +#6837=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.311724784545E0)); +#6838=LINE('',#6837,#6836); +#6839=DIRECTION('',(0.E0,0.E0,1.E0)); +#6840=VECTOR('',#6839,5.E-1); +#6841=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.311724784545E0)); +#6842=LINE('',#6841,#6840); +#6843=DIRECTION('',(0.E0,0.E0,1.E0)); +#6844=VECTOR('',#6843,5.E-1); +#6845=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.311724784545E0)); +#6846=LINE('',#6845,#6844); +#6847=DIRECTION('',(0.E0,0.E0,1.E0)); +#6848=VECTOR('',#6847,5.E-1); +#6849=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.311724784545E0)); +#6850=LINE('',#6849,#6848); +#6851=DIRECTION('',(0.E0,0.E0,1.E0)); +#6852=VECTOR('',#6851,5.E-1); +#6853=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.311724784545E0)); +#6854=LINE('',#6853,#6852); +#6855=DIRECTION('',(0.E0,0.E0,1.E0)); +#6856=VECTOR('',#6855,5.E-1); +#6857=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.311724784545E0)); +#6858=LINE('',#6857,#6856); +#6859=DIRECTION('',(0.E0,0.E0,1.E0)); +#6860=VECTOR('',#6859,5.E-1); +#6861=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.311724784545E0)); +#6862=LINE('',#6861,#6860); +#6863=DIRECTION('',(0.E0,0.E0,1.E0)); +#6864=VECTOR('',#6863,5.E-1); +#6865=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.311724784545E0)); +#6866=LINE('',#6865,#6864); +#6867=DIRECTION('',(0.E0,0.E0,1.E0)); +#6868=VECTOR('',#6867,5.E-1); +#6869=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.311724784545E0)); +#6870=LINE('',#6869,#6868); +#6871=DIRECTION('',(0.E0,0.E0,1.E0)); +#6872=VECTOR('',#6871,5.E-1); +#6873=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.311724784545E0)); +#6874=LINE('',#6873,#6872); +#6875=DIRECTION('',(0.E0,0.E0,1.E0)); +#6876=VECTOR('',#6875,5.E-1); +#6877=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.311724784545E0)); +#6878=LINE('',#6877,#6876); +#6879=DIRECTION('',(0.E0,0.E0,1.E0)); +#6880=VECTOR('',#6879,5.E-1); +#6881=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.311724784545E0)); +#6882=LINE('',#6881,#6880); +#6883=DIRECTION('',(0.E0,0.E0,1.E0)); +#6884=VECTOR('',#6883,5.E-1); +#6885=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.311724784545E0)); +#6886=LINE('',#6885,#6884); +#6887=DIRECTION('',(0.E0,0.E0,1.E0)); +#6888=VECTOR('',#6887,5.E-1); +#6889=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.311724784545E0)); +#6890=LINE('',#6889,#6888); +#6891=DIRECTION('',(0.E0,0.E0,1.E0)); +#6892=VECTOR('',#6891,5.E-1); +#6893=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.311724784545E0)); +#6894=LINE('',#6893,#6892); +#6895=DIRECTION('',(0.E0,0.E0,1.E0)); +#6896=VECTOR('',#6895,5.E-1); +#6897=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.311724784545E0)); +#6898=LINE('',#6897,#6896); +#6899=DIRECTION('',(0.E0,0.E0,1.E0)); +#6900=VECTOR('',#6899,5.E-1); +#6901=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.311724784545E0)); +#6902=LINE('',#6901,#6900); +#6903=DIRECTION('',(0.E0,0.E0,1.E0)); +#6904=VECTOR('',#6903,5.E-1); +#6905=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.311724784545E0)); +#6906=LINE('',#6905,#6904); +#6907=DIRECTION('',(0.E0,0.E0,1.E0)); +#6908=VECTOR('',#6907,5.E-1); +#6909=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.311724784545E0)); +#6910=LINE('',#6909,#6908); +#6911=DIRECTION('',(0.E0,0.E0,1.E0)); +#6912=VECTOR('',#6911,5.E-1); +#6913=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.311724784545E0)); +#6914=LINE('',#6913,#6912); +#6915=DIRECTION('',(0.E0,0.E0,1.E0)); +#6916=VECTOR('',#6915,5.E-1); +#6917=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.311724784545E0)); +#6918=LINE('',#6917,#6916); +#6919=DIRECTION('',(0.E0,0.E0,1.E0)); +#6920=VECTOR('',#6919,5.E-1); +#6921=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.311724784545E0)); +#6922=LINE('',#6921,#6920); +#6923=DIRECTION('',(0.E0,0.E0,1.E0)); +#6924=VECTOR('',#6923,5.E-1); +#6925=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.311724784545E0)); +#6926=LINE('',#6925,#6924); +#6927=DIRECTION('',(0.E0,0.E0,1.E0)); +#6928=VECTOR('',#6927,5.E-1); +#6929=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.311724784545E0)); +#6930=LINE('',#6929,#6928); +#6931=DIRECTION('',(0.E0,0.E0,1.E0)); +#6932=VECTOR('',#6931,5.E-1); +#6933=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.311724784545E0)); +#6934=LINE('',#6933,#6932); +#6935=DIRECTION('',(0.E0,0.E0,1.E0)); +#6936=VECTOR('',#6935,5.E-1); +#6937=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.311724784545E0)); +#6938=LINE('',#6937,#6936); +#6939=DIRECTION('',(0.E0,0.E0,1.E0)); +#6940=VECTOR('',#6939,5.E-1); +#6941=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.311724784545E0)); +#6942=LINE('',#6941,#6940); +#6943=DIRECTION('',(0.E0,0.E0,1.E0)); +#6944=VECTOR('',#6943,5.E-1); +#6945=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.311724784545E0)); +#6946=LINE('',#6945,#6944); +#6947=DIRECTION('',(0.E0,0.E0,1.E0)); +#6948=VECTOR('',#6947,5.E-1); +#6949=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.311724784545E0)); +#6950=LINE('',#6949,#6948); +#6951=DIRECTION('',(0.E0,0.E0,1.E0)); +#6952=VECTOR('',#6951,5.E-1); +#6953=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.311724784545E0)); +#6954=LINE('',#6953,#6952); +#6955=DIRECTION('',(0.E0,0.E0,1.E0)); +#6956=VECTOR('',#6955,5.E-1); +#6957=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.311724784545E0)); +#6958=LINE('',#6957,#6956); +#6959=DIRECTION('',(0.E0,0.E0,1.E0)); +#6960=VECTOR('',#6959,5.E-1); +#6961=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.311724784545E0)); +#6962=LINE('',#6961,#6960); +#6963=DIRECTION('',(0.E0,0.E0,1.E0)); +#6964=VECTOR('',#6963,5.E-1); +#6965=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.311724784545E0)); +#6966=LINE('',#6965,#6964); +#6967=DIRECTION('',(0.E0,0.E0,1.E0)); +#6968=VECTOR('',#6967,5.E-1); +#6969=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.311724784545E0)); +#6970=LINE('',#6969,#6968); +#6971=DIRECTION('',(0.E0,0.E0,1.E0)); +#6972=VECTOR('',#6971,5.E-1); +#6973=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.311724784545E0)); +#6974=LINE('',#6973,#6972); +#6975=DIRECTION('',(0.E0,0.E0,1.E0)); +#6976=VECTOR('',#6975,5.E-1); +#6977=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.311724784545E0)); +#6978=LINE('',#6977,#6976); +#6979=DIRECTION('',(0.E0,0.E0,1.E0)); +#6980=VECTOR('',#6979,8.E-1); +#6981=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,4.311724784545E0)); +#6982=LINE('',#6981,#6980); +#6983=DIRECTION('',(0.E0,0.E0,1.E0)); +#6984=VECTOR('',#6983,8.E-1); +#6985=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,4.311724784545E0)); +#6986=LINE('',#6985,#6984); +#6987=DIRECTION('',(0.E0,0.E0,1.E0)); +#6988=VECTOR('',#6987,8.E-1); +#6989=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,4.311724784545E0)); +#6990=LINE('',#6989,#6988); +#6991=DIRECTION('',(0.E0,0.E0,1.E0)); +#6992=VECTOR('',#6991,8.E-1); +#6993=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,4.311724784545E0)); +#6994=LINE('',#6993,#6992); +#6995=DIRECTION('',(0.E0,1.E0,0.E0)); +#6996=VECTOR('',#6995,1.183198E0); +#6997=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,5.111724784545E0)); +#6998=LINE('',#6997,#6996); +#6999=DIRECTION('',(1.E0,0.E0,0.E0)); +#7000=VECTOR('',#6999,2.673202E0); +#7001=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,5.111724784545E0)); +#7002=LINE('',#7001,#7000); +#7003=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7004=VECTOR('',#7003,1.183198E0); +#7005=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,5.111724784545E0)); +#7006=LINE('',#7005,#7004); +#7007=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7008=VECTOR('',#7007,2.673202E0); +#7009=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,5.111724784545E0)); +#7010=LINE('',#7009,#7008); +#7011=DIRECTION('',(1.E0,0.E0,0.E0)); +#7012=VECTOR('',#7011,1.183198E0); +#7013=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,5.111724784545E0)); +#7014=LINE('',#7013,#7012); +#7015=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7016=VECTOR('',#7015,2.673203E0); +#7017=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,5.111724784545E0)); +#7018=LINE('',#7017,#7016); +#7019=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7020=VECTOR('',#7019,1.183198E0); +#7021=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,5.111724784545E0)); +#7022=LINE('',#7021,#7020); +#7023=DIRECTION('',(0.E0,1.E0,0.E0)); +#7024=VECTOR('',#7023,2.673203E0); +#7025=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,5.111724784545E0)); +#7026=LINE('',#7025,#7024); +#7027=DIRECTION('',(0.E0,1.E0,0.E0)); +#7028=VECTOR('',#7027,2.673203E0); +#7029=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,5.111724784545E0)); +#7030=LINE('',#7029,#7028); +#7031=DIRECTION('',(1.E0,0.E0,0.E0)); +#7032=VECTOR('',#7031,1.183198E0); +#7033=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,5.111724784545E0)); +#7034=LINE('',#7033,#7032); +#7035=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7036=VECTOR('',#7035,2.673203E0); +#7037=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,5.111724784545E0)); +#7038=LINE('',#7037,#7036); +#7039=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7040=VECTOR('',#7039,1.183198E0); +#7041=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,5.111724784545E0)); +#7042=LINE('',#7041,#7040); +#7043=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7044=VECTOR('',#7043,1.183198E0); +#7045=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,5.111724784545E0)); +#7046=LINE('',#7045,#7044); +#7047=DIRECTION('',(0.E0,1.E0,0.E0)); +#7048=VECTOR('',#7047,2.673203E0); +#7049=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,5.111724784545E0)); +#7050=LINE('',#7049,#7048); +#7051=DIRECTION('',(1.E0,0.E0,0.E0)); +#7052=VECTOR('',#7051,1.183198E0); +#7053=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,5.111724784545E0)); +#7054=LINE('',#7053,#7052); +#7055=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7056=VECTOR('',#7055,2.673203E0); +#7057=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,5.111724784545E0)); +#7058=LINE('',#7057,#7056); +#7059=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7060=VECTOR('',#7059,2.673203E0); +#7061=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,5.111724784545E0)); +#7062=LINE('',#7061,#7060); +#7063=DIRECTION('',(0.E0,1.E0,0.E0)); +#7064=VECTOR('',#7063,1.183198E0); +#7065=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,5.111724784545E0)); +#7066=LINE('',#7065,#7064); +#7067=DIRECTION('',(1.E0,0.E0,0.E0)); +#7068=VECTOR('',#7067,2.673203E0); +#7069=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,5.111724784545E0)); +#7070=LINE('',#7069,#7068); +#7071=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7072=VECTOR('',#7071,1.183198E0); +#7073=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,5.111724784545E0)); +#7074=LINE('',#7073,#7072); +#7075=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7076=VECTOR('',#7075,2.673203E0); +#7077=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,5.111724784545E0)); +#7078=LINE('',#7077,#7076); +#7079=DIRECTION('',(0.E0,1.E0,0.E0)); +#7080=VECTOR('',#7079,1.183198E0); +#7081=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,5.111724784545E0)); +#7082=LINE('',#7081,#7080); +#7083=DIRECTION('',(1.E0,0.E0,0.E0)); +#7084=VECTOR('',#7083,2.673203E0); +#7085=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,5.111724784545E0)); +#7086=LINE('',#7085,#7084); +#7087=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7088=VECTOR('',#7087,1.183198E0); +#7089=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,5.111724784545E0)); +#7090=LINE('',#7089,#7088); +#7091=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7092=VECTOR('',#7091,1.183198E0); +#7093=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,5.111724784545E0)); +#7094=LINE('',#7093,#7092); +#7095=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7096=VECTOR('',#7095,2.673203E0); +#7097=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,5.111724784545E0)); +#7098=LINE('',#7097,#7096); +#7099=DIRECTION('',(0.E0,1.E0,0.E0)); +#7100=VECTOR('',#7099,1.183198E0); +#7101=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,5.111724784545E0)); +#7102=LINE('',#7101,#7100); +#7103=DIRECTION('',(1.E0,0.E0,0.E0)); +#7104=VECTOR('',#7103,2.673203E0); +#7105=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,5.111724784545E0)); +#7106=LINE('',#7105,#7104); +#7107=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7108=VECTOR('',#7107,1.183198E0); +#7109=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,5.111724784545E0)); +#7110=LINE('',#7109,#7108); +#7111=DIRECTION('',(0.E0,1.E0,0.E0)); +#7112=VECTOR('',#7111,2.673203E0); +#7113=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,5.111724784545E0)); +#7114=LINE('',#7113,#7112); +#7115=DIRECTION('',(1.E0,0.E0,0.E0)); +#7116=VECTOR('',#7115,1.183198E0); +#7117=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,5.111724784545E0)); +#7118=LINE('',#7117,#7116); +#7119=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7120=VECTOR('',#7119,2.673203E0); +#7121=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,5.111724784545E0)); +#7122=LINE('',#7121,#7120); +#7123=DIRECTION('',(0.E0,0.E0,1.E0)); +#7124=VECTOR('',#7123,8.E-1); +#7125=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,4.311724784545E0)); +#7126=LINE('',#7125,#7124); +#7127=DIRECTION('',(0.E0,0.E0,1.E0)); +#7128=VECTOR('',#7127,8.E-1); +#7129=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,4.311724784545E0)); +#7130=LINE('',#7129,#7128); +#7131=DIRECTION('',(0.E0,0.E0,1.E0)); +#7132=VECTOR('',#7131,8.E-1); +#7133=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,4.311724784545E0)); +#7134=LINE('',#7133,#7132); +#7135=DIRECTION('',(0.E0,0.E0,1.E0)); +#7136=VECTOR('',#7135,8.E-1); +#7137=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,4.311724784545E0)); +#7138=LINE('',#7137,#7136); +#7139=DIRECTION('',(0.E0,0.E0,1.E0)); +#7140=VECTOR('',#7139,8.E-1); +#7141=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,4.311724784545E0)); +#7142=LINE('',#7141,#7140); +#7143=DIRECTION('',(0.E0,0.E0,1.E0)); +#7144=VECTOR('',#7143,8.E-1); +#7145=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,4.311724784545E0)); +#7146=LINE('',#7145,#7144); +#7147=DIRECTION('',(0.E0,0.E0,1.E0)); +#7148=VECTOR('',#7147,8.E-1); +#7149=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,4.311724784545E0)); +#7150=LINE('',#7149,#7148); +#7151=DIRECTION('',(0.E0,0.E0,1.E0)); +#7152=VECTOR('',#7151,8.E-1); +#7153=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,4.311724784545E0)); +#7154=LINE('',#7153,#7152); +#7155=DIRECTION('',(0.E0,0.E0,1.E0)); +#7156=VECTOR('',#7155,8.E-1); +#7157=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,4.311724784545E0)); +#7158=LINE('',#7157,#7156); +#7159=DIRECTION('',(0.E0,0.E0,1.E0)); +#7160=VECTOR('',#7159,8.E-1); +#7161=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,4.311724784545E0)); +#7162=LINE('',#7161,#7160); +#7163=DIRECTION('',(0.E0,0.E0,1.E0)); +#7164=VECTOR('',#7163,8.E-1); +#7165=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,4.311724784545E0)); +#7166=LINE('',#7165,#7164); +#7167=DIRECTION('',(0.E0,0.E0,1.E0)); +#7168=VECTOR('',#7167,8.E-1); +#7169=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,4.311724784545E0)); +#7170=LINE('',#7169,#7168); +#7171=DIRECTION('',(0.E0,0.E0,1.E0)); +#7172=VECTOR('',#7171,8.E-1); +#7173=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,4.311724784545E0)); +#7174=LINE('',#7173,#7172); +#7175=DIRECTION('',(0.E0,0.E0,1.E0)); +#7176=VECTOR('',#7175,8.E-1); +#7177=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,4.311724784545E0)); +#7178=LINE('',#7177,#7176); +#7179=DIRECTION('',(0.E0,0.E0,1.E0)); +#7180=VECTOR('',#7179,8.E-1); +#7181=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,4.311724784545E0)); +#7182=LINE('',#7181,#7180); +#7183=DIRECTION('',(0.E0,0.E0,1.E0)); +#7184=VECTOR('',#7183,8.E-1); +#7185=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,4.311724784545E0)); +#7186=LINE('',#7185,#7184); +#7187=DIRECTION('',(0.E0,0.E0,1.E0)); +#7188=VECTOR('',#7187,8.E-1); +#7189=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,4.311724784545E0)); +#7190=LINE('',#7189,#7188); +#7191=DIRECTION('',(0.E0,0.E0,1.E0)); +#7192=VECTOR('',#7191,8.E-1); +#7193=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,4.311724784545E0)); +#7194=LINE('',#7193,#7192); +#7195=DIRECTION('',(0.E0,0.E0,1.E0)); +#7196=VECTOR('',#7195,8.E-1); +#7197=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,4.311724784545E0)); +#7198=LINE('',#7197,#7196); +#7199=DIRECTION('',(0.E0,0.E0,1.E0)); +#7200=VECTOR('',#7199,8.E-1); +#7201=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,4.311724784545E0)); +#7202=LINE('',#7201,#7200); +#7203=DIRECTION('',(0.E0,0.E0,1.E0)); +#7204=VECTOR('',#7203,8.E-1); +#7205=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,4.311724784545E0)); +#7206=LINE('',#7205,#7204); +#7207=DIRECTION('',(0.E0,0.E0,1.E0)); +#7208=VECTOR('',#7207,8.E-1); +#7209=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,4.311724784545E0)); +#7210=LINE('',#7209,#7208); +#7211=DIRECTION('',(0.E0,0.E0,1.E0)); +#7212=VECTOR('',#7211,8.E-1); +#7213=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,4.311724784545E0)); +#7214=LINE('',#7213,#7212); +#7215=DIRECTION('',(0.E0,0.E0,1.E0)); +#7216=VECTOR('',#7215,8.E-1); +#7217=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,4.311724784545E0)); +#7218=LINE('',#7217,#7216); +#7219=DIRECTION('',(0.E0,0.E0,1.E0)); +#7220=VECTOR('',#7219,8.E-1); +#7221=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,4.311724784545E0)); +#7222=LINE('',#7221,#7220); +#7223=DIRECTION('',(0.E0,0.E0,1.E0)); +#7224=VECTOR('',#7223,8.E-1); +#7225=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,4.311724784545E0)); +#7226=LINE('',#7225,#7224); +#7227=DIRECTION('',(0.E0,0.E0,1.E0)); +#7228=VECTOR('',#7227,8.E-1); +#7229=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,4.311724784545E0)); +#7230=LINE('',#7229,#7228); +#7231=DIRECTION('',(0.E0,0.E0,1.E0)); +#7232=VECTOR('',#7231,8.E-1); +#7233=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,4.311724784545E0)); +#7234=LINE('',#7233,#7232); +#7235=DIRECTION('',(0.E0,0.E0,1.E0)); +#7236=VECTOR('',#7235,1.2E0); +#7237=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,4.311724784545E0)); +#7238=LINE('',#7237,#7236); +#7239=DIRECTION('',(0.E0,0.E0,1.E0)); +#7240=VECTOR('',#7239,1.2E0); +#7241=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,4.311724784545E0)); +#7242=LINE('',#7241,#7240); +#7243=DIRECTION('',(0.E0,0.E0,1.E0)); +#7244=VECTOR('',#7243,1.2E0); +#7245=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,4.311724784545E0)); +#7246=LINE('',#7245,#7244); +#7247=DIRECTION('',(0.E0,0.E0,1.E0)); +#7248=VECTOR('',#7247,1.2E0); +#7249=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,4.311724784545E0)); +#7250=LINE('',#7249,#7248); +#7251=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7252=VECTOR('',#7251,1.6732E0); +#7253=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,5.511724784545E0)); +#7254=LINE('',#7253,#7252); +#7255=DIRECTION('',(0.E0,1.E0,0.E0)); +#7256=VECTOR('',#7255,3.143199E0); +#7257=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,5.511724784545E0)); +#7258=LINE('',#7257,#7256); +#7259=DIRECTION('',(1.E0,0.E0,0.E0)); +#7260=VECTOR('',#7259,1.6732E0); +#7261=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,5.511724784545E0)); +#7262=LINE('',#7261,#7260); +#7263=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7264=VECTOR('',#7263,3.143199E0); +#7265=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,5.511724784545E0)); +#7266=LINE('',#7265,#7264); +#7267=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7268=VECTOR('',#7267,1.6732E0); +#7269=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,5.511724784545E0)); +#7270=LINE('',#7269,#7268); +#7271=DIRECTION('',(0.E0,1.E0,0.E0)); +#7272=VECTOR('',#7271,3.143199E0); +#7273=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,5.511724784545E0)); +#7274=LINE('',#7273,#7272); +#7275=DIRECTION('',(1.E0,0.E0,0.E0)); +#7276=VECTOR('',#7275,1.6732E0); +#7277=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,5.511724784545E0)); +#7278=LINE('',#7277,#7276); +#7279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7280=VECTOR('',#7279,3.143199E0); +#7281=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,5.511724784545E0)); +#7282=LINE('',#7281,#7280); +#7283=DIRECTION('',(0.E0,1.E0,0.E0)); +#7284=VECTOR('',#7283,1.6732E0); +#7285=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,5.511724784545E0)); +#7286=LINE('',#7285,#7284); +#7287=DIRECTION('',(1.E0,0.E0,0.E0)); +#7288=VECTOR('',#7287,3.1432E0); +#7289=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,5.511724784545E0)); +#7290=LINE('',#7289,#7288); +#7291=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7292=VECTOR('',#7291,1.6732E0); +#7293=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,5.511724784545E0)); +#7294=LINE('',#7293,#7292); +#7295=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7296=VECTOR('',#7295,3.1432E0); +#7297=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,5.511724784545E0)); +#7298=LINE('',#7297,#7296); +#7299=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7300=VECTOR('',#7299,1.673199E0); +#7301=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,5.511724784545E0)); +#7302=LINE('',#7301,#7300); +#7303=DIRECTION('',(0.E0,1.E0,0.E0)); +#7304=VECTOR('',#7303,3.143199E0); +#7305=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,5.511724784545E0)); +#7306=LINE('',#7305,#7304); +#7307=DIRECTION('',(1.E0,0.E0,0.E0)); +#7308=VECTOR('',#7307,1.673199E0); +#7309=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,5.511724784545E0)); +#7310=LINE('',#7309,#7308); +#7311=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7312=VECTOR('',#7311,3.143199E0); +#7313=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,5.511724784545E0)); +#7314=LINE('',#7313,#7312); +#7315=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7316=VECTOR('',#7315,3.143199E0); +#7317=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,5.511724784545E0)); +#7318=LINE('',#7317,#7316); +#7319=DIRECTION('',(0.E0,1.E0,0.E0)); +#7320=VECTOR('',#7319,1.6732E0); +#7321=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,5.511724784545E0)); +#7322=LINE('',#7321,#7320); +#7323=DIRECTION('',(1.E0,0.E0,0.E0)); +#7324=VECTOR('',#7323,3.143199E0); +#7325=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,5.511724784545E0)); +#7326=LINE('',#7325,#7324); +#7327=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7328=VECTOR('',#7327,1.6732E0); +#7329=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,5.511724784545E0)); +#7330=LINE('',#7329,#7328); +#7331=DIRECTION('',(0.E0,0.E0,1.E0)); +#7332=VECTOR('',#7331,1.2E0); +#7333=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,4.311724784545E0)); +#7334=LINE('',#7333,#7332); +#7335=DIRECTION('',(0.E0,0.E0,1.E0)); +#7336=VECTOR('',#7335,1.2E0); +#7337=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,4.311724784545E0)); +#7338=LINE('',#7337,#7336); +#7339=DIRECTION('',(0.E0,0.E0,1.E0)); +#7340=VECTOR('',#7339,1.2E0); +#7341=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,4.311724784545E0)); +#7342=LINE('',#7341,#7340); +#7343=DIRECTION('',(0.E0,0.E0,1.E0)); +#7344=VECTOR('',#7343,1.2E0); +#7345=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,4.311724784545E0)); +#7346=LINE('',#7345,#7344); +#7347=DIRECTION('',(0.E0,0.E0,1.E0)); +#7348=VECTOR('',#7347,1.2E0); +#7349=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,4.311724784545E0)); +#7350=LINE('',#7349,#7348); +#7351=DIRECTION('',(0.E0,0.E0,1.E0)); +#7352=VECTOR('',#7351,1.2E0); +#7353=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,4.311724784545E0)); +#7354=LINE('',#7353,#7352); +#7355=DIRECTION('',(0.E0,0.E0,1.E0)); +#7356=VECTOR('',#7355,1.2E0); +#7357=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,4.311724784545E0)); +#7358=LINE('',#7357,#7356); +#7359=DIRECTION('',(0.E0,0.E0,1.E0)); +#7360=VECTOR('',#7359,1.2E0); +#7361=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,4.311724784545E0)); +#7362=LINE('',#7361,#7360); +#7363=DIRECTION('',(0.E0,0.E0,1.E0)); +#7364=VECTOR('',#7363,1.2E0); +#7365=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,4.311724784545E0)); +#7366=LINE('',#7365,#7364); +#7367=DIRECTION('',(0.E0,0.E0,1.E0)); +#7368=VECTOR('',#7367,1.2E0); +#7369=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,4.311724784545E0)); +#7370=LINE('',#7369,#7368); +#7371=DIRECTION('',(0.E0,0.E0,1.E0)); +#7372=VECTOR('',#7371,1.2E0); +#7373=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,4.311724784545E0)); +#7374=LINE('',#7373,#7372); +#7375=DIRECTION('',(0.E0,0.E0,1.E0)); +#7376=VECTOR('',#7375,1.2E0); +#7377=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,4.311724784545E0)); +#7378=LINE('',#7377,#7376); +#7379=DIRECTION('',(0.E0,0.E0,1.E0)); +#7380=VECTOR('',#7379,1.2E0); +#7381=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,4.311724784545E0)); +#7382=LINE('',#7381,#7380); +#7383=DIRECTION('',(0.E0,0.E0,1.E0)); +#7384=VECTOR('',#7383,1.2E0); +#7385=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,4.311724784545E0)); +#7386=LINE('',#7385,#7384); +#7387=DIRECTION('',(0.E0,0.E0,1.E0)); +#7388=VECTOR('',#7387,1.2E0); +#7389=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,4.311724784545E0)); +#7390=LINE('',#7389,#7388); +#7391=DIRECTION('',(0.E0,0.E0,1.E0)); +#7392=VECTOR('',#7391,1.2E0); +#7393=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,4.311724784545E0)); +#7394=LINE('',#7393,#7392); +#7395=DIRECTION('',(0.E0,0.E0,1.E0)); +#7396=VECTOR('',#7395,1.5E0); +#7397=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,4.311724784545E0)); +#7398=LINE('',#7397,#7396); +#7399=DIRECTION('',(0.E0,0.E0,1.E0)); +#7400=VECTOR('',#7399,1.5E0); +#7401=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,4.311724784545E0)); +#7402=LINE('',#7401,#7400); +#7403=DIRECTION('',(0.E0,0.E0,1.E0)); +#7404=VECTOR('',#7403,1.5E0); +#7405=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,4.311724784545E0)); +#7406=LINE('',#7405,#7404); +#7407=DIRECTION('',(0.E0,0.E0,1.E0)); +#7408=VECTOR('',#7407,1.5E0); +#7409=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,4.311724784545E0)); +#7410=LINE('',#7409,#7408); +#7411=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7412=VECTOR('',#7411,4.313199E0); +#7413=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,5.811724784545E0)); +#7414=LINE('',#7413,#7412); +#7415=DIRECTION('',(0.E0,1.E0,0.E0)); +#7416=VECTOR('',#7415,2.003197E0); +#7417=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,5.811724784545E0)); +#7418=LINE('',#7417,#7416); +#7419=DIRECTION('',(1.E0,0.E0,0.E0)); +#7420=VECTOR('',#7419,4.313199E0); +#7421=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,5.811724784545E0)); +#7422=LINE('',#7421,#7420); +#7423=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7424=VECTOR('',#7423,2.003197E0); +#7425=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,5.811724784545E0)); +#7426=LINE('',#7425,#7424); +#7427=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7428=VECTOR('',#7427,4.3132E0); +#7429=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,5.811724784545E0)); +#7430=LINE('',#7429,#7428); +#7431=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7432=VECTOR('',#7431,2.003196E0); +#7433=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,5.811724784545E0)); +#7434=LINE('',#7433,#7432); +#7435=DIRECTION('',(0.E0,1.E0,0.E0)); +#7436=VECTOR('',#7435,4.3132E0); +#7437=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,5.811724784545E0)); +#7438=LINE('',#7437,#7436); +#7439=DIRECTION('',(1.E0,0.E0,0.E0)); +#7440=VECTOR('',#7439,2.003196E0); +#7441=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,5.811724784545E0)); +#7442=LINE('',#7441,#7440); +#7443=DIRECTION('',(0.E0,0.E0,1.E0)); +#7444=VECTOR('',#7443,1.5E0); +#7445=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,4.311724784545E0)); +#7446=LINE('',#7445,#7444); +#7447=DIRECTION('',(0.E0,0.E0,1.E0)); +#7448=VECTOR('',#7447,1.5E0); +#7449=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,4.311724784545E0)); +#7450=LINE('',#7449,#7448); +#7451=DIRECTION('',(0.E0,0.E0,1.E0)); +#7452=VECTOR('',#7451,1.5E0); +#7453=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,4.311724784545E0)); +#7454=LINE('',#7453,#7452); +#7455=DIRECTION('',(0.E0,0.E0,1.E0)); +#7456=VECTOR('',#7455,1.5E0); +#7457=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,4.311724784545E0)); +#7458=LINE('',#7457,#7456); +#7459=DIRECTION('',(0.E0,0.E0,1.E0)); +#7460=VECTOR('',#7459,5.E-1); +#7461=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.311724784545E0)); +#7462=LINE('',#7461,#7460); +#7463=DIRECTION('',(0.E0,0.E0,1.E0)); +#7464=VECTOR('',#7463,5.E-1); +#7465=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.311724784545E0)); +#7466=LINE('',#7465,#7464); +#7467=DIRECTION('',(0.E0,0.E0,1.E0)); +#7468=VECTOR('',#7467,5.E-1); +#7469=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.311724784545E0)); +#7470=LINE('',#7469,#7468); +#7471=DIRECTION('',(0.E0,0.E0,1.E0)); +#7472=VECTOR('',#7471,5.E-1); +#7473=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.311724784545E0)); +#7474=LINE('',#7473,#7472); +#7475=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7476=VECTOR('',#7475,9.31598E-1); +#7477=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.811724784545E0)); +#7478=LINE('',#7477,#7476); +#7479=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7480=VECTOR('',#7479,1.033196E0); +#7481=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.811724784545E0)); +#7482=LINE('',#7481,#7480); +#7483=DIRECTION('',(0.E0,1.E0,0.E0)); +#7484=VECTOR('',#7483,9.31598E-1); +#7485=CARTESIAN_POINT('',(1.0294379E2,6.8718801E1,4.811724784545E0)); +#7486=LINE('',#7485,#7484); +#7487=DIRECTION('',(0.E0,1.E0,0.E0)); +#7488=VECTOR('',#7487,9.31598E-1); +#7489=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.811724784545E0)); +#7490=LINE('',#7489,#7488); +#7491=DIRECTION('',(1.E0,0.E0,0.E0)); +#7492=VECTOR('',#7491,1.033196E0); +#7493=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.811724784545E0)); +#7494=LINE('',#7493,#7492); +#7495=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7496=VECTOR('',#7495,9.31598E-1); +#7497=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.811724784545E0)); +#7498=LINE('',#7497,#7496); +#7499=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7500=VECTOR('',#7499,9.31598E-1); +#7501=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.811724784545E0)); +#7502=LINE('',#7501,#7500); +#7503=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7504=VECTOR('',#7503,1.033206E0); +#7505=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.811724784545E0)); +#7506=LINE('',#7505,#7504); +#7507=DIRECTION('',(0.E0,1.E0,0.E0)); +#7508=VECTOR('',#7507,9.31598E-1); +#7509=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.811724784545E0)); +#7510=LINE('',#7509,#7508); +#7511=DIRECTION('',(0.E0,1.E0,0.E0)); +#7512=VECTOR('',#7511,9.31598E-1); +#7513=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.811724784545E0)); +#7514=LINE('',#7513,#7512); +#7515=DIRECTION('',(1.E0,0.E0,0.E0)); +#7516=VECTOR('',#7515,1.033206E0); +#7517=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.811724784545E0)); +#7518=LINE('',#7517,#7516); +#7519=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7520=VECTOR('',#7519,9.31598E-1); +#7521=CARTESIAN_POINT('',(1.00335789E2,6.7018799E1,4.811724784545E0)); +#7522=LINE('',#7521,#7520); +#7523=DIRECTION('',(1.E0,0.E0,0.E0)); +#7524=VECTOR('',#7523,1.033196E0); +#7525=CARTESIAN_POINT('',(1.01910594E2,6.8718801E1,4.811724784545E0)); +#7526=LINE('',#7525,#7524); +#7527=DIRECTION('',(0.E0,0.E0,1.E0)); +#7528=VECTOR('',#7527,5.E-1); +#7529=CARTESIAN_POINT('',(1.0294379E2,6.8718801E1,4.311724784545E0)); +#7530=LINE('',#7529,#7528); +#7531=DIRECTION('',(0.E0,0.E0,1.E0)); +#7532=VECTOR('',#7531,8.E-1); +#7533=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,4.311724784545E0)); +#7534=LINE('',#7533,#7532); +#7535=DIRECTION('',(0.E0,0.E0,1.E0)); +#7536=VECTOR('',#7535,5.E-1); +#7537=CARTESIAN_POINT('',(1.01910594E2,6.8718801E1,4.311724784545E0)); +#7538=LINE('',#7537,#7536); +#7539=DIRECTION('',(0.E0,0.E0,1.E0)); +#7540=VECTOR('',#7539,8.E-1); +#7541=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,4.311724784545E0)); +#7542=LINE('',#7541,#7540); +#7543=DIRECTION('',(1.E0,0.E0,0.E0)); +#7544=VECTOR('',#7543,1.033196E0); +#7545=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.811724784545E0)); +#7546=LINE('',#7545,#7544); +#7547=DIRECTION('',(0.E0,0.E0,1.E0)); +#7548=VECTOR('',#7547,5.E-1); +#7549=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.311724784545E0)); +#7550=LINE('',#7549,#7548); +#7551=DIRECTION('',(0.E0,0.E0,1.E0)); +#7552=VECTOR('',#7551,8.E-1); +#7553=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,4.311724784545E0)); +#7554=LINE('',#7553,#7552); +#7555=DIRECTION('',(0.E0,0.E0,1.E0)); +#7556=VECTOR('',#7555,5.E-1); +#7557=CARTESIAN_POINT('',(1.0294379E2,6.7018799E1,4.311724784545E0)); +#7558=LINE('',#7557,#7556); +#7559=DIRECTION('',(0.E0,0.E0,1.E0)); +#7560=VECTOR('',#7559,8.E-1); +#7561=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,4.311724784545E0)); +#7562=LINE('',#7561,#7560); +#7563=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7564=VECTOR('',#7563,1.249995E0); +#7565=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,5.111724784545E0)); +#7566=LINE('',#7565,#7564); +#7567=DIRECTION('',(0.E0,1.E0,0.E0)); +#7568=VECTOR('',#7567,1.700002E0); +#7569=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,5.111724784545E0)); +#7570=LINE('',#7569,#7568); +#7571=DIRECTION('',(1.E0,0.E0,0.E0)); +#7572=VECTOR('',#7571,1.249995E0); +#7573=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,5.111724784545E0)); +#7574=LINE('',#7573,#7572); +#7575=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7576=VECTOR('',#7575,1.700002E0); +#7577=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,5.111724784545E0)); +#7578=LINE('',#7577,#7576); +#7579=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7580=VECTOR('',#7579,1.249995E0); +#7581=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,5.111724784545E0)); +#7582=LINE('',#7581,#7580); +#7583=DIRECTION('',(0.E0,1.E0,0.E0)); +#7584=VECTOR('',#7583,1.700002E0); +#7585=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,5.111724784545E0)); +#7586=LINE('',#7585,#7584); +#7587=DIRECTION('',(1.E0,0.E0,0.E0)); +#7588=VECTOR('',#7587,1.249995E0); +#7589=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,5.111724784545E0)); +#7590=LINE('',#7589,#7588); +#7591=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7592=VECTOR('',#7591,1.700002E0); +#7593=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,5.111724784545E0)); +#7594=LINE('',#7593,#7592); +#7595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7596=VECTOR('',#7595,1.033206E0); +#7597=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.811724784545E0)); +#7598=LINE('',#7597,#7596); +#7599=DIRECTION('',(0.E0,0.E0,1.E0)); +#7600=VECTOR('',#7599,5.E-1); +#7601=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.311724784545E0)); +#7602=LINE('',#7601,#7600); +#7603=DIRECTION('',(0.E0,0.E0,1.E0)); +#7604=VECTOR('',#7603,8.E-1); +#7605=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,4.311724784545E0)); +#7606=LINE('',#7605,#7604); +#7607=DIRECTION('',(0.E0,0.E0,1.E0)); +#7608=VECTOR('',#7607,5.E-1); +#7609=CARTESIAN_POINT('',(1.00335789E2,6.8718801E1,4.311724784545E0)); +#7610=LINE('',#7609,#7608); +#7611=DIRECTION('',(0.E0,0.E0,1.E0)); +#7612=VECTOR('',#7611,5.E-1); +#7613=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.311724784545E0)); +#7614=LINE('',#7613,#7612); +#7615=DIRECTION('',(0.E0,0.E0,1.E0)); +#7616=VECTOR('',#7615,5.E-1); +#7617=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.311724784545E0)); +#7618=LINE('',#7617,#7616); +#7619=DIRECTION('',(0.E0,0.E0,1.E0)); +#7620=VECTOR('',#7619,5.E-1); +#7621=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.311724784545E0)); +#7622=LINE('',#7621,#7620); +#7623=DIRECTION('',(0.E0,0.E0,1.E0)); +#7624=VECTOR('',#7623,5.E-1); +#7625=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.311724784545E0)); +#7626=LINE('',#7625,#7624); +#7627=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7628=VECTOR('',#7627,1.033206E0); +#7629=CARTESIAN_POINT('',(1.01368995E2,6.7018799E1,4.811724784545E0)); +#7630=LINE('',#7629,#7628); +#7631=DIRECTION('',(0.E0,0.E0,1.E0)); +#7632=VECTOR('',#7631,5.E-1); +#7633=CARTESIAN_POINT('',(1.00335789E2,6.7018799E1,4.311724784545E0)); +#7634=LINE('',#7633,#7632); +#7635=DIRECTION('',(0.E0,0.E0,1.E0)); +#7636=VECTOR('',#7635,8.E-1); +#7637=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,4.311724784545E0)); +#7638=LINE('',#7637,#7636); +#7639=DIRECTION('',(0.E0,0.E0,1.E0)); +#7640=VECTOR('',#7639,5.E-1); +#7641=CARTESIAN_POINT('',(1.01368995E2,6.7018799E1,4.311724784545E0)); +#7642=LINE('',#7641,#7640); +#7643=DIRECTION('',(0.E0,0.E0,1.E0)); +#7644=VECTOR('',#7643,8.E-1); +#7645=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,4.311724784545E0)); +#7646=LINE('',#7645,#7644); +#7647=DIRECTION('',(0.E0,0.E0,1.E0)); +#7648=VECTOR('',#7647,8.E-1); +#7649=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,4.311724784545E0)); +#7650=LINE('',#7649,#7648); +#7651=DIRECTION('',(0.E0,0.E0,1.E0)); +#7652=VECTOR('',#7651,5.E-1); +#7653=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.311724784545E0)); +#7654=LINE('',#7653,#7652); +#7655=DIRECTION('',(0.E0,1.E0,0.E0)); +#7656=VECTOR('',#7655,8.50001E-1); +#7657=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.811724784545E0)); +#7658=LINE('',#7657,#7656); +#7659=DIRECTION('',(0.E0,0.E0,1.E0)); +#7660=VECTOR('',#7659,5.E-1); +#7661=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.311724784545E0)); +#7662=LINE('',#7661,#7660); +#7663=DIRECTION('',(0.E0,0.E0,1.E0)); +#7664=VECTOR('',#7663,1.2E0); +#7665=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,4.311724784545E0)); +#7666=LINE('',#7665,#7664); +#7667=DIRECTION('',(0.E0,0.E0,1.E0)); +#7668=VECTOR('',#7667,5.E-1); +#7669=CARTESIAN_POINT('',(9.6515791E1,6.9072811E1,4.311724784545E0)); +#7670=LINE('',#7669,#7668); +#7671=DIRECTION('',(0.E0,1.E0,0.E0)); +#7672=VECTOR('',#7671,9.144E-1); +#7673=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.811724784545E0)); +#7674=LINE('',#7673,#7672); +#7675=DIRECTION('',(0.E0,0.E0,1.E0)); +#7676=VECTOR('',#7675,5.E-1); +#7677=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.311724784545E0)); +#7678=LINE('',#7677,#7676); +#7679=DIRECTION('',(0.E0,0.E0,1.E0)); +#7680=VECTOR('',#7679,5.E-1); +#7681=CARTESIAN_POINT('',(9.6515791E1,6.714059E1,4.311724784545E0)); +#7682=LINE('',#7681,#7680); +#7683=DIRECTION('',(1.E0,0.E0,0.E0)); +#7684=VECTOR('',#7683,9.144E-1); +#7685=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.811724784545E0)); +#7686=LINE('',#7685,#7684); +#7687=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7688=VECTOR('',#7687,9.144E-1); +#7689=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.811724784545E0)); +#7690=LINE('',#7689,#7688); +#7691=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7692=VECTOR('',#7691,9.144E-1); +#7693=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.811724784545E0)); +#7694=LINE('',#7693,#7692); +#7695=DIRECTION('',(1.E0,0.E0,0.E0)); +#7696=VECTOR('',#7695,9.144E-1); +#7697=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.811724784545E0)); +#7698=LINE('',#7697,#7696); +#7699=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7700=VECTOR('',#7699,9.144E-1); +#7701=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.811724784545E0)); +#7702=LINE('',#7701,#7700); +#7703=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7704=VECTOR('',#7703,9.144E-1); +#7705=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.811724784545E0)); +#7706=LINE('',#7705,#7704); +#7707=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7708=VECTOR('',#7707,9.24799E-1); +#7709=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.811724784545E0)); +#7710=LINE('',#7709,#7708); +#7711=DIRECTION('',(0.E0,1.E0,0.E0)); +#7712=VECTOR('',#7711,9.144E-1); +#7713=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.811724784545E0)); +#7714=LINE('',#7713,#7712); +#7715=DIRECTION('',(1.E0,0.E0,0.E0)); +#7716=VECTOR('',#7715,9.24799E-1); +#7717=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.811724784545E0)); +#7718=LINE('',#7717,#7716); +#7719=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7720=VECTOR('',#7719,9.24799E-1); +#7721=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.811724784545E0)); +#7722=LINE('',#7721,#7720); +#7723=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7724=VECTOR('',#7723,9.144E-1); +#7725=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.811724784545E0)); +#7726=LINE('',#7725,#7724); +#7727=DIRECTION('',(0.E0,1.E0,0.E0)); +#7728=VECTOR('',#7727,9.24799E-1); +#7729=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.811724784545E0)); +#7730=LINE('',#7729,#7728); +#7731=DIRECTION('',(0.E0,1.E0,0.E0)); +#7732=VECTOR('',#7731,9.144E-1); +#7733=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.811724784545E0)); +#7734=LINE('',#7733,#7732); +#7735=DIRECTION('',(1.E0,0.E0,0.E0)); +#7736=VECTOR('',#7735,9.144E-1); +#7737=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.811724784545E0)); +#7738=LINE('',#7737,#7736); +#7739=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7740=VECTOR('',#7739,9.144E-1); +#7741=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.811724784545E0)); +#7742=LINE('',#7741,#7740); +#7743=DIRECTION('',(0.E0,1.E0,0.E0)); +#7744=VECTOR('',#7743,9.144E-1); +#7745=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.811724784545E0)); +#7746=LINE('',#7745,#7744); +#7747=DIRECTION('',(1.E0,0.E0,0.E0)); +#7748=VECTOR('',#7747,9.144E-1); +#7749=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.811724784545E0)); +#7750=LINE('',#7749,#7748); +#7751=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7752=VECTOR('',#7751,9.144E-1); +#7753=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.811724784545E0)); +#7754=LINE('',#7753,#7752); +#7755=DIRECTION('',(1.E0,0.E0,0.E0)); +#7756=VECTOR('',#7755,9.06998E-1); +#7757=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.811724784545E0)); +#7758=LINE('',#7757,#7756); +#7759=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7760=VECTOR('',#7759,8.5E-1); +#7761=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.811724784545E0)); +#7762=LINE('',#7761,#7760); +#7763=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7764=VECTOR('',#7763,9.06998E-1); +#7765=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.811724784545E0)); +#7766=LINE('',#7765,#7764); +#7767=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7768=VECTOR('',#7767,8.50001E-1); +#7769=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.811724784545E0)); +#7770=LINE('',#7769,#7768); +#7771=DIRECTION('',(0.E0,1.E0,0.E0)); +#7772=VECTOR('',#7771,8.50001E-1); +#7773=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.811724784545E0)); +#7774=LINE('',#7773,#7772); +#7775=DIRECTION('',(1.E0,0.E0,0.E0)); +#7776=VECTOR('',#7775,8.50001E-1); +#7777=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.811724784545E0)); +#7778=LINE('',#7777,#7776); +#7779=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7780=VECTOR('',#7779,8.50001E-1); +#7781=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.811724784545E0)); +#7782=LINE('',#7781,#7780); +#7783=DIRECTION('',(0.E0,1.E0,0.E0)); +#7784=VECTOR('',#7783,9.144E-1); +#7785=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.811724784545E0)); +#7786=LINE('',#7785,#7784); +#7787=DIRECTION('',(1.E0,0.E0,0.E0)); +#7788=VECTOR('',#7787,8.50001E-1); +#7789=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.811724784545E0)); +#7790=LINE('',#7789,#7788); +#7791=DIRECTION('',(1.E0,0.E0,0.E0)); +#7792=VECTOR('',#7791,9.24799E-1); +#7793=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.811724784545E0)); +#7794=LINE('',#7793,#7792); +#7795=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7796=VECTOR('',#7795,9.144E-1); +#7797=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.811724784545E0)); +#7798=LINE('',#7797,#7796); +#7799=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7800=VECTOR('',#7799,9.24799E-1); +#7801=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.811724784545E0)); +#7802=LINE('',#7801,#7800); +#7803=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7804=VECTOR('',#7803,9.144E-1); +#7805=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.811724784545E0)); +#7806=LINE('',#7805,#7804); +#7807=DIRECTION('',(0.E0,1.E0,0.E0)); +#7808=VECTOR('',#7807,9.144E-1); +#7809=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.811724784545E0)); +#7810=LINE('',#7809,#7808); +#7811=DIRECTION('',(1.E0,0.E0,0.E0)); +#7812=VECTOR('',#7811,9.144E-1); +#7813=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.811724784545E0)); +#7814=LINE('',#7813,#7812); +#7815=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7816=VECTOR('',#7815,9.144E-1); +#7817=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.811724784545E0)); +#7818=LINE('',#7817,#7816); +#7819=DIRECTION('',(0.E0,1.E0,0.E0)); +#7820=VECTOR('',#7819,9.144E-1); +#7821=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.811724784545E0)); +#7822=LINE('',#7821,#7820); +#7823=DIRECTION('',(1.E0,0.E0,0.E0)); +#7824=VECTOR('',#7823,9.144E-1); +#7825=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.811724784545E0)); +#7826=LINE('',#7825,#7824); +#7827=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7828=VECTOR('',#7827,9.144E-1); +#7829=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.811724784545E0)); +#7830=LINE('',#7829,#7828); +#7831=DIRECTION('',(0.E0,0.E0,1.E0)); +#7832=VECTOR('',#7831,5.E-1); +#7833=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.311724784545E0)); +#7834=LINE('',#7833,#7832); +#7835=DIRECTION('',(0.E0,0.E0,1.E0)); +#7836=VECTOR('',#7835,1.2E0); +#7837=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,4.311724784545E0)); +#7838=LINE('',#7837,#7836); +#7839=DIRECTION('',(0.E0,0.E0,1.E0)); +#7840=VECTOR('',#7839,5.E-1); +#7841=CARTESIAN_POINT('',(8.9650992E1,6.1203789E1,4.311724784545E0)); +#7842=LINE('',#7841,#7840); +#7843=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7844=VECTOR('',#7843,9.144E-1); +#7845=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.811724784545E0)); +#7846=LINE('',#7845,#7844); +#7847=DIRECTION('',(0.E0,0.E0,1.E0)); +#7848=VECTOR('',#7847,5.E-1); +#7849=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.311724784545E0)); +#7850=LINE('',#7849,#7848); +#7851=DIRECTION('',(0.E0,0.E0,1.E0)); +#7852=VECTOR('',#7851,5.E-1); +#7853=CARTESIAN_POINT('',(8.9650992E1,6.3103811E1,4.311724784545E0)); +#7854=LINE('',#7853,#7852); +#7855=DIRECTION('',(0.E0,0.E0,1.E0)); +#7856=VECTOR('',#7855,5.E-1); +#7857=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.311724784545E0)); +#7858=LINE('',#7857,#7856); +#7859=DIRECTION('',(0.E0,0.E0,1.E0)); +#7860=VECTOR('',#7859,5.E-1); +#7861=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.311724784545E0)); +#7862=LINE('',#7861,#7860); +#7863=DIRECTION('',(0.E0,0.E0,1.E0)); +#7864=VECTOR('',#7863,1.2E0); +#7865=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,4.311724784545E0)); +#7866=LINE('',#7865,#7864); +#7867=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7868=VECTOR('',#7867,9.144E-1); +#7869=CARTESIAN_POINT('',(9.0850992E1,6.30682E1,4.811724784545E0)); +#7870=LINE('',#7869,#7868); +#7871=DIRECTION('',(0.E0,0.E0,1.E0)); +#7872=VECTOR('',#7871,5.E-1); +#7873=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.311724784545E0)); +#7874=LINE('',#7873,#7872); +#7875=DIRECTION('',(0.E0,0.E0,1.E0)); +#7876=VECTOR('',#7875,1.2E0); +#7877=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,4.311724784545E0)); +#7878=LINE('',#7877,#7876); +#7879=DIRECTION('',(0.E0,0.E0,1.E0)); +#7880=VECTOR('',#7879,5.E-1); +#7881=CARTESIAN_POINT('',(9.0850992E1,6.30682E1,4.311724784545E0)); +#7882=LINE('',#7881,#7880); +#7883=DIRECTION('',(0.E0,0.E0,1.E0)); +#7884=VECTOR('',#7883,5.E-1); +#7885=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.311724784545E0)); +#7886=LINE('',#7885,#7884); +#7887=DIRECTION('',(0.E0,0.E0,1.E0)); +#7888=VECTOR('',#7887,5.E-1); +#7889=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.311724784545E0)); +#7890=LINE('',#7889,#7888); +#7891=DIRECTION('',(0.E0,0.E0,1.E0)); +#7892=VECTOR('',#7891,1.2E0); +#7893=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,4.311724784545E0)); +#7894=LINE('',#7893,#7892); +#7895=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7896=VECTOR('',#7895,3.017622E0); +#7897=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,5.511724784545E0)); +#7898=LINE('',#7897,#7896); +#7899=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7900=VECTOR('',#7899,1.2E0); +#7901=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,5.511724784545E0)); +#7902=LINE('',#7901,#7900); +#7903=DIRECTION('',(0.E0,1.E0,0.E0)); +#7904=VECTOR('',#7903,3.017622E0); +#7905=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,5.511724784545E0)); +#7906=LINE('',#7905,#7904); +#7907=DIRECTION('',(1.E0,0.E0,0.E0)); +#7908=VECTOR('',#7907,1.2E0); +#7909=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,5.511724784545E0)); +#7910=LINE('',#7909,#7908); +#7911=DIRECTION('',(0.E0,1.E0,0.E0)); +#7912=VECTOR('',#7911,1.2E0); +#7913=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,5.511724784545E0)); +#7914=LINE('',#7913,#7912); +#7915=DIRECTION('',(1.E0,0.E0,0.E0)); +#7916=VECTOR('',#7915,3.017621E0); +#7917=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,5.511724784545E0)); +#7918=LINE('',#7917,#7916); +#7919=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7920=VECTOR('',#7919,1.2E0); +#7921=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,5.511724784545E0)); +#7922=LINE('',#7921,#7920); +#7923=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7924=VECTOR('',#7923,3.017621E0); +#7925=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,5.511724784545E0)); +#7926=LINE('',#7925,#7924); +#7927=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7928=VECTOR('',#7927,1.2E0); +#7929=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,5.511724784545E0)); +#7930=LINE('',#7929,#7928); +#7931=DIRECTION('',(0.E0,1.E0,0.E0)); +#7932=VECTOR('',#7931,2.985422E0); +#7933=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,5.511724784545E0)); +#7934=LINE('',#7933,#7932); +#7935=DIRECTION('',(1.E0,0.E0,0.E0)); +#7936=VECTOR('',#7935,1.2E0); +#7937=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,5.511724784545E0)); +#7938=LINE('',#7937,#7936); +#7939=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7940=VECTOR('',#7939,2.985422E0); +#7941=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,5.511724784545E0)); +#7942=LINE('',#7941,#7940); +#7943=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7944=VECTOR('',#7943,1.2E0); +#7945=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,5.511724784545E0)); +#7946=LINE('',#7945,#7944); +#7947=DIRECTION('',(0.E0,1.E0,0.E0)); +#7948=VECTOR('',#7947,3.017622E0); +#7949=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,5.511724784545E0)); +#7950=LINE('',#7949,#7948); +#7951=DIRECTION('',(1.E0,0.E0,0.E0)); +#7952=VECTOR('',#7951,1.2E0); +#7953=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,5.511724784545E0)); +#7954=LINE('',#7953,#7952); +#7955=DIRECTION('',(0.E0,-1.E0,0.E0)); +#7956=VECTOR('',#7955,3.017622E0); +#7957=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,5.511724784545E0)); +#7958=LINE('',#7957,#7956); +#7959=DIRECTION('',(0.E0,0.E0,1.E0)); +#7960=VECTOR('',#7959,1.2E0); +#7961=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,4.311724784545E0)); +#7962=LINE('',#7961,#7960); +#7963=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7964=VECTOR('',#7963,9.144E-1); +#7965=CARTESIAN_POINT('',(8.9864402E1,6.58114E1,4.811724784545E0)); +#7966=LINE('',#7965,#7964); +#7967=DIRECTION('',(0.E0,0.E0,1.E0)); +#7968=VECTOR('',#7967,5.E-1); +#7969=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.311724784545E0)); +#7970=LINE('',#7969,#7968); +#7971=DIRECTION('',(0.E0,0.E0,1.E0)); +#7972=VECTOR('',#7971,5.E-1); +#7973=CARTESIAN_POINT('',(8.7964381E1,6.58114E1,4.311724784545E0)); +#7974=LINE('',#7973,#7972); +#7975=DIRECTION('',(-1.E0,0.E0,0.E0)); +#7976=VECTOR('',#7975,9.144E-1); +#7977=CARTESIAN_POINT('',(8.7964381E1,6.58114E1,4.811724784545E0)); +#7978=LINE('',#7977,#7976); +#7979=DIRECTION('',(0.E0,0.E0,1.E0)); +#7980=VECTOR('',#7979,5.E-1); +#7981=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.311724784545E0)); +#7982=LINE('',#7981,#7980); +#7983=DIRECTION('',(0.E0,0.E0,1.E0)); +#7984=VECTOR('',#7983,1.2E0); +#7985=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,4.311724784545E0)); +#7986=LINE('',#7985,#7984); +#7987=DIRECTION('',(0.E0,0.E0,1.E0)); +#7988=VECTOR('',#7987,5.E-1); +#7989=CARTESIAN_POINT('',(8.9864402E1,6.58114E1,4.311724784545E0)); +#7990=LINE('',#7989,#7988); +#7991=DIRECTION('',(0.E0,0.E0,1.E0)); +#7992=VECTOR('',#7991,5.E-1); +#7993=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.311724784545E0)); +#7994=LINE('',#7993,#7992); +#7995=DIRECTION('',(0.E0,0.E0,1.E0)); +#7996=VECTOR('',#7995,5.E-1); +#7997=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.311724784545E0)); +#7998=LINE('',#7997,#7996); +#7999=DIRECTION('',(0.E0,0.E0,1.E0)); +#8000=VECTOR('',#7999,5.E-1); +#8001=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.311724784545E0)); +#8002=LINE('',#8001,#8000); +#8003=DIRECTION('',(0.E0,0.E0,1.E0)); +#8004=VECTOR('',#8003,5.E-1); +#8005=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.311724784545E0)); +#8006=LINE('',#8005,#8004); +#8007=DIRECTION('',(0.E0,0.E0,1.E0)); +#8008=VECTOR('',#8007,1.2E0); +#8009=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,4.311724784545E0)); +#8010=LINE('',#8009,#8008); +#8011=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8012=VECTOR('',#8011,9.144E-1); +#8013=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.811724784545E0)); +#8014=LINE('',#8013,#8012); +#8015=DIRECTION('',(0.E0,0.E0,1.E0)); +#8016=VECTOR('',#8015,5.E-1); +#8017=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.311724784545E0)); +#8018=LINE('',#8017,#8016); +#8019=DIRECTION('',(0.E0,0.E0,1.E0)); +#8020=VECTOR('',#8019,1.2E0); +#8021=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,4.311724784545E0)); +#8022=LINE('',#8021,#8020); +#8023=DIRECTION('',(0.E0,0.E0,1.E0)); +#8024=VECTOR('',#8023,5.E-1); +#8025=CARTESIAN_POINT('',(8.7999992E1,6.70114E1,4.311724784545E0)); +#8026=LINE('',#8025,#8024); +#8027=DIRECTION('',(0.E0,0.E0,1.E0)); +#8028=VECTOR('',#8027,5.E-1); +#8029=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.311724784545E0)); +#8030=LINE('',#8029,#8028); +#8031=DIRECTION('',(0.E0,0.E0,1.E0)); +#8032=VECTOR('',#8031,5.E-1); +#8033=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.311724784545E0)); +#8034=LINE('',#8033,#8032); +#8035=DIRECTION('',(0.E0,0.E0,1.E0)); +#8036=VECTOR('',#8035,1.2E0); +#8037=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,4.311724784545E0)); +#8038=LINE('',#8037,#8036); +#8039=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8040=VECTOR('',#8039,8.5E-1); +#8041=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.811724784545E0)); +#8042=LINE('',#8041,#8040); +#8043=DIRECTION('',(0.E0,0.E0,1.E0)); +#8044=VECTOR('',#8043,5.E-1); +#8045=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.311724784545E0)); +#8046=LINE('',#8045,#8044); +#8047=DIRECTION('',(0.E0,0.E0,1.E0)); +#8048=VECTOR('',#8047,1.2E0); +#8049=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,4.311724784545E0)); +#8050=LINE('',#8049,#8048); +#8051=DIRECTION('',(0.E0,0.E0,1.E0)); +#8052=VECTOR('',#8051,5.E-1); +#8053=CARTESIAN_POINT('',(9.5315791E1,6.72406E1,4.311724784545E0)); +#8054=LINE('',#8053,#8052); +#8055=DIRECTION('',(0.E0,0.E0,1.E0)); +#8056=VECTOR('',#8055,5.E-1); +#8057=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.311724784545E0)); +#8058=LINE('',#8057,#8056); +#8059=DIRECTION('',(0.E0,0.E0,1.E0)); +#8060=VECTOR('',#8059,5.E-1); +#8061=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.311724784545E0)); +#8062=LINE('',#8061,#8060); +#8063=DIRECTION('',(0.E0,0.E0,1.E0)); +#8064=VECTOR('',#8063,1.2E0); +#8065=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,4.311724784545E0)); +#8066=LINE('',#8065,#8064); +#8067=DIRECTION('',(0.E0,0.E0,1.E0)); +#8068=VECTOR('',#8067,1.2E0); +#8069=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,4.311724784545E0)); +#8070=LINE('',#8069,#8068); +#8071=DIRECTION('',(0.E0,1.E0,0.E0)); +#8072=VECTOR('',#8071,9.144E-1); +#8073=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.811724784545E0)); +#8074=LINE('',#8073,#8072); +#8075=DIRECTION('',(0.E0,0.E0,1.E0)); +#8076=VECTOR('',#8075,5.E-1); +#8077=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.311724784545E0)); +#8078=LINE('',#8077,#8076); +#8079=DIRECTION('',(0.E0,0.E0,1.E0)); +#8080=VECTOR('',#8079,1.2E0); +#8081=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,4.311724784545E0)); +#8082=LINE('',#8081,#8080); +#8083=DIRECTION('',(0.E0,0.E0,1.E0)); +#8084=VECTOR('',#8083,5.E-1); +#8085=CARTESIAN_POINT('',(9.0641592E1,7.1409611E1,4.311724784545E0)); +#8086=LINE('',#8085,#8084); +#8087=DIRECTION('',(0.E0,1.E0,0.E0)); +#8088=VECTOR('',#8087,9.144E-1); +#8089=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.811724784545E0)); +#8090=LINE('',#8089,#8088); +#8091=DIRECTION('',(0.E0,0.E0,1.E0)); +#8092=VECTOR('',#8091,5.E-1); +#8093=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.311724784545E0)); +#8094=LINE('',#8093,#8092); +#8095=DIRECTION('',(0.E0,0.E0,1.E0)); +#8096=VECTOR('',#8095,5.E-1); +#8097=CARTESIAN_POINT('',(9.0641592E1,6.9509589E1,4.311724784545E0)); +#8098=LINE('',#8097,#8096); +#8099=DIRECTION('',(0.E0,0.E0,1.E0)); +#8100=VECTOR('',#8099,5.E-1); +#8101=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.311724784545E0)); +#8102=LINE('',#8101,#8100); +#8103=DIRECTION('',(0.E0,0.E0,1.E0)); +#8104=VECTOR('',#8103,5.E-1); +#8105=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.311724784545E0)); +#8106=LINE('',#8105,#8104); +#8107=DIRECTION('',(0.E0,0.E0,1.E0)); +#8108=VECTOR('',#8107,1.2E0); +#8109=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,4.311724784545E0)); +#8110=LINE('',#8109,#8108); +#8111=DIRECTION('',(0.E0,1.E0,0.E0)); +#8112=VECTOR('',#8111,9.144E-1); +#8113=CARTESIAN_POINT('',(8.9441592E1,6.95452E1,4.811724784545E0)); +#8114=LINE('',#8113,#8112); +#8115=DIRECTION('',(0.E0,0.E0,1.E0)); +#8116=VECTOR('',#8115,5.E-1); +#8117=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.311724784545E0)); +#8118=LINE('',#8117,#8116); +#8119=DIRECTION('',(0.E0,0.E0,1.E0)); +#8120=VECTOR('',#8119,1.2E0); +#8121=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,4.311724784545E0)); +#8122=LINE('',#8121,#8120); +#8123=DIRECTION('',(0.E0,0.E0,1.E0)); +#8124=VECTOR('',#8123,5.E-1); +#8125=CARTESIAN_POINT('',(8.9441592E1,6.95452E1,4.311724784545E0)); +#8126=LINE('',#8125,#8124); +#8127=DIRECTION('',(0.E0,0.E0,1.E0)); +#8128=VECTOR('',#8127,5.E-1); +#8129=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.311724784545E0)); +#8130=LINE('',#8129,#8128); +#8131=DIRECTION('',(0.E0,0.E0,1.E0)); +#8132=VECTOR('',#8131,5.E-1); +#8133=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.311724784545E0)); +#8134=LINE('',#8133,#8132); +#8135=DIRECTION('',(0.E0,0.E0,1.E0)); +#8136=VECTOR('',#8135,5.E-1); +#8137=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.311724784545E0)); +#8138=LINE('',#8137,#8136); +#8139=DIRECTION('',(0.E0,0.E0,1.E0)); +#8140=VECTOR('',#8139,5.E-1); +#8141=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.311724784545E0)); +#8142=LINE('',#8141,#8140); +#8143=DIRECTION('',(0.E0,0.E0,1.E0)); +#8144=VECTOR('',#8143,5.E-1); +#8145=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.311724784545E0)); +#8146=LINE('',#8145,#8144); +#8147=DIRECTION('',(0.E0,0.E0,1.E0)); +#8148=VECTOR('',#8147,5.E-1); +#8149=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.311724784545E0)); +#8150=LINE('',#8149,#8148); +#8151=DIRECTION('',(0.E0,0.E0,1.E0)); +#8152=VECTOR('',#8151,5.E-1); +#8153=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.311724784545E0)); +#8154=LINE('',#8153,#8152); +#8155=DIRECTION('',(0.E0,0.E0,1.E0)); +#8156=VECTOR('',#8155,5.E-1); +#8157=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.311724784545E0)); +#8158=LINE('',#8157,#8156); +#8159=DIRECTION('',(0.E0,0.E0,1.E0)); +#8160=VECTOR('',#8159,5.E-1); +#8161=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.311724784545E0)); +#8162=LINE('',#8161,#8160); +#8163=DIRECTION('',(0.E0,0.E0,1.E0)); +#8164=VECTOR('',#8163,5.E-1); +#8165=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.311724784545E0)); +#8166=LINE('',#8165,#8164); +#8167=DIRECTION('',(0.E0,0.E0,1.E0)); +#8168=VECTOR('',#8167,5.E-1); +#8169=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.311724784545E0)); +#8170=LINE('',#8169,#8168); +#8171=DIRECTION('',(0.E0,0.E0,1.E0)); +#8172=VECTOR('',#8171,5.E-1); +#8173=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.311724784545E0)); +#8174=LINE('',#8173,#8172); +#8175=DIRECTION('',(0.E0,0.E0,1.E0)); +#8176=VECTOR('',#8175,5.E-1); +#8177=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.311724784545E0)); +#8178=LINE('',#8177,#8176); +#8179=DIRECTION('',(1.E0,0.E0,0.E0)); +#8180=VECTOR('',#8179,9.49998E-1); +#8181=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.811724784545E0)); +#8182=LINE('',#8181,#8180); +#8183=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8184=VECTOR('',#8183,1.399998E0); +#8185=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.811724784545E0)); +#8186=LINE('',#8185,#8184); +#8187=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8188=VECTOR('',#8187,9.49998E-1); +#8189=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.811724784545E0)); +#8190=LINE('',#8189,#8188); +#8191=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8192=VECTOR('',#8191,9.49998E-1); +#8193=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.811724784545E0)); +#8194=LINE('',#8193,#8192); +#8195=DIRECTION('',(0.E0,1.E0,0.E0)); +#8196=VECTOR('',#8195,1.399998E0); +#8197=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.811724784545E0)); +#8198=LINE('',#8197,#8196); +#8199=DIRECTION('',(1.E0,0.E0,0.E0)); +#8200=VECTOR('',#8199,9.49998E-1); +#8201=CARTESIAN_POINT('',(9.2295591E1,5.9066201E1,4.811724784545E0)); +#8202=LINE('',#8201,#8200); +#8203=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8204=VECTOR('',#8203,1.399998E0); +#8205=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.811724784545E0)); +#8206=LINE('',#8205,#8204); +#8207=DIRECTION('',(0.E0,0.E0,1.E0)); +#8208=VECTOR('',#8207,5.E-1); +#8209=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.311724784545E0)); +#8210=LINE('',#8209,#8208); +#8211=DIRECTION('',(0.E0,0.E0,1.E0)); +#8212=VECTOR('',#8211,1.2E0); +#8213=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,4.311724784545E0)); +#8214=LINE('',#8213,#8212); +#8215=DIRECTION('',(0.E0,0.E0,1.E0)); +#8216=VECTOR('',#8215,5.E-1); +#8217=CARTESIAN_POINT('',(8.9495592E1,5.9066201E1,4.311724784545E0)); +#8218=LINE('',#8217,#8216); +#8219=DIRECTION('',(0.E0,0.E0,1.E0)); +#8220=VECTOR('',#8219,1.2E0); +#8221=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,4.311724784545E0)); +#8222=LINE('',#8221,#8220); +#8223=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8224=VECTOR('',#8223,1.399998E0); +#8225=CARTESIAN_POINT('',(9.2295591E1,6.0466199E1,4.811724784545E0)); +#8226=LINE('',#8225,#8224); +#8227=DIRECTION('',(0.E0,0.E0,1.E0)); +#8228=VECTOR('',#8227,5.E-1); +#8229=CARTESIAN_POINT('',(9.2295591E1,5.9066201E1,4.311724784545E0)); +#8230=LINE('',#8229,#8228); +#8231=DIRECTION('',(0.E0,0.E0,1.E0)); +#8232=VECTOR('',#8231,1.2E0); +#8233=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,4.311724784545E0)); +#8234=LINE('',#8233,#8232); +#8235=DIRECTION('',(0.E0,0.E0,1.E0)); +#8236=VECTOR('',#8235,5.E-1); +#8237=CARTESIAN_POINT('',(9.2295591E1,6.0466199E1,4.311724784545E0)); +#8238=LINE('',#8237,#8236); +#8239=DIRECTION('',(0.E0,0.E0,1.E0)); +#8240=VECTOR('',#8239,1.2E0); +#8241=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,4.311724784545E0)); +#8242=LINE('',#8241,#8240); +#8243=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8244=VECTOR('',#8243,2.799999E0); +#8245=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,5.511724784545E0)); +#8246=LINE('',#8245,#8244); +#8247=DIRECTION('',(0.E0,1.E0,0.E0)); +#8248=VECTOR('',#8247,1.800002E0); +#8249=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,5.511724784545E0)); +#8250=LINE('',#8249,#8248); +#8251=DIRECTION('',(1.E0,0.E0,0.E0)); +#8252=VECTOR('',#8251,2.799999E0); +#8253=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,5.511724784545E0)); +#8254=LINE('',#8253,#8252); +#8255=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8256=VECTOR('',#8255,1.800002E0); +#8257=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,5.511724784545E0)); +#8258=LINE('',#8257,#8256); +#8259=DIRECTION('',(0.E0,0.E0,1.E0)); +#8260=VECTOR('',#8259,5.E-1); +#8261=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.311724784545E0)); +#8262=LINE('',#8261,#8260); +#8263=DIRECTION('',(0.E0,0.E0,1.E0)); +#8264=VECTOR('',#8263,5.E-1); +#8265=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.311724784545E0)); +#8266=LINE('',#8265,#8264); +#8267=DIRECTION('',(0.E0,0.E0,1.E0)); +#8268=VECTOR('',#8267,5.E-1); +#8269=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.311724784545E0)); +#8270=LINE('',#8269,#8268); +#8271=DIRECTION('',(0.E0,0.E0,1.E0)); +#8272=VECTOR('',#8271,5.E-1); +#8273=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.311724784545E0)); +#8274=LINE('',#8273,#8272); +#8275=DIRECTION('',(1.E0,0.E0,0.E0)); +#8276=VECTOR('',#8275,1.049998E0); +#8277=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.811724784545E0)); +#8278=LINE('',#8277,#8276); +#8279=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8280=VECTOR('',#8279,5.99998E-1); +#8281=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.811724784545E0)); +#8282=LINE('',#8281,#8280); +#8283=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8284=VECTOR('',#8283,1.049998E0); +#8285=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.811724784545E0)); +#8286=LINE('',#8285,#8284); +#8287=DIRECTION('',(1.E0,0.E0,0.E0)); +#8288=VECTOR('',#8287,1.049998E0); +#8289=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.811724784545E0)); +#8290=LINE('',#8289,#8288); +#8291=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8292=VECTOR('',#8291,5.99999E-1); +#8293=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.811724784545E0)); +#8294=LINE('',#8293,#8292); +#8295=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8296=VECTOR('',#8295,1.049998E0); +#8297=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.811724784545E0)); +#8298=LINE('',#8297,#8296); +#8299=DIRECTION('',(1.E0,0.E0,0.E0)); +#8300=VECTOR('',#8299,1.049998E0); +#8301=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.811724784545E0)); +#8302=LINE('',#8301,#8300); +#8303=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8304=VECTOR('',#8303,5.99999E-1); +#8305=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.811724784545E0)); +#8306=LINE('',#8305,#8304); +#8307=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8308=VECTOR('',#8307,1.049998E0); +#8309=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.811724784545E0)); +#8310=LINE('',#8309,#8308); +#8311=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8312=VECTOR('',#8311,1.049998E0); +#8313=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.811724784545E0)); +#8314=LINE('',#8313,#8312); +#8315=DIRECTION('',(0.E0,1.E0,0.E0)); +#8316=VECTOR('',#8315,5.99998E-1); +#8317=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.811724784545E0)); +#8318=LINE('',#8317,#8316); +#8319=DIRECTION('',(1.E0,0.E0,0.E0)); +#8320=VECTOR('',#8319,1.049998E0); +#8321=CARTESIAN_POINT('',(9.839959E1,6.4022999E1,4.811724784545E0)); +#8322=LINE('',#8321,#8320); +#8323=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8324=VECTOR('',#8323,1.049998E0); +#8325=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.811724784545E0)); +#8326=LINE('',#8325,#8324); +#8327=DIRECTION('',(0.E0,1.E0,0.E0)); +#8328=VECTOR('',#8327,5.99999E-1); +#8329=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.811724784545E0)); +#8330=LINE('',#8329,#8328); +#8331=DIRECTION('',(1.E0,0.E0,0.E0)); +#8332=VECTOR('',#8331,1.049998E0); +#8333=CARTESIAN_POINT('',(9.839959E1,6.2123E1,4.811724784545E0)); +#8334=LINE('',#8333,#8332); +#8335=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8336=VECTOR('',#8335,5.99998E-1); +#8337=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.811724784545E0)); +#8338=LINE('',#8337,#8336); +#8339=DIRECTION('',(0.E0,0.E0,1.E0)); +#8340=VECTOR('',#8339,5.E-1); +#8341=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.311724784545E0)); +#8342=LINE('',#8341,#8340); +#8343=DIRECTION('',(0.E0,0.E0,1.E0)); +#8344=VECTOR('',#8343,1.5E0); +#8345=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,4.311724784545E0)); +#8346=LINE('',#8345,#8344); +#8347=DIRECTION('',(0.E0,0.E0,1.E0)); +#8348=VECTOR('',#8347,5.E-1); +#8349=CARTESIAN_POINT('',(9.6599588E1,6.2123E1,4.311724784545E0)); +#8350=LINE('',#8349,#8348); +#8351=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8352=VECTOR('',#8351,5.99999E-1); +#8353=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.811724784545E0)); +#8354=LINE('',#8353,#8352); +#8355=DIRECTION('',(0.E0,0.E0,1.E0)); +#8356=VECTOR('',#8355,5.E-1); +#8357=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.311724784545E0)); +#8358=LINE('',#8357,#8356); +#8359=DIRECTION('',(0.E0,0.E0,1.E0)); +#8360=VECTOR('',#8359,5.E-1); +#8361=CARTESIAN_POINT('',(9.6599588E1,6.3072998E1,4.311724784545E0)); +#8362=LINE('',#8361,#8360); +#8363=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8364=VECTOR('',#8363,5.99999E-1); +#8365=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.811724784545E0)); +#8366=LINE('',#8365,#8364); +#8367=DIRECTION('',(0.E0,0.E0,1.E0)); +#8368=VECTOR('',#8367,5.E-1); +#8369=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.311724784545E0)); +#8370=LINE('',#8369,#8368); +#8371=DIRECTION('',(0.E0,0.E0,1.E0)); +#8372=VECTOR('',#8371,5.E-1); +#8373=CARTESIAN_POINT('',(9.6599588E1,6.4022999E1,4.311724784545E0)); +#8374=LINE('',#8373,#8372); +#8375=DIRECTION('',(0.E0,0.E0,1.E0)); +#8376=VECTOR('',#8375,1.5E0); +#8377=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,4.311724784545E0)); +#8378=LINE('',#8377,#8376); +#8379=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8380=VECTOR('',#8379,5.99998E-1); +#8381=CARTESIAN_POINT('',(9.839959E1,6.4622997E1,4.811724784545E0)); +#8382=LINE('',#8381,#8380); +#8383=DIRECTION('',(0.E0,0.E0,1.E0)); +#8384=VECTOR('',#8383,5.E-1); +#8385=CARTESIAN_POINT('',(9.839959E1,6.4022999E1,4.311724784545E0)); +#8386=LINE('',#8385,#8384); +#8387=DIRECTION('',(0.E0,0.E0,1.E0)); +#8388=VECTOR('',#8387,5.E-1); +#8389=CARTESIAN_POINT('',(9.839959E1,6.2722999E1,4.311724784545E0)); +#8390=LINE('',#8389,#8388); +#8391=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8392=VECTOR('',#8391,5.99999E-1); +#8393=CARTESIAN_POINT('',(9.839959E1,6.2722999E1,4.811724784545E0)); +#8394=LINE('',#8393,#8392); +#8395=DIRECTION('',(0.E0,0.E0,1.E0)); +#8396=VECTOR('',#8395,5.E-1); +#8397=CARTESIAN_POINT('',(9.839959E1,6.2123E1,4.311724784545E0)); +#8398=LINE('',#8397,#8396); +#8399=DIRECTION('',(0.E0,0.E0,1.E0)); +#8400=VECTOR('',#8399,1.5E0); +#8401=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,4.311724784545E0)); +#8402=LINE('',#8401,#8400); +#8403=DIRECTION('',(0.E0,0.E0,1.E0)); +#8404=VECTOR('',#8403,5.E-1); +#8405=CARTESIAN_POINT('',(9.839959E1,6.4622997E1,4.311724784545E0)); +#8406=LINE('',#8405,#8404); +#8407=DIRECTION('',(0.E0,0.E0,1.E0)); +#8408=VECTOR('',#8407,5.E-1); +#8409=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.311724784545E0)); +#8410=LINE('',#8409,#8408); +#8411=DIRECTION('',(0.E0,0.E0,1.E0)); +#8412=VECTOR('',#8411,5.E-1); +#8413=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.311724784545E0)); +#8414=LINE('',#8413,#8412); +#8415=DIRECTION('',(0.E0,0.E0,1.E0)); +#8416=VECTOR('',#8415,5.E-1); +#8417=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.311724784545E0)); +#8418=LINE('',#8417,#8416); +#8419=DIRECTION('',(0.E0,0.E0,1.E0)); +#8420=VECTOR('',#8419,5.E-1); +#8421=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.311724784545E0)); +#8422=LINE('',#8421,#8420); +#8423=DIRECTION('',(0.E0,0.E0,1.E0)); +#8424=VECTOR('',#8423,1.5E0); +#8425=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,4.311724784545E0)); +#8426=LINE('',#8425,#8424); +#8427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8428=VECTOR('',#8427,1.800002E0); +#8429=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,5.811724784545E0)); +#8430=LINE('',#8429,#8428); +#8431=DIRECTION('',(0.E0,1.E0,0.E0)); +#8432=VECTOR('',#8431,3.099999E0); +#8433=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,5.811724784545E0)); +#8434=LINE('',#8433,#8432); +#8435=DIRECTION('',(1.E0,0.E0,0.E0)); +#8436=VECTOR('',#8435,1.800002E0); +#8437=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,5.811724784545E0)); +#8438=LINE('',#8437,#8436); +#8439=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8440=VECTOR('',#8439,3.099999E0); +#8441=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,5.811724784545E0)); +#8442=LINE('',#8441,#8440); +#8443=DIRECTION('',(0.E0,0.E0,1.E0)); +#8444=VECTOR('',#8443,5.E-1); +#8445=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.311724784545E0)); +#8446=LINE('',#8445,#8444); +#8447=DIRECTION('',(0.E0,0.E0,1.E0)); +#8448=VECTOR('',#8447,5.E-1); +#8449=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.311724784545E0)); +#8450=LINE('',#8449,#8448); +#8451=DIRECTION('',(0.E0,0.E0,1.E0)); +#8452=VECTOR('',#8451,5.E-1); +#8453=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.311724784545E0)); +#8454=LINE('',#8453,#8452); +#8455=DIRECTION('',(0.E0,0.E0,1.E0)); +#8456=VECTOR('',#8455,5.E-1); +#8457=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.311724784545E0)); +#8458=LINE('',#8457,#8456); +#8459=DIRECTION('',(0.E0,0.E0,1.E0)); +#8460=VECTOR('',#8459,5.E-1); +#8461=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.311724784545E0)); +#8462=LINE('',#8461,#8460); +#8463=DIRECTION('',(0.E0,0.E0,1.E0)); +#8464=VECTOR('',#8463,5.E-1); +#8465=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.311724784545E0)); +#8466=LINE('',#8465,#8464); +#8467=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8468=VECTOR('',#8467,1.5516E0); +#8469=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.811724784545E0)); +#8470=LINE('',#8469,#8468); +#8471=DIRECTION('',(0.E0,1.E0,0.E0)); +#8472=VECTOR('',#8471,7.51602E-1); +#8473=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.811724784545E0)); +#8474=LINE('',#8473,#8472); +#8475=DIRECTION('',(1.E0,0.E0,0.E0)); +#8476=VECTOR('',#8475,1.5516E0); +#8477=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.811724784545E0)); +#8478=LINE('',#8477,#8476); +#8479=DIRECTION('',(-3.781484007547E-14,-1.E0,0.E0)); +#8480=VECTOR('',#8479,7.51602E-1); +#8481=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.811724784545E0)); +#8482=LINE('',#8481,#8480); +#8483=DIRECTION('',(0.E0,0.E0,1.E0)); +#8484=VECTOR('',#8483,8.E-1); +#8485=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,4.311724784545E0)); +#8486=LINE('',#8485,#8484); +#8487=DIRECTION('',(0.E0,0.E0,1.E0)); +#8488=VECTOR('',#8487,8.E-1); +#8489=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,4.311724784545E0)); +#8490=LINE('',#8489,#8488); +#8491=DIRECTION('',(0.E0,0.E0,1.E0)); +#8492=VECTOR('',#8491,8.E-1); +#8493=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,4.311724784545E0)); +#8494=LINE('',#8493,#8492); +#8495=DIRECTION('',(0.E0,0.E0,1.E0)); +#8496=VECTOR('',#8495,8.E-1); +#8497=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,4.311724784545E0)); +#8498=LINE('',#8497,#8496); +#8499=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8500=VECTOR('',#8499,1.183198E0); +#8501=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,5.111724784545E0)); +#8502=LINE('',#8501,#8500); +#8503=DIRECTION('',(0.E0,1.E0,0.E0)); +#8504=VECTOR('',#8503,2.673202E0); +#8505=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,5.111724784545E0)); +#8506=LINE('',#8505,#8504); +#8507=DIRECTION('',(1.E0,0.E0,0.E0)); +#8508=VECTOR('',#8507,1.183198E0); +#8509=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,5.111724784545E0)); +#8510=LINE('',#8509,#8508); +#8511=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8512=VECTOR('',#8511,2.673202E0); +#8513=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,5.111724784545E0)); +#8514=LINE('',#8513,#8512); +#8515=DIRECTION('',(0.E0,0.E0,1.E0)); +#8516=VECTOR('',#8515,5.E-1); +#8517=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.311724784545E0)); +#8518=LINE('',#8517,#8516); +#8519=DIRECTION('',(0.E0,0.E0,1.E0)); +#8520=VECTOR('',#8519,5.E-1); +#8521=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.311724784545E0)); +#8522=LINE('',#8521,#8520); +#8523=DIRECTION('',(0.E0,0.E0,1.E0)); +#8524=VECTOR('',#8523,5.E-1); +#8525=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.311724784545E0)); +#8526=LINE('',#8525,#8524); +#8527=DIRECTION('',(0.E0,0.E0,1.E0)); +#8528=VECTOR('',#8527,5.E-1); +#8529=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.311724784545E0)); +#8530=LINE('',#8529,#8528); +#8531=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8532=VECTOR('',#8531,4.99999E-1); +#8533=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.811724784545E0)); +#8534=LINE('',#8533,#8532); +#8535=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8536=VECTOR('',#8535,5.99999E-1); +#8537=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.811724784545E0)); +#8538=LINE('',#8537,#8536); +#8539=DIRECTION('',(0.E0,1.E0,0.E0)); +#8540=VECTOR('',#8539,4.99999E-1); +#8541=CARTESIAN_POINT('',(8.3438393E1,6.4222198E1,4.811724784545E0)); +#8542=LINE('',#8541,#8540); +#8543=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8544=VECTOR('',#8543,4.99999E-1); +#8545=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.811724784545E0)); +#8546=LINE('',#8545,#8544); +#8547=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8548=VECTOR('',#8547,5.99999E-1); +#8549=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.811724784545E0)); +#8550=LINE('',#8549,#8548); +#8551=DIRECTION('',(0.E0,1.E0,0.E0)); +#8552=VECTOR('',#8551,4.99999E-1); +#8553=CARTESIAN_POINT('',(8.5338392E1,6.4222198E1,4.811724784545E0)); +#8554=LINE('',#8553,#8552); +#8555=DIRECTION('',(0.E0,1.E0,0.E0)); +#8556=VECTOR('',#8555,4.99999E-1); +#8557=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.811724784545E0)); +#8558=LINE('',#8557,#8556); +#8559=DIRECTION('',(1.E0,0.E0,0.E0)); +#8560=VECTOR('',#8559,5.99999E-1); +#8561=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.811724784545E0)); +#8562=LINE('',#8561,#8560); +#8563=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8564=VECTOR('',#8563,4.99999E-1); +#8565=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.811724784545E0)); +#8566=LINE('',#8565,#8564); +#8567=DIRECTION('',(0.E0,1.E0,0.E0)); +#8568=VECTOR('',#8567,4.99999E-1); +#8569=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.811724784545E0)); +#8570=LINE('',#8569,#8568); +#8571=DIRECTION('',(1.E0,0.E0,0.E0)); +#8572=VECTOR('',#8571,5.99999E-1); +#8573=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.811724784545E0)); +#8574=LINE('',#8573,#8572); +#8575=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8576=VECTOR('',#8575,4.99999E-1); +#8577=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.811724784545E0)); +#8578=LINE('',#8577,#8576); +#8579=DIRECTION('',(0.E0,1.E0,0.E0)); +#8580=VECTOR('',#8579,4.99999E-1); +#8581=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.811724784545E0)); +#8582=LINE('',#8581,#8580); +#8583=DIRECTION('',(1.E0,0.E0,0.E0)); +#8584=VECTOR('',#8583,5.99999E-1); +#8585=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.811724784545E0)); +#8586=LINE('',#8585,#8584); +#8587=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8588=VECTOR('',#8587,4.99999E-1); +#8589=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.811724784545E0)); +#8590=LINE('',#8589,#8588); +#8591=DIRECTION('',(1.E0,0.E0,0.E0)); +#8592=VECTOR('',#8591,5.99999E-1); +#8593=CARTESIAN_POINT('',(8.2838394E1,6.4222198E1,4.811724784545E0)); +#8594=LINE('',#8593,#8592); +#8595=DIRECTION('',(0.E0,0.E0,1.E0)); +#8596=VECTOR('',#8595,5.E-1); +#8597=CARTESIAN_POINT('',(8.3438393E1,6.4222198E1,4.311724784545E0)); +#8598=LINE('',#8597,#8596); +#8599=DIRECTION('',(0.E0,0.E0,1.E0)); +#8600=VECTOR('',#8599,5.E-1); +#8601=CARTESIAN_POINT('',(8.4738393E1,6.4222198E1,4.311724784545E0)); +#8602=LINE('',#8601,#8600); +#8603=DIRECTION('',(1.E0,0.E0,0.E0)); +#8604=VECTOR('',#8603,5.99999E-1); +#8605=CARTESIAN_POINT('',(8.4738393E1,6.4222198E1,4.811724784545E0)); +#8606=LINE('',#8605,#8604); +#8607=DIRECTION('',(0.E0,0.E0,1.E0)); +#8608=VECTOR('',#8607,5.E-1); +#8609=CARTESIAN_POINT('',(8.5338392E1,6.4222198E1,4.311724784545E0)); +#8610=LINE('',#8609,#8608); +#8611=DIRECTION('',(0.E0,0.E0,1.E0)); +#8612=VECTOR('',#8611,8.E-1); +#8613=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,4.311724784545E0)); +#8614=LINE('',#8613,#8612); +#8615=DIRECTION('',(0.E0,0.E0,1.E0)); +#8616=VECTOR('',#8615,5.E-1); +#8617=CARTESIAN_POINT('',(8.2838394E1,6.4222198E1,4.311724784545E0)); +#8618=LINE('',#8617,#8616); +#8619=DIRECTION('',(0.E0,0.E0,1.E0)); +#8620=VECTOR('',#8619,5.E-1); +#8621=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.311724784545E0)); +#8622=LINE('',#8621,#8620); +#8623=DIRECTION('',(0.E0,0.E0,1.E0)); +#8624=VECTOR('',#8623,5.E-1); +#8625=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.311724784545E0)); +#8626=LINE('',#8625,#8624); +#8627=DIRECTION('',(0.E0,0.E0,1.E0)); +#8628=VECTOR('',#8627,5.E-1); +#8629=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.311724784545E0)); +#8630=LINE('',#8629,#8628); +#8631=DIRECTION('',(0.E0,0.E0,1.E0)); +#8632=VECTOR('',#8631,5.E-1); +#8633=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.311724784545E0)); +#8634=LINE('',#8633,#8632); +#8635=DIRECTION('',(1.E0,0.E0,0.E0)); +#8636=VECTOR('',#8635,5.99999E-1); +#8637=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.811724784545E0)); +#8638=LINE('',#8637,#8636); +#8639=DIRECTION('',(0.E0,0.E0,1.E0)); +#8640=VECTOR('',#8639,5.E-1); +#8641=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.311724784545E0)); +#8642=LINE('',#8641,#8640); +#8643=DIRECTION('',(0.E0,0.E0,1.E0)); +#8644=VECTOR('',#8643,8.E-1); +#8645=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,4.311724784545E0)); +#8646=LINE('',#8645,#8644); +#8647=DIRECTION('',(0.E0,0.E0,1.E0)); +#8648=VECTOR('',#8647,5.E-1); +#8649=CARTESIAN_POINT('',(8.5338392E1,6.2422197E1,4.311724784545E0)); +#8650=LINE('',#8649,#8648); +#8651=DIRECTION('',(1.E0,0.E0,0.E0)); +#8652=VECTOR('',#8651,5.99999E-1); +#8653=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.811724784545E0)); +#8654=LINE('',#8653,#8652); +#8655=DIRECTION('',(0.E0,0.E0,1.E0)); +#8656=VECTOR('',#8655,5.E-1); +#8657=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.311724784545E0)); +#8658=LINE('',#8657,#8656); +#8659=DIRECTION('',(0.E0,0.E0,1.E0)); +#8660=VECTOR('',#8659,5.E-1); +#8661=CARTESIAN_POINT('',(8.4388394E1,6.2422197E1,4.311724784545E0)); +#8662=LINE('',#8661,#8660); +#8663=DIRECTION('',(1.E0,0.E0,0.E0)); +#8664=VECTOR('',#8663,5.99999E-1); +#8665=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.811724784545E0)); +#8666=LINE('',#8665,#8664); +#8667=DIRECTION('',(0.E0,0.E0,1.E0)); +#8668=VECTOR('',#8667,5.E-1); +#8669=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.311724784545E0)); +#8670=LINE('',#8669,#8668); +#8671=DIRECTION('',(0.E0,0.E0,1.E0)); +#8672=VECTOR('',#8671,5.E-1); +#8673=CARTESIAN_POINT('',(8.3438393E1,6.2422197E1,4.311724784545E0)); +#8674=LINE('',#8673,#8672); +#8675=DIRECTION('',(0.E0,0.E0,1.E0)); +#8676=VECTOR('',#8675,8.E-1); +#8677=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,4.311724784545E0)); +#8678=LINE('',#8677,#8676); +#8679=DIRECTION('',(-1.E0,0.E0,0.E0)); +#8680=VECTOR('',#8679,3.099999E0); +#8681=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,5.111724784545E0)); +#8682=LINE('',#8681,#8680); +#8683=DIRECTION('',(0.E0,1.E0,0.E0)); +#8684=VECTOR('',#8683,1.800001E0); +#8685=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,5.111724784545E0)); +#8686=LINE('',#8685,#8684); +#8687=DIRECTION('',(1.E0,0.E0,0.E0)); +#8688=VECTOR('',#8687,3.099999E0); +#8689=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,5.111724784545E0)); +#8690=LINE('',#8689,#8688); +#8691=DIRECTION('',(0.E0,-1.E0,0.E0)); +#8692=VECTOR('',#8691,1.800001E0); +#8693=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,5.111724784545E0)); +#8694=LINE('',#8693,#8692); +#8695=DIRECTION('',(0.E0,0.E0,1.E0)); +#8696=VECTOR('',#8695,8.E-1); +#8697=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,4.311724784545E0)); +#8698=LINE('',#8697,#8696); +#8699=DIRECTION('',(0.E0,0.E0,1.E0)); +#8700=VECTOR('',#8699,5.E-1); +#8701=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.311724784545E0)); +#8702=LINE('',#8701,#8700); +#8703=DIRECTION('',(0.E0,0.E0,1.E0)); +#8704=VECTOR('',#8703,5.E-1); +#8705=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.311724784545E0)); +#8706=LINE('',#8705,#8704); +#8707=CARTESIAN_POINT('',(7.998266041947E1,1.085497988574E2,3.111724784545E0)); +#8708=CARTESIAN_POINT('',(8.098266033901E1,1.095502E2,3.111724784545E0)); +#8709=VERTEX_POINT('',#8707); +#8710=VERTEX_POINT('',#8708); +#8711=CARTESIAN_POINT('',(8.098266033901E1,1.095502E2,4.311724784545E0)); +#8712=VERTEX_POINT('',#8711); +#8713=CARTESIAN_POINT('',(7.998266041947E1,1.085497988574E2,4.311724784545E0)); +#8714=VERTEX_POINT('',#8713); +#8715=CARTESIAN_POINT('',(1.044878625206E2,1.095502E2,3.111724784545E0)); +#8716=CARTESIAN_POINT('',(1.054878624972E2,1.085499835486E2,3.111724784545E0)); +#8717=VERTEX_POINT('',#8715); +#8718=VERTEX_POINT('',#8716); +#8719=CARTESIAN_POINT('',(1.054878624972E2,1.085499835486E2,4.311724784545E0)); +#8720=VERTEX_POINT('',#8719); +#8721=CARTESIAN_POINT('',(1.044878625206E2,1.095502E2,4.311724784545E0)); +#8722=VERTEX_POINT('',#8721); +#8723=CARTESIAN_POINT('',(1.054751390074E2,4.976777554863E1,3.111724784545E0)); +#8724=CARTESIAN_POINT('',(1.044751390308E2,4.8767992E1,3.111724784545E0)); +#8725=VERTEX_POINT('',#8723); +#8726=VERTEX_POINT('',#8724); +#8727=CARTESIAN_POINT('',(1.044751390308E2,4.8767992E1,4.311724784545E0)); +#8728=VERTEX_POINT('',#8727); +#8729=CARTESIAN_POINT('',(1.054751390074E2,4.976777554863E1,4.311724784545E0)); +#8730=VERTEX_POINT('',#8729); +#8731=CARTESIAN_POINT('',(8.100624038897E1,4.8767992E1,3.111724784545E0)); +#8732=CARTESIAN_POINT('',(8.000624046943E1,4.976759085739E1,3.111724784545E0)); +#8733=VERTEX_POINT('',#8731); +#8734=VERTEX_POINT('',#8732); +#8735=CARTESIAN_POINT('',(8.000624046943E1,4.976759085739E1,4.311724784545E0)); +#8736=VERTEX_POINT('',#8735); +#8737=CARTESIAN_POINT('',(8.100624038897E1,4.8767992E1,4.311724784545E0)); +#8738=VERTEX_POINT('',#8737); +#8739=CARTESIAN_POINT('',(8.3619282E1,1.06429602E2,4.311724784545E0)); +#8740=CARTESIAN_POINT('',(8.1669583E1,1.06429602E2,4.311724784545E0)); +#8741=VERTEX_POINT('',#8739); +#8742=VERTEX_POINT('',#8740); +#8743=CARTESIAN_POINT('',(1.03596963E2,1.06426003E2,4.311724784545E0)); +#8744=CARTESIAN_POINT('',(1.01714615E2,1.06426003E2,4.311724784545E0)); +#8745=VERTEX_POINT('',#8743); +#8746=VERTEX_POINT('',#8744); +#8747=CARTESIAN_POINT('',(8.3619282E1,1.06429602E2,3.111724784545E0)); +#8748=CARTESIAN_POINT('',(8.1669583E1,1.06429602E2,3.111724784545E0)); +#8749=VERTEX_POINT('',#8747); +#8750=VERTEX_POINT('',#8748); +#8751=CARTESIAN_POINT('',(1.03596963E2,1.06426003E2,3.111724784545E0)); +#8752=CARTESIAN_POINT('',(1.01714615E2,1.06426003E2,3.111724784545E0)); +#8753=VERTEX_POINT('',#8751); +#8754=VERTEX_POINT('',#8752); +#8755=CARTESIAN_POINT('',(1.034531915E2,1.00711E2,4.311724784545E0)); +#8756=CARTESIAN_POINT('',(1.048563895E2,1.00711E2,4.311724784545E0)); +#8757=VERTEX_POINT('',#8755); +#8758=VERTEX_POINT('',#8756); +#8759=CARTESIAN_POINT('',(1.034531915E2,9.8171E1,4.311724784545E0)); +#8760=CARTESIAN_POINT('',(1.048563895E2,9.8171E1,4.311724784545E0)); +#8761=VERTEX_POINT('',#8759); +#8762=VERTEX_POINT('',#8760); +#8763=CARTESIAN_POINT('',(1.034531915E2,9.5631E1,4.311724784545E0)); +#8764=CARTESIAN_POINT('',(1.048563895E2,9.5631E1,4.311724784545E0)); +#8765=VERTEX_POINT('',#8763); +#8766=VERTEX_POINT('',#8764); +#8767=CARTESIAN_POINT('',(1.034531915E2,9.3091E1,4.311724784545E0)); +#8768=CARTESIAN_POINT('',(1.048563895E2,9.3091E1,4.311724784545E0)); +#8769=VERTEX_POINT('',#8767); +#8770=VERTEX_POINT('',#8768); +#8771=CARTESIAN_POINT('',(1.034531915E2,9.0551E1,4.311724784545E0)); +#8772=CARTESIAN_POINT('',(1.048563895E2,9.0551E1,4.311724784545E0)); +#8773=VERTEX_POINT('',#8771); +#8774=VERTEX_POINT('',#8772); +#8775=CARTESIAN_POINT('',(1.034531915E2,8.8011E1,4.311724784545E0)); +#8776=CARTESIAN_POINT('',(1.048563895E2,8.8011E1,4.311724784545E0)); +#8777=VERTEX_POINT('',#8775); +#8778=VERTEX_POINT('',#8776); +#8779=CARTESIAN_POINT('',(1.033531915E2,8.5471E1,4.311724784545E0)); +#8780=CARTESIAN_POINT('',(1.049563895E2,8.5471E1,4.311724784545E0)); +#8781=VERTEX_POINT('',#8779); +#8782=VERTEX_POINT('',#8780); +#8783=CARTESIAN_POINT('',(1.033531915E2,8.2931E1,4.311724784545E0)); +#8784=CARTESIAN_POINT('',(1.049563895E2,8.2931E1,4.311724784545E0)); +#8785=VERTEX_POINT('',#8783); +#8786=VERTEX_POINT('',#8784); +#8787=CARTESIAN_POINT('',(1.033531915E2,8.0391E1,4.311724784545E0)); +#8788=CARTESIAN_POINT('',(1.049563895E2,8.0391E1,4.311724784545E0)); +#8789=VERTEX_POINT('',#8787); +#8790=VERTEX_POINT('',#8788); +#8791=CARTESIAN_POINT('',(1.033531915E2,7.7851E1,4.311724784545E0)); +#8792=CARTESIAN_POINT('',(1.049563895E2,7.7851E1,4.311724784545E0)); +#8793=VERTEX_POINT('',#8791); +#8794=VERTEX_POINT('',#8792); +#8795=CARTESIAN_POINT('',(1.033531915E2,7.5311E1,4.311724784545E0)); +#8796=CARTESIAN_POINT('',(1.049563895E2,7.5311E1,4.311724784545E0)); +#8797=VERTEX_POINT('',#8795); +#8798=VERTEX_POINT('',#8796); +#8799=CARTESIAN_POINT('',(1.033531915E2,7.2771E1,4.311724784545E0)); +#8800=CARTESIAN_POINT('',(1.049563895E2,7.2771E1,4.311724784545E0)); +#8801=VERTEX_POINT('',#8799); +#8802=VERTEX_POINT('',#8800); +#8803=CARTESIAN_POINT('',(1.034531915E2,1.00711E2,3.111724784545E0)); +#8804=CARTESIAN_POINT('',(1.048563895E2,1.00711E2,3.111724784545E0)); +#8805=VERTEX_POINT('',#8803); +#8806=VERTEX_POINT('',#8804); +#8807=CARTESIAN_POINT('',(1.034531915E2,9.8171E1,3.111724784545E0)); +#8808=CARTESIAN_POINT('',(1.048563895E2,9.8171E1,3.111724784545E0)); +#8809=VERTEX_POINT('',#8807); +#8810=VERTEX_POINT('',#8808); +#8811=CARTESIAN_POINT('',(1.034531915E2,9.5631E1,3.111724784545E0)); +#8812=CARTESIAN_POINT('',(1.048563895E2,9.5631E1,3.111724784545E0)); +#8813=VERTEX_POINT('',#8811); +#8814=VERTEX_POINT('',#8812); +#8815=CARTESIAN_POINT('',(1.034531915E2,9.3091E1,3.111724784545E0)); +#8816=CARTESIAN_POINT('',(1.048563895E2,9.3091E1,3.111724784545E0)); +#8817=VERTEX_POINT('',#8815); +#8818=VERTEX_POINT('',#8816); +#8819=CARTESIAN_POINT('',(1.034531915E2,9.0551E1,3.111724784545E0)); +#8820=CARTESIAN_POINT('',(1.048563895E2,9.0551E1,3.111724784545E0)); +#8821=VERTEX_POINT('',#8819); +#8822=VERTEX_POINT('',#8820); +#8823=CARTESIAN_POINT('',(1.034531915E2,8.8011E1,3.111724784545E0)); +#8824=CARTESIAN_POINT('',(1.048563895E2,8.8011E1,3.111724784545E0)); +#8825=VERTEX_POINT('',#8823); +#8826=VERTEX_POINT('',#8824); +#8827=CARTESIAN_POINT('',(1.033531915E2,8.5471E1,3.111724784545E0)); +#8828=CARTESIAN_POINT('',(1.049563895E2,8.5471E1,3.111724784545E0)); +#8829=VERTEX_POINT('',#8827); +#8830=VERTEX_POINT('',#8828); +#8831=CARTESIAN_POINT('',(1.033531915E2,8.2931E1,3.111724784545E0)); +#8832=CARTESIAN_POINT('',(1.049563895E2,8.2931E1,3.111724784545E0)); +#8833=VERTEX_POINT('',#8831); +#8834=VERTEX_POINT('',#8832); +#8835=CARTESIAN_POINT('',(1.033531915E2,8.0391E1,3.111724784545E0)); +#8836=CARTESIAN_POINT('',(1.049563895E2,8.0391E1,3.111724784545E0)); +#8837=VERTEX_POINT('',#8835); +#8838=VERTEX_POINT('',#8836); +#8839=CARTESIAN_POINT('',(1.033531915E2,7.7851E1,3.111724784545E0)); +#8840=CARTESIAN_POINT('',(1.049563895E2,7.7851E1,3.111724784545E0)); +#8841=VERTEX_POINT('',#8839); +#8842=VERTEX_POINT('',#8840); +#8843=CARTESIAN_POINT('',(1.033531915E2,7.5311E1,3.111724784545E0)); +#8844=CARTESIAN_POINT('',(1.049563895E2,7.5311E1,3.111724784545E0)); +#8845=VERTEX_POINT('',#8843); +#8846=VERTEX_POINT('',#8844); +#8847=CARTESIAN_POINT('',(1.033531915E2,7.2771E1,3.111724784545E0)); +#8848=CARTESIAN_POINT('',(1.049563895E2,7.2771E1,3.111724784545E0)); +#8849=VERTEX_POINT('',#8847); +#8850=VERTEX_POINT('',#8848); +#8851=CARTESIAN_POINT('',(8.04931915E1,1.00711399E2,4.311724784545E0)); +#8852=CARTESIAN_POINT('',(8.20963895E1,1.00711399E2,4.311724784545E0)); +#8853=VERTEX_POINT('',#8851); +#8854=VERTEX_POINT('',#8852); +#8855=CARTESIAN_POINT('',(8.05931915E1,9.8171399E1,4.311724784545E0)); +#8856=CARTESIAN_POINT('',(8.19963895E1,9.8171399E1,4.311724784545E0)); +#8857=VERTEX_POINT('',#8855); +#8858=VERTEX_POINT('',#8856); +#8859=CARTESIAN_POINT('',(8.05931915E1,9.5631399E1,4.311724784545E0)); +#8860=CARTESIAN_POINT('',(8.19963895E1,9.5631399E1,4.311724784545E0)); +#8861=VERTEX_POINT('',#8859); +#8862=VERTEX_POINT('',#8860); +#8863=CARTESIAN_POINT('',(8.05931915E1,9.3091399E1,4.311724784545E0)); +#8864=CARTESIAN_POINT('',(8.19963895E1,9.3091399E1,4.311724784545E0)); +#8865=VERTEX_POINT('',#8863); +#8866=VERTEX_POINT('',#8864); +#8867=CARTESIAN_POINT('',(8.05931915E1,9.0551399E1,4.311724784545E0)); +#8868=CARTESIAN_POINT('',(8.19963895E1,9.0551399E1,4.311724784545E0)); +#8869=VERTEX_POINT('',#8867); +#8870=VERTEX_POINT('',#8868); +#8871=CARTESIAN_POINT('',(8.05931915E1,8.8011399E1,4.311724784545E0)); +#8872=CARTESIAN_POINT('',(8.19963895E1,8.8011399E1,4.311724784545E0)); +#8873=VERTEX_POINT('',#8871); +#8874=VERTEX_POINT('',#8872); +#8875=CARTESIAN_POINT('',(8.05931915E1,8.5471399E1,4.311724784545E0)); +#8876=CARTESIAN_POINT('',(8.19963895E1,8.5471399E1,4.311724784545E0)); +#8877=VERTEX_POINT('',#8875); +#8878=VERTEX_POINT('',#8876); +#8879=CARTESIAN_POINT('',(8.05931915E1,8.2931399E1,4.311724784545E0)); +#8880=CARTESIAN_POINT('',(8.19963895E1,8.2931399E1,4.311724784545E0)); +#8881=VERTEX_POINT('',#8879); +#8882=VERTEX_POINT('',#8880); +#8883=CARTESIAN_POINT('',(8.05931915E1,8.0391399E1,4.311724784545E0)); +#8884=CARTESIAN_POINT('',(8.19963895E1,8.0391399E1,4.311724784545E0)); +#8885=VERTEX_POINT('',#8883); +#8886=VERTEX_POINT('',#8884); +#8887=CARTESIAN_POINT('',(8.05931915E1,7.7851399E1,4.311724784545E0)); +#8888=CARTESIAN_POINT('',(8.19963895E1,7.7851399E1,4.311724784545E0)); +#8889=VERTEX_POINT('',#8887); +#8890=VERTEX_POINT('',#8888); +#8891=CARTESIAN_POINT('',(8.05931915E1,7.5311399E1,4.311724784545E0)); +#8892=CARTESIAN_POINT('',(8.19963895E1,7.5311399E1,4.311724784545E0)); +#8893=VERTEX_POINT('',#8891); +#8894=VERTEX_POINT('',#8892); +#8895=CARTESIAN_POINT('',(8.05931915E1,7.2771399E1,4.311724784545E0)); +#8896=CARTESIAN_POINT('',(8.19963895E1,7.2771399E1,4.311724784545E0)); +#8897=VERTEX_POINT('',#8895); +#8898=VERTEX_POINT('',#8896); +#8899=CARTESIAN_POINT('',(8.04931915E1,1.00711399E2,3.111724784545E0)); +#8900=CARTESIAN_POINT('',(8.20963895E1,1.00711399E2,3.111724784545E0)); +#8901=VERTEX_POINT('',#8899); +#8902=VERTEX_POINT('',#8900); +#8903=CARTESIAN_POINT('',(8.05931915E1,9.8171399E1,3.111724784545E0)); +#8904=CARTESIAN_POINT('',(8.19963895E1,9.8171399E1,3.111724784545E0)); +#8905=VERTEX_POINT('',#8903); +#8906=VERTEX_POINT('',#8904); +#8907=CARTESIAN_POINT('',(8.05931915E1,9.5631399E1,3.111724784545E0)); +#8908=CARTESIAN_POINT('',(8.19963895E1,9.5631399E1,3.111724784545E0)); +#8909=VERTEX_POINT('',#8907); +#8910=VERTEX_POINT('',#8908); +#8911=CARTESIAN_POINT('',(8.05931915E1,9.3091399E1,3.111724784545E0)); +#8912=CARTESIAN_POINT('',(8.19963895E1,9.3091399E1,3.111724784545E0)); +#8913=VERTEX_POINT('',#8911); +#8914=VERTEX_POINT('',#8912); +#8915=CARTESIAN_POINT('',(8.05931915E1,9.0551399E1,3.111724784545E0)); +#8916=CARTESIAN_POINT('',(8.19963895E1,9.0551399E1,3.111724784545E0)); +#8917=VERTEX_POINT('',#8915); +#8918=VERTEX_POINT('',#8916); +#8919=CARTESIAN_POINT('',(8.05931915E1,8.8011399E1,3.111724784545E0)); +#8920=CARTESIAN_POINT('',(8.19963895E1,8.8011399E1,3.111724784545E0)); +#8921=VERTEX_POINT('',#8919); +#8922=VERTEX_POINT('',#8920); +#8923=CARTESIAN_POINT('',(8.05931915E1,8.5471399E1,3.111724784545E0)); +#8924=CARTESIAN_POINT('',(8.19963895E1,8.5471399E1,3.111724784545E0)); +#8925=VERTEX_POINT('',#8923); +#8926=VERTEX_POINT('',#8924); +#8927=CARTESIAN_POINT('',(8.05931915E1,8.2931399E1,3.111724784545E0)); +#8928=CARTESIAN_POINT('',(8.19963895E1,8.2931399E1,3.111724784545E0)); +#8929=VERTEX_POINT('',#8927); +#8930=VERTEX_POINT('',#8928); +#8931=CARTESIAN_POINT('',(8.05931915E1,8.0391399E1,3.111724784545E0)); +#8932=CARTESIAN_POINT('',(8.19963895E1,8.0391399E1,3.111724784545E0)); +#8933=VERTEX_POINT('',#8931); +#8934=VERTEX_POINT('',#8932); +#8935=CARTESIAN_POINT('',(8.05931915E1,7.7851399E1,3.111724784545E0)); +#8936=CARTESIAN_POINT('',(8.19963895E1,7.7851399E1,3.111724784545E0)); +#8937=VERTEX_POINT('',#8935); +#8938=VERTEX_POINT('',#8936); +#8939=CARTESIAN_POINT('',(8.05931915E1,7.5311399E1,3.111724784545E0)); +#8940=CARTESIAN_POINT('',(8.19963895E1,7.5311399E1,3.111724784545E0)); +#8941=VERTEX_POINT('',#8939); +#8942=VERTEX_POINT('',#8940); +#8943=CARTESIAN_POINT('',(8.05931915E1,7.2771399E1,3.111724784545E0)); +#8944=CARTESIAN_POINT('',(8.19963895E1,7.2771399E1,3.111724784545E0)); +#8945=VERTEX_POINT('',#8943); +#8946=VERTEX_POINT('',#8944); +#8947=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,1.111724784545E0)); +#8948=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.111724784545E0)); +#8949=VERTEX_POINT('',#8947); +#8950=VERTEX_POINT('',#8948); +#8951=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,1.111724784545E0)); +#8952=VERTEX_POINT('',#8951); +#8953=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,1.111724784545E0)); +#8954=VERTEX_POINT('',#8953); +#8955=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,3.111724784545E0)); +#8956=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,3.111724784545E0)); +#8957=VERTEX_POINT('',#8955); +#8958=VERTEX_POINT('',#8956); +#8959=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,3.111724784545E0)); +#8960=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,3.111724784545E0)); +#8961=VERTEX_POINT('',#8959); +#8962=VERTEX_POINT('',#8960); +#8963=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,2.611724784545E0)); +#8964=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,2.611724784545E0)); +#8965=VERTEX_POINT('',#8963); +#8966=VERTEX_POINT('',#8964); +#8967=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,2.611724784545E0)); +#8968=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,2.611724784545E0)); +#8969=VERTEX_POINT('',#8967); +#8970=VERTEX_POINT('',#8968); +#8971=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,3.111724784545E0)); +#8972=CARTESIAN_POINT('',(8.348498713377E1,8.5605734E1,3.111724784545E0)); +#8973=VERTEX_POINT('',#8971); +#8974=VERTEX_POINT('',#8972); +#8975=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,3.111724784545E0)); +#8976=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,3.111724784545E0)); +#8977=VERTEX_POINT('',#8975); +#8978=VERTEX_POINT('',#8976); +#8979=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,3.111724784545E0)); +#8980=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,3.111724784545E0)); +#8981=VERTEX_POINT('',#8979); +#8982=VERTEX_POINT('',#8980); +#8983=CARTESIAN_POINT('',(1.019849871338E2,8.4002435E1,3.111724784545E0)); +#8984=VERTEX_POINT('',#8983); +#8985=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,3.111724784545E0)); +#8986=VERTEX_POINT('',#8985); +#8987=CARTESIAN_POINT('',(1.019849871338E2,8.4002435E1,2.611724784545E0)); +#8988=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,2.611724784545E0)); +#8989=VERTEX_POINT('',#8987); +#8990=VERTEX_POINT('',#8988); +#8991=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,2.611724784545E0)); +#8992=CARTESIAN_POINT('',(8.348498713377E1,8.5605734E1,2.611724784545E0)); +#8993=VERTEX_POINT('',#8991); +#8994=VERTEX_POINT('',#8992); +#8995=CARTESIAN_POINT('',(9.7072392E1,5.5067992E1,1.251724784545E0)); +#8996=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,-4.827521545509E-2)); +#8997=VERTEX_POINT('',#8995); +#8998=VERTEX_POINT('',#8996); +#8999=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,-4.827521545509E-2)); +#9000=CARTESIAN_POINT('',(8.8122392E1,5.5067992E1,1.251724784545E0)); +#9001=VERTEX_POINT('',#8999); +#9002=VERTEX_POINT('',#9000); +#9003=CARTESIAN_POINT('',(8.8122392E1,5.5067992E1,1.811724784545E0)); +#9004=VERTEX_POINT('',#9003); +#9005=CARTESIAN_POINT('',(9.7072392E1,5.5067992E1,1.811724784545E0)); +#9006=VERTEX_POINT('',#9005); +#9007=CARTESIAN_POINT('',(8.8122392E1,4.7567992E1,1.251724784545E0)); +#9008=CARTESIAN_POINT('',(8.8122392E1,4.7567992E1,1.811724784545E0)); +#9009=VERTEX_POINT('',#9007); +#9010=VERTEX_POINT('',#9008); +#9011=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,-4.827521545509E-2)); +#9012=VERTEX_POINT('',#9011); +#9013=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,3.111724784545E0)); +#9014=VERTEX_POINT('',#9013); +#9015=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,-4.827521545509E-2)); +#9016=VERTEX_POINT('',#9015); +#9017=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,3.111724784545E0)); +#9018=VERTEX_POINT('',#9017); +#9019=CARTESIAN_POINT('',(9.7072392E1,4.7567992E1,1.251724784545E0)); +#9020=VERTEX_POINT('',#9019); +#9021=CARTESIAN_POINT('',(9.7072392E1,4.7567992E1,1.811724784545E0)); +#9022=VERTEX_POINT('',#9021); +#9023=CARTESIAN_POINT('',(9.6772392E1,5.4767992E1,1.251724784545E0)); +#9024=CARTESIAN_POINT('',(9.5772392E1,5.4767992E1,2.517247845449E-1)); +#9025=VERTEX_POINT('',#9023); +#9026=VERTEX_POINT('',#9024); +#9027=CARTESIAN_POINT('',(9.5772392E1,5.4767992E1,2.811724784545E0)); +#9028=CARTESIAN_POINT('',(9.6772392E1,5.4767992E1,1.811724784545E0)); +#9029=VERTEX_POINT('',#9027); +#9030=VERTEX_POINT('',#9028); +#9031=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,2.517247845449E-1)); +#9032=CARTESIAN_POINT('',(8.8422392E1,5.4767992E1,1.251724784545E0)); +#9033=VERTEX_POINT('',#9031); +#9034=VERTEX_POINT('',#9032); +#9035=CARTESIAN_POINT('',(8.8422392E1,5.4767992E1,1.811724784545E0)); +#9036=CARTESIAN_POINT('',(8.9422392E1,5.4767992E1,2.811724784545E0)); +#9037=VERTEX_POINT('',#9035); +#9038=VERTEX_POINT('',#9036); +#9039=CARTESIAN_POINT('',(8.8422392E1,4.7567992E1,1.251724784545E0)); +#9040=CARTESIAN_POINT('',(8.8422392E1,4.7567992E1,1.811724784545E0)); +#9041=VERTEX_POINT('',#9039); +#9042=VERTEX_POINT('',#9040); +#9043=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,2.517247845449E-1)); +#9044=VERTEX_POINT('',#9043); +#9045=CARTESIAN_POINT('',(8.9422392E1,4.7567992E1,2.811724784545E0)); +#9046=VERTEX_POINT('',#9045); +#9047=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,2.517247845449E-1)); +#9048=VERTEX_POINT('',#9047); +#9049=CARTESIAN_POINT('',(9.5772392E1,4.7567992E1,2.811724784545E0)); +#9050=VERTEX_POINT('',#9049); +#9051=CARTESIAN_POINT('',(9.6772392E1,4.7567992E1,1.251724784545E0)); +#9052=VERTEX_POINT('',#9051); +#9053=CARTESIAN_POINT('',(9.6772392E1,4.7567992E1,1.811724784545E0)); +#9054=VERTEX_POINT('',#9053); +#9055=CARTESIAN_POINT('',(8.9422392E1,4.8767992E1,3.111724784545E0)); +#9056=CARTESIAN_POINT('',(9.5772392E1,4.8767992E1,3.111724784545E0)); +#9057=VERTEX_POINT('',#9055); +#9058=VERTEX_POINT('',#9056); +#9059=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,2.811724784545E0)); +#9060=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,2.811724784545E0)); +#9061=VERTEX_POINT('',#9059); +#9062=VERTEX_POINT('',#9060); +#9063=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,3.111724784545E0)); +#9064=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,3.111724784545E0)); +#9065=VERTEX_POINT('',#9063); +#9066=VERTEX_POINT('',#9064); +#9067=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.111724784545E0)); +#9068=VERTEX_POINT('',#9067); +#9069=CARTESIAN_POINT('',(9.5772392E1,5.5067992E1,3.111724784545E0)); +#9070=VERTEX_POINT('',#9069); +#9071=CARTESIAN_POINT('',(8.9422392E1,5.5067992E1,3.111724784545E0)); +#9072=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.111724784545E0)); +#9073=VERTEX_POINT('',#9071); +#9074=VERTEX_POINT('',#9072); +#9075=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,2.811724784545E0)); +#9076=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,2.811724784545E0)); +#9077=VERTEX_POINT('',#9075); +#9078=VERTEX_POINT('',#9076); +#9079=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.039736364295E0)); +#9080=VERTEX_POINT('',#9079); +#9081=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.039736016904E0)); +#9082=VERTEX_POINT('',#9081); +#9083=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,3.111724784545E0)); +#9084=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,3.111724784545E0)); +#9085=VERTEX_POINT('',#9083); +#9086=VERTEX_POINT('',#9084); +#9087=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,3.111724784545E0)); +#9088=VERTEX_POINT('',#9087); +#9089=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,3.111724784545E0)); +#9090=VERTEX_POINT('',#9089); +#9091=CARTESIAN_POINT('',(8.30967915E1,5.21372005E1,1.611724784545E0)); +#9092=CARTESIAN_POINT('',(8.30967915E1,5.00372005E1,1.611724784545E0)); +#9093=VERTEX_POINT('',#9091); +#9094=VERTEX_POINT('',#9092); +#9095=CARTESIAN_POINT('',(8.37967915E1,5.00372005E1,1.611724784545E0)); +#9096=VERTEX_POINT('',#9095); +#9097=CARTESIAN_POINT('',(8.37967915E1,5.21372005E1,1.611724784545E0)); +#9098=VERTEX_POINT('',#9097); +#9099=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,1.611724784545E0)); +#9100=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,1.611724784545E0)); +#9101=VERTEX_POINT('',#9099); +#9102=VERTEX_POINT('',#9100); +#9103=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,1.611724784545E0)); +#9104=VERTEX_POINT('',#9103); +#9105=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,1.611724784545E0)); +#9106=VERTEX_POINT('',#9105); +#9107=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,3.111724784545E0)); +#9108=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,3.111724784545E0)); +#9109=VERTEX_POINT('',#9107); +#9110=VERTEX_POINT('',#9108); +#9111=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,3.111724784545E0)); +#9112=VERTEX_POINT('',#9111); +#9113=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,3.111724784545E0)); +#9114=VERTEX_POINT('',#9113); +#9115=CARTESIAN_POINT('',(1.01194792E2,5.20199995E1,1.611724784545E0)); +#9116=CARTESIAN_POINT('',(1.01194792E2,4.99199995E1,1.611724784545E0)); +#9117=VERTEX_POINT('',#9115); +#9118=VERTEX_POINT('',#9116); +#9119=CARTESIAN_POINT('',(1.01894792E2,4.99199995E1,1.611724784545E0)); +#9120=VERTEX_POINT('',#9119); +#9121=CARTESIAN_POINT('',(1.01894792E2,5.20199995E1,1.611724784545E0)); +#9122=VERTEX_POINT('',#9121); +#9123=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,1.611724784545E0)); +#9124=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,1.611724784545E0)); +#9125=VERTEX_POINT('',#9123); +#9126=VERTEX_POINT('',#9124); +#9127=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,1.611724784545E0)); +#9128=VERTEX_POINT('',#9127); +#9129=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,1.611724784545E0)); +#9130=VERTEX_POINT('',#9129); +#9131=CARTESIAN_POINT('',(1.01894792E2,5.02199995E1,6.117247845449E-1)); +#9132=CARTESIAN_POINT('',(1.01194792E2,5.02199995E1,6.117247845449E-1)); +#9133=VERTEX_POINT('',#9131); +#9134=VERTEX_POINT('',#9132); +#9135=CARTESIAN_POINT('',(1.018947919997E2,4.99199995E1,9.117247845449E-1)); +#9136=CARTESIAN_POINT('',(1.011947920020E2,4.99199995E1,9.117247845449E-1)); +#9137=VERTEX_POINT('',#9135); +#9138=VERTEX_POINT('',#9136); +#9139=CARTESIAN_POINT('',(1.01194792E2,5.17199995E1,6.117247845449E-1)); +#9140=VERTEX_POINT('',#9139); +#9141=CARTESIAN_POINT('',(1.01194792E2,5.20199995E1,9.117247845449E-1)); +#9142=VERTEX_POINT('',#9141); +#9143=CARTESIAN_POINT('',(1.01894792E2,5.17199995E1,6.117247845449E-1)); +#9144=VERTEX_POINT('',#9143); +#9145=CARTESIAN_POINT('',(1.018947919980E2,5.20199995E1,9.117247845449E-1)); +#9146=VERTEX_POINT('',#9145); +#9147=CARTESIAN_POINT('',(8.37967915E1,5.03372005E1,6.117247845449E-1)); +#9148=CARTESIAN_POINT('',(8.30967915E1,5.03372005E1,6.117247845449E-1)); +#9149=VERTEX_POINT('',#9147); +#9150=VERTEX_POINT('',#9148); +#9151=CARTESIAN_POINT('',(8.379679149975E1,5.00372005E1,9.117247845449E-1)); +#9152=CARTESIAN_POINT('',(8.309679150201E1,5.00372005E1,9.117247845449E-1)); +#9153=VERTEX_POINT('',#9151); +#9154=VERTEX_POINT('',#9152); +#9155=CARTESIAN_POINT('',(8.30967915E1,5.18372005E1,6.117247845449E-1)); +#9156=VERTEX_POINT('',#9155); +#9157=CARTESIAN_POINT('',(8.30967915E1,5.21372005E1,9.117247845449E-1)); +#9158=VERTEX_POINT('',#9157); +#9159=CARTESIAN_POINT('',(8.37967915E1,5.18372005E1,6.117247845449E-1)); +#9160=VERTEX_POINT('',#9159); +#9161=CARTESIAN_POINT('',(8.379679149799E1,5.21372005E1,9.117247845449E-1)); +#9162=VERTEX_POINT('',#9161); +#9163=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,7.111724784545E0)); +#9164=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,7.111724784545E0)); +#9165=VERTEX_POINT('',#9163); +#9166=VERTEX_POINT('',#9164); +#9167=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,7.111724784545E0)); +#9168=VERTEX_POINT('',#9167); +#9169=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,7.111724784545E0)); +#9170=VERTEX_POINT('',#9169); +#9171=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,4.311724784545E0)); +#9172=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,4.311724784545E0)); +#9173=VERTEX_POINT('',#9171); +#9174=VERTEX_POINT('',#9172); +#9175=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,4.311724784545E0)); +#9176=VERTEX_POINT('',#9175); +#9177=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,4.311724784545E0)); +#9178=VERTEX_POINT('',#9177); +#9179=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.811724784545E0)); +#9180=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.811724784545E0)); +#9181=VERTEX_POINT('',#9179); +#9182=VERTEX_POINT('',#9180); +#9183=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.811724784545E0)); +#9184=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.811724784545E0)); +#9185=VERTEX_POINT('',#9183); +#9186=VERTEX_POINT('',#9184); +#9187=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.811724784545E0)); +#9188=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.811724784545E0)); +#9189=VERTEX_POINT('',#9187); +#9190=VERTEX_POINT('',#9188); +#9191=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.811724784545E0)); +#9192=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.811724784545E0)); +#9193=VERTEX_POINT('',#9191); +#9194=VERTEX_POINT('',#9192); +#9195=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.311724784545E0)); +#9196=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.311724784545E0)); +#9197=VERTEX_POINT('',#9195); +#9198=VERTEX_POINT('',#9196); +#9199=CARTESIAN_POINT('',(1.02432462E2,5.3689809E1,4.311724784545E0)); +#9200=VERTEX_POINT('',#9199); +#9201=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.311724784545E0)); +#9202=VERTEX_POINT('',#9201); +#9203=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.311724784545E0)); +#9204=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.311724784545E0)); +#9205=VERTEX_POINT('',#9203); +#9206=VERTEX_POINT('',#9204); +#9207=CARTESIAN_POINT('',(1.01432464E2,5.3689809E1,4.311724784545E0)); +#9208=VERTEX_POINT('',#9207); +#9209=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.311724784545E0)); +#9210=VERTEX_POINT('',#9209); +#9211=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.311724784545E0)); +#9212=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.311724784545E0)); +#9213=VERTEX_POINT('',#9211); +#9214=VERTEX_POINT('',#9212); +#9215=CARTESIAN_POINT('',(1.00432466E2,5.3689809E1,4.311724784545E0)); +#9216=VERTEX_POINT('',#9215); +#9217=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.311724784545E0)); +#9218=VERTEX_POINT('',#9217); +#9219=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.311724784545E0)); +#9220=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.311724784545E0)); +#9221=VERTEX_POINT('',#9219); +#9222=VERTEX_POINT('',#9220); +#9223=CARTESIAN_POINT('',(9.9432468E1,5.3689809E1,4.311724784545E0)); +#9224=VERTEX_POINT('',#9223); +#9225=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.311724784545E0)); +#9226=VERTEX_POINT('',#9225); +#9227=CARTESIAN_POINT('',(9.9432468E1,5.3689809E1,4.811724784545E0)); +#9228=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.811724784545E0)); +#9229=VERTEX_POINT('',#9227); +#9230=VERTEX_POINT('',#9228); +#9231=CARTESIAN_POINT('',(1.00432466E2,5.3689809E1,4.811724784545E0)); +#9232=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.811724784545E0)); +#9233=VERTEX_POINT('',#9231); +#9234=VERTEX_POINT('',#9232); +#9235=CARTESIAN_POINT('',(1.01432464E2,5.3689809E1,4.811724784545E0)); +#9236=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.811724784545E0)); +#9237=VERTEX_POINT('',#9235); +#9238=VERTEX_POINT('',#9236); +#9239=CARTESIAN_POINT('',(1.02432462E2,5.3689809E1,4.811724784545E0)); +#9240=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.811724784545E0)); +#9241=VERTEX_POINT('',#9239); +#9242=VERTEX_POINT('',#9240); +#9243=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,4.861724784545E0)); +#9244=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,4.861724784545E0)); +#9245=VERTEX_POINT('',#9243); +#9246=VERTEX_POINT('',#9244); +#9247=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,6.711724784545E0)); +#9248=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,6.711724784545E0)); +#9249=VERTEX_POINT('',#9247); +#9250=VERTEX_POINT('',#9248); +#9251=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,4.861724784545E0)); +#9252=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,4.861724784545E0)); +#9253=VERTEX_POINT('',#9251); +#9254=VERTEX_POINT('',#9252); +#9255=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.711724784545E0)); +#9256=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.711724784545E0)); +#9257=VERTEX_POINT('',#9255); +#9258=VERTEX_POINT('',#9256); +#9259=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,6.311724784545E0)); +#9260=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,5.811724784545E0)); +#9261=VERTEX_POINT('',#9259); +#9262=VERTEX_POINT('',#9260); +#9263=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,5.811724784545E0)); +#9264=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,6.311724784545E0)); +#9265=VERTEX_POINT('',#9263); +#9266=VERTEX_POINT('',#9264); +#9267=CARTESIAN_POINT('',(9.86219995E1,4.8990809E1,6.311724784545E0)); +#9268=CARTESIAN_POINT('',(9.86219995E1,4.8990809E1,5.811724784545E0)); +#9269=VERTEX_POINT('',#9267); +#9270=VERTEX_POINT('',#9268); +#9271=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,5.811724784545E0)); +#9272=VERTEX_POINT('',#9271); +#9273=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,5.811724784545E0)); +#9274=CARTESIAN_POINT('',(1.038219995E2,4.8990809E1,5.811724784545E0)); +#9275=VERTEX_POINT('',#9273); +#9276=VERTEX_POINT('',#9274); +#9277=CARTESIAN_POINT('',(1.038219995E2,4.8990809E1,6.311724784545E0)); +#9278=VERTEX_POINT('',#9277); +#9279=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.311724784545E0)); +#9280=VERTEX_POINT('',#9279); +#9281=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.311724784545E0)); +#9282=VERTEX_POINT('',#9281); +#9283=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,5.811724784545E0)); +#9284=VERTEX_POINT('',#9283); +#9285=CARTESIAN_POINT('',(9.90219995E1,5.2490809E1,6.311724784545E0)); +#9286=VERTEX_POINT('',#9285); +#9287=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,5.811724784545E0)); +#9288=VERTEX_POINT('',#9287); +#9289=CARTESIAN_POINT('',(1.034219995E2,5.2490809E1,6.311724784545E0)); +#9290=VERTEX_POINT('',#9289); +#9291=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,4.311724784545E0)); +#9292=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,4.311724784545E0)); +#9293=VERTEX_POINT('',#9291); +#9294=VERTEX_POINT('',#9292); +#9295=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,4.311724784545E0)); +#9296=VERTEX_POINT('',#9295); +#9297=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,4.311724784545E0)); +#9298=VERTEX_POINT('',#9297); +#9299=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,4.311724784545E0)); +#9300=VERTEX_POINT('',#9299); +#9301=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,4.311724784545E0)); +#9302=VERTEX_POINT('',#9301); +#9303=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,4.311724784545E0)); +#9304=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,4.311724784545E0)); +#9305=VERTEX_POINT('',#9303); +#9306=VERTEX_POINT('',#9304); +#9307=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,4.311724784545E0)); +#9308=VERTEX_POINT('',#9307); +#9309=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,4.311724784545E0)); +#9310=VERTEX_POINT('',#9309); +#9311=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.811724784545E0)); +#9312=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.811724784545E0)); +#9313=VERTEX_POINT('',#9311); +#9314=VERTEX_POINT('',#9312); +#9315=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.811724784545E0)); +#9316=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.811724784545E0)); +#9317=VERTEX_POINT('',#9315); +#9318=VERTEX_POINT('',#9316); +#9319=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.311724784545E0)); +#9320=CARTESIAN_POINT('',(9.3633191E1,4.991800209900E1,4.311724784545E0)); +#9321=VERTEX_POINT('',#9319); +#9322=VERTEX_POINT('',#9320); +#9323=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.311724784545E0)); +#9324=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.311724784545E0)); +#9325=VERTEX_POINT('',#9323); +#9326=VERTEX_POINT('',#9324); +#9327=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.311724784545E0)); +#9328=CARTESIAN_POINT('',(9.2363193E1,4.990807964741E1,4.311724784545E0)); +#9329=VERTEX_POINT('',#9327); +#9330=VERTEX_POINT('',#9328); +#9331=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.311724784545E0)); +#9332=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.311724784545E0)); +#9333=VERTEX_POINT('',#9331); +#9334=VERTEX_POINT('',#9332); +#9335=CARTESIAN_POINT('',(9.1663195E1,4.990261058662E1,4.811724784545E0)); +#9336=CARTESIAN_POINT('',(9.2363193E1,4.990807964741E1,4.811724784545E0)); +#9337=VERTEX_POINT('',#9335); +#9338=VERTEX_POINT('',#9336); +#9339=CARTESIAN_POINT('',(9.2933192E1,4.991253303039E1,4.811724784545E0)); +#9340=CARTESIAN_POINT('',(9.3633191E1,4.991800209900E1,4.811724784545E0)); +#9341=VERTEX_POINT('',#9339); +#9342=VERTEX_POINT('',#9340); +#9343=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.111724784545E0)); +#9344=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,7.111724784545E0)); +#9345=VERTEX_POINT('',#9343); +#9346=VERTEX_POINT('',#9344); +#9347=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,7.111724784545E0)); +#9348=VERTEX_POINT('',#9347); +#9349=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,7.111724784545E0)); +#9350=VERTEX_POINT('',#9349); +#9351=CARTESIAN_POINT('',(9.0183193E1,4.989104738086E1,7.111724784545E0)); +#9352=VERTEX_POINT('',#9351); +#9353=CARTESIAN_POINT('',(9.5083191E1,4.992933090015E1,7.111724784545E0)); +#9354=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,7.111724784545E0)); +#9355=VERTEX_POINT('',#9353); +#9356=VERTEX_POINT('',#9354); +#9357=CARTESIAN_POINT('',(9.5083191E1,4.992933090015E1,7.811724784545E0)); +#9358=VERTEX_POINT('',#9357); +#9359=CARTESIAN_POINT('',(9.0183193E1,4.989104738086E1,7.811724784545E0)); +#9360=VERTEX_POINT('',#9359); +#9361=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,7.111724784545E0)); +#9362=VERTEX_POINT('',#9361); +#9363=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,7.111724784545E0)); +#9364=VERTEX_POINT('',#9363); +#9365=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,7.111724784545E0)); +#9366=VERTEX_POINT('',#9365); +#9367=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.811724784545E0)); +#9368=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,7.811724784545E0)); +#9369=VERTEX_POINT('',#9367); +#9370=VERTEX_POINT('',#9368); +#9371=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,7.411724784545E0)); +#9372=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.411724784545E0)); +#9373=VERTEX_POINT('',#9371); +#9374=VERTEX_POINT('',#9372); +#9375=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,7.411724784545E0)); +#9376=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,7.411724784545E0)); +#9377=VERTEX_POINT('',#9375); +#9378=VERTEX_POINT('',#9376); +#9379=CARTESIAN_POINT('',(9.4683191E1,5.1534799E1,7.411724784545E0)); +#9380=CARTESIAN_POINT('',(9.4683191E1,5.1534799E1,4.711724784545E0)); +#9381=VERTEX_POINT('',#9379); +#9382=VERTEX_POINT('',#9380); +#9383=CARTESIAN_POINT('',(9.0583193E1,5.1534799E1,4.711724784545E0)); +#9384=VERTEX_POINT('',#9383); +#9385=CARTESIAN_POINT('',(9.0583193E1,5.1534799E1,7.411724784545E0)); +#9386=VERTEX_POINT('',#9385); +#9387=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,7.411724784545E0)); +#9388=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,4.711724784545E0)); +#9389=VERTEX_POINT('',#9387); +#9390=VERTEX_POINT('',#9388); +#9391=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,4.711724784545E0)); +#9392=VERTEX_POINT('',#9391); +#9393=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,7.411724784545E0)); +#9394=VERTEX_POINT('',#9393); +#9395=CARTESIAN_POINT('',(9.4683191E1,5.3041801E1,7.411724784545E0)); +#9396=VERTEX_POINT('',#9395); +#9397=CARTESIAN_POINT('',(9.0583193E1,5.3041801E1,7.411724784545E0)); +#9398=VERTEX_POINT('',#9397); +#9399=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,6.111724784545E0)); +#9400=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,6.111724784545E0)); +#9401=VERTEX_POINT('',#9399); +#9402=VERTEX_POINT('',#9400); +#9403=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,6.111724784545E0)); +#9404=VERTEX_POINT('',#9403); +#9405=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,6.111724784545E0)); +#9406=VERTEX_POINT('',#9405); +#9407=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,4.311724784545E0)); +#9408=VERTEX_POINT('',#9407); +#9409=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,4.311724784545E0)); +#9410=VERTEX_POINT('',#9409); +#9411=CARTESIAN_POINT('',(1.060727934022E2,5.815540148696E1,5.711724784545E0)); +#9412=CARTESIAN_POINT('',(1.060727934022E2,5.815540148696E1,4.911724784545E0)); +#9413=VERTEX_POINT('',#9411); +#9414=VERTEX_POINT('',#9412); +#9415=CARTESIAN_POINT('',(1.060727925978E2,6.000540148696E1,4.911724784545E0)); +#9416=VERTEX_POINT('',#9415); +#9417=CARTESIAN_POINT('',(1.060727925978E2,6.000540148696E1,5.711724784545E0)); +#9418=VERTEX_POINT('',#9417); +#9419=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,5.711724784545E0)); +#9420=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,4.911724784545E0)); +#9421=VERTEX_POINT('',#9419); +#9422=VERTEX_POINT('',#9420); +#9423=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,4.911724784545E0)); +#9424=VERTEX_POINT('',#9423); +#9425=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,5.711724784545E0)); +#9426=VERTEX_POINT('',#9425); +#9427=CARTESIAN_POINT('',(1.041634888141E2,5.678040265682E1,4.811724784545E0)); +#9428=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,4.811724784545E0)); +#9429=VERTEX_POINT('',#9427); +#9430=VERTEX_POINT('',#9428); +#9431=CARTESIAN_POINT('',(1.041634888141E2,5.678040265682E1,4.311724784545E0)); +#9432=VERTEX_POINT('',#9431); +#9433=CARTESIAN_POINT('',(1.049527939255E2,5.695185797116E1,4.811724784545E0)); +#9434=VERTEX_POINT('',#9433); +#9435=CARTESIAN_POINT('',(1.049527920745E2,6.120894096399E1,4.811724784545E0)); +#9436=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,4.811724784545E0)); +#9437=VERTEX_POINT('',#9435); +#9438=VERTEX_POINT('',#9436); +#9439=CARTESIAN_POINT('',(1.049527920745E2,6.120894096399E1,4.311724784545E0)); +#9440=VERTEX_POINT('',#9439); +#9441=CARTESIAN_POINT('',(1.049527939255E2,5.695185797116E1,4.311724784545E0)); +#9442=VERTEX_POINT('',#9441); +#9443=CARTESIAN_POINT('',(1.041634878745E2,6.138039865682E1,4.811724784545E0)); +#9444=VERTEX_POINT('',#9443); +#9445=CARTESIAN_POINT('',(1.041634878745E2,6.138039865682E1,4.311724784545E0)); +#9446=VERTEX_POINT('',#9445); +#9447=CARTESIAN_POINT('',(1.026527920471E2,6.127208825113E1,4.811724784545E0)); +#9448=CARTESIAN_POINT('',(1.026527924746E2,6.028870596627E1,4.811724784545E0)); +#9449=VERTEX_POINT('',#9447); +#9450=VERTEX_POINT('',#9448); +#9451=CARTESIAN_POINT('',(1.026527935254E2,5.787209333156E1,4.811724784545E0)); +#9452=CARTESIAN_POINT('',(1.026527939529E2,5.688870888584E1,4.811724784545E0)); +#9453=VERTEX_POINT('',#9451); +#9454=VERTEX_POINT('',#9452); +#9455=CARTESIAN_POINT('',(1.026527920471E2,6.127208825113E1,4.311724784545E0)); +#9456=VERTEX_POINT('',#9455); +#9457=CARTESIAN_POINT('',(1.026527924746E2,6.028870596627E1,4.311724784545E0)); +#9458=VERTEX_POINT('',#9457); +#9459=CARTESIAN_POINT('',(1.026527935254E2,5.787209333156E1,4.311724784545E0)); +#9460=VERTEX_POINT('',#9459); +#9461=CARTESIAN_POINT('',(1.026527939529E2,5.688870888584E1,4.311724784545E0)); +#9462=VERTEX_POINT('',#9461); +#9463=CARTESIAN_POINT('',(9.52246E1,7.69366E1,6.311724784545E0)); +#9464=CARTESIAN_POINT('',(9.01446E1,7.69366E1,6.311724784545E0)); +#9465=VERTEX_POINT('',#9463); +#9466=VERTEX_POINT('',#9464); +#9467=CARTESIAN_POINT('',(9.01446E1,7.21106E1,6.311724784545E0)); +#9468=VERTEX_POINT('',#9467); +#9469=CARTESIAN_POINT('',(9.52246E1,7.21106E1,6.311724784545E0)); +#9470=VERTEX_POINT('',#9469); +#9471=CARTESIAN_POINT('',(9.52246E1,7.69366E1,4.311724784545E0)); +#9472=CARTESIAN_POINT('',(9.01446E1,7.69366E1,4.311724784545E0)); +#9473=VERTEX_POINT('',#9471); +#9474=VERTEX_POINT('',#9472); +#9475=CARTESIAN_POINT('',(9.01446E1,7.21106E1,4.311724784545E0)); +#9476=VERTEX_POINT('',#9475); +#9477=CARTESIAN_POINT('',(9.52246E1,7.21106E1,4.311724784545E0)); +#9478=VERTEX_POINT('',#9477); +#9479=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.811724784545E0)); +#9480=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.811724784545E0)); +#9481=VERTEX_POINT('',#9479); +#9482=VERTEX_POINT('',#9480); +#9483=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.811724784545E0)); +#9484=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.811724784545E0)); +#9485=VERTEX_POINT('',#9483); +#9486=VERTEX_POINT('',#9484); +#9487=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.811724784545E0)); +#9488=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.811724784545E0)); +#9489=VERTEX_POINT('',#9487); +#9490=VERTEX_POINT('',#9488); +#9491=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.811724784545E0)); +#9492=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.811724784545E0)); +#9493=VERTEX_POINT('',#9491); +#9494=VERTEX_POINT('',#9492); +#9495=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.811724784545E0)); +#9496=CARTESIAN_POINT('',(8.79856E1,7.47522E1,4.811724784545E0)); +#9497=VERTEX_POINT('',#9495); +#9498=VERTEX_POINT('',#9496); +#9499=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.811724784545E0)); +#9500=CARTESIAN_POINT('',(8.79856E1,7.34822E1,4.811724784545E0)); +#9501=VERTEX_POINT('',#9499); +#9502=VERTEX_POINT('',#9500); +#9503=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.811724784545E0)); +#9504=CARTESIAN_POINT('',(8.79856E1,7.22122E1,4.811724784545E0)); +#9505=VERTEX_POINT('',#9503); +#9506=VERTEX_POINT('',#9504); +#9507=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.811724784545E0)); +#9508=CARTESIAN_POINT('',(8.79856E1,7.60222E1,4.811724784545E0)); +#9509=VERTEX_POINT('',#9507); +#9510=VERTEX_POINT('',#9508); +#9511=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.311724784545E0)); +#9512=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.311724784545E0)); +#9513=VERTEX_POINT('',#9511); +#9514=VERTEX_POINT('',#9512); +#9515=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.311724784545E0)); +#9516=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.311724784545E0)); +#9517=VERTEX_POINT('',#9515); +#9518=VERTEX_POINT('',#9516); +#9519=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.311724784545E0)); +#9520=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.311724784545E0)); +#9521=VERTEX_POINT('',#9519); +#9522=VERTEX_POINT('',#9520); +#9523=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.311724784545E0)); +#9524=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.311724784545E0)); +#9525=VERTEX_POINT('',#9523); +#9526=VERTEX_POINT('',#9524); +#9527=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.311724784545E0)); +#9528=CARTESIAN_POINT('',(8.79856E1,7.47522E1,4.311724784545E0)); +#9529=VERTEX_POINT('',#9527); +#9530=VERTEX_POINT('',#9528); +#9531=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.311724784545E0)); +#9532=CARTESIAN_POINT('',(8.79856E1,7.34822E1,4.311724784545E0)); +#9533=VERTEX_POINT('',#9531); +#9534=VERTEX_POINT('',#9532); +#9535=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.311724784545E0)); +#9536=CARTESIAN_POINT('',(8.79856E1,7.22122E1,4.311724784545E0)); +#9537=VERTEX_POINT('',#9535); +#9538=VERTEX_POINT('',#9536); +#9539=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.311724784545E0)); +#9540=CARTESIAN_POINT('',(8.79856E1,7.60222E1,4.311724784545E0)); +#9541=VERTEX_POINT('',#9539); +#9542=VERTEX_POINT('',#9540); +#9543=CARTESIAN_POINT('',(8.9662E1,7.60222E1,4.311724784545E0)); +#9544=CARTESIAN_POINT('',(8.9662E1,7.6835E1,4.311724784545E0)); +#9545=VERTEX_POINT('',#9543); +#9546=VERTEX_POINT('',#9544); +#9547=CARTESIAN_POINT('',(8.9662E1,7.47522E1,4.311724784545E0)); +#9548=CARTESIAN_POINT('',(8.9662E1,7.5565E1,4.311724784545E0)); +#9549=VERTEX_POINT('',#9547); +#9550=VERTEX_POINT('',#9548); +#9551=CARTESIAN_POINT('',(8.9662E1,7.34822E1,4.311724784545E0)); +#9552=CARTESIAN_POINT('',(8.9662E1,7.4295E1,4.311724784545E0)); +#9553=VERTEX_POINT('',#9551); +#9554=VERTEX_POINT('',#9552); +#9555=CARTESIAN_POINT('',(8.9662E1,7.22122E1,4.311724784545E0)); +#9556=CARTESIAN_POINT('',(8.9662E1,7.3025E1,4.311724784545E0)); +#9557=VERTEX_POINT('',#9555); +#9558=VERTEX_POINT('',#9556); +#9559=CARTESIAN_POINT('',(9.57072E1,7.6835E1,4.311724784545E0)); +#9560=CARTESIAN_POINT('',(9.57072E1,7.60222E1,4.311724784545E0)); +#9561=VERTEX_POINT('',#9559); +#9562=VERTEX_POINT('',#9560); +#9563=CARTESIAN_POINT('',(9.57072E1,7.5565E1,4.311724784545E0)); +#9564=CARTESIAN_POINT('',(9.57072E1,7.47522E1,4.311724784545E0)); +#9565=VERTEX_POINT('',#9563); +#9566=VERTEX_POINT('',#9564); +#9567=CARTESIAN_POINT('',(9.57072E1,7.4295E1,4.311724784545E0)); +#9568=CARTESIAN_POINT('',(9.57072E1,7.34822E1,4.311724784545E0)); +#9569=VERTEX_POINT('',#9567); +#9570=VERTEX_POINT('',#9568); +#9571=CARTESIAN_POINT('',(9.57072E1,7.3025E1,4.311724784545E0)); +#9572=CARTESIAN_POINT('',(9.57072E1,7.22122E1,4.311724784545E0)); +#9573=VERTEX_POINT('',#9571); +#9574=VERTEX_POINT('',#9572); +#9575=CARTESIAN_POINT('',(8.92556E1,7.6835E1,4.811724784545E0)); +#9576=CARTESIAN_POINT('',(8.92556E1,7.60222E1,4.811724784545E0)); +#9577=VERTEX_POINT('',#9575); +#9578=VERTEX_POINT('',#9576); +#9579=CARTESIAN_POINT('',(8.92556E1,7.5565E1,4.811724784545E0)); +#9580=CARTESIAN_POINT('',(8.92556E1,7.47522E1,4.811724784545E0)); +#9581=VERTEX_POINT('',#9579); +#9582=VERTEX_POINT('',#9580); +#9583=CARTESIAN_POINT('',(8.92556E1,7.4295E1,4.811724784545E0)); +#9584=CARTESIAN_POINT('',(8.92556E1,7.34822E1,4.811724784545E0)); +#9585=VERTEX_POINT('',#9583); +#9586=VERTEX_POINT('',#9584); +#9587=CARTESIAN_POINT('',(8.92556E1,7.22122E1,4.811724784545E0)); +#9588=CARTESIAN_POINT('',(8.92556E1,7.3025E1,4.811724784545E0)); +#9589=VERTEX_POINT('',#9587); +#9590=VERTEX_POINT('',#9588); +#9591=CARTESIAN_POINT('',(9.61136E1,7.6835E1,4.811724784545E0)); +#9592=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.811724784545E0)); +#9593=VERTEX_POINT('',#9591); +#9594=VERTEX_POINT('',#9592); +#9595=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.811724784545E0)); +#9596=CARTESIAN_POINT('',(9.61136E1,7.5565E1,4.811724784545E0)); +#9597=VERTEX_POINT('',#9595); +#9598=VERTEX_POINT('',#9596); +#9599=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.811724784545E0)); +#9600=CARTESIAN_POINT('',(9.61136E1,7.4295E1,4.811724784545E0)); +#9601=VERTEX_POINT('',#9599); +#9602=VERTEX_POINT('',#9600); +#9603=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.811724784545E0)); +#9604=CARTESIAN_POINT('',(9.61136E1,7.3025E1,4.811724784545E0)); +#9605=VERTEX_POINT('',#9603); +#9606=VERTEX_POINT('',#9604); +#9607=CARTESIAN_POINT('',(9.61136E1,7.22122E1,5.811724784545E0)); +#9608=CARTESIAN_POINT('',(9.61136E1,7.3025E1,5.811724784545E0)); +#9609=VERTEX_POINT('',#9607); +#9610=VERTEX_POINT('',#9608); +#9611=CARTESIAN_POINT('',(8.92556E1,7.3025E1,5.811724784545E0)); +#9612=CARTESIAN_POINT('',(8.92556E1,7.22122E1,5.811724784545E0)); +#9613=VERTEX_POINT('',#9611); +#9614=VERTEX_POINT('',#9612); +#9615=CARTESIAN_POINT('',(9.61136E1,7.34822E1,5.811724784545E0)); +#9616=CARTESIAN_POINT('',(9.61136E1,7.4295E1,5.811724784545E0)); +#9617=VERTEX_POINT('',#9615); +#9618=VERTEX_POINT('',#9616); +#9619=CARTESIAN_POINT('',(8.92556E1,7.4295E1,5.811724784545E0)); +#9620=CARTESIAN_POINT('',(8.92556E1,7.34822E1,5.811724784545E0)); +#9621=VERTEX_POINT('',#9619); +#9622=VERTEX_POINT('',#9620); +#9623=CARTESIAN_POINT('',(9.61136E1,7.47522E1,5.811724784545E0)); +#9624=CARTESIAN_POINT('',(9.61136E1,7.5565E1,5.811724784545E0)); +#9625=VERTEX_POINT('',#9623); +#9626=VERTEX_POINT('',#9624); +#9627=CARTESIAN_POINT('',(8.92556E1,7.5565E1,5.811724784545E0)); +#9628=CARTESIAN_POINT('',(8.92556E1,7.47522E1,5.811724784545E0)); +#9629=VERTEX_POINT('',#9627); +#9630=VERTEX_POINT('',#9628); +#9631=CARTESIAN_POINT('',(9.61136E1,7.60222E1,5.811724784545E0)); +#9632=CARTESIAN_POINT('',(9.61136E1,7.6835E1,5.811724784545E0)); +#9633=VERTEX_POINT('',#9631); +#9634=VERTEX_POINT('',#9632); +#9635=CARTESIAN_POINT('',(8.92556E1,7.6835E1,5.811724784545E0)); +#9636=CARTESIAN_POINT('',(8.92556E1,7.60222E1,5.811724784545E0)); +#9637=VERTEX_POINT('',#9635); +#9638=VERTEX_POINT('',#9636); +#9639=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.311724784545E0)); +#9640=CARTESIAN_POINT('',(9.01446E1,7.60222E1,5.311724784545E0)); +#9641=VERTEX_POINT('',#9639); +#9642=VERTEX_POINT('',#9640); +#9643=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.311724784545E0)); +#9644=CARTESIAN_POINT('',(9.01446E1,7.47522E1,5.311724784545E0)); +#9645=VERTEX_POINT('',#9643); +#9646=VERTEX_POINT('',#9644); +#9647=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.311724784545E0)); +#9648=CARTESIAN_POINT('',(9.01446E1,7.34822E1,5.311724784545E0)); +#9649=VERTEX_POINT('',#9647); +#9650=VERTEX_POINT('',#9648); +#9651=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.311724784545E0)); +#9652=CARTESIAN_POINT('',(9.01446E1,7.22122E1,5.311724784545E0)); +#9653=VERTEX_POINT('',#9651); +#9654=VERTEX_POINT('',#9652); +#9655=CARTESIAN_POINT('',(9.01446E1,7.6835E1,5.811724784545E0)); +#9656=CARTESIAN_POINT('',(9.01446E1,7.60222E1,5.811724784545E0)); +#9657=VERTEX_POINT('',#9655); +#9658=VERTEX_POINT('',#9656); +#9659=CARTESIAN_POINT('',(9.01446E1,7.5565E1,5.811724784545E0)); +#9660=CARTESIAN_POINT('',(9.01446E1,7.47522E1,5.811724784545E0)); +#9661=VERTEX_POINT('',#9659); +#9662=VERTEX_POINT('',#9660); +#9663=CARTESIAN_POINT('',(9.01446E1,7.4295E1,5.811724784545E0)); +#9664=CARTESIAN_POINT('',(9.01446E1,7.34822E1,5.811724784545E0)); +#9665=VERTEX_POINT('',#9663); +#9666=VERTEX_POINT('',#9664); +#9667=CARTESIAN_POINT('',(9.01446E1,7.3025E1,5.811724784545E0)); +#9668=CARTESIAN_POINT('',(9.01446E1,7.22122E1,5.811724784545E0)); +#9669=VERTEX_POINT('',#9667); +#9670=VERTEX_POINT('',#9668); +#9671=CARTESIAN_POINT('',(9.52246E1,7.6835E1,5.311724784545E0)); +#9672=CARTESIAN_POINT('',(9.52246E1,7.60222E1,5.311724784545E0)); +#9673=VERTEX_POINT('',#9671); +#9674=VERTEX_POINT('',#9672); +#9675=CARTESIAN_POINT('',(9.52246E1,7.5565E1,5.311724784545E0)); +#9676=CARTESIAN_POINT('',(9.52246E1,7.47522E1,5.311724784545E0)); +#9677=VERTEX_POINT('',#9675); +#9678=VERTEX_POINT('',#9676); +#9679=CARTESIAN_POINT('',(9.52246E1,7.4295E1,5.311724784545E0)); +#9680=CARTESIAN_POINT('',(9.52246E1,7.34822E1,5.311724784545E0)); +#9681=VERTEX_POINT('',#9679); +#9682=VERTEX_POINT('',#9680); +#9683=CARTESIAN_POINT('',(9.52246E1,7.3025E1,5.311724784545E0)); +#9684=CARTESIAN_POINT('',(9.52246E1,7.22122E1,5.311724784545E0)); +#9685=VERTEX_POINT('',#9683); +#9686=VERTEX_POINT('',#9684); +#9687=CARTESIAN_POINT('',(9.52246E1,7.6835E1,5.811724784545E0)); +#9688=CARTESIAN_POINT('',(9.52246E1,7.60222E1,5.811724784545E0)); +#9689=VERTEX_POINT('',#9687); +#9690=VERTEX_POINT('',#9688); +#9691=CARTESIAN_POINT('',(9.52246E1,7.5565E1,5.811724784545E0)); +#9692=CARTESIAN_POINT('',(9.52246E1,7.47522E1,5.811724784545E0)); +#9693=VERTEX_POINT('',#9691); +#9694=VERTEX_POINT('',#9692); +#9695=CARTESIAN_POINT('',(9.52246E1,7.4295E1,5.811724784545E0)); +#9696=CARTESIAN_POINT('',(9.52246E1,7.34822E1,5.811724784545E0)); +#9697=VERTEX_POINT('',#9695); +#9698=VERTEX_POINT('',#9696); +#9699=CARTESIAN_POINT('',(9.52246E1,7.3025E1,5.811724784545E0)); +#9700=CARTESIAN_POINT('',(9.52246E1,7.22122E1,5.811724784545E0)); +#9701=VERTEX_POINT('',#9699); +#9702=VERTEX_POINT('',#9700); +#9703=CARTESIAN_POINT('',(8.9662E1,7.60222E1,5.311724784545E0)); +#9704=CARTESIAN_POINT('',(8.9662E1,7.6835E1,5.311724784545E0)); +#9705=VERTEX_POINT('',#9703); +#9706=VERTEX_POINT('',#9704); +#9707=CARTESIAN_POINT('',(8.9662E1,7.47522E1,5.311724784545E0)); +#9708=CARTESIAN_POINT('',(8.9662E1,7.5565E1,5.311724784545E0)); +#9709=VERTEX_POINT('',#9707); +#9710=VERTEX_POINT('',#9708); +#9711=CARTESIAN_POINT('',(8.9662E1,7.34822E1,5.311724784545E0)); +#9712=CARTESIAN_POINT('',(8.9662E1,7.4295E1,5.311724784545E0)); +#9713=VERTEX_POINT('',#9711); +#9714=VERTEX_POINT('',#9712); +#9715=CARTESIAN_POINT('',(8.9662E1,7.22122E1,5.311724784545E0)); +#9716=CARTESIAN_POINT('',(8.9662E1,7.3025E1,5.311724784545E0)); +#9717=VERTEX_POINT('',#9715); +#9718=VERTEX_POINT('',#9716); +#9719=CARTESIAN_POINT('',(9.57072E1,7.6835E1,5.311724784545E0)); +#9720=CARTESIAN_POINT('',(9.57072E1,7.60222E1,5.311724784545E0)); +#9721=VERTEX_POINT('',#9719); +#9722=VERTEX_POINT('',#9720); +#9723=CARTESIAN_POINT('',(9.57072E1,7.5565E1,5.311724784545E0)); +#9724=CARTESIAN_POINT('',(9.57072E1,7.47522E1,5.311724784545E0)); +#9725=VERTEX_POINT('',#9723); +#9726=VERTEX_POINT('',#9724); +#9727=CARTESIAN_POINT('',(9.57072E1,7.4295E1,5.311724784545E0)); +#9728=CARTESIAN_POINT('',(9.57072E1,7.34822E1,5.311724784545E0)); +#9729=VERTEX_POINT('',#9727); +#9730=VERTEX_POINT('',#9728); +#9731=CARTESIAN_POINT('',(9.57072E1,7.3025E1,5.311724784545E0)); +#9732=CARTESIAN_POINT('',(9.57072E1,7.22122E1,5.311724784545E0)); +#9733=VERTEX_POINT('',#9731); +#9734=VERTEX_POINT('',#9732); +#9735=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,5.111724784545E0)); +#9736=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,5.111724784545E0)); +#9737=VERTEX_POINT('',#9735); +#9738=VERTEX_POINT('',#9736); +#9739=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,5.111724784545E0)); +#9740=VERTEX_POINT('',#9739); +#9741=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,5.111724784545E0)); +#9742=VERTEX_POINT('',#9741); +#9743=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,4.311724784545E0)); +#9744=VERTEX_POINT('',#9743); +#9745=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,4.311724784545E0)); +#9746=VERTEX_POINT('',#9745); +#9747=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,4.311724784545E0)); +#9748=VERTEX_POINT('',#9747); +#9749=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,4.311724784545E0)); +#9750=VERTEX_POINT('',#9749); +#9751=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.511724784545E0)); +#9752=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.511724784545E0)); +#9753=VERTEX_POINT('',#9751); +#9754=VERTEX_POINT('',#9752); +#9755=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.511724784545E0)); +#9756=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.511724784545E0)); +#9757=VERTEX_POINT('',#9755); +#9758=VERTEX_POINT('',#9756); +#9759=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.511724784545E0)); +#9760=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.511724784545E0)); +#9761=VERTEX_POINT('',#9759); +#9762=VERTEX_POINT('',#9760); +#9763=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.511724784545E0)); +#9764=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.511724784545E0)); +#9765=VERTEX_POINT('',#9763); +#9766=VERTEX_POINT('',#9764); +#9767=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.311724784545E0)); +#9768=CARTESIAN_POINT('',(9.6946695E1,9.3530318E1,4.311724784545E0)); +#9769=VERTEX_POINT('',#9767); +#9770=VERTEX_POINT('',#9768); +#9771=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.311724784545E0)); +#9772=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.311724784545E0)); +#9773=VERTEX_POINT('',#9771); +#9774=VERTEX_POINT('',#9772); +#9775=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.311724784545E0)); +#9776=CARTESIAN_POINT('',(9.6172071E1,8.7152505E1,4.311724784545E0)); +#9777=VERTEX_POINT('',#9775); +#9778=VERTEX_POINT('',#9776); +#9779=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.311724784545E0)); +#9780=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.311724784545E0)); +#9781=VERTEX_POINT('',#9779); +#9782=VERTEX_POINT('',#9780); +#9783=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.311724784545E0)); +#9784=CARTESIAN_POINT('',(8.9794258E1,8.7927129E1,4.311724784545E0)); +#9785=VERTEX_POINT('',#9783); +#9786=VERTEX_POINT('',#9784); +#9787=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.311724784545E0)); +#9788=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.311724784545E0)); +#9789=VERTEX_POINT('',#9787); +#9790=VERTEX_POINT('',#9788); +#9791=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.311724784545E0)); +#9792=CARTESIAN_POINT('',(9.0568882E1,9.4304942E1,4.311724784545E0)); +#9793=VERTEX_POINT('',#9791); +#9794=VERTEX_POINT('',#9792); +#9795=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.311724784545E0)); +#9796=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.311724784545E0)); +#9797=VERTEX_POINT('',#9795); +#9798=VERTEX_POINT('',#9796); +#9799=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.511724784545E0)); +#9800=CARTESIAN_POINT('',(8.9794258E1,8.7927129E1,4.511724784545E0)); +#9801=VERTEX_POINT('',#9799); +#9802=VERTEX_POINT('',#9800); +#9803=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.511724784545E0)); +#9804=CARTESIAN_POINT('',(9.6172071E1,8.7152505E1,4.511724784545E0)); +#9805=VERTEX_POINT('',#9803); +#9806=VERTEX_POINT('',#9804); +#9807=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.511724784545E0)); +#9808=CARTESIAN_POINT('',(9.6946695E1,9.3530318E1,4.511724784545E0)); +#9809=VERTEX_POINT('',#9807); +#9810=VERTEX_POINT('',#9808); +#9811=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.511724784545E0)); +#9812=CARTESIAN_POINT('',(9.0568882E1,9.4304942E1,4.511724784545E0)); +#9813=VERTEX_POINT('',#9811); +#9814=VERTEX_POINT('',#9812); +#9815=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,5.111724784545E0)); +#9816=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,5.111724784545E0)); +#9817=VERTEX_POINT('',#9815); +#9818=VERTEX_POINT('',#9816); +#9819=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,5.111724784545E0)); +#9820=VERTEX_POINT('',#9819); +#9821=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,5.111724784545E0)); +#9822=VERTEX_POINT('',#9821); +#9823=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,4.311724784545E0)); +#9824=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,4.311724784545E0)); +#9825=VERTEX_POINT('',#9823); +#9826=VERTEX_POINT('',#9824); +#9827=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,4.311724784545E0)); +#9828=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,4.311724784545E0)); +#9829=VERTEX_POINT('',#9827); +#9830=VERTEX_POINT('',#9828); +#9831=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.511724784545E0)); +#9832=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.511724784545E0)); +#9833=VERTEX_POINT('',#9831); +#9834=VERTEX_POINT('',#9832); +#9835=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.511724784545E0)); +#9836=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.511724784545E0)); +#9837=VERTEX_POINT('',#9835); +#9838=VERTEX_POINT('',#9836); +#9839=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.311724784545E0)); +#9840=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.311724784545E0)); +#9841=VERTEX_POINT('',#9839); +#9842=VERTEX_POINT('',#9840); +#9843=CARTESIAN_POINT('',(9.4918784E1,8.2076798E1,4.311724784545E0)); +#9844=VERTEX_POINT('',#9843); +#9845=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.311724784545E0)); +#9846=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.311724784545E0)); +#9847=VERTEX_POINT('',#9845); +#9848=VERTEX_POINT('',#9846); +#9849=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.311724784545E0)); +#9850=VERTEX_POINT('',#9849); +#9851=CARTESIAN_POINT('',(9.6902016E1,7.9924402E1,4.311724784545E0)); +#9852=VERTEX_POINT('',#9851); +#9853=CARTESIAN_POINT('',(9.6902016E1,8.2076798E1,4.311724784545E0)); +#9854=VERTEX_POINT('',#9853); +#9855=CARTESIAN_POINT('',(9.4918784E1,8.2076798E1,4.511724784545E0)); +#9856=CARTESIAN_POINT('',(9.6902016E1,8.2076798E1,4.511724784545E0)); +#9857=VERTEX_POINT('',#9855); +#9858=VERTEX_POINT('',#9856); +#9859=CARTESIAN_POINT('',(9.4918784E1,7.9924402E1,4.511724784545E0)); +#9860=CARTESIAN_POINT('',(9.6902016E1,7.9924402E1,4.511724784545E0)); +#9861=VERTEX_POINT('',#9859); +#9862=VERTEX_POINT('',#9860); +#9863=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.811724784545E0)); +#9864=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.811724784545E0)); +#9865=VERTEX_POINT('',#9863); +#9866=VERTEX_POINT('',#9864); +#9867=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.811724784545E0)); +#9868=VERTEX_POINT('',#9867); +#9869=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.811724784545E0)); +#9870=VERTEX_POINT('',#9869); +#9871=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.311724784545E0)); +#9872=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.311724784545E0)); +#9873=VERTEX_POINT('',#9871); +#9874=VERTEX_POINT('',#9872); +#9875=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.311724784545E0)); +#9876=VERTEX_POINT('',#9875); +#9877=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.311724784545E0)); +#9878=VERTEX_POINT('',#9877); +#9879=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.611724784545E0)); +#9880=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.611724784545E0)); +#9881=VERTEX_POINT('',#9879); +#9882=VERTEX_POINT('',#9880); +#9883=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.611724784545E0)); +#9884=VERTEX_POINT('',#9883); +#9885=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.611724784545E0)); +#9886=VERTEX_POINT('',#9885); +#9887=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.311724784545E0)); +#9888=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.311724784545E0)); +#9889=VERTEX_POINT('',#9887); +#9890=VERTEX_POINT('',#9888); +#9891=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.311724784545E0)); +#9892=VERTEX_POINT('',#9891); +#9893=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.311724784545E0)); +#9894=VERTEX_POINT('',#9893); +#9895=CARTESIAN_POINT('',(1.0147267E2,9.94376375E1,5.511724784545E0)); +#9896=CARTESIAN_POINT('',(1.0147267E2,1.014376375E2,5.511724784545E0)); +#9897=VERTEX_POINT('',#9895); +#9898=VERTEX_POINT('',#9896); +#9899=CARTESIAN_POINT('',(1.0147267E2,1.014376375E2,4.611724784545E0)); +#9900=CARTESIAN_POINT('',(1.0147267E2,9.94376375E1,4.611724784545E0)); +#9901=VERTEX_POINT('',#9899); +#9902=VERTEX_POINT('',#9900); +#9903=CARTESIAN_POINT('',(1.0247267E2,1.004376375E2,4.611724784545E0)); +#9904=VERTEX_POINT('',#9903); +#9905=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.511724784545E0)); +#9906=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.511724784545E0)); +#9907=VERTEX_POINT('',#9905); +#9908=VERTEX_POINT('',#9906); +#9909=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.511724784545E0)); +#9910=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.511724784545E0)); +#9911=VERTEX_POINT('',#9909); +#9912=VERTEX_POINT('',#9910); +#9913=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.511724784545E0)); +#9914=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.511724784545E0)); +#9915=VERTEX_POINT('',#9913); +#9916=VERTEX_POINT('',#9914); +#9917=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.311724784545E0)); +#9918=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.311724784545E0)); +#9919=VERTEX_POINT('',#9917); +#9920=VERTEX_POINT('',#9918); +#9921=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.311724784545E0)); +#9922=VERTEX_POINT('',#9921); +#9923=CARTESIAN_POINT('',(1.00471072E2,1.02177639E2,4.311724784545E0)); +#9924=VERTEX_POINT('',#9923); +#9925=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.311724784545E0)); +#9926=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.311724784545E0)); +#9927=VERTEX_POINT('',#9925); +#9928=VERTEX_POINT('',#9926); +#9929=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.311724784545E0)); +#9930=VERTEX_POINT('',#9929); +#9931=CARTESIAN_POINT('',(1.019556E2,9.8657641E1,4.311724784545E0)); +#9932=VERTEX_POINT('',#9931); +#9933=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.311724784545E0)); +#9934=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.311724784545E0)); +#9935=VERTEX_POINT('',#9933); +#9936=VERTEX_POINT('',#9934); +#9937=CARTESIAN_POINT('',(9.9912592E1,9.9936038E1,4.311724784545E0)); +#9938=VERTEX_POINT('',#9937); +#9939=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.311724784545E0)); +#9940=VERTEX_POINT('',#9939); +#9941=CARTESIAN_POINT('',(1.00471072E2,1.02177639E2,4.511724784545E0)); +#9942=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.511724784545E0)); +#9943=VERTEX_POINT('',#9941); +#9944=VERTEX_POINT('',#9942); +#9945=CARTESIAN_POINT('',(9.9912592E1,1.00939237E2,4.511724784545E0)); +#9946=CARTESIAN_POINT('',(9.9912592E1,9.9936038E1,4.511724784545E0)); +#9947=VERTEX_POINT('',#9945); +#9948=VERTEX_POINT('',#9946); +#9949=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.511724784545E0)); +#9950=CARTESIAN_POINT('',(1.019556E2,9.8657641E1,4.511724784545E0)); +#9951=VERTEX_POINT('',#9949); +#9952=VERTEX_POINT('',#9950); +#9953=CARTESIAN_POINT('',(1.0147267E2,9.96376375E1,4.311724784545E0)); +#9954=CARTESIAN_POINT('',(1.0147267E2,1.012376375E2,4.311724784545E0)); +#9955=VERTEX_POINT('',#9953); +#9956=VERTEX_POINT('',#9954); +#9957=CARTESIAN_POINT('',(1.0147267E2,1.001876375E2,4.311724784545E0)); +#9958=CARTESIAN_POINT('',(1.0147267E2,1.006876375E2,4.311724784545E0)); +#9959=VERTEX_POINT('',#9957); +#9960=VERTEX_POINT('',#9958); +#9961=CARTESIAN_POINT('',(1.0147267E2,9.96376375E1,5.511724784545E0)); +#9962=CARTESIAN_POINT('',(1.0147267E2,1.012376375E2,5.511724784545E0)); +#9963=VERTEX_POINT('',#9961); +#9964=VERTEX_POINT('',#9962); +#9965=CARTESIAN_POINT('',(1.0147267E2,1.006876375E2,5.511724784545E0)); +#9966=CARTESIAN_POINT('',(1.0147267E2,1.001876375E2,5.511724784545E0)); +#9967=VERTEX_POINT('',#9965); +#9968=VERTEX_POINT('',#9966); +#9969=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.811724784545E0)); +#9970=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.811724784545E0)); +#9971=VERTEX_POINT('',#9969); +#9972=VERTEX_POINT('',#9970); +#9973=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.811724784545E0)); +#9974=VERTEX_POINT('',#9973); +#9975=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.811724784545E0)); +#9976=VERTEX_POINT('',#9975); +#9977=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.811724784545E0)); +#9978=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.811724784545E0)); +#9979=VERTEX_POINT('',#9977); +#9980=VERTEX_POINT('',#9978); +#9981=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.811724784545E0)); +#9982=VERTEX_POINT('',#9981); +#9983=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.811724784545E0)); +#9984=VERTEX_POINT('',#9983); +#9985=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.311724784545E0)); +#9986=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.311724784545E0)); +#9987=VERTEX_POINT('',#9985); +#9988=VERTEX_POINT('',#9986); +#9989=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.311724784545E0)); +#9990=VERTEX_POINT('',#9989); +#9991=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.311724784545E0)); +#9992=VERTEX_POINT('',#9991); +#9993=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.311724784545E0)); +#9994=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.311724784545E0)); +#9995=VERTEX_POINT('',#9993); +#9996=VERTEX_POINT('',#9994); +#9997=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.311724784545E0)); +#9998=VERTEX_POINT('',#9997); +#9999=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.311724784545E0)); +#10000=VERTEX_POINT('',#9999); +#10001=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.711724784545E0)); +#10002=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.711724784545E0)); +#10003=VERTEX_POINT('',#10001); +#10004=VERTEX_POINT('',#10002); +#10005=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.711724784545E0)); +#10006=VERTEX_POINT('',#10005); +#10007=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.711724784545E0)); +#10008=VERTEX_POINT('',#10007); +#10009=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.711724784545E0)); +#10010=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.711724784545E0)); +#10011=VERTEX_POINT('',#10009); +#10012=VERTEX_POINT('',#10010); +#10013=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.711724784545E0)); +#10014=VERTEX_POINT('',#10013); +#10015=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.711724784545E0)); +#10016=VERTEX_POINT('',#10015); +#10017=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.711724784545E0)); +#10018=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.711724784545E0)); +#10019=VERTEX_POINT('',#10017); +#10020=VERTEX_POINT('',#10018); +#10021=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.711724784545E0)); +#10022=VERTEX_POINT('',#10021); +#10023=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.711724784545E0)); +#10024=VERTEX_POINT('',#10023); +#10025=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.711724784545E0)); +#10026=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.711724784545E0)); +#10027=VERTEX_POINT('',#10025); +#10028=VERTEX_POINT('',#10026); +#10029=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.711724784545E0)); +#10030=VERTEX_POINT('',#10029); +#10031=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.711724784545E0)); +#10032=VERTEX_POINT('',#10031); +#10033=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.711724784545E0)); +#10034=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.711724784545E0)); +#10035=VERTEX_POINT('',#10033); +#10036=VERTEX_POINT('',#10034); +#10037=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.711724784545E0)); +#10038=VERTEX_POINT('',#10037); +#10039=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.711724784545E0)); +#10040=VERTEX_POINT('',#10039); +#10041=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.711724784545E0)); +#10042=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.711724784545E0)); +#10043=VERTEX_POINT('',#10041); +#10044=VERTEX_POINT('',#10042); +#10045=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.711724784545E0)); +#10046=VERTEX_POINT('',#10045); +#10047=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.711724784545E0)); +#10048=VERTEX_POINT('',#10047); +#10049=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.711724784545E0)); +#10050=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.711724784545E0)); +#10051=VERTEX_POINT('',#10049); +#10052=VERTEX_POINT('',#10050); +#10053=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.711724784545E0)); +#10054=VERTEX_POINT('',#10053); +#10055=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.711724784545E0)); +#10056=VERTEX_POINT('',#10055); +#10057=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.711724784545E0)); +#10058=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.711724784545E0)); +#10059=VERTEX_POINT('',#10057); +#10060=VERTEX_POINT('',#10058); +#10061=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.711724784545E0)); +#10062=VERTEX_POINT('',#10061); +#10063=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.711724784545E0)); +#10064=VERTEX_POINT('',#10063); +#10065=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.711724784545E0)); +#10066=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.711724784545E0)); +#10067=VERTEX_POINT('',#10065); +#10068=VERTEX_POINT('',#10066); +#10069=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.711724784545E0)); +#10070=VERTEX_POINT('',#10069); +#10071=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.711724784545E0)); +#10072=VERTEX_POINT('',#10071); +#10073=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.711724784545E0)); +#10074=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.711724784545E0)); +#10075=VERTEX_POINT('',#10073); +#10076=VERTEX_POINT('',#10074); +#10077=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.711724784545E0)); +#10078=VERTEX_POINT('',#10077); +#10079=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.711724784545E0)); +#10080=VERTEX_POINT('',#10079); +#10081=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.711724784545E0)); +#10082=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.711724784545E0)); +#10083=VERTEX_POINT('',#10081); +#10084=VERTEX_POINT('',#10082); +#10085=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.711724784545E0)); +#10086=VERTEX_POINT('',#10085); +#10087=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.711724784545E0)); +#10088=VERTEX_POINT('',#10087); +#10089=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.711724784545E0)); +#10090=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.711724784545E0)); +#10091=VERTEX_POINT('',#10089); +#10092=VERTEX_POINT('',#10090); +#10093=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.711724784545E0)); +#10094=VERTEX_POINT('',#10093); +#10095=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.711724784545E0)); +#10096=VERTEX_POINT('',#10095); +#10097=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.711724784545E0)); +#10098=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.711724784545E0)); +#10099=VERTEX_POINT('',#10097); +#10100=VERTEX_POINT('',#10098); +#10101=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.711724784545E0)); +#10102=VERTEX_POINT('',#10101); +#10103=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.711724784545E0)); +#10104=VERTEX_POINT('',#10103); +#10105=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.711724784545E0)); +#10106=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.711724784545E0)); +#10107=VERTEX_POINT('',#10105); +#10108=VERTEX_POINT('',#10106); +#10109=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.711724784545E0)); +#10110=VERTEX_POINT('',#10109); +#10111=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.711724784545E0)); +#10112=VERTEX_POINT('',#10111); +#10113=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.711724784545E0)); +#10114=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.711724784545E0)); +#10115=VERTEX_POINT('',#10113); +#10116=VERTEX_POINT('',#10114); +#10117=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.711724784545E0)); +#10118=VERTEX_POINT('',#10117); +#10119=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.711724784545E0)); +#10120=VERTEX_POINT('',#10119); +#10121=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.711724784545E0)); +#10122=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.711724784545E0)); +#10123=VERTEX_POINT('',#10121); +#10124=VERTEX_POINT('',#10122); +#10125=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.711724784545E0)); +#10126=VERTEX_POINT('',#10125); +#10127=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.711724784545E0)); +#10128=VERTEX_POINT('',#10127); +#10129=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.711724784545E0)); +#10130=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.711724784545E0)); +#10131=VERTEX_POINT('',#10129); +#10132=VERTEX_POINT('',#10130); +#10133=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.711724784545E0)); +#10134=VERTEX_POINT('',#10133); +#10135=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.711724784545E0)); +#10136=VERTEX_POINT('',#10135); +#10137=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.711724784545E0)); +#10138=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.711724784545E0)); +#10139=VERTEX_POINT('',#10137); +#10140=VERTEX_POINT('',#10138); +#10141=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.711724784545E0)); +#10142=VERTEX_POINT('',#10141); +#10143=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.711724784545E0)); +#10144=VERTEX_POINT('',#10143); +#10145=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.711724784545E0)); +#10146=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.711724784545E0)); +#10147=VERTEX_POINT('',#10145); +#10148=VERTEX_POINT('',#10146); +#10149=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.711724784545E0)); +#10150=VERTEX_POINT('',#10149); +#10151=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.711724784545E0)); +#10152=VERTEX_POINT('',#10151); +#10153=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.711724784545E0)); +#10154=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.711724784545E0)); +#10155=VERTEX_POINT('',#10153); +#10156=VERTEX_POINT('',#10154); +#10157=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.711724784545E0)); +#10158=VERTEX_POINT('',#10157); +#10159=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.711724784545E0)); +#10160=VERTEX_POINT('',#10159); +#10161=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.311724784545E0)); +#10162=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.311724784545E0)); +#10163=VERTEX_POINT('',#10161); +#10164=VERTEX_POINT('',#10162); +#10165=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.311724784545E0)); +#10166=VERTEX_POINT('',#10165); +#10167=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.311724784545E0)); +#10168=VERTEX_POINT('',#10167); +#10169=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.311724784545E0)); +#10170=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.311724784545E0)); +#10171=VERTEX_POINT('',#10169); +#10172=VERTEX_POINT('',#10170); +#10173=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.311724784545E0)); +#10174=VERTEX_POINT('',#10173); +#10175=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.311724784545E0)); +#10176=VERTEX_POINT('',#10175); +#10177=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.311724784545E0)); +#10178=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.311724784545E0)); +#10179=VERTEX_POINT('',#10177); +#10180=VERTEX_POINT('',#10178); +#10181=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.311724784545E0)); +#10182=VERTEX_POINT('',#10181); +#10183=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.311724784545E0)); +#10184=VERTEX_POINT('',#10183); +#10185=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.311724784545E0)); +#10186=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.311724784545E0)); +#10187=VERTEX_POINT('',#10185); +#10188=VERTEX_POINT('',#10186); +#10189=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.311724784545E0)); +#10190=VERTEX_POINT('',#10189); +#10191=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.311724784545E0)); +#10192=VERTEX_POINT('',#10191); +#10193=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.311724784545E0)); +#10194=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.311724784545E0)); +#10195=VERTEX_POINT('',#10193); +#10196=VERTEX_POINT('',#10194); +#10197=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.311724784545E0)); +#10198=VERTEX_POINT('',#10197); +#10199=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.311724784545E0)); +#10200=VERTEX_POINT('',#10199); +#10201=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.311724784545E0)); +#10202=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.311724784545E0)); +#10203=VERTEX_POINT('',#10201); +#10204=VERTEX_POINT('',#10202); +#10205=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.311724784545E0)); +#10206=VERTEX_POINT('',#10205); +#10207=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.311724784545E0)); +#10208=VERTEX_POINT('',#10207); +#10209=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.311724784545E0)); +#10210=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.311724784545E0)); +#10211=VERTEX_POINT('',#10209); +#10212=VERTEX_POINT('',#10210); +#10213=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.311724784545E0)); +#10214=VERTEX_POINT('',#10213); +#10215=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.311724784545E0)); +#10216=VERTEX_POINT('',#10215); +#10217=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.311724784545E0)); +#10218=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.311724784545E0)); +#10219=VERTEX_POINT('',#10217); +#10220=VERTEX_POINT('',#10218); +#10221=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.311724784545E0)); +#10222=VERTEX_POINT('',#10221); +#10223=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.311724784545E0)); +#10224=VERTEX_POINT('',#10223); +#10225=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.311724784545E0)); +#10226=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.311724784545E0)); +#10227=VERTEX_POINT('',#10225); +#10228=VERTEX_POINT('',#10226); +#10229=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.311724784545E0)); +#10230=VERTEX_POINT('',#10229); +#10231=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.311724784545E0)); +#10232=VERTEX_POINT('',#10231); +#10233=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.311724784545E0)); +#10234=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.311724784545E0)); +#10235=VERTEX_POINT('',#10233); +#10236=VERTEX_POINT('',#10234); +#10237=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.311724784545E0)); +#10238=VERTEX_POINT('',#10237); +#10239=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.311724784545E0)); +#10240=VERTEX_POINT('',#10239); +#10241=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.311724784545E0)); +#10242=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.311724784545E0)); +#10243=VERTEX_POINT('',#10241); +#10244=VERTEX_POINT('',#10242); +#10245=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.311724784545E0)); +#10246=VERTEX_POINT('',#10245); +#10247=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.311724784545E0)); +#10248=VERTEX_POINT('',#10247); +#10249=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.311724784545E0)); +#10250=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.311724784545E0)); +#10251=VERTEX_POINT('',#10249); +#10252=VERTEX_POINT('',#10250); +#10253=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.311724784545E0)); +#10254=VERTEX_POINT('',#10253); +#10255=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.311724784545E0)); +#10256=VERTEX_POINT('',#10255); +#10257=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.311724784545E0)); +#10258=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.311724784545E0)); +#10259=VERTEX_POINT('',#10257); +#10260=VERTEX_POINT('',#10258); +#10261=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.311724784545E0)); +#10262=VERTEX_POINT('',#10261); +#10263=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.311724784545E0)); +#10264=VERTEX_POINT('',#10263); +#10265=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.311724784545E0)); +#10266=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.311724784545E0)); +#10267=VERTEX_POINT('',#10265); +#10268=VERTEX_POINT('',#10266); +#10269=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.311724784545E0)); +#10270=VERTEX_POINT('',#10269); +#10271=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.311724784545E0)); +#10272=VERTEX_POINT('',#10271); +#10273=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.311724784545E0)); +#10274=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.311724784545E0)); +#10275=VERTEX_POINT('',#10273); +#10276=VERTEX_POINT('',#10274); +#10277=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.311724784545E0)); +#10278=VERTEX_POINT('',#10277); +#10279=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.311724784545E0)); +#10280=VERTEX_POINT('',#10279); +#10281=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.311724784545E0)); +#10282=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.311724784545E0)); +#10283=VERTEX_POINT('',#10281); +#10284=VERTEX_POINT('',#10282); +#10285=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.311724784545E0)); +#10286=VERTEX_POINT('',#10285); +#10287=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.311724784545E0)); +#10288=VERTEX_POINT('',#10287); +#10289=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.311724784545E0)); +#10290=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.311724784545E0)); +#10291=VERTEX_POINT('',#10289); +#10292=VERTEX_POINT('',#10290); +#10293=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.311724784545E0)); +#10294=VERTEX_POINT('',#10293); +#10295=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.311724784545E0)); +#10296=VERTEX_POINT('',#10295); +#10297=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.311724784545E0)); +#10298=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.311724784545E0)); +#10299=VERTEX_POINT('',#10297); +#10300=VERTEX_POINT('',#10298); +#10301=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.311724784545E0)); +#10302=VERTEX_POINT('',#10301); +#10303=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.311724784545E0)); +#10304=VERTEX_POINT('',#10303); +#10305=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.311724784545E0)); +#10306=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.311724784545E0)); +#10307=VERTEX_POINT('',#10305); +#10308=VERTEX_POINT('',#10306); +#10309=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.311724784545E0)); +#10310=VERTEX_POINT('',#10309); +#10311=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.311724784545E0)); +#10312=VERTEX_POINT('',#10311); +#10313=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.311724784545E0)); +#10314=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.311724784545E0)); +#10315=VERTEX_POINT('',#10313); +#10316=VERTEX_POINT('',#10314); +#10317=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.311724784545E0)); +#10318=VERTEX_POINT('',#10317); +#10319=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.311724784545E0)); +#10320=VERTEX_POINT('',#10319); +#10321=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.811724784545E0)); +#10322=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.811724784545E0)); +#10323=VERTEX_POINT('',#10321); +#10324=VERTEX_POINT('',#10322); +#10325=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.811724784545E0)); +#10326=VERTEX_POINT('',#10325); +#10327=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.811724784545E0)); +#10328=VERTEX_POINT('',#10327); +#10329=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.811724784545E0)); +#10330=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.811724784545E0)); +#10331=VERTEX_POINT('',#10329); +#10332=VERTEX_POINT('',#10330); +#10333=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.811724784545E0)); +#10334=VERTEX_POINT('',#10333); +#10335=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.811724784545E0)); +#10336=VERTEX_POINT('',#10335); +#10337=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.811724784545E0)); +#10338=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.811724784545E0)); +#10339=VERTEX_POINT('',#10337); +#10340=VERTEX_POINT('',#10338); +#10341=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.811724784545E0)); +#10342=VERTEX_POINT('',#10341); +#10343=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.811724784545E0)); +#10344=VERTEX_POINT('',#10343); +#10345=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.811724784545E0)); +#10346=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.811724784545E0)); +#10347=VERTEX_POINT('',#10345); +#10348=VERTEX_POINT('',#10346); +#10349=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.811724784545E0)); +#10350=VERTEX_POINT('',#10349); +#10351=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.811724784545E0)); +#10352=VERTEX_POINT('',#10351); +#10353=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.811724784545E0)); +#10354=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.811724784545E0)); +#10355=VERTEX_POINT('',#10353); +#10356=VERTEX_POINT('',#10354); +#10357=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.811724784545E0)); +#10358=VERTEX_POINT('',#10357); +#10359=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.811724784545E0)); +#10360=VERTEX_POINT('',#10359); +#10361=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.811724784545E0)); +#10362=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.811724784545E0)); +#10363=VERTEX_POINT('',#10361); +#10364=VERTEX_POINT('',#10362); +#10365=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.811724784545E0)); +#10366=VERTEX_POINT('',#10365); +#10367=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.811724784545E0)); +#10368=VERTEX_POINT('',#10367); +#10369=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.811724784545E0)); +#10370=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.811724784545E0)); +#10371=VERTEX_POINT('',#10369); +#10372=VERTEX_POINT('',#10370); +#10373=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.811724784545E0)); +#10374=VERTEX_POINT('',#10373); +#10375=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.811724784545E0)); +#10376=VERTEX_POINT('',#10375); +#10377=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.811724784545E0)); +#10378=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.811724784545E0)); +#10379=VERTEX_POINT('',#10377); +#10380=VERTEX_POINT('',#10378); +#10381=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.811724784545E0)); +#10382=VERTEX_POINT('',#10381); +#10383=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.811724784545E0)); +#10384=VERTEX_POINT('',#10383); +#10385=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.811724784545E0)); +#10386=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.811724784545E0)); +#10387=VERTEX_POINT('',#10385); +#10388=VERTEX_POINT('',#10386); +#10389=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.811724784545E0)); +#10390=VERTEX_POINT('',#10389); +#10391=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.811724784545E0)); +#10392=VERTEX_POINT('',#10391); +#10393=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.811724784545E0)); +#10394=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.811724784545E0)); +#10395=VERTEX_POINT('',#10393); +#10396=VERTEX_POINT('',#10394); +#10397=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.811724784545E0)); +#10398=VERTEX_POINT('',#10397); +#10399=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.811724784545E0)); +#10400=VERTEX_POINT('',#10399); +#10401=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.811724784545E0)); +#10402=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.811724784545E0)); +#10403=VERTEX_POINT('',#10401); +#10404=VERTEX_POINT('',#10402); +#10405=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.811724784545E0)); +#10406=VERTEX_POINT('',#10405); +#10407=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.811724784545E0)); +#10408=VERTEX_POINT('',#10407); +#10409=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.811724784545E0)); +#10410=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.811724784545E0)); +#10411=VERTEX_POINT('',#10409); +#10412=VERTEX_POINT('',#10410); +#10413=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.811724784545E0)); +#10414=VERTEX_POINT('',#10413); +#10415=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.811724784545E0)); +#10416=VERTEX_POINT('',#10415); +#10417=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.811724784545E0)); +#10418=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.811724784545E0)); +#10419=VERTEX_POINT('',#10417); +#10420=VERTEX_POINT('',#10418); +#10421=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.811724784545E0)); +#10422=VERTEX_POINT('',#10421); +#10423=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.811724784545E0)); +#10424=VERTEX_POINT('',#10423); +#10425=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.811724784545E0)); +#10426=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.811724784545E0)); +#10427=VERTEX_POINT('',#10425); +#10428=VERTEX_POINT('',#10426); +#10429=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.811724784545E0)); +#10430=VERTEX_POINT('',#10429); +#10431=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.811724784545E0)); +#10432=VERTEX_POINT('',#10431); +#10433=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.811724784545E0)); +#10434=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.811724784545E0)); +#10435=VERTEX_POINT('',#10433); +#10436=VERTEX_POINT('',#10434); +#10437=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.811724784545E0)); +#10438=VERTEX_POINT('',#10437); +#10439=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.811724784545E0)); +#10440=VERTEX_POINT('',#10439); +#10441=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.811724784545E0)); +#10442=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.811724784545E0)); +#10443=VERTEX_POINT('',#10441); +#10444=VERTEX_POINT('',#10442); +#10445=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.811724784545E0)); +#10446=VERTEX_POINT('',#10445); +#10447=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.811724784545E0)); +#10448=VERTEX_POINT('',#10447); +#10449=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.811724784545E0)); +#10450=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.811724784545E0)); +#10451=VERTEX_POINT('',#10449); +#10452=VERTEX_POINT('',#10450); +#10453=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.811724784545E0)); +#10454=VERTEX_POINT('',#10453); +#10455=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.811724784545E0)); +#10456=VERTEX_POINT('',#10455); +#10457=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.811724784545E0)); +#10458=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.811724784545E0)); +#10459=VERTEX_POINT('',#10457); +#10460=VERTEX_POINT('',#10458); +#10461=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.811724784545E0)); +#10462=VERTEX_POINT('',#10461); +#10463=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.811724784545E0)); +#10464=VERTEX_POINT('',#10463); +#10465=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.311724784545E0)); +#10466=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.311724784545E0)); +#10467=VERTEX_POINT('',#10465); +#10468=VERTEX_POINT('',#10466); +#10469=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.311724784545E0)); +#10470=VERTEX_POINT('',#10469); +#10471=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.311724784545E0)); +#10472=VERTEX_POINT('',#10471); +#10473=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.311724784545E0)); +#10474=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.311724784545E0)); +#10475=VERTEX_POINT('',#10473); +#10476=VERTEX_POINT('',#10474); +#10477=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.311724784545E0)); +#10478=VERTEX_POINT('',#10477); +#10479=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.311724784545E0)); +#10480=VERTEX_POINT('',#10479); +#10481=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.311724784545E0)); +#10482=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.311724784545E0)); +#10483=VERTEX_POINT('',#10481); +#10484=VERTEX_POINT('',#10482); +#10485=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.311724784545E0)); +#10486=VERTEX_POINT('',#10485); +#10487=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.311724784545E0)); +#10488=VERTEX_POINT('',#10487); +#10489=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.311724784545E0)); +#10490=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.311724784545E0)); +#10491=VERTEX_POINT('',#10489); +#10492=VERTEX_POINT('',#10490); +#10493=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.311724784545E0)); +#10494=VERTEX_POINT('',#10493); +#10495=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.311724784545E0)); +#10496=VERTEX_POINT('',#10495); +#10497=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.311724784545E0)); +#10498=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.311724784545E0)); +#10499=VERTEX_POINT('',#10497); +#10500=VERTEX_POINT('',#10498); +#10501=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.311724784545E0)); +#10502=VERTEX_POINT('',#10501); +#10503=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.311724784545E0)); +#10504=VERTEX_POINT('',#10503); +#10505=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.311724784545E0)); +#10506=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.311724784545E0)); +#10507=VERTEX_POINT('',#10505); +#10508=VERTEX_POINT('',#10506); +#10509=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.311724784545E0)); +#10510=VERTEX_POINT('',#10509); +#10511=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.311724784545E0)); +#10512=VERTEX_POINT('',#10511); +#10513=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.311724784545E0)); +#10514=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.311724784545E0)); +#10515=VERTEX_POINT('',#10513); +#10516=VERTEX_POINT('',#10514); +#10517=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.311724784545E0)); +#10518=VERTEX_POINT('',#10517); +#10519=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.311724784545E0)); +#10520=VERTEX_POINT('',#10519); +#10521=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.311724784545E0)); +#10522=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.311724784545E0)); +#10523=VERTEX_POINT('',#10521); +#10524=VERTEX_POINT('',#10522); +#10525=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.311724784545E0)); +#10526=VERTEX_POINT('',#10525); +#10527=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.311724784545E0)); +#10528=VERTEX_POINT('',#10527); +#10529=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.311724784545E0)); +#10530=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.311724784545E0)); +#10531=VERTEX_POINT('',#10529); +#10532=VERTEX_POINT('',#10530); +#10533=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.311724784545E0)); +#10534=VERTEX_POINT('',#10533); +#10535=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.311724784545E0)); +#10536=VERTEX_POINT('',#10535); +#10537=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.311724784545E0)); +#10538=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.311724784545E0)); +#10539=VERTEX_POINT('',#10537); +#10540=VERTEX_POINT('',#10538); +#10541=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.311724784545E0)); +#10542=VERTEX_POINT('',#10541); +#10543=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.311724784545E0)); +#10544=VERTEX_POINT('',#10543); +#10545=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.311724784545E0)); +#10546=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.311724784545E0)); +#10547=VERTEX_POINT('',#10545); +#10548=VERTEX_POINT('',#10546); +#10549=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.311724784545E0)); +#10550=VERTEX_POINT('',#10549); +#10551=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.311724784545E0)); +#10552=VERTEX_POINT('',#10551); +#10553=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.311724784545E0)); +#10554=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.311724784545E0)); +#10555=VERTEX_POINT('',#10553); +#10556=VERTEX_POINT('',#10554); +#10557=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.311724784545E0)); +#10558=VERTEX_POINT('',#10557); +#10559=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.311724784545E0)); +#10560=VERTEX_POINT('',#10559); +#10561=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.311724784545E0)); +#10562=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.311724784545E0)); +#10563=VERTEX_POINT('',#10561); +#10564=VERTEX_POINT('',#10562); +#10565=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.311724784545E0)); +#10566=VERTEX_POINT('',#10565); +#10567=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.311724784545E0)); +#10568=VERTEX_POINT('',#10567); +#10569=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.311724784545E0)); +#10570=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.311724784545E0)); +#10571=VERTEX_POINT('',#10569); +#10572=VERTEX_POINT('',#10570); +#10573=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.311724784545E0)); +#10574=VERTEX_POINT('',#10573); +#10575=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.311724784545E0)); +#10576=VERTEX_POINT('',#10575); +#10577=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.311724784545E0)); +#10578=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.311724784545E0)); +#10579=VERTEX_POINT('',#10577); +#10580=VERTEX_POINT('',#10578); +#10581=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.311724784545E0)); +#10582=VERTEX_POINT('',#10581); +#10583=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.311724784545E0)); +#10584=VERTEX_POINT('',#10583); +#10585=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.311724784545E0)); +#10586=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.311724784545E0)); +#10587=VERTEX_POINT('',#10585); +#10588=VERTEX_POINT('',#10586); +#10589=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.311724784545E0)); +#10590=VERTEX_POINT('',#10589); +#10591=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.311724784545E0)); +#10592=VERTEX_POINT('',#10591); +#10593=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.311724784545E0)); +#10594=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.311724784545E0)); +#10595=VERTEX_POINT('',#10593); +#10596=VERTEX_POINT('',#10594); +#10597=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.311724784545E0)); +#10598=VERTEX_POINT('',#10597); +#10599=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.311724784545E0)); +#10600=VERTEX_POINT('',#10599); +#10601=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.311724784545E0)); +#10602=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.311724784545E0)); +#10603=VERTEX_POINT('',#10601); +#10604=VERTEX_POINT('',#10602); +#10605=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.311724784545E0)); +#10606=VERTEX_POINT('',#10605); +#10607=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.311724784545E0)); +#10608=VERTEX_POINT('',#10607); +#10609=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.811724784545E0)); +#10610=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.811724784545E0)); +#10611=VERTEX_POINT('',#10609); +#10612=VERTEX_POINT('',#10610); +#10613=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.811724784545E0)); +#10614=VERTEX_POINT('',#10613); +#10615=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.811724784545E0)); +#10616=VERTEX_POINT('',#10615); +#10617=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.311724784545E0)); +#10618=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.311724784545E0)); +#10619=VERTEX_POINT('',#10617); +#10620=VERTEX_POINT('',#10618); +#10621=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.311724784545E0)); +#10622=VERTEX_POINT('',#10621); +#10623=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.311724784545E0)); +#10624=VERTEX_POINT('',#10623); +#10625=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,5.111724784545E0)); +#10626=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,5.111724784545E0)); +#10627=VERTEX_POINT('',#10625); +#10628=VERTEX_POINT('',#10626); +#10629=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,5.111724784545E0)); +#10630=VERTEX_POINT('',#10629); +#10631=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,5.111724784545E0)); +#10632=VERTEX_POINT('',#10631); +#10633=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,5.111724784545E0)); +#10634=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,5.111724784545E0)); +#10635=VERTEX_POINT('',#10633); +#10636=VERTEX_POINT('',#10634); +#10637=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,5.111724784545E0)); +#10638=VERTEX_POINT('',#10637); +#10639=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,5.111724784545E0)); +#10640=VERTEX_POINT('',#10639); +#10641=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,5.111724784545E0)); +#10642=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,5.111724784545E0)); +#10643=VERTEX_POINT('',#10641); +#10644=VERTEX_POINT('',#10642); +#10645=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,5.111724784545E0)); +#10646=VERTEX_POINT('',#10645); +#10647=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,5.111724784545E0)); +#10648=VERTEX_POINT('',#10647); +#10649=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,5.111724784545E0)); +#10650=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,5.111724784545E0)); +#10651=VERTEX_POINT('',#10649); +#10652=VERTEX_POINT('',#10650); +#10653=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,5.111724784545E0)); +#10654=VERTEX_POINT('',#10653); +#10655=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,5.111724784545E0)); +#10656=VERTEX_POINT('',#10655); +#10657=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,5.111724784545E0)); +#10658=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,5.111724784545E0)); +#10659=VERTEX_POINT('',#10657); +#10660=VERTEX_POINT('',#10658); +#10661=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,5.111724784545E0)); +#10662=VERTEX_POINT('',#10661); +#10663=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,5.111724784545E0)); +#10664=VERTEX_POINT('',#10663); +#10665=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,5.111724784545E0)); +#10666=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,5.111724784545E0)); +#10667=VERTEX_POINT('',#10665); +#10668=VERTEX_POINT('',#10666); +#10669=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,5.111724784545E0)); +#10670=VERTEX_POINT('',#10669); +#10671=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,5.111724784545E0)); +#10672=VERTEX_POINT('',#10671); +#10673=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,5.111724784545E0)); +#10674=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,5.111724784545E0)); +#10675=VERTEX_POINT('',#10673); +#10676=VERTEX_POINT('',#10674); +#10677=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,5.111724784545E0)); +#10678=VERTEX_POINT('',#10677); +#10679=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,5.111724784545E0)); +#10680=VERTEX_POINT('',#10679); +#10681=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,5.111724784545E0)); +#10682=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,5.111724784545E0)); +#10683=VERTEX_POINT('',#10681); +#10684=VERTEX_POINT('',#10682); +#10685=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,5.111724784545E0)); +#10686=VERTEX_POINT('',#10685); +#10687=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,5.111724784545E0)); +#10688=VERTEX_POINT('',#10687); +#10689=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,4.311724784545E0)); +#10690=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,4.311724784545E0)); +#10691=VERTEX_POINT('',#10689); +#10692=VERTEX_POINT('',#10690); +#10693=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,4.311724784545E0)); +#10694=VERTEX_POINT('',#10693); +#10695=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,4.311724784545E0)); +#10696=VERTEX_POINT('',#10695); +#10697=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,4.311724784545E0)); +#10698=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,4.311724784545E0)); +#10699=VERTEX_POINT('',#10697); +#10700=VERTEX_POINT('',#10698); +#10701=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,4.311724784545E0)); +#10702=VERTEX_POINT('',#10701); +#10703=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,4.311724784545E0)); +#10704=VERTEX_POINT('',#10703); +#10705=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,4.311724784545E0)); +#10706=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,4.311724784545E0)); +#10707=VERTEX_POINT('',#10705); +#10708=VERTEX_POINT('',#10706); +#10709=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,4.311724784545E0)); +#10710=VERTEX_POINT('',#10709); +#10711=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,4.311724784545E0)); +#10712=VERTEX_POINT('',#10711); +#10713=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,4.311724784545E0)); +#10714=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,4.311724784545E0)); +#10715=VERTEX_POINT('',#10713); +#10716=VERTEX_POINT('',#10714); +#10717=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,4.311724784545E0)); +#10718=VERTEX_POINT('',#10717); +#10719=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,4.311724784545E0)); +#10720=VERTEX_POINT('',#10719); +#10721=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,4.311724784545E0)); +#10722=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,4.311724784545E0)); +#10723=VERTEX_POINT('',#10721); +#10724=VERTEX_POINT('',#10722); +#10725=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,4.311724784545E0)); +#10726=VERTEX_POINT('',#10725); +#10727=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,4.311724784545E0)); +#10728=VERTEX_POINT('',#10727); +#10729=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,4.311724784545E0)); +#10730=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,4.311724784545E0)); +#10731=VERTEX_POINT('',#10729); +#10732=VERTEX_POINT('',#10730); +#10733=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,4.311724784545E0)); +#10734=VERTEX_POINT('',#10733); +#10735=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,4.311724784545E0)); +#10736=VERTEX_POINT('',#10735); +#10737=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,4.311724784545E0)); +#10738=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,4.311724784545E0)); +#10739=VERTEX_POINT('',#10737); +#10740=VERTEX_POINT('',#10738); +#10741=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,4.311724784545E0)); +#10742=VERTEX_POINT('',#10741); +#10743=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,4.311724784545E0)); +#10744=VERTEX_POINT('',#10743); +#10745=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,4.311724784545E0)); +#10746=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,4.311724784545E0)); +#10747=VERTEX_POINT('',#10745); +#10748=VERTEX_POINT('',#10746); +#10749=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,4.311724784545E0)); +#10750=VERTEX_POINT('',#10749); +#10751=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,4.311724784545E0)); +#10752=VERTEX_POINT('',#10751); +#10753=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,5.511724784545E0)); +#10754=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,5.511724784545E0)); +#10755=VERTEX_POINT('',#10753); +#10756=VERTEX_POINT('',#10754); +#10757=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,5.511724784545E0)); +#10758=VERTEX_POINT('',#10757); +#10759=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,5.511724784545E0)); +#10760=VERTEX_POINT('',#10759); +#10761=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,5.511724784545E0)); +#10762=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,5.511724784545E0)); +#10763=VERTEX_POINT('',#10761); +#10764=VERTEX_POINT('',#10762); +#10765=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,5.511724784545E0)); +#10766=VERTEX_POINT('',#10765); +#10767=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,5.511724784545E0)); +#10768=VERTEX_POINT('',#10767); +#10769=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,5.511724784545E0)); +#10770=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,5.511724784545E0)); +#10771=VERTEX_POINT('',#10769); +#10772=VERTEX_POINT('',#10770); +#10773=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,5.511724784545E0)); +#10774=VERTEX_POINT('',#10773); +#10775=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,5.511724784545E0)); +#10776=VERTEX_POINT('',#10775); +#10777=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,5.511724784545E0)); +#10778=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,5.511724784545E0)); +#10779=VERTEX_POINT('',#10777); +#10780=VERTEX_POINT('',#10778); +#10781=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,5.511724784545E0)); +#10782=VERTEX_POINT('',#10781); +#10783=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,5.511724784545E0)); +#10784=VERTEX_POINT('',#10783); +#10785=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,5.511724784545E0)); +#10786=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,5.511724784545E0)); +#10787=VERTEX_POINT('',#10785); +#10788=VERTEX_POINT('',#10786); +#10789=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,5.511724784545E0)); +#10790=VERTEX_POINT('',#10789); +#10791=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,5.511724784545E0)); +#10792=VERTEX_POINT('',#10791); +#10793=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,4.311724784545E0)); +#10794=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,4.311724784545E0)); +#10795=VERTEX_POINT('',#10793); +#10796=VERTEX_POINT('',#10794); +#10797=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,4.311724784545E0)); +#10798=VERTEX_POINT('',#10797); +#10799=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,4.311724784545E0)); +#10800=VERTEX_POINT('',#10799); +#10801=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,4.311724784545E0)); +#10802=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,4.311724784545E0)); +#10803=VERTEX_POINT('',#10801); +#10804=VERTEX_POINT('',#10802); +#10805=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,4.311724784545E0)); +#10806=VERTEX_POINT('',#10805); +#10807=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,4.311724784545E0)); +#10808=VERTEX_POINT('',#10807); +#10809=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,4.311724784545E0)); +#10810=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,4.311724784545E0)); +#10811=VERTEX_POINT('',#10809); +#10812=VERTEX_POINT('',#10810); +#10813=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,4.311724784545E0)); +#10814=VERTEX_POINT('',#10813); +#10815=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,4.311724784545E0)); +#10816=VERTEX_POINT('',#10815); +#10817=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,4.311724784545E0)); +#10818=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,4.311724784545E0)); +#10819=VERTEX_POINT('',#10817); +#10820=VERTEX_POINT('',#10818); +#10821=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,4.311724784545E0)); +#10822=VERTEX_POINT('',#10821); +#10823=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,4.311724784545E0)); +#10824=VERTEX_POINT('',#10823); +#10825=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,4.311724784545E0)); +#10826=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,4.311724784545E0)); +#10827=VERTEX_POINT('',#10825); +#10828=VERTEX_POINT('',#10826); +#10829=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,4.311724784545E0)); +#10830=VERTEX_POINT('',#10829); +#10831=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,4.311724784545E0)); +#10832=VERTEX_POINT('',#10831); +#10833=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,5.811724784545E0)); +#10834=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,5.811724784545E0)); +#10835=VERTEX_POINT('',#10833); +#10836=VERTEX_POINT('',#10834); +#10837=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,5.811724784545E0)); +#10838=VERTEX_POINT('',#10837); +#10839=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,5.811724784545E0)); +#10840=VERTEX_POINT('',#10839); +#10841=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,5.811724784545E0)); +#10842=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,5.811724784545E0)); +#10843=VERTEX_POINT('',#10841); +#10844=VERTEX_POINT('',#10842); +#10845=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,5.811724784545E0)); +#10846=VERTEX_POINT('',#10845); +#10847=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,5.811724784545E0)); +#10848=VERTEX_POINT('',#10847); +#10849=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,4.311724784545E0)); +#10850=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,4.311724784545E0)); +#10851=VERTEX_POINT('',#10849); +#10852=VERTEX_POINT('',#10850); +#10853=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,4.311724784545E0)); +#10854=VERTEX_POINT('',#10853); +#10855=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,4.311724784545E0)); +#10856=VERTEX_POINT('',#10855); +#10857=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,4.311724784545E0)); +#10858=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,4.311724784545E0)); +#10859=VERTEX_POINT('',#10857); +#10860=VERTEX_POINT('',#10858); +#10861=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,4.311724784545E0)); +#10862=VERTEX_POINT('',#10861); +#10863=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,4.311724784545E0)); +#10864=VERTEX_POINT('',#10863); +#10865=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,5.111724784545E0)); +#10866=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,5.111724784545E0)); +#10867=VERTEX_POINT('',#10865); +#10868=VERTEX_POINT('',#10866); +#10869=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,5.111724784545E0)); +#10870=VERTEX_POINT('',#10869); +#10871=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,5.111724784545E0)); +#10872=VERTEX_POINT('',#10871); +#10873=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,5.111724784545E0)); +#10874=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,5.111724784545E0)); +#10875=VERTEX_POINT('',#10873); +#10876=VERTEX_POINT('',#10874); +#10877=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,5.111724784545E0)); +#10878=VERTEX_POINT('',#10877); +#10879=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,5.111724784545E0)); +#10880=VERTEX_POINT('',#10879); +#10881=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,4.311724784545E0)); +#10882=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,4.311724784545E0)); +#10883=VERTEX_POINT('',#10881); +#10884=VERTEX_POINT('',#10882); +#10885=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,4.311724784545E0)); +#10886=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,4.311724784545E0)); +#10887=VERTEX_POINT('',#10885); +#10888=VERTEX_POINT('',#10886); +#10889=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,4.311724784545E0)); +#10890=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,4.311724784545E0)); +#10891=VERTEX_POINT('',#10889); +#10892=VERTEX_POINT('',#10890); +#10893=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,4.311724784545E0)); +#10894=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,4.311724784545E0)); +#10895=VERTEX_POINT('',#10893); +#10896=VERTEX_POINT('',#10894); +#10897=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.811724784545E0)); +#10898=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.811724784545E0)); +#10899=VERTEX_POINT('',#10897); +#10900=VERTEX_POINT('',#10898); +#10901=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.811724784545E0)); +#10902=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.811724784545E0)); +#10903=VERTEX_POINT('',#10901); +#10904=VERTEX_POINT('',#10902); +#10905=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.811724784545E0)); +#10906=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.811724784545E0)); +#10907=VERTEX_POINT('',#10905); +#10908=VERTEX_POINT('',#10906); +#10909=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.811724784545E0)); +#10910=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.811724784545E0)); +#10911=VERTEX_POINT('',#10909); +#10912=VERTEX_POINT('',#10910); +#10913=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.311724784545E0)); +#10914=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.311724784545E0)); +#10915=VERTEX_POINT('',#10913); +#10916=VERTEX_POINT('',#10914); +#10917=CARTESIAN_POINT('',(1.01910594E2,6.8718801E1,4.311724784545E0)); +#10918=VERTEX_POINT('',#10917); +#10919=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.311724784545E0)); +#10920=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.311724784545E0)); +#10921=VERTEX_POINT('',#10919); +#10922=VERTEX_POINT('',#10920); +#10923=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.311724784545E0)); +#10924=VERTEX_POINT('',#10923); +#10925=CARTESIAN_POINT('',(1.0294379E2,6.7018799E1,4.311724784545E0)); +#10926=VERTEX_POINT('',#10925); +#10927=CARTESIAN_POINT('',(1.0294379E2,6.8718801E1,4.311724784545E0)); +#10928=VERTEX_POINT('',#10927); +#10929=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.311724784545E0)); +#10930=CARTESIAN_POINT('',(1.01368995E2,6.7018799E1,4.311724784545E0)); +#10931=VERTEX_POINT('',#10929); +#10932=VERTEX_POINT('',#10930); +#10933=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.311724784545E0)); +#10934=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.311724784545E0)); +#10935=VERTEX_POINT('',#10933); +#10936=VERTEX_POINT('',#10934); +#10937=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.311724784545E0)); +#10938=VERTEX_POINT('',#10937); +#10939=CARTESIAN_POINT('',(1.00335789E2,6.8718801E1,4.311724784545E0)); +#10940=VERTEX_POINT('',#10939); +#10941=CARTESIAN_POINT('',(1.00335789E2,6.7018799E1,4.311724784545E0)); +#10942=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.311724784545E0)); +#10943=VERTEX_POINT('',#10941); +#10944=VERTEX_POINT('',#10942); +#10945=CARTESIAN_POINT('',(1.01910594E2,6.8718801E1,4.811724784545E0)); +#10946=CARTESIAN_POINT('',(1.0294379E2,6.8718801E1,4.811724784545E0)); +#10947=VERTEX_POINT('',#10945); +#10948=VERTEX_POINT('',#10946); +#10949=CARTESIAN_POINT('',(1.01910594E2,6.7018799E1,4.811724784545E0)); +#10950=CARTESIAN_POINT('',(1.0294379E2,6.7018799E1,4.811724784545E0)); +#10951=VERTEX_POINT('',#10949); +#10952=VERTEX_POINT('',#10950); +#10953=CARTESIAN_POINT('',(1.01368995E2,6.8718801E1,4.811724784545E0)); +#10954=CARTESIAN_POINT('',(1.00335789E2,6.8718801E1,4.811724784545E0)); +#10955=VERTEX_POINT('',#10953); +#10956=VERTEX_POINT('',#10954); +#10957=CARTESIAN_POINT('',(1.01368995E2,6.7018799E1,4.811724784545E0)); +#10958=CARTESIAN_POINT('',(1.00335789E2,6.7018799E1,4.811724784545E0)); +#10959=VERTEX_POINT('',#10957); +#10960=VERTEX_POINT('',#10958); +#10961=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,5.511724784545E0)); +#10962=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,5.511724784545E0)); +#10963=VERTEX_POINT('',#10961); +#10964=VERTEX_POINT('',#10962); +#10965=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,5.511724784545E0)); +#10966=VERTEX_POINT('',#10965); +#10967=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,5.511724784545E0)); +#10968=VERTEX_POINT('',#10967); +#10969=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,5.511724784545E0)); +#10970=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,5.511724784545E0)); +#10971=VERTEX_POINT('',#10969); +#10972=VERTEX_POINT('',#10970); +#10973=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,5.511724784545E0)); +#10974=VERTEX_POINT('',#10973); +#10975=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,5.511724784545E0)); +#10976=VERTEX_POINT('',#10975); +#10977=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,5.511724784545E0)); +#10978=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,5.511724784545E0)); +#10979=VERTEX_POINT('',#10977); +#10980=VERTEX_POINT('',#10978); +#10981=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,5.511724784545E0)); +#10982=VERTEX_POINT('',#10981); +#10983=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,5.511724784545E0)); +#10984=VERTEX_POINT('',#10983); +#10985=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,5.511724784545E0)); +#10986=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,5.511724784545E0)); +#10987=VERTEX_POINT('',#10985); +#10988=VERTEX_POINT('',#10986); +#10989=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,5.511724784545E0)); +#10990=VERTEX_POINT('',#10989); +#10991=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,5.511724784545E0)); +#10992=VERTEX_POINT('',#10991); +#10993=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,4.311724784545E0)); +#10994=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,4.311724784545E0)); +#10995=VERTEX_POINT('',#10993); +#10996=VERTEX_POINT('',#10994); +#10997=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,4.311724784545E0)); +#10998=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,4.311724784545E0)); +#10999=VERTEX_POINT('',#10997); +#11000=VERTEX_POINT('',#10998); +#11001=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,4.311724784545E0)); +#11002=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,4.311724784545E0)); +#11003=VERTEX_POINT('',#11001); +#11004=VERTEX_POINT('',#11002); +#11005=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,4.311724784545E0)); +#11006=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,4.311724784545E0)); +#11007=VERTEX_POINT('',#11005); +#11008=VERTEX_POINT('',#11006); +#11009=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,4.311724784545E0)); +#11010=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,4.311724784545E0)); +#11011=VERTEX_POINT('',#11009); +#11012=VERTEX_POINT('',#11010); +#11013=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,4.311724784545E0)); +#11014=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,4.311724784545E0)); +#11015=VERTEX_POINT('',#11013); +#11016=VERTEX_POINT('',#11014); +#11017=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,4.311724784545E0)); +#11018=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,4.311724784545E0)); +#11019=VERTEX_POINT('',#11017); +#11020=VERTEX_POINT('',#11018); +#11021=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,4.311724784545E0)); +#11022=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,4.311724784545E0)); +#11023=VERTEX_POINT('',#11021); +#11024=VERTEX_POINT('',#11022); +#11025=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.811724784545E0)); +#11026=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.811724784545E0)); +#11027=VERTEX_POINT('',#11025); +#11028=VERTEX_POINT('',#11026); +#11029=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.811724784545E0)); +#11030=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.811724784545E0)); +#11031=VERTEX_POINT('',#11029); +#11032=VERTEX_POINT('',#11030); +#11033=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.811724784545E0)); +#11034=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.811724784545E0)); +#11035=VERTEX_POINT('',#11033); +#11036=VERTEX_POINT('',#11034); +#11037=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.811724784545E0)); +#11038=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.811724784545E0)); +#11039=VERTEX_POINT('',#11037); +#11040=VERTEX_POINT('',#11038); +#11041=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.811724784545E0)); +#11042=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.811724784545E0)); +#11043=VERTEX_POINT('',#11041); +#11044=VERTEX_POINT('',#11042); +#11045=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.811724784545E0)); +#11046=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.811724784545E0)); +#11047=VERTEX_POINT('',#11045); +#11048=VERTEX_POINT('',#11046); +#11049=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.811724784545E0)); +#11050=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.811724784545E0)); +#11051=VERTEX_POINT('',#11049); +#11052=VERTEX_POINT('',#11050); +#11053=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.811724784545E0)); +#11054=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.811724784545E0)); +#11055=VERTEX_POINT('',#11053); +#11056=VERTEX_POINT('',#11054); +#11057=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.811724784545E0)); +#11058=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.811724784545E0)); +#11059=VERTEX_POINT('',#11057); +#11060=VERTEX_POINT('',#11058); +#11061=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.811724784545E0)); +#11062=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.811724784545E0)); +#11063=VERTEX_POINT('',#11061); +#11064=VERTEX_POINT('',#11062); +#11065=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.811724784545E0)); +#11066=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.811724784545E0)); +#11067=VERTEX_POINT('',#11065); +#11068=VERTEX_POINT('',#11066); +#11069=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.811724784545E0)); +#11070=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.811724784545E0)); +#11071=VERTEX_POINT('',#11069); +#11072=VERTEX_POINT('',#11070); +#11073=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.311724784545E0)); +#11074=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.311724784545E0)); +#11075=VERTEX_POINT('',#11073); +#11076=VERTEX_POINT('',#11074); +#11077=CARTESIAN_POINT('',(9.6515791E1,6.9072811E1,4.311724784545E0)); +#11078=VERTEX_POINT('',#11077); +#11079=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.311724784545E0)); +#11080=VERTEX_POINT('',#11079); +#11081=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.311724784545E0)); +#11082=CARTESIAN_POINT('',(9.6515791E1,6.714059E1,4.311724784545E0)); +#11083=VERTEX_POINT('',#11081); +#11084=VERTEX_POINT('',#11082); +#11085=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.311724784545E0)); +#11086=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.311724784545E0)); +#11087=VERTEX_POINT('',#11085); +#11088=VERTEX_POINT('',#11086); +#11089=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.311724784545E0)); +#11090=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.311724784545E0)); +#11091=VERTEX_POINT('',#11089); +#11092=VERTEX_POINT('',#11090); +#11093=CARTESIAN_POINT('',(9.5315791E1,6.72406E1,4.311724784545E0)); +#11094=VERTEX_POINT('',#11093); +#11095=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.311724784545E0)); +#11096=VERTEX_POINT('',#11095); +#11097=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.311724784545E0)); +#11098=CARTESIAN_POINT('',(9.0641592E1,7.1409611E1,4.311724784545E0)); +#11099=VERTEX_POINT('',#11097); +#11100=VERTEX_POINT('',#11098); +#11101=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.311724784545E0)); +#11102=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.311724784545E0)); +#11103=VERTEX_POINT('',#11101); +#11104=VERTEX_POINT('',#11102); +#11105=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.311724784545E0)); +#11106=CARTESIAN_POINT('',(9.0641592E1,6.9509589E1,4.311724784545E0)); +#11107=VERTEX_POINT('',#11105); +#11108=VERTEX_POINT('',#11106); +#11109=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.311724784545E0)); +#11110=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.311724784545E0)); +#11111=VERTEX_POINT('',#11109); +#11112=VERTEX_POINT('',#11110); +#11113=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.311724784545E0)); +#11114=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.311724784545E0)); +#11115=VERTEX_POINT('',#11113); +#11116=VERTEX_POINT('',#11114); +#11117=CARTESIAN_POINT('',(8.9441592E1,6.95452E1,4.311724784545E0)); +#11118=VERTEX_POINT('',#11117); +#11119=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.311724784545E0)); +#11120=VERTEX_POINT('',#11119); +#11121=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.311724784545E0)); +#11122=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.311724784545E0)); +#11123=VERTEX_POINT('',#11121); +#11124=VERTEX_POINT('',#11122); +#11125=CARTESIAN_POINT('',(8.7999992E1,6.70114E1,4.311724784545E0)); +#11126=VERTEX_POINT('',#11125); +#11127=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.311724784545E0)); +#11128=VERTEX_POINT('',#11127); +#11129=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.311724784545E0)); +#11130=CARTESIAN_POINT('',(8.9864402E1,6.58114E1,4.311724784545E0)); +#11131=VERTEX_POINT('',#11129); +#11132=VERTEX_POINT('',#11130); +#11133=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.311724784545E0)); +#11134=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.311724784545E0)); +#11135=VERTEX_POINT('',#11133); +#11136=VERTEX_POINT('',#11134); +#11137=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.311724784545E0)); +#11138=CARTESIAN_POINT('',(8.7964381E1,6.58114E1,4.311724784545E0)); +#11139=VERTEX_POINT('',#11137); +#11140=VERTEX_POINT('',#11138); +#11141=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.311724784545E0)); +#11142=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.311724784545E0)); +#11143=VERTEX_POINT('',#11141); +#11144=VERTEX_POINT('',#11142); +#11145=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.311724784545E0)); +#11146=CARTESIAN_POINT('',(9.0850992E1,6.30682E1,4.311724784545E0)); +#11147=VERTEX_POINT('',#11145); +#11148=VERTEX_POINT('',#11146); +#11149=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.311724784545E0)); +#11150=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.311724784545E0)); +#11151=VERTEX_POINT('',#11149); +#11152=VERTEX_POINT('',#11150); +#11153=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.311724784545E0)); +#11154=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.311724784545E0)); +#11155=VERTEX_POINT('',#11153); +#11156=VERTEX_POINT('',#11154); +#11157=CARTESIAN_POINT('',(8.9650992E1,6.3103811E1,4.311724784545E0)); +#11158=VERTEX_POINT('',#11157); +#11159=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.311724784545E0)); +#11160=VERTEX_POINT('',#11159); +#11161=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.311724784545E0)); +#11162=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.311724784545E0)); +#11163=VERTEX_POINT('',#11161); +#11164=VERTEX_POINT('',#11162); +#11165=CARTESIAN_POINT('',(8.9650992E1,6.1203789E1,4.311724784545E0)); +#11166=VERTEX_POINT('',#11165); +#11167=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.311724784545E0)); +#11168=VERTEX_POINT('',#11167); +#11169=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.811724784545E0)); +#11170=CARTESIAN_POINT('',(8.9650992E1,6.3103811E1,4.811724784545E0)); +#11171=VERTEX_POINT('',#11169); +#11172=VERTEX_POINT('',#11170); +#11173=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.811724784545E0)); +#11174=CARTESIAN_POINT('',(8.9650992E1,6.1203789E1,4.811724784545E0)); +#11175=VERTEX_POINT('',#11173); +#11176=VERTEX_POINT('',#11174); +#11177=CARTESIAN_POINT('',(9.0850992E1,6.30682E1,4.811724784545E0)); +#11178=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.811724784545E0)); +#11179=VERTEX_POINT('',#11177); +#11180=VERTEX_POINT('',#11178); +#11181=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.811724784545E0)); +#11182=CARTESIAN_POINT('',(8.7999992E1,6.70114E1,4.811724784545E0)); +#11183=VERTEX_POINT('',#11181); +#11184=VERTEX_POINT('',#11182); +#11185=CARTESIAN_POINT('',(8.9864402E1,6.58114E1,4.811724784545E0)); +#11186=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.811724784545E0)); +#11187=VERTEX_POINT('',#11185); +#11188=VERTEX_POINT('',#11186); +#11189=CARTESIAN_POINT('',(8.7964381E1,6.58114E1,4.811724784545E0)); +#11190=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.811724784545E0)); +#11191=VERTEX_POINT('',#11189); +#11192=VERTEX_POINT('',#11190); +#11193=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.811724784545E0)); +#11194=CARTESIAN_POINT('',(9.5315791E1,6.72406E1,4.811724784545E0)); +#11195=VERTEX_POINT('',#11193); +#11196=VERTEX_POINT('',#11194); +#11197=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.811724784545E0)); +#11198=CARTESIAN_POINT('',(9.6515791E1,6.714059E1,4.811724784545E0)); +#11199=VERTEX_POINT('',#11197); +#11200=VERTEX_POINT('',#11198); +#11201=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.811724784545E0)); +#11202=CARTESIAN_POINT('',(9.6515791E1,6.9072811E1,4.811724784545E0)); +#11203=VERTEX_POINT('',#11201); +#11204=VERTEX_POINT('',#11202); +#11205=CARTESIAN_POINT('',(8.9441592E1,6.95452E1,4.811724784545E0)); +#11206=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.811724784545E0)); +#11207=VERTEX_POINT('',#11205); +#11208=VERTEX_POINT('',#11206); +#11209=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.811724784545E0)); +#11210=CARTESIAN_POINT('',(9.0641592E1,6.9509589E1,4.811724784545E0)); +#11211=VERTEX_POINT('',#11209); +#11212=VERTEX_POINT('',#11210); +#11213=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.811724784545E0)); +#11214=CARTESIAN_POINT('',(9.0641592E1,7.1409611E1,4.811724784545E0)); +#11215=VERTEX_POINT('',#11213); +#11216=VERTEX_POINT('',#11214); +#11217=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,5.511724784545E0)); +#11218=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,5.511724784545E0)); +#11219=VERTEX_POINT('',#11217); +#11220=VERTEX_POINT('',#11218); +#11221=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,5.511724784545E0)); +#11222=VERTEX_POINT('',#11221); +#11223=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,5.511724784545E0)); +#11224=VERTEX_POINT('',#11223); +#11225=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,4.311724784545E0)); +#11226=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,4.311724784545E0)); +#11227=VERTEX_POINT('',#11225); +#11228=VERTEX_POINT('',#11226); +#11229=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,4.311724784545E0)); +#11230=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,4.311724784545E0)); +#11231=VERTEX_POINT('',#11229); +#11232=VERTEX_POINT('',#11230); +#11233=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.811724784545E0)); +#11234=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.811724784545E0)); +#11235=VERTEX_POINT('',#11233); +#11236=VERTEX_POINT('',#11234); +#11237=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.811724784545E0)); +#11238=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.811724784545E0)); +#11239=VERTEX_POINT('',#11237); +#11240=VERTEX_POINT('',#11238); +#11241=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.311724784545E0)); +#11242=CARTESIAN_POINT('',(9.2295591E1,6.0466199E1,4.311724784545E0)); +#11243=VERTEX_POINT('',#11241); +#11244=VERTEX_POINT('',#11242); +#11245=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.311724784545E0)); +#11246=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.311724784545E0)); +#11247=VERTEX_POINT('',#11245); +#11248=VERTEX_POINT('',#11246); +#11249=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.311724784545E0)); +#11250=VERTEX_POINT('',#11249); +#11251=CARTESIAN_POINT('',(8.9495592E1,5.9066201E1,4.311724784545E0)); +#11252=VERTEX_POINT('',#11251); +#11253=CARTESIAN_POINT('',(9.2295591E1,5.9066201E1,4.311724784545E0)); +#11254=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.311724784545E0)); +#11255=VERTEX_POINT('',#11253); +#11256=VERTEX_POINT('',#11254); +#11257=CARTESIAN_POINT('',(8.9495592E1,6.0466199E1,4.811724784545E0)); +#11258=CARTESIAN_POINT('',(8.9495592E1,5.9066201E1,4.811724784545E0)); +#11259=VERTEX_POINT('',#11257); +#11260=VERTEX_POINT('',#11258); +#11261=CARTESIAN_POINT('',(9.2295591E1,6.0466199E1,4.811724784545E0)); +#11262=CARTESIAN_POINT('',(9.2295591E1,5.9066201E1,4.811724784545E0)); +#11263=VERTEX_POINT('',#11261); +#11264=VERTEX_POINT('',#11262); +#11265=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,5.811724784545E0)); +#11266=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,5.811724784545E0)); +#11267=VERTEX_POINT('',#11265); +#11268=VERTEX_POINT('',#11266); +#11269=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,5.811724784545E0)); +#11270=VERTEX_POINT('',#11269); +#11271=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,5.811724784545E0)); +#11272=VERTEX_POINT('',#11271); +#11273=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,4.311724784545E0)); +#11274=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,4.311724784545E0)); +#11275=VERTEX_POINT('',#11273); +#11276=VERTEX_POINT('',#11274); +#11277=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,4.311724784545E0)); +#11278=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,4.311724784545E0)); +#11279=VERTEX_POINT('',#11277); +#11280=VERTEX_POINT('',#11278); +#11281=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.811724784545E0)); +#11282=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.811724784545E0)); +#11283=VERTEX_POINT('',#11281); +#11284=VERTEX_POINT('',#11282); +#11285=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.811724784545E0)); +#11286=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.811724784545E0)); +#11287=VERTEX_POINT('',#11285); +#11288=VERTEX_POINT('',#11286); +#11289=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.811724784545E0)); +#11290=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.811724784545E0)); +#11291=VERTEX_POINT('',#11289); +#11292=VERTEX_POINT('',#11290); +#11293=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.811724784545E0)); +#11294=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.811724784545E0)); +#11295=VERTEX_POINT('',#11293); +#11296=VERTEX_POINT('',#11294); +#11297=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.811724784545E0)); +#11298=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.811724784545E0)); +#11299=VERTEX_POINT('',#11297); +#11300=VERTEX_POINT('',#11298); +#11301=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.311724784545E0)); +#11302=CARTESIAN_POINT('',(9.839959E1,6.4622997E1,4.311724784545E0)); +#11303=VERTEX_POINT('',#11301); +#11304=VERTEX_POINT('',#11302); +#11305=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.311724784545E0)); +#11306=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.311724784545E0)); +#11307=VERTEX_POINT('',#11305); +#11308=VERTEX_POINT('',#11306); +#11309=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.311724784545E0)); +#11310=VERTEX_POINT('',#11309); +#11311=CARTESIAN_POINT('',(9.6599588E1,6.4022999E1,4.311724784545E0)); +#11312=VERTEX_POINT('',#11311); +#11313=CARTESIAN_POINT('',(9.839959E1,6.4022999E1,4.311724784545E0)); +#11314=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.311724784545E0)); +#11315=VERTEX_POINT('',#11313); +#11316=VERTEX_POINT('',#11314); +#11317=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.311724784545E0)); +#11318=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.311724784545E0)); +#11319=VERTEX_POINT('',#11317); +#11320=VERTEX_POINT('',#11318); +#11321=CARTESIAN_POINT('',(9.6599588E1,6.3072998E1,4.311724784545E0)); +#11322=VERTEX_POINT('',#11321); +#11323=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.311724784545E0)); +#11324=VERTEX_POINT('',#11323); +#11325=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.311724784545E0)); +#11326=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.311724784545E0)); +#11327=VERTEX_POINT('',#11325); +#11328=VERTEX_POINT('',#11326); +#11329=CARTESIAN_POINT('',(9.6599588E1,6.2123E1,4.311724784545E0)); +#11330=VERTEX_POINT('',#11329); +#11331=CARTESIAN_POINT('',(9.839959E1,6.2123E1,4.311724784545E0)); +#11332=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.311724784545E0)); +#11333=VERTEX_POINT('',#11331); +#11334=VERTEX_POINT('',#11332); +#11335=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.311724784545E0)); +#11336=VERTEX_POINT('',#11335); +#11337=CARTESIAN_POINT('',(9.839959E1,6.2722999E1,4.311724784545E0)); +#11338=VERTEX_POINT('',#11337); +#11339=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.311724784545E0)); +#11340=VERTEX_POINT('',#11339); +#11341=CARTESIAN_POINT('',(9.6599588E1,6.4622997E1,4.811724784545E0)); +#11342=CARTESIAN_POINT('',(9.6599588E1,6.4022999E1,4.811724784545E0)); +#11343=VERTEX_POINT('',#11341); +#11344=VERTEX_POINT('',#11342); +#11345=CARTESIAN_POINT('',(9.6599588E1,6.3672997E1,4.811724784545E0)); +#11346=CARTESIAN_POINT('',(9.6599588E1,6.3072998E1,4.811724784545E0)); +#11347=VERTEX_POINT('',#11345); +#11348=VERTEX_POINT('',#11346); +#11349=CARTESIAN_POINT('',(9.6599588E1,6.2722999E1,4.811724784545E0)); +#11350=CARTESIAN_POINT('',(9.6599588E1,6.2123E1,4.811724784545E0)); +#11351=VERTEX_POINT('',#11349); +#11352=VERTEX_POINT('',#11350); +#11353=CARTESIAN_POINT('',(9.839959E1,6.4622997E1,4.811724784545E0)); +#11354=CARTESIAN_POINT('',(9.839959E1,6.4022999E1,4.811724784545E0)); +#11355=VERTEX_POINT('',#11353); +#11356=VERTEX_POINT('',#11354); +#11357=CARTESIAN_POINT('',(9.839959E1,6.2722999E1,4.811724784545E0)); +#11358=CARTESIAN_POINT('',(9.839959E1,6.2123E1,4.811724784545E0)); +#11359=VERTEX_POINT('',#11357); +#11360=VERTEX_POINT('',#11358); +#11361=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.811724784545E0)); +#11362=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.811724784545E0)); +#11363=VERTEX_POINT('',#11361); +#11364=VERTEX_POINT('',#11362); +#11365=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.811724784545E0)); +#11366=VERTEX_POINT('',#11365); +#11367=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.811724784545E0)); +#11368=VERTEX_POINT('',#11367); +#11369=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.311724784545E0)); +#11370=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.311724784545E0)); +#11371=VERTEX_POINT('',#11369); +#11372=VERTEX_POINT('',#11370); +#11373=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.311724784545E0)); +#11374=VERTEX_POINT('',#11373); +#11375=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.311724784545E0)); +#11376=VERTEX_POINT('',#11375); +#11377=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,5.111724784545E0)); +#11378=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,5.111724784545E0)); +#11379=VERTEX_POINT('',#11377); +#11380=VERTEX_POINT('',#11378); +#11381=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,5.111724784545E0)); +#11382=VERTEX_POINT('',#11381); +#11383=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,5.111724784545E0)); +#11384=VERTEX_POINT('',#11383); +#11385=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,4.311724784545E0)); +#11386=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,4.311724784545E0)); +#11387=VERTEX_POINT('',#11385); +#11388=VERTEX_POINT('',#11386); +#11389=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,4.311724784545E0)); +#11390=VERTEX_POINT('',#11389); +#11391=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,4.311724784545E0)); +#11392=VERTEX_POINT('',#11391); +#11393=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,5.111724784545E0)); +#11394=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,5.111724784545E0)); +#11395=VERTEX_POINT('',#11393); +#11396=VERTEX_POINT('',#11394); +#11397=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,5.111724784545E0)); +#11398=VERTEX_POINT('',#11397); +#11399=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,5.111724784545E0)); +#11400=VERTEX_POINT('',#11399); +#11401=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,4.311724784545E0)); +#11402=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,4.311724784545E0)); +#11403=VERTEX_POINT('',#11401); +#11404=VERTEX_POINT('',#11402); +#11405=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,4.311724784545E0)); +#11406=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,4.311724784545E0)); +#11407=VERTEX_POINT('',#11405); +#11408=VERTEX_POINT('',#11406); +#11409=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.811724784545E0)); +#11410=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.811724784545E0)); +#11411=VERTEX_POINT('',#11409); +#11412=VERTEX_POINT('',#11410); +#11413=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.811724784545E0)); +#11414=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.811724784545E0)); +#11415=VERTEX_POINT('',#11413); +#11416=VERTEX_POINT('',#11414); +#11417=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.811724784545E0)); +#11418=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.811724784545E0)); +#11419=VERTEX_POINT('',#11417); +#11420=VERTEX_POINT('',#11418); +#11421=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.811724784545E0)); +#11422=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.811724784545E0)); +#11423=VERTEX_POINT('',#11421); +#11424=VERTEX_POINT('',#11422); +#11425=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.811724784545E0)); +#11426=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.811724784545E0)); +#11427=VERTEX_POINT('',#11425); +#11428=VERTEX_POINT('',#11426); +#11429=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.311724784545E0)); +#11430=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.311724784545E0)); +#11431=VERTEX_POINT('',#11429); +#11432=VERTEX_POINT('',#11430); +#11433=CARTESIAN_POINT('',(8.4738393E1,6.4222198E1,4.311724784545E0)); +#11434=VERTEX_POINT('',#11433); +#11435=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.311724784545E0)); +#11436=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.311724784545E0)); +#11437=VERTEX_POINT('',#11435); +#11438=VERTEX_POINT('',#11436); +#11439=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.311724784545E0)); +#11440=VERTEX_POINT('',#11439); +#11441=CARTESIAN_POINT('',(8.5338392E1,6.2422197E1,4.311724784545E0)); +#11442=VERTEX_POINT('',#11441); +#11443=CARTESIAN_POINT('',(8.5338392E1,6.4222198E1,4.311724784545E0)); +#11444=VERTEX_POINT('',#11443); +#11445=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.311724784545E0)); +#11446=CARTESIAN_POINT('',(8.4388394E1,6.2422197E1,4.311724784545E0)); +#11447=VERTEX_POINT('',#11445); +#11448=VERTEX_POINT('',#11446); +#11449=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.311724784545E0)); +#11450=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.311724784545E0)); +#11451=VERTEX_POINT('',#11449); +#11452=VERTEX_POINT('',#11450); +#11453=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.311724784545E0)); +#11454=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.311724784545E0)); +#11455=VERTEX_POINT('',#11453); +#11456=VERTEX_POINT('',#11454); +#11457=CARTESIAN_POINT('',(8.2838394E1,6.4222198E1,4.311724784545E0)); +#11458=VERTEX_POINT('',#11457); +#11459=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.311724784545E0)); +#11460=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.311724784545E0)); +#11461=VERTEX_POINT('',#11459); +#11462=VERTEX_POINT('',#11460); +#11463=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.311724784545E0)); +#11464=VERTEX_POINT('',#11463); +#11465=CARTESIAN_POINT('',(8.3438393E1,6.2422197E1,4.311724784545E0)); +#11466=VERTEX_POINT('',#11465); +#11467=CARTESIAN_POINT('',(8.3438393E1,6.4222198E1,4.311724784545E0)); +#11468=VERTEX_POINT('',#11467); +#11469=CARTESIAN_POINT('',(8.2838394E1,6.4222198E1,4.811724784545E0)); +#11470=CARTESIAN_POINT('',(8.3438393E1,6.4222198E1,4.811724784545E0)); +#11471=VERTEX_POINT('',#11469); +#11472=VERTEX_POINT('',#11470); +#11473=CARTESIAN_POINT('',(8.4738393E1,6.4222198E1,4.811724784545E0)); +#11474=CARTESIAN_POINT('',(8.5338392E1,6.4222198E1,4.811724784545E0)); +#11475=VERTEX_POINT('',#11473); +#11476=VERTEX_POINT('',#11474); +#11477=CARTESIAN_POINT('',(8.2838394E1,6.2422197E1,4.811724784545E0)); +#11478=CARTESIAN_POINT('',(8.3438393E1,6.2422197E1,4.811724784545E0)); +#11479=VERTEX_POINT('',#11477); +#11480=VERTEX_POINT('',#11478); +#11481=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.811724784545E0)); +#11482=CARTESIAN_POINT('',(8.4388394E1,6.2422197E1,4.811724784545E0)); +#11483=VERTEX_POINT('',#11481); +#11484=VERTEX_POINT('',#11482); +#11485=CARTESIAN_POINT('',(8.4738393E1,6.2422197E1,4.811724784545E0)); +#11486=CARTESIAN_POINT('',(8.5338392E1,6.2422197E1,4.811724784545E0)); +#11487=VERTEX_POINT('',#11485); +#11488=VERTEX_POINT('',#11486); +#11489=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,2.111724784545E0)); +#11490=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,2.111724784545E0)); +#11491=VERTEX_POINT('',#11489); +#11492=VERTEX_POINT('',#11490); +#11493=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,2.111724784545E0)); +#11494=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,2.111724784545E0)); +#11495=VERTEX_POINT('',#11493); +#11496=VERTEX_POINT('',#11494); +#11497=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,1.511724784545E0)); +#11498=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,1.511724784545E0)); +#11499=VERTEX_POINT('',#11497); +#11500=VERTEX_POINT('',#11498); +#11501=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,1.511724784545E0)); +#11502=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,1.511724784545E0)); +#11503=VERTEX_POINT('',#11501); +#11504=VERTEX_POINT('',#11502); +#11505=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,2.111724784545E0)); +#11506=CARTESIAN_POINT('',(1.019849871338E2,8.3772858E1,2.111724784545E0)); +#11507=VERTEX_POINT('',#11505); +#11508=VERTEX_POINT('',#11506); +#11509=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,1.511724784545E0)); +#11510=CARTESIAN_POINT('',(1.019849871338E2,8.3772858E1,1.511724784545E0)); +#11511=VERTEX_POINT('',#11509); +#11512=VERTEX_POINT('',#11510); +#11513=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,2.111724784545E0)); +#11514=VERTEX_POINT('',#11513); +#11515=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,1.511724784545E0)); +#11516=VERTEX_POINT('',#11515); +#11517=CARTESIAN_POINT('',(8.348498713377E1,8.3772858E1,2.111724784545E0)); +#11518=VERTEX_POINT('',#11517); +#11519=CARTESIAN_POINT('',(8.348498713377E1,8.3772858E1,1.511724784545E0)); +#11520=VERTEX_POINT('',#11519); +#11521=CARTESIAN_POINT('',(0.E0,0.E0,4.311724784545E0)); +#11522=DIRECTION('',(0.E0,0.E0,1.E0)); +#11523=DIRECTION('',(1.E0,0.E0,0.E0)); +#11524=AXIS2_PLACEMENT_3D('',#11521,#11522,#11523); +#11525=PLANE('',#11524); +#11527=ORIENTED_EDGE('',*,*,#11526,.F.); +#11529=ORIENTED_EDGE('',*,*,#11528,.F.); +#11531=ORIENTED_EDGE('',*,*,#11530,.F.); +#11533=ORIENTED_EDGE('',*,*,#11532,.F.); +#11535=ORIENTED_EDGE('',*,*,#11534,.F.); +#11537=ORIENTED_EDGE('',*,*,#11536,.F.); +#11539=ORIENTED_EDGE('',*,*,#11538,.F.); +#11541=ORIENTED_EDGE('',*,*,#11540,.F.); +#11542=EDGE_LOOP('',(#11527,#11529,#11531,#11533,#11535,#11537,#11539,#11541)); +#11543=FACE_OUTER_BOUND('',#11542,.F.); +#11545=ORIENTED_EDGE('',*,*,#11544,.T.); +#11547=ORIENTED_EDGE('',*,*,#11546,.T.); +#11548=EDGE_LOOP('',(#11545,#11547)); +#11549=FACE_BOUND('',#11548,.F.); +#11551=ORIENTED_EDGE('',*,*,#11550,.T.); +#11553=ORIENTED_EDGE('',*,*,#11552,.T.); +#11554=EDGE_LOOP('',(#11551,#11553)); +#11555=FACE_BOUND('',#11554,.F.); +#11557=ORIENTED_EDGE('',*,*,#11556,.T.); +#11559=ORIENTED_EDGE('',*,*,#11558,.T.); +#11561=ORIENTED_EDGE('',*,*,#11560,.T.); +#11563=ORIENTED_EDGE('',*,*,#11562,.T.); +#11565=ORIENTED_EDGE('',*,*,#11564,.T.); +#11567=ORIENTED_EDGE('',*,*,#11566,.T.); +#11569=ORIENTED_EDGE('',*,*,#11568,.T.); +#11571=ORIENTED_EDGE('',*,*,#11570,.T.); +#11573=ORIENTED_EDGE('',*,*,#11572,.T.); +#11575=ORIENTED_EDGE('',*,*,#11574,.T.); +#11577=ORIENTED_EDGE('',*,*,#11576,.T.); +#11579=ORIENTED_EDGE('',*,*,#11578,.T.); +#11581=ORIENTED_EDGE('',*,*,#11580,.T.); +#11583=ORIENTED_EDGE('',*,*,#11582,.T.); +#11585=ORIENTED_EDGE('',*,*,#11584,.T.); +#11587=ORIENTED_EDGE('',*,*,#11586,.T.); +#11589=ORIENTED_EDGE('',*,*,#11588,.T.); +#11591=ORIENTED_EDGE('',*,*,#11590,.T.); +#11593=ORIENTED_EDGE('',*,*,#11592,.T.); +#11595=ORIENTED_EDGE('',*,*,#11594,.T.); +#11596=EDGE_LOOP('',(#11557,#11559,#11561,#11563,#11565,#11567,#11569,#11571, +#11573,#11575,#11577,#11579,#11581,#11583,#11585,#11587,#11589,#11591,#11593, +#11595)); +#11597=FACE_BOUND('',#11596,.F.); +#11599=ORIENTED_EDGE('',*,*,#11598,.T.); +#11601=ORIENTED_EDGE('',*,*,#11600,.T.); +#11603=ORIENTED_EDGE('',*,*,#11602,.T.); +#11605=ORIENTED_EDGE('',*,*,#11604,.T.); +#11607=ORIENTED_EDGE('',*,*,#11606,.T.); +#11609=ORIENTED_EDGE('',*,*,#11608,.T.); +#11611=ORIENTED_EDGE('',*,*,#11610,.T.); +#11613=ORIENTED_EDGE('',*,*,#11612,.T.); +#11615=ORIENTED_EDGE('',*,*,#11614,.T.); +#11617=ORIENTED_EDGE('',*,*,#11616,.T.); +#11619=ORIENTED_EDGE('',*,*,#11618,.T.); +#11621=ORIENTED_EDGE('',*,*,#11620,.T.); +#11623=ORIENTED_EDGE('',*,*,#11622,.T.); +#11625=ORIENTED_EDGE('',*,*,#11624,.T.); +#11627=ORIENTED_EDGE('',*,*,#11626,.T.); +#11629=ORIENTED_EDGE('',*,*,#11628,.T.); +#11631=ORIENTED_EDGE('',*,*,#11630,.T.); +#11633=ORIENTED_EDGE('',*,*,#11632,.T.); +#11634=EDGE_LOOP('',(#11599,#11601,#11603,#11605,#11607,#11609,#11611,#11613, +#11615,#11617,#11619,#11621,#11623,#11625,#11627,#11629,#11631,#11633)); +#11635=FACE_BOUND('',#11634,.F.); +#11637=ORIENTED_EDGE('',*,*,#11636,.F.); +#11639=ORIENTED_EDGE('',*,*,#11638,.T.); +#11641=ORIENTED_EDGE('',*,*,#11640,.T.); +#11643=ORIENTED_EDGE('',*,*,#11642,.F.); +#11645=ORIENTED_EDGE('',*,*,#11644,.T.); +#11647=ORIENTED_EDGE('',*,*,#11646,.F.); +#11649=ORIENTED_EDGE('',*,*,#11648,.T.); +#11651=ORIENTED_EDGE('',*,*,#11650,.T.); +#11653=ORIENTED_EDGE('',*,*,#11652,.F.); +#11655=ORIENTED_EDGE('',*,*,#11654,.T.); +#11656=EDGE_LOOP('',(#11637,#11639,#11641,#11643,#11645,#11647,#11649,#11651, +#11653,#11655)); +#11657=FACE_BOUND('',#11656,.F.); +#11659=ORIENTED_EDGE('',*,*,#11658,.T.); +#11661=ORIENTED_EDGE('',*,*,#11660,.T.); +#11663=ORIENTED_EDGE('',*,*,#11662,.T.); +#11665=ORIENTED_EDGE('',*,*,#11664,.T.); +#11666=EDGE_LOOP('',(#11659,#11661,#11663,#11665)); +#11667=FACE_BOUND('',#11666,.F.); +#11669=ORIENTED_EDGE('',*,*,#11668,.F.); +#11671=ORIENTED_EDGE('',*,*,#11670,.T.); +#11673=ORIENTED_EDGE('',*,*,#11672,.F.); +#11675=ORIENTED_EDGE('',*,*,#11674,.T.); +#11676=EDGE_LOOP('',(#11669,#11671,#11673,#11675)); +#11677=FACE_BOUND('',#11676,.F.); +#11679=ORIENTED_EDGE('',*,*,#11678,.F.); +#11681=ORIENTED_EDGE('',*,*,#11680,.T.); +#11683=ORIENTED_EDGE('',*,*,#11682,.F.); +#11685=ORIENTED_EDGE('',*,*,#11684,.T.); +#11686=EDGE_LOOP('',(#11679,#11681,#11683,#11685)); +#11687=FACE_BOUND('',#11686,.F.); +#11689=ORIENTED_EDGE('',*,*,#11688,.F.); +#11691=ORIENTED_EDGE('',*,*,#11690,.T.); +#11693=ORIENTED_EDGE('',*,*,#11692,.F.); +#11695=ORIENTED_EDGE('',*,*,#11694,.T.); +#11696=EDGE_LOOP('',(#11689,#11691,#11693,#11695)); +#11697=FACE_BOUND('',#11696,.F.); +#11699=ORIENTED_EDGE('',*,*,#11698,.F.); +#11701=ORIENTED_EDGE('',*,*,#11700,.T.); +#11703=ORIENTED_EDGE('',*,*,#11702,.F.); +#11705=ORIENTED_EDGE('',*,*,#11704,.T.); +#11706=EDGE_LOOP('',(#11699,#11701,#11703,#11705)); +#11707=FACE_BOUND('',#11706,.F.); +#11709=ORIENTED_EDGE('',*,*,#11708,.F.); +#11711=ORIENTED_EDGE('',*,*,#11710,.T.); +#11713=ORIENTED_EDGE('',*,*,#11712,.F.); +#11715=ORIENTED_EDGE('',*,*,#11714,.T.); +#11716=EDGE_LOOP('',(#11709,#11711,#11713,#11715)); +#11717=FACE_BOUND('',#11716,.F.); +#11719=ORIENTED_EDGE('',*,*,#11718,.F.); +#11721=ORIENTED_EDGE('',*,*,#11720,.T.); +#11723=ORIENTED_EDGE('',*,*,#11722,.F.); +#11725=ORIENTED_EDGE('',*,*,#11724,.T.); +#11726=EDGE_LOOP('',(#11719,#11721,#11723,#11725)); +#11727=FACE_BOUND('',#11726,.F.); +#11729=ORIENTED_EDGE('',*,*,#11728,.F.); +#11731=ORIENTED_EDGE('',*,*,#11730,.T.); +#11733=ORIENTED_EDGE('',*,*,#11732,.F.); +#11735=ORIENTED_EDGE('',*,*,#11734,.T.); +#11736=EDGE_LOOP('',(#11729,#11731,#11733,#11735)); +#11737=FACE_BOUND('',#11736,.F.); +#11739=ORIENTED_EDGE('',*,*,#11738,.F.); +#11741=ORIENTED_EDGE('',*,*,#11740,.T.); +#11743=ORIENTED_EDGE('',*,*,#11742,.F.); +#11745=ORIENTED_EDGE('',*,*,#11744,.T.); +#11746=EDGE_LOOP('',(#11739,#11741,#11743,#11745)); +#11747=FACE_BOUND('',#11746,.F.); +#11749=ORIENTED_EDGE('',*,*,#11748,.T.); +#11751=ORIENTED_EDGE('',*,*,#11750,.T.); +#11753=ORIENTED_EDGE('',*,*,#11752,.T.); +#11755=ORIENTED_EDGE('',*,*,#11754,.T.); +#11757=ORIENTED_EDGE('',*,*,#11756,.T.); +#11759=ORIENTED_EDGE('',*,*,#11758,.T.); +#11761=ORIENTED_EDGE('',*,*,#11760,.T.); +#11763=ORIENTED_EDGE('',*,*,#11762,.T.); +#11765=ORIENTED_EDGE('',*,*,#11764,.T.); +#11767=ORIENTED_EDGE('',*,*,#11766,.T.); +#11769=ORIENTED_EDGE('',*,*,#11768,.T.); +#11771=ORIENTED_EDGE('',*,*,#11770,.T.); +#11773=ORIENTED_EDGE('',*,*,#11772,.T.); +#11775=ORIENTED_EDGE('',*,*,#11774,.T.); +#11777=ORIENTED_EDGE('',*,*,#11776,.T.); +#11779=ORIENTED_EDGE('',*,*,#11778,.T.); +#11781=ORIENTED_EDGE('',*,*,#11780,.T.); +#11783=ORIENTED_EDGE('',*,*,#11782,.T.); +#11785=ORIENTED_EDGE('',*,*,#11784,.T.); +#11787=ORIENTED_EDGE('',*,*,#11786,.T.); +#11788=EDGE_LOOP('',(#11749,#11751,#11753,#11755,#11757,#11759,#11761,#11763, +#11765,#11767,#11769,#11771,#11773,#11775,#11777,#11779,#11781,#11783,#11785, +#11787)); +#11789=FACE_BOUND('',#11788,.F.); +#11791=ORIENTED_EDGE('',*,*,#11790,.T.); +#11793=ORIENTED_EDGE('',*,*,#11792,.T.); +#11795=ORIENTED_EDGE('',*,*,#11794,.T.); +#11797=ORIENTED_EDGE('',*,*,#11796,.T.); +#11799=ORIENTED_EDGE('',*,*,#11798,.T.); +#11801=ORIENTED_EDGE('',*,*,#11800,.T.); +#11803=ORIENTED_EDGE('',*,*,#11802,.T.); +#11805=ORIENTED_EDGE('',*,*,#11804,.T.); +#11807=ORIENTED_EDGE('',*,*,#11806,.T.); +#11809=ORIENTED_EDGE('',*,*,#11808,.T.); +#11811=ORIENTED_EDGE('',*,*,#11810,.T.); +#11813=ORIENTED_EDGE('',*,*,#11812,.T.); +#11814=EDGE_LOOP('',(#11791,#11793,#11795,#11797,#11799,#11801,#11803,#11805, +#11807,#11809,#11811,#11813)); +#11815=FACE_BOUND('',#11814,.F.); +#11817=ORIENTED_EDGE('',*,*,#11816,.T.); +#11819=ORIENTED_EDGE('',*,*,#11818,.T.); +#11821=ORIENTED_EDGE('',*,*,#11820,.T.); +#11823=ORIENTED_EDGE('',*,*,#11822,.T.); +#11824=EDGE_LOOP('',(#11817,#11819,#11821,#11823)); +#11825=FACE_BOUND('',#11824,.F.); +#11827=ORIENTED_EDGE('',*,*,#11826,.T.); +#11829=ORIENTED_EDGE('',*,*,#11828,.T.); +#11831=ORIENTED_EDGE('',*,*,#11830,.T.); +#11833=ORIENTED_EDGE('',*,*,#11832,.T.); +#11835=ORIENTED_EDGE('',*,*,#11834,.T.); +#11837=ORIENTED_EDGE('',*,*,#11836,.T.); +#11839=ORIENTED_EDGE('',*,*,#11838,.T.); +#11841=ORIENTED_EDGE('',*,*,#11840,.T.); +#11843=ORIENTED_EDGE('',*,*,#11842,.T.); +#11845=ORIENTED_EDGE('',*,*,#11844,.T.); +#11847=ORIENTED_EDGE('',*,*,#11846,.T.); +#11849=ORIENTED_EDGE('',*,*,#11848,.T.); +#11851=ORIENTED_EDGE('',*,*,#11850,.T.); +#11853=ORIENTED_EDGE('',*,*,#11852,.T.); +#11855=ORIENTED_EDGE('',*,*,#11854,.T.); +#11857=ORIENTED_EDGE('',*,*,#11856,.T.); +#11858=EDGE_LOOP('',(#11827,#11829,#11831,#11833,#11835,#11837,#11839,#11841, +#11843,#11845,#11847,#11849,#11851,#11853,#11855,#11857)); +#11859=FACE_BOUND('',#11858,.F.); +#11861=ORIENTED_EDGE('',*,*,#11860,.T.); +#11863=ORIENTED_EDGE('',*,*,#11862,.T.); +#11865=ORIENTED_EDGE('',*,*,#11864,.T.); +#11867=ORIENTED_EDGE('',*,*,#11866,.T.); +#11868=EDGE_LOOP('',(#11861,#11863,#11865,#11867)); +#11869=FACE_BOUND('',#11868,.F.); +#11871=ORIENTED_EDGE('',*,*,#11870,.T.); +#11873=ORIENTED_EDGE('',*,*,#11872,.T.); +#11875=ORIENTED_EDGE('',*,*,#11874,.T.); +#11877=ORIENTED_EDGE('',*,*,#11876,.T.); +#11878=EDGE_LOOP('',(#11871,#11873,#11875,#11877)); +#11879=FACE_BOUND('',#11878,.F.); +#11881=ORIENTED_EDGE('',*,*,#11880,.T.); +#11883=ORIENTED_EDGE('',*,*,#11882,.T.); +#11885=ORIENTED_EDGE('',*,*,#11884,.T.); +#11887=ORIENTED_EDGE('',*,*,#11886,.T.); +#11888=EDGE_LOOP('',(#11881,#11883,#11885,#11887)); +#11889=FACE_BOUND('',#11888,.F.); +#11891=ORIENTED_EDGE('',*,*,#11890,.T.); +#11893=ORIENTED_EDGE('',*,*,#11892,.T.); +#11895=ORIENTED_EDGE('',*,*,#11894,.T.); +#11897=ORIENTED_EDGE('',*,*,#11896,.T.); +#11898=EDGE_LOOP('',(#11891,#11893,#11895,#11897)); +#11899=FACE_BOUND('',#11898,.F.); +#11901=ORIENTED_EDGE('',*,*,#11900,.T.); +#11903=ORIENTED_EDGE('',*,*,#11902,.T.); +#11905=ORIENTED_EDGE('',*,*,#11904,.T.); +#11907=ORIENTED_EDGE('',*,*,#11906,.T.); +#11908=EDGE_LOOP('',(#11901,#11903,#11905,#11907)); +#11909=FACE_BOUND('',#11908,.F.); +#11911=ORIENTED_EDGE('',*,*,#11910,.T.); +#11913=ORIENTED_EDGE('',*,*,#11912,.T.); +#11915=ORIENTED_EDGE('',*,*,#11914,.T.); +#11917=ORIENTED_EDGE('',*,*,#11916,.T.); +#11918=EDGE_LOOP('',(#11911,#11913,#11915,#11917)); +#11919=FACE_BOUND('',#11918,.F.); +#11921=ORIENTED_EDGE('',*,*,#11920,.T.); +#11923=ORIENTED_EDGE('',*,*,#11922,.T.); +#11925=ORIENTED_EDGE('',*,*,#11924,.T.); +#11927=ORIENTED_EDGE('',*,*,#11926,.T.); +#11928=EDGE_LOOP('',(#11921,#11923,#11925,#11927)); +#11929=FACE_BOUND('',#11928,.F.); +#11931=ORIENTED_EDGE('',*,*,#11930,.T.); +#11933=ORIENTED_EDGE('',*,*,#11932,.T.); +#11935=ORIENTED_EDGE('',*,*,#11934,.T.); +#11937=ORIENTED_EDGE('',*,*,#11936,.T.); +#11938=EDGE_LOOP('',(#11931,#11933,#11935,#11937)); +#11939=FACE_BOUND('',#11938,.F.); +#11941=ORIENTED_EDGE('',*,*,#11940,.T.); +#11943=ORIENTED_EDGE('',*,*,#11942,.T.); +#11945=ORIENTED_EDGE('',*,*,#11944,.T.); +#11947=ORIENTED_EDGE('',*,*,#11946,.T.); +#11948=EDGE_LOOP('',(#11941,#11943,#11945,#11947)); +#11949=FACE_BOUND('',#11948,.F.); +#11951=ORIENTED_EDGE('',*,*,#11950,.T.); +#11953=ORIENTED_EDGE('',*,*,#11952,.T.); +#11955=ORIENTED_EDGE('',*,*,#11954,.T.); +#11957=ORIENTED_EDGE('',*,*,#11956,.T.); +#11958=EDGE_LOOP('',(#11951,#11953,#11955,#11957)); +#11959=FACE_BOUND('',#11958,.F.); +#11961=ORIENTED_EDGE('',*,*,#11960,.T.); +#11963=ORIENTED_EDGE('',*,*,#11962,.T.); +#11965=ORIENTED_EDGE('',*,*,#11964,.T.); +#11967=ORIENTED_EDGE('',*,*,#11966,.T.); +#11968=EDGE_LOOP('',(#11961,#11963,#11965,#11967)); +#11969=FACE_BOUND('',#11968,.F.); +#11971=ORIENTED_EDGE('',*,*,#11970,.T.); +#11973=ORIENTED_EDGE('',*,*,#11972,.T.); +#11975=ORIENTED_EDGE('',*,*,#11974,.T.); +#11977=ORIENTED_EDGE('',*,*,#11976,.T.); +#11978=EDGE_LOOP('',(#11971,#11973,#11975,#11977)); +#11979=FACE_BOUND('',#11978,.F.); +#11981=ORIENTED_EDGE('',*,*,#11980,.T.); +#11983=ORIENTED_EDGE('',*,*,#11982,.T.); +#11985=ORIENTED_EDGE('',*,*,#11984,.T.); +#11987=ORIENTED_EDGE('',*,*,#11986,.T.); +#11988=EDGE_LOOP('',(#11981,#11983,#11985,#11987)); +#11989=FACE_BOUND('',#11988,.F.); +#11991=ORIENTED_EDGE('',*,*,#11990,.T.); +#11993=ORIENTED_EDGE('',*,*,#11992,.T.); +#11995=ORIENTED_EDGE('',*,*,#11994,.T.); +#11997=ORIENTED_EDGE('',*,*,#11996,.T.); +#11998=EDGE_LOOP('',(#11991,#11993,#11995,#11997)); +#11999=FACE_BOUND('',#11998,.F.); +#12001=ORIENTED_EDGE('',*,*,#12000,.T.); +#12003=ORIENTED_EDGE('',*,*,#12002,.T.); +#12005=ORIENTED_EDGE('',*,*,#12004,.T.); +#12007=ORIENTED_EDGE('',*,*,#12006,.T.); +#12008=EDGE_LOOP('',(#12001,#12003,#12005,#12007)); +#12009=FACE_BOUND('',#12008,.F.); +#12011=ORIENTED_EDGE('',*,*,#12010,.T.); +#12013=ORIENTED_EDGE('',*,*,#12012,.T.); +#12015=ORIENTED_EDGE('',*,*,#12014,.T.); +#12017=ORIENTED_EDGE('',*,*,#12016,.T.); +#12018=EDGE_LOOP('',(#12011,#12013,#12015,#12017)); +#12019=FACE_BOUND('',#12018,.F.); +#12021=ORIENTED_EDGE('',*,*,#12020,.T.); +#12023=ORIENTED_EDGE('',*,*,#12022,.T.); +#12025=ORIENTED_EDGE('',*,*,#12024,.T.); +#12027=ORIENTED_EDGE('',*,*,#12026,.T.); +#12028=EDGE_LOOP('',(#12021,#12023,#12025,#12027)); +#12029=FACE_BOUND('',#12028,.F.); +#12031=ORIENTED_EDGE('',*,*,#12030,.T.); +#12033=ORIENTED_EDGE('',*,*,#12032,.T.); +#12035=ORIENTED_EDGE('',*,*,#12034,.T.); +#12037=ORIENTED_EDGE('',*,*,#12036,.T.); +#12038=EDGE_LOOP('',(#12031,#12033,#12035,#12037)); +#12039=FACE_BOUND('',#12038,.F.); +#12041=ORIENTED_EDGE('',*,*,#12040,.T.); +#12043=ORIENTED_EDGE('',*,*,#12042,.T.); +#12045=ORIENTED_EDGE('',*,*,#12044,.T.); +#12047=ORIENTED_EDGE('',*,*,#12046,.T.); +#12048=EDGE_LOOP('',(#12041,#12043,#12045,#12047)); +#12049=FACE_BOUND('',#12048,.F.); +#12051=ORIENTED_EDGE('',*,*,#12050,.T.); +#12053=ORIENTED_EDGE('',*,*,#12052,.T.); +#12055=ORIENTED_EDGE('',*,*,#12054,.T.); +#12057=ORIENTED_EDGE('',*,*,#12056,.T.); +#12058=EDGE_LOOP('',(#12051,#12053,#12055,#12057)); +#12059=FACE_BOUND('',#12058,.F.); +#12061=ORIENTED_EDGE('',*,*,#12060,.T.); +#12063=ORIENTED_EDGE('',*,*,#12062,.T.); +#12065=ORIENTED_EDGE('',*,*,#12064,.T.); +#12067=ORIENTED_EDGE('',*,*,#12066,.T.); +#12068=EDGE_LOOP('',(#12061,#12063,#12065,#12067)); +#12069=FACE_BOUND('',#12068,.F.); +#12071=ORIENTED_EDGE('',*,*,#12070,.T.); +#12073=ORIENTED_EDGE('',*,*,#12072,.T.); +#12075=ORIENTED_EDGE('',*,*,#12074,.T.); +#12077=ORIENTED_EDGE('',*,*,#12076,.T.); +#12078=EDGE_LOOP('',(#12071,#12073,#12075,#12077)); +#12079=FACE_BOUND('',#12078,.F.); +#12081=ORIENTED_EDGE('',*,*,#12080,.T.); +#12083=ORIENTED_EDGE('',*,*,#12082,.T.); +#12085=ORIENTED_EDGE('',*,*,#12084,.T.); +#12087=ORIENTED_EDGE('',*,*,#12086,.T.); +#12088=EDGE_LOOP('',(#12081,#12083,#12085,#12087)); +#12089=FACE_BOUND('',#12088,.F.); +#12091=ORIENTED_EDGE('',*,*,#12090,.T.); +#12093=ORIENTED_EDGE('',*,*,#12092,.T.); +#12095=ORIENTED_EDGE('',*,*,#12094,.T.); +#12097=ORIENTED_EDGE('',*,*,#12096,.T.); +#12098=EDGE_LOOP('',(#12091,#12093,#12095,#12097)); +#12099=FACE_BOUND('',#12098,.F.); +#12101=ORIENTED_EDGE('',*,*,#12100,.T.); +#12103=ORIENTED_EDGE('',*,*,#12102,.T.); +#12105=ORIENTED_EDGE('',*,*,#12104,.T.); +#12107=ORIENTED_EDGE('',*,*,#12106,.T.); +#12108=EDGE_LOOP('',(#12101,#12103,#12105,#12107)); +#12109=FACE_BOUND('',#12108,.F.); +#12111=ORIENTED_EDGE('',*,*,#12110,.T.); +#12113=ORIENTED_EDGE('',*,*,#12112,.T.); +#12115=ORIENTED_EDGE('',*,*,#12114,.T.); +#12117=ORIENTED_EDGE('',*,*,#12116,.T.); +#12118=EDGE_LOOP('',(#12111,#12113,#12115,#12117)); +#12119=FACE_BOUND('',#12118,.F.); +#12121=ORIENTED_EDGE('',*,*,#12120,.T.); +#12123=ORIENTED_EDGE('',*,*,#12122,.T.); +#12125=ORIENTED_EDGE('',*,*,#12124,.T.); +#12127=ORIENTED_EDGE('',*,*,#12126,.T.); +#12128=EDGE_LOOP('',(#12121,#12123,#12125,#12127)); +#12129=FACE_BOUND('',#12128,.F.); +#12131=ORIENTED_EDGE('',*,*,#12130,.T.); +#12133=ORIENTED_EDGE('',*,*,#12132,.T.); +#12135=ORIENTED_EDGE('',*,*,#12134,.T.); +#12137=ORIENTED_EDGE('',*,*,#12136,.T.); +#12138=EDGE_LOOP('',(#12131,#12133,#12135,#12137)); +#12139=FACE_BOUND('',#12138,.F.); +#12141=ORIENTED_EDGE('',*,*,#12140,.T.); +#12143=ORIENTED_EDGE('',*,*,#12142,.T.); +#12145=ORIENTED_EDGE('',*,*,#12144,.T.); +#12147=ORIENTED_EDGE('',*,*,#12146,.T.); +#12148=EDGE_LOOP('',(#12141,#12143,#12145,#12147)); +#12149=FACE_BOUND('',#12148,.F.); +#12151=ORIENTED_EDGE('',*,*,#12150,.T.); +#12153=ORIENTED_EDGE('',*,*,#12152,.T.); +#12155=ORIENTED_EDGE('',*,*,#12154,.T.); +#12157=ORIENTED_EDGE('',*,*,#12156,.T.); +#12158=EDGE_LOOP('',(#12151,#12153,#12155,#12157)); +#12159=FACE_BOUND('',#12158,.F.); +#12161=ORIENTED_EDGE('',*,*,#12160,.T.); +#12163=ORIENTED_EDGE('',*,*,#12162,.T.); +#12165=ORIENTED_EDGE('',*,*,#12164,.T.); +#12167=ORIENTED_EDGE('',*,*,#12166,.T.); +#12168=EDGE_LOOP('',(#12161,#12163,#12165,#12167)); +#12169=FACE_BOUND('',#12168,.F.); +#12171=ORIENTED_EDGE('',*,*,#12170,.T.); +#12173=ORIENTED_EDGE('',*,*,#12172,.T.); +#12175=ORIENTED_EDGE('',*,*,#12174,.T.); +#12177=ORIENTED_EDGE('',*,*,#12176,.T.); +#12178=EDGE_LOOP('',(#12171,#12173,#12175,#12177)); +#12179=FACE_BOUND('',#12178,.F.); +#12181=ORIENTED_EDGE('',*,*,#12180,.T.); +#12183=ORIENTED_EDGE('',*,*,#12182,.T.); +#12185=ORIENTED_EDGE('',*,*,#12184,.T.); +#12187=ORIENTED_EDGE('',*,*,#12186,.T.); +#12188=EDGE_LOOP('',(#12181,#12183,#12185,#12187)); +#12189=FACE_BOUND('',#12188,.F.); +#12191=ORIENTED_EDGE('',*,*,#12190,.T.); +#12193=ORIENTED_EDGE('',*,*,#12192,.T.); +#12195=ORIENTED_EDGE('',*,*,#12194,.T.); +#12197=ORIENTED_EDGE('',*,*,#12196,.T.); +#12198=EDGE_LOOP('',(#12191,#12193,#12195,#12197)); +#12199=FACE_BOUND('',#12198,.F.); +#12201=ORIENTED_EDGE('',*,*,#12200,.T.); +#12203=ORIENTED_EDGE('',*,*,#12202,.T.); +#12205=ORIENTED_EDGE('',*,*,#12204,.T.); +#12207=ORIENTED_EDGE('',*,*,#12206,.T.); +#12208=EDGE_LOOP('',(#12201,#12203,#12205,#12207)); +#12209=FACE_BOUND('',#12208,.F.); +#12211=ORIENTED_EDGE('',*,*,#12210,.T.); +#12213=ORIENTED_EDGE('',*,*,#12212,.T.); +#12215=ORIENTED_EDGE('',*,*,#12214,.T.); +#12217=ORIENTED_EDGE('',*,*,#12216,.T.); +#12218=EDGE_LOOP('',(#12211,#12213,#12215,#12217)); +#12219=FACE_BOUND('',#12218,.F.); +#12221=ORIENTED_EDGE('',*,*,#12220,.T.); +#12223=ORIENTED_EDGE('',*,*,#12222,.T.); +#12225=ORIENTED_EDGE('',*,*,#12224,.T.); +#12227=ORIENTED_EDGE('',*,*,#12226,.T.); +#12228=EDGE_LOOP('',(#12221,#12223,#12225,#12227)); +#12229=FACE_BOUND('',#12228,.F.); +#12231=ORIENTED_EDGE('',*,*,#12230,.T.); +#12233=ORIENTED_EDGE('',*,*,#12232,.T.); +#12235=ORIENTED_EDGE('',*,*,#12234,.T.); +#12237=ORIENTED_EDGE('',*,*,#12236,.T.); +#12238=EDGE_LOOP('',(#12231,#12233,#12235,#12237)); +#12239=FACE_BOUND('',#12238,.F.); +#12241=ORIENTED_EDGE('',*,*,#12240,.T.); +#12243=ORIENTED_EDGE('',*,*,#12242,.T.); +#12245=ORIENTED_EDGE('',*,*,#12244,.T.); +#12247=ORIENTED_EDGE('',*,*,#12246,.T.); +#12248=EDGE_LOOP('',(#12241,#12243,#12245,#12247)); +#12249=FACE_BOUND('',#12248,.F.); +#12251=ORIENTED_EDGE('',*,*,#12250,.T.); +#12253=ORIENTED_EDGE('',*,*,#12252,.T.); +#12255=ORIENTED_EDGE('',*,*,#12254,.T.); +#12257=ORIENTED_EDGE('',*,*,#12256,.T.); +#12258=EDGE_LOOP('',(#12251,#12253,#12255,#12257)); +#12259=FACE_BOUND('',#12258,.F.); +#12261=ORIENTED_EDGE('',*,*,#12260,.T.); +#12263=ORIENTED_EDGE('',*,*,#12262,.T.); +#12265=ORIENTED_EDGE('',*,*,#12264,.T.); +#12267=ORIENTED_EDGE('',*,*,#12266,.T.); +#12268=EDGE_LOOP('',(#12261,#12263,#12265,#12267)); +#12269=FACE_BOUND('',#12268,.F.); +#12271=ORIENTED_EDGE('',*,*,#12270,.T.); +#12273=ORIENTED_EDGE('',*,*,#12272,.T.); +#12275=ORIENTED_EDGE('',*,*,#12274,.T.); +#12277=ORIENTED_EDGE('',*,*,#12276,.T.); +#12278=EDGE_LOOP('',(#12271,#12273,#12275,#12277)); +#12279=FACE_BOUND('',#12278,.F.); +#12281=ORIENTED_EDGE('',*,*,#12280,.T.); +#12283=ORIENTED_EDGE('',*,*,#12282,.T.); +#12285=ORIENTED_EDGE('',*,*,#12284,.T.); +#12287=ORIENTED_EDGE('',*,*,#12286,.T.); +#12288=EDGE_LOOP('',(#12281,#12283,#12285,#12287)); +#12289=FACE_BOUND('',#12288,.F.); +#12291=ORIENTED_EDGE('',*,*,#12290,.T.); +#12293=ORIENTED_EDGE('',*,*,#12292,.T.); +#12295=ORIENTED_EDGE('',*,*,#12294,.T.); +#12297=ORIENTED_EDGE('',*,*,#12296,.T.); +#12298=EDGE_LOOP('',(#12291,#12293,#12295,#12297)); +#12299=FACE_BOUND('',#12298,.F.); +#12301=ORIENTED_EDGE('',*,*,#12300,.T.); +#12303=ORIENTED_EDGE('',*,*,#12302,.T.); +#12305=ORIENTED_EDGE('',*,*,#12304,.T.); +#12307=ORIENTED_EDGE('',*,*,#12306,.T.); +#12308=EDGE_LOOP('',(#12301,#12303,#12305,#12307)); +#12309=FACE_BOUND('',#12308,.F.); +#12311=ORIENTED_EDGE('',*,*,#12310,.T.); +#12313=ORIENTED_EDGE('',*,*,#12312,.T.); +#12315=ORIENTED_EDGE('',*,*,#12314,.T.); +#12317=ORIENTED_EDGE('',*,*,#12316,.T.); +#12318=EDGE_LOOP('',(#12311,#12313,#12315,#12317)); +#12319=FACE_BOUND('',#12318,.F.); +#12321=ORIENTED_EDGE('',*,*,#12320,.T.); +#12323=ORIENTED_EDGE('',*,*,#12322,.T.); +#12325=ORIENTED_EDGE('',*,*,#12324,.T.); +#12327=ORIENTED_EDGE('',*,*,#12326,.T.); +#12328=EDGE_LOOP('',(#12321,#12323,#12325,#12327)); +#12329=FACE_BOUND('',#12328,.F.); +#12331=ORIENTED_EDGE('',*,*,#12330,.T.); +#12333=ORIENTED_EDGE('',*,*,#12332,.T.); +#12335=ORIENTED_EDGE('',*,*,#12334,.T.); +#12337=ORIENTED_EDGE('',*,*,#12336,.T.); +#12338=EDGE_LOOP('',(#12331,#12333,#12335,#12337)); +#12339=FACE_BOUND('',#12338,.F.); +#12341=ORIENTED_EDGE('',*,*,#12340,.T.); +#12343=ORIENTED_EDGE('',*,*,#12342,.T.); +#12345=ORIENTED_EDGE('',*,*,#12344,.T.); +#12347=ORIENTED_EDGE('',*,*,#12346,.T.); +#12348=EDGE_LOOP('',(#12341,#12343,#12345,#12347)); +#12349=FACE_BOUND('',#12348,.F.); +#12351=ORIENTED_EDGE('',*,*,#12350,.T.); +#12353=ORIENTED_EDGE('',*,*,#12352,.T.); +#12355=ORIENTED_EDGE('',*,*,#12354,.T.); +#12357=ORIENTED_EDGE('',*,*,#12356,.T.); +#12358=EDGE_LOOP('',(#12351,#12353,#12355,#12357)); +#12359=FACE_BOUND('',#12358,.F.); +#12361=ORIENTED_EDGE('',*,*,#12360,.T.); +#12363=ORIENTED_EDGE('',*,*,#12362,.T.); +#12365=ORIENTED_EDGE('',*,*,#12364,.T.); +#12367=ORIENTED_EDGE('',*,*,#12366,.T.); +#12368=EDGE_LOOP('',(#12361,#12363,#12365,#12367)); +#12369=FACE_BOUND('',#12368,.F.); +#12371=ORIENTED_EDGE('',*,*,#12370,.T.); +#12373=ORIENTED_EDGE('',*,*,#12372,.T.); +#12375=ORIENTED_EDGE('',*,*,#12374,.T.); +#12377=ORIENTED_EDGE('',*,*,#12376,.T.); +#12378=EDGE_LOOP('',(#12371,#12373,#12375,#12377)); +#12379=FACE_BOUND('',#12378,.F.); +#12381=ORIENTED_EDGE('',*,*,#12380,.T.); +#12383=ORIENTED_EDGE('',*,*,#12382,.T.); +#12385=ORIENTED_EDGE('',*,*,#12384,.T.); +#12387=ORIENTED_EDGE('',*,*,#12386,.T.); +#12388=EDGE_LOOP('',(#12381,#12383,#12385,#12387)); +#12389=FACE_BOUND('',#12388,.F.); +#12391=ORIENTED_EDGE('',*,*,#12390,.T.); +#12393=ORIENTED_EDGE('',*,*,#12392,.T.); +#12395=ORIENTED_EDGE('',*,*,#12394,.T.); +#12397=ORIENTED_EDGE('',*,*,#12396,.T.); +#12398=EDGE_LOOP('',(#12391,#12393,#12395,#12397)); +#12399=FACE_BOUND('',#12398,.F.); +#12401=ORIENTED_EDGE('',*,*,#12400,.T.); +#12403=ORIENTED_EDGE('',*,*,#12402,.T.); +#12405=ORIENTED_EDGE('',*,*,#12404,.T.); +#12407=ORIENTED_EDGE('',*,*,#12406,.T.); +#12408=EDGE_LOOP('',(#12401,#12403,#12405,#12407)); +#12409=FACE_BOUND('',#12408,.F.); +#12411=ORIENTED_EDGE('',*,*,#12410,.T.); +#12413=ORIENTED_EDGE('',*,*,#12412,.T.); +#12415=ORIENTED_EDGE('',*,*,#12414,.T.); +#12417=ORIENTED_EDGE('',*,*,#12416,.T.); +#12418=EDGE_LOOP('',(#12411,#12413,#12415,#12417)); +#12419=FACE_BOUND('',#12418,.F.); +#12421=ORIENTED_EDGE('',*,*,#12420,.T.); +#12423=ORIENTED_EDGE('',*,*,#12422,.T.); +#12425=ORIENTED_EDGE('',*,*,#12424,.T.); +#12427=ORIENTED_EDGE('',*,*,#12426,.T.); +#12429=ORIENTED_EDGE('',*,*,#12428,.T.); +#12431=ORIENTED_EDGE('',*,*,#12430,.T.); +#12433=ORIENTED_EDGE('',*,*,#12432,.T.); +#12435=ORIENTED_EDGE('',*,*,#12434,.T.); +#12437=ORIENTED_EDGE('',*,*,#12436,.T.); +#12439=ORIENTED_EDGE('',*,*,#12438,.T.); +#12441=ORIENTED_EDGE('',*,*,#12440,.T.); +#12443=ORIENTED_EDGE('',*,*,#12442,.T.); +#12444=EDGE_LOOP('',(#12421,#12423,#12425,#12427,#12429,#12431,#12433,#12435, +#12437,#12439,#12441,#12443)); +#12445=FACE_BOUND('',#12444,.F.); +#12447=ORIENTED_EDGE('',*,*,#12446,.T.); +#12449=ORIENTED_EDGE('',*,*,#12448,.T.); +#12451=ORIENTED_EDGE('',*,*,#12450,.T.); +#12453=ORIENTED_EDGE('',*,*,#12452,.T.); +#12455=ORIENTED_EDGE('',*,*,#12454,.T.); +#12457=ORIENTED_EDGE('',*,*,#12456,.T.); +#12459=ORIENTED_EDGE('',*,*,#12458,.T.); +#12461=ORIENTED_EDGE('',*,*,#12460,.T.); +#12463=ORIENTED_EDGE('',*,*,#12462,.T.); +#12465=ORIENTED_EDGE('',*,*,#12464,.T.); +#12467=ORIENTED_EDGE('',*,*,#12466,.T.); +#12469=ORIENTED_EDGE('',*,*,#12468,.T.); +#12470=EDGE_LOOP('',(#12447,#12449,#12451,#12453,#12455,#12457,#12459,#12461, +#12463,#12465,#12467,#12469)); +#12471=FACE_BOUND('',#12470,.F.); +#12473=ORIENTED_EDGE('',*,*,#12472,.T.); +#12475=ORIENTED_EDGE('',*,*,#12474,.T.); +#12477=ORIENTED_EDGE('',*,*,#12476,.T.); +#12479=ORIENTED_EDGE('',*,*,#12478,.T.); +#12481=ORIENTED_EDGE('',*,*,#12480,.T.); +#12483=ORIENTED_EDGE('',*,*,#12482,.T.); +#12485=ORIENTED_EDGE('',*,*,#12484,.T.); +#12487=ORIENTED_EDGE('',*,*,#12486,.T.); +#12489=ORIENTED_EDGE('',*,*,#12488,.T.); +#12491=ORIENTED_EDGE('',*,*,#12490,.T.); +#12493=ORIENTED_EDGE('',*,*,#12492,.T.); +#12495=ORIENTED_EDGE('',*,*,#12494,.T.); +#12497=ORIENTED_EDGE('',*,*,#12496,.T.); +#12499=ORIENTED_EDGE('',*,*,#12498,.T.); +#12501=ORIENTED_EDGE('',*,*,#12500,.T.); +#12503=ORIENTED_EDGE('',*,*,#12502,.T.); +#12504=EDGE_LOOP('',(#12473,#12475,#12477,#12479,#12481,#12483,#12485,#12487, +#12489,#12491,#12493,#12495,#12497,#12499,#12501,#12503)); +#12505=FACE_BOUND('',#12504,.F.); +#12507=ORIENTED_EDGE('',*,*,#12506,.T.); +#12509=ORIENTED_EDGE('',*,*,#12508,.T.); +#12511=ORIENTED_EDGE('',*,*,#12510,.T.); +#12513=ORIENTED_EDGE('',*,*,#12512,.T.); +#12515=ORIENTED_EDGE('',*,*,#12514,.T.); +#12517=ORIENTED_EDGE('',*,*,#12516,.T.); +#12519=ORIENTED_EDGE('',*,*,#12518,.T.); +#12521=ORIENTED_EDGE('',*,*,#12520,.T.); +#12523=ORIENTED_EDGE('',*,*,#12522,.T.); +#12525=ORIENTED_EDGE('',*,*,#12524,.T.); +#12527=ORIENTED_EDGE('',*,*,#12526,.T.); +#12529=ORIENTED_EDGE('',*,*,#12528,.T.); +#12531=ORIENTED_EDGE('',*,*,#12530,.T.); +#12533=ORIENTED_EDGE('',*,*,#12532,.T.); +#12535=ORIENTED_EDGE('',*,*,#12534,.T.); +#12537=ORIENTED_EDGE('',*,*,#12536,.T.); +#12538=EDGE_LOOP('',(#12507,#12509,#12511,#12513,#12515,#12517,#12519,#12521, +#12523,#12525,#12527,#12529,#12531,#12533,#12535,#12537)); +#12539=FACE_BOUND('',#12538,.F.); +#12541=ORIENTED_EDGE('',*,*,#12540,.T.); +#12543=ORIENTED_EDGE('',*,*,#12542,.T.); +#12545=ORIENTED_EDGE('',*,*,#12544,.T.); +#12547=ORIENTED_EDGE('',*,*,#12546,.T.); +#12549=ORIENTED_EDGE('',*,*,#12548,.T.); +#12551=ORIENTED_EDGE('',*,*,#12550,.T.); +#12553=ORIENTED_EDGE('',*,*,#12552,.T.); +#12555=ORIENTED_EDGE('',*,*,#12554,.T.); +#12557=ORIENTED_EDGE('',*,*,#12556,.T.); +#12559=ORIENTED_EDGE('',*,*,#12558,.T.); +#12561=ORIENTED_EDGE('',*,*,#12560,.T.); +#12563=ORIENTED_EDGE('',*,*,#12562,.T.); +#12565=ORIENTED_EDGE('',*,*,#12564,.T.); +#12567=ORIENTED_EDGE('',*,*,#12566,.T.); +#12569=ORIENTED_EDGE('',*,*,#12568,.T.); +#12571=ORIENTED_EDGE('',*,*,#12570,.T.); +#12572=EDGE_LOOP('',(#12541,#12543,#12545,#12547,#12549,#12551,#12553,#12555, +#12557,#12559,#12561,#12563,#12565,#12567,#12569,#12571)); +#12573=FACE_BOUND('',#12572,.F.); +#12575=ORIENTED_EDGE('',*,*,#12574,.T.); +#12577=ORIENTED_EDGE('',*,*,#12576,.T.); +#12579=ORIENTED_EDGE('',*,*,#12578,.T.); +#12581=ORIENTED_EDGE('',*,*,#12580,.T.); +#12583=ORIENTED_EDGE('',*,*,#12582,.T.); +#12585=ORIENTED_EDGE('',*,*,#12584,.T.); +#12587=ORIENTED_EDGE('',*,*,#12586,.T.); +#12589=ORIENTED_EDGE('',*,*,#12588,.T.); +#12591=ORIENTED_EDGE('',*,*,#12590,.T.); +#12593=ORIENTED_EDGE('',*,*,#12592,.T.); +#12595=ORIENTED_EDGE('',*,*,#12594,.T.); +#12597=ORIENTED_EDGE('',*,*,#12596,.T.); +#12599=ORIENTED_EDGE('',*,*,#12598,.T.); +#12601=ORIENTED_EDGE('',*,*,#12600,.T.); +#12603=ORIENTED_EDGE('',*,*,#12602,.T.); +#12605=ORIENTED_EDGE('',*,*,#12604,.T.); +#12606=EDGE_LOOP('',(#12575,#12577,#12579,#12581,#12583,#12585,#12587,#12589, +#12591,#12593,#12595,#12597,#12599,#12601,#12603,#12605)); +#12607=FACE_BOUND('',#12606,.F.); +#12609=ORIENTED_EDGE('',*,*,#12608,.T.); +#12611=ORIENTED_EDGE('',*,*,#12610,.T.); +#12613=ORIENTED_EDGE('',*,*,#12612,.T.); +#12615=ORIENTED_EDGE('',*,*,#12614,.T.); +#12617=ORIENTED_EDGE('',*,*,#12616,.T.); +#12619=ORIENTED_EDGE('',*,*,#12618,.T.); +#12621=ORIENTED_EDGE('',*,*,#12620,.T.); +#12623=ORIENTED_EDGE('',*,*,#12622,.T.); +#12625=ORIENTED_EDGE('',*,*,#12624,.T.); +#12627=ORIENTED_EDGE('',*,*,#12626,.T.); +#12629=ORIENTED_EDGE('',*,*,#12628,.T.); +#12631=ORIENTED_EDGE('',*,*,#12630,.T.); +#12632=EDGE_LOOP('',(#12609,#12611,#12613,#12615,#12617,#12619,#12621,#12623, +#12625,#12627,#12629,#12631)); +#12633=FACE_BOUND('',#12632,.F.); +#12635=ORIENTED_EDGE('',*,*,#12634,.T.); +#12637=ORIENTED_EDGE('',*,*,#12636,.T.); +#12639=ORIENTED_EDGE('',*,*,#12638,.T.); +#12641=ORIENTED_EDGE('',*,*,#12640,.T.); +#12643=ORIENTED_EDGE('',*,*,#12642,.T.); +#12645=ORIENTED_EDGE('',*,*,#12644,.T.); +#12647=ORIENTED_EDGE('',*,*,#12646,.T.); +#12649=ORIENTED_EDGE('',*,*,#12648,.T.); +#12651=ORIENTED_EDGE('',*,*,#12650,.T.); +#12653=ORIENTED_EDGE('',*,*,#12652,.T.); +#12655=ORIENTED_EDGE('',*,*,#12654,.T.); +#12657=ORIENTED_EDGE('',*,*,#12656,.T.); +#12659=ORIENTED_EDGE('',*,*,#12658,.T.); +#12661=ORIENTED_EDGE('',*,*,#12660,.T.); +#12663=ORIENTED_EDGE('',*,*,#12662,.T.); +#12665=ORIENTED_EDGE('',*,*,#12664,.T.); +#12667=ORIENTED_EDGE('',*,*,#12666,.T.); +#12669=ORIENTED_EDGE('',*,*,#12668,.T.); +#12671=ORIENTED_EDGE('',*,*,#12670,.T.); +#12673=ORIENTED_EDGE('',*,*,#12672,.T.); +#12675=ORIENTED_EDGE('',*,*,#12674,.T.); +#12677=ORIENTED_EDGE('',*,*,#12676,.T.); +#12679=ORIENTED_EDGE('',*,*,#12678,.T.); +#12681=ORIENTED_EDGE('',*,*,#12680,.T.); +#12682=EDGE_LOOP('',(#12635,#12637,#12639,#12641,#12643,#12645,#12647,#12649, +#12651,#12653,#12655,#12657,#12659,#12661,#12663,#12665,#12667,#12669,#12671, +#12673,#12675,#12677,#12679,#12681)); +#12683=FACE_BOUND('',#12682,.F.); +#12685=ORIENTED_EDGE('',*,*,#12684,.T.); +#12687=ORIENTED_EDGE('',*,*,#12686,.T.); +#12689=ORIENTED_EDGE('',*,*,#12688,.T.); +#12691=ORIENTED_EDGE('',*,*,#12690,.T.); +#12692=EDGE_LOOP('',(#12685,#12687,#12689,#12691)); +#12693=FACE_BOUND('',#12692,.F.); +#12695=ORIENTED_EDGE('',*,*,#12694,.T.); +#12697=ORIENTED_EDGE('',*,*,#12696,.T.); +#12699=ORIENTED_EDGE('',*,*,#12698,.T.); +#12701=ORIENTED_EDGE('',*,*,#12700,.T.); +#12702=EDGE_LOOP('',(#12695,#12697,#12699,#12701)); +#12703=FACE_BOUND('',#12702,.F.); +#12705=ORIENTED_EDGE('',*,*,#12704,.T.); +#12707=ORIENTED_EDGE('',*,*,#12706,.T.); +#12709=ORIENTED_EDGE('',*,*,#12708,.T.); +#12711=ORIENTED_EDGE('',*,*,#12710,.T.); +#12713=ORIENTED_EDGE('',*,*,#12712,.T.); +#12715=ORIENTED_EDGE('',*,*,#12714,.T.); +#12717=ORIENTED_EDGE('',*,*,#12716,.T.); +#12719=ORIENTED_EDGE('',*,*,#12718,.T.); +#12721=ORIENTED_EDGE('',*,*,#12720,.T.); +#12723=ORIENTED_EDGE('',*,*,#12722,.T.); +#12725=ORIENTED_EDGE('',*,*,#12724,.T.); +#12727=ORIENTED_EDGE('',*,*,#12726,.T.); +#12729=ORIENTED_EDGE('',*,*,#12728,.T.); +#12731=ORIENTED_EDGE('',*,*,#12730,.T.); +#12733=ORIENTED_EDGE('',*,*,#12732,.T.); +#12735=ORIENTED_EDGE('',*,*,#12734,.T.); +#12737=ORIENTED_EDGE('',*,*,#12736,.T.); +#12739=ORIENTED_EDGE('',*,*,#12738,.T.); +#12741=ORIENTED_EDGE('',*,*,#12740,.T.); +#12743=ORIENTED_EDGE('',*,*,#12742,.T.); +#12745=ORIENTED_EDGE('',*,*,#12744,.T.); +#12747=ORIENTED_EDGE('',*,*,#12746,.T.); +#12749=ORIENTED_EDGE('',*,*,#12748,.T.); +#12751=ORIENTED_EDGE('',*,*,#12750,.T.); +#12752=EDGE_LOOP('',(#12705,#12707,#12709,#12711,#12713,#12715,#12717,#12719, +#12721,#12723,#12725,#12727,#12729,#12731,#12733,#12735,#12737,#12739,#12741, +#12743,#12745,#12747,#12749,#12751)); +#12753=FACE_BOUND('',#12752,.F.); +#12755=ORIENTED_EDGE('',*,*,#12754,.F.); +#12757=ORIENTED_EDGE('',*,*,#12756,.F.); +#12758=EDGE_LOOP('',(#12755,#12757)); +#12759=FACE_BOUND('',#12758,.F.); +#12761=ORIENTED_EDGE('',*,*,#12760,.F.); +#12763=ORIENTED_EDGE('',*,*,#12762,.F.); +#12764=EDGE_LOOP('',(#12761,#12763)); +#12765=FACE_BOUND('',#12764,.F.); +#12767=ORIENTED_EDGE('',*,*,#12766,.F.); +#12769=ORIENTED_EDGE('',*,*,#12768,.F.); +#12770=EDGE_LOOP('',(#12767,#12769)); +#12771=FACE_BOUND('',#12770,.F.); +#12773=ORIENTED_EDGE('',*,*,#12772,.F.); +#12775=ORIENTED_EDGE('',*,*,#12774,.F.); +#12776=EDGE_LOOP('',(#12773,#12775)); +#12777=FACE_BOUND('',#12776,.F.); +#12779=ORIENTED_EDGE('',*,*,#12778,.F.); +#12781=ORIENTED_EDGE('',*,*,#12780,.F.); +#12782=EDGE_LOOP('',(#12779,#12781)); +#12783=FACE_BOUND('',#12782,.F.); +#12785=ORIENTED_EDGE('',*,*,#12784,.F.); +#12787=ORIENTED_EDGE('',*,*,#12786,.F.); +#12788=EDGE_LOOP('',(#12785,#12787)); +#12789=FACE_BOUND('',#12788,.F.); +#12791=ORIENTED_EDGE('',*,*,#12790,.F.); +#12793=ORIENTED_EDGE('',*,*,#12792,.F.); +#12794=EDGE_LOOP('',(#12791,#12793)); +#12795=FACE_BOUND('',#12794,.F.); +#12797=ORIENTED_EDGE('',*,*,#12796,.F.); +#12799=ORIENTED_EDGE('',*,*,#12798,.F.); +#12800=EDGE_LOOP('',(#12797,#12799)); +#12801=FACE_BOUND('',#12800,.F.); +#12803=ORIENTED_EDGE('',*,*,#12802,.F.); +#12805=ORIENTED_EDGE('',*,*,#12804,.F.); +#12806=EDGE_LOOP('',(#12803,#12805)); +#12807=FACE_BOUND('',#12806,.F.); +#12809=ORIENTED_EDGE('',*,*,#12808,.F.); +#12811=ORIENTED_EDGE('',*,*,#12810,.F.); +#12812=EDGE_LOOP('',(#12809,#12811)); +#12813=FACE_BOUND('',#12812,.F.); +#12815=ORIENTED_EDGE('',*,*,#12814,.F.); +#12817=ORIENTED_EDGE('',*,*,#12816,.F.); +#12818=EDGE_LOOP('',(#12815,#12817)); +#12819=FACE_BOUND('',#12818,.F.); +#12821=ORIENTED_EDGE('',*,*,#12820,.F.); +#12823=ORIENTED_EDGE('',*,*,#12822,.F.); +#12824=EDGE_LOOP('',(#12821,#12823)); +#12825=FACE_BOUND('',#12824,.F.); +#12827=ORIENTED_EDGE('',*,*,#12826,.F.); +#12829=ORIENTED_EDGE('',*,*,#12828,.F.); +#12830=EDGE_LOOP('',(#12827,#12829)); +#12831=FACE_BOUND('',#12830,.F.); +#12833=ORIENTED_EDGE('',*,*,#12832,.F.); +#12835=ORIENTED_EDGE('',*,*,#12834,.F.); +#12836=EDGE_LOOP('',(#12833,#12835)); +#12837=FACE_BOUND('',#12836,.F.); +#12839=ORIENTED_EDGE('',*,*,#12838,.F.); +#12841=ORIENTED_EDGE('',*,*,#12840,.F.); +#12842=EDGE_LOOP('',(#12839,#12841)); +#12843=FACE_BOUND('',#12842,.F.); +#12845=ORIENTED_EDGE('',*,*,#12844,.F.); +#12847=ORIENTED_EDGE('',*,*,#12846,.F.); +#12848=EDGE_LOOP('',(#12845,#12847)); +#12849=FACE_BOUND('',#12848,.F.); +#12851=ORIENTED_EDGE('',*,*,#12850,.F.); +#12853=ORIENTED_EDGE('',*,*,#12852,.F.); +#12854=EDGE_LOOP('',(#12851,#12853)); +#12855=FACE_BOUND('',#12854,.F.); +#12857=ORIENTED_EDGE('',*,*,#12856,.F.); +#12859=ORIENTED_EDGE('',*,*,#12858,.F.); +#12860=EDGE_LOOP('',(#12857,#12859)); +#12861=FACE_BOUND('',#12860,.F.); +#12863=ORIENTED_EDGE('',*,*,#12862,.F.); +#12865=ORIENTED_EDGE('',*,*,#12864,.F.); +#12866=EDGE_LOOP('',(#12863,#12865)); +#12867=FACE_BOUND('',#12866,.F.); +#12869=ORIENTED_EDGE('',*,*,#12868,.F.); +#12871=ORIENTED_EDGE('',*,*,#12870,.F.); +#12872=EDGE_LOOP('',(#12869,#12871)); +#12873=FACE_BOUND('',#12872,.F.); +#12875=ORIENTED_EDGE('',*,*,#12874,.F.); +#12877=ORIENTED_EDGE('',*,*,#12876,.F.); +#12878=EDGE_LOOP('',(#12875,#12877)); +#12879=FACE_BOUND('',#12878,.F.); +#12881=ORIENTED_EDGE('',*,*,#12880,.F.); +#12883=ORIENTED_EDGE('',*,*,#12882,.F.); +#12884=EDGE_LOOP('',(#12881,#12883)); +#12885=FACE_BOUND('',#12884,.F.); +#12887=ORIENTED_EDGE('',*,*,#12886,.F.); +#12889=ORIENTED_EDGE('',*,*,#12888,.F.); +#12890=EDGE_LOOP('',(#12887,#12889)); +#12891=FACE_BOUND('',#12890,.F.); +#12893=ORIENTED_EDGE('',*,*,#12892,.F.); +#12895=ORIENTED_EDGE('',*,*,#12894,.F.); +#12896=EDGE_LOOP('',(#12893,#12895)); +#12897=FACE_BOUND('',#12896,.F.); +#12899=FILL_AREA_STYLE_COLOUR('',#5); +#12900=FILL_AREA_STYLE('',(#12899)); +#12901=SURFACE_STYLE_FILL_AREA(#12900); +#12902=SURFACE_SIDE_STYLE('',(#12901)); +#12903=SURFACE_STYLE_USAGE(.POSITIVE.,#12902); +#12904=PRESENTATION_STYLE_ASSIGNMENT((#12903)); +#12905=STYLED_ITEM('',(#12904),#12898); +#12906=CARTESIAN_POINT('',(8.098266033901E1,1.085502E2,5.876044784545E0)); +#12907=DIRECTION('',(0.E0,0.E0,-1.E0)); +#12908=DIRECTION('',(-9.999999195423E-1,-4.011426052273E-4,0.E0)); +#12909=AXIS2_PLACEMENT_3D('',#12906,#12907,#12908); +#12910=CYLINDRICAL_SURFACE('',#12909,1.E0); +#12912=ORIENTED_EDGE('',*,*,#12911,.T.); +#12914=ORIENTED_EDGE('',*,*,#12913,.F.); +#12915=ORIENTED_EDGE('',*,*,#11526,.T.); +#12917=ORIENTED_EDGE('',*,*,#12916,.T.); +#12918=EDGE_LOOP('',(#12912,#12914,#12915,#12917)); +#12919=FACE_OUTER_BOUND('',#12918,.F.); +#12921=FILL_AREA_STYLE_COLOUR('',#5); +#12922=FILL_AREA_STYLE('',(#12921)); +#12923=SURFACE_STYLE_FILL_AREA(#12922); +#12924=SURFACE_SIDE_STYLE('',(#12923)); +#12925=SURFACE_STYLE_USAGE(.POSITIVE.,#12924); +#12926=PRESENTATION_STYLE_ASSIGNMENT((#12925)); +#12927=STYLED_ITEM('',(#12926),#12920); +#12928=CARTESIAN_POINT('',(0.E0,0.E0,3.111724784545E0)); +#12929=DIRECTION('',(0.E0,0.E0,1.E0)); +#12930=DIRECTION('',(1.E0,0.E0,0.E0)); +#12931=AXIS2_PLACEMENT_3D('',#12928,#12929,#12930); +#12932=PLANE('',#12931); +#12934=ORIENTED_EDGE('',*,*,#12933,.T.); +#12936=ORIENTED_EDGE('',*,*,#12935,.T.); +#12938=ORIENTED_EDGE('',*,*,#12937,.T.); +#12940=ORIENTED_EDGE('',*,*,#12939,.F.); +#12942=ORIENTED_EDGE('',*,*,#12941,.T.); +#12944=ORIENTED_EDGE('',*,*,#12943,.F.); +#12946=ORIENTED_EDGE('',*,*,#12945,.T.); +#12948=ORIENTED_EDGE('',*,*,#12947,.F.); +#12950=ORIENTED_EDGE('',*,*,#12949,.T.); +#12951=ORIENTED_EDGE('',*,*,#12911,.F.); +#12953=ORIENTED_EDGE('',*,*,#12952,.T.); +#12955=ORIENTED_EDGE('',*,*,#12954,.F.); +#12957=ORIENTED_EDGE('',*,*,#12956,.T.); +#12959=ORIENTED_EDGE('',*,*,#12958,.F.); +#12961=ORIENTED_EDGE('',*,*,#12960,.T.); +#12963=ORIENTED_EDGE('',*,*,#12962,.T.); +#12964=EDGE_LOOP('',(#12934,#12936,#12938,#12940,#12942,#12944,#12946,#12948, +#12950,#12951,#12953,#12955,#12957,#12959,#12961,#12963)); +#12965=FACE_OUTER_BOUND('',#12964,.F.); +#12967=ORIENTED_EDGE('',*,*,#12966,.F.); +#12969=ORIENTED_EDGE('',*,*,#12968,.F.); +#12970=EDGE_LOOP('',(#12967,#12969)); +#12971=FACE_BOUND('',#12970,.F.); +#12973=ORIENTED_EDGE('',*,*,#12972,.T.); +#12975=ORIENTED_EDGE('',*,*,#12974,.T.); +#12976=EDGE_LOOP('',(#12973,#12975)); +#12977=FACE_BOUND('',#12976,.F.); +#12979=ORIENTED_EDGE('',*,*,#12978,.T.); +#12981=ORIENTED_EDGE('',*,*,#12980,.T.); +#12982=EDGE_LOOP('',(#12979,#12981)); +#12983=FACE_BOUND('',#12982,.F.); +#12985=ORIENTED_EDGE('',*,*,#12984,.T.); +#12987=ORIENTED_EDGE('',*,*,#12986,.T.); +#12988=EDGE_LOOP('',(#12985,#12987)); +#12989=FACE_BOUND('',#12988,.F.); +#12991=ORIENTED_EDGE('',*,*,#12990,.T.); +#12993=ORIENTED_EDGE('',*,*,#12992,.T.); +#12994=EDGE_LOOP('',(#12991,#12993)); +#12995=FACE_BOUND('',#12994,.F.); +#12997=ORIENTED_EDGE('',*,*,#12996,.T.); +#12999=ORIENTED_EDGE('',*,*,#12998,.T.); +#13000=EDGE_LOOP('',(#12997,#12999)); +#13001=FACE_BOUND('',#13000,.F.); +#13003=ORIENTED_EDGE('',*,*,#13002,.T.); +#13005=ORIENTED_EDGE('',*,*,#13004,.T.); +#13006=EDGE_LOOP('',(#13003,#13005)); +#13007=FACE_BOUND('',#13006,.F.); +#13009=ORIENTED_EDGE('',*,*,#13008,.T.); +#13011=ORIENTED_EDGE('',*,*,#13010,.T.); +#13012=EDGE_LOOP('',(#13009,#13011)); +#13013=FACE_BOUND('',#13012,.F.); +#13015=ORIENTED_EDGE('',*,*,#13014,.T.); +#13017=ORIENTED_EDGE('',*,*,#13016,.T.); +#13018=EDGE_LOOP('',(#13015,#13017)); +#13019=FACE_BOUND('',#13018,.F.); +#13021=ORIENTED_EDGE('',*,*,#13020,.T.); +#13023=ORIENTED_EDGE('',*,*,#13022,.T.); +#13024=EDGE_LOOP('',(#13021,#13023)); +#13025=FACE_BOUND('',#13024,.F.); +#13027=ORIENTED_EDGE('',*,*,#13026,.T.); +#13029=ORIENTED_EDGE('',*,*,#13028,.T.); +#13030=EDGE_LOOP('',(#13027,#13029)); +#13031=FACE_BOUND('',#13030,.F.); +#13033=ORIENTED_EDGE('',*,*,#13032,.T.); +#13035=ORIENTED_EDGE('',*,*,#13034,.T.); +#13036=EDGE_LOOP('',(#13033,#13035)); +#13037=FACE_BOUND('',#13036,.F.); +#13039=ORIENTED_EDGE('',*,*,#13038,.T.); +#13041=ORIENTED_EDGE('',*,*,#13040,.T.); +#13042=EDGE_LOOP('',(#13039,#13041)); +#13043=FACE_BOUND('',#13042,.F.); +#13045=ORIENTED_EDGE('',*,*,#13044,.T.); +#13047=ORIENTED_EDGE('',*,*,#13046,.T.); +#13048=EDGE_LOOP('',(#13045,#13047)); +#13049=FACE_BOUND('',#13048,.F.); +#13051=ORIENTED_EDGE('',*,*,#13050,.T.); +#13053=ORIENTED_EDGE('',*,*,#13052,.T.); +#13054=EDGE_LOOP('',(#13051,#13053)); +#13055=FACE_BOUND('',#13054,.F.); +#13057=ORIENTED_EDGE('',*,*,#13056,.T.); +#13059=ORIENTED_EDGE('',*,*,#13058,.T.); +#13060=EDGE_LOOP('',(#13057,#13059)); +#13061=FACE_BOUND('',#13060,.F.); +#13063=ORIENTED_EDGE('',*,*,#13062,.T.); +#13065=ORIENTED_EDGE('',*,*,#13064,.T.); +#13066=EDGE_LOOP('',(#13063,#13065)); +#13067=FACE_BOUND('',#13066,.F.); +#13069=ORIENTED_EDGE('',*,*,#13068,.T.); +#13071=ORIENTED_EDGE('',*,*,#13070,.T.); +#13072=EDGE_LOOP('',(#13069,#13071)); +#13073=FACE_BOUND('',#13072,.F.); +#13075=ORIENTED_EDGE('',*,*,#13074,.T.); +#13077=ORIENTED_EDGE('',*,*,#13076,.T.); +#13078=EDGE_LOOP('',(#13075,#13077)); +#13079=FACE_BOUND('',#13078,.F.); +#13081=ORIENTED_EDGE('',*,*,#13080,.T.); +#13083=ORIENTED_EDGE('',*,*,#13082,.T.); +#13084=EDGE_LOOP('',(#13081,#13083)); +#13085=FACE_BOUND('',#13084,.F.); +#13087=ORIENTED_EDGE('',*,*,#13086,.T.); +#13089=ORIENTED_EDGE('',*,*,#13088,.T.); +#13090=EDGE_LOOP('',(#13087,#13089)); +#13091=FACE_BOUND('',#13090,.F.); +#13093=ORIENTED_EDGE('',*,*,#13092,.T.); +#13095=ORIENTED_EDGE('',*,*,#13094,.T.); +#13096=EDGE_LOOP('',(#13093,#13095)); +#13097=FACE_BOUND('',#13096,.F.); +#13099=ORIENTED_EDGE('',*,*,#13098,.T.); +#13101=ORIENTED_EDGE('',*,*,#13100,.T.); +#13102=EDGE_LOOP('',(#13099,#13101)); +#13103=FACE_BOUND('',#13102,.F.); +#13105=ORIENTED_EDGE('',*,*,#13104,.T.); +#13107=ORIENTED_EDGE('',*,*,#13106,.T.); +#13108=EDGE_LOOP('',(#13105,#13107)); +#13109=FACE_BOUND('',#13108,.F.); +#13111=ORIENTED_EDGE('',*,*,#13110,.T.); +#13113=ORIENTED_EDGE('',*,*,#13112,.T.); +#13114=EDGE_LOOP('',(#13111,#13113)); +#13115=FACE_BOUND('',#13114,.F.); +#13117=ORIENTED_EDGE('',*,*,#13116,.T.); +#13119=ORIENTED_EDGE('',*,*,#13118,.T.); +#13121=ORIENTED_EDGE('',*,*,#13120,.T.); +#13123=ORIENTED_EDGE('',*,*,#13122,.T.); +#13125=ORIENTED_EDGE('',*,*,#13124,.T.); +#13127=ORIENTED_EDGE('',*,*,#13126,.T.); +#13129=ORIENTED_EDGE('',*,*,#13128,.T.); +#13131=ORIENTED_EDGE('',*,*,#13130,.T.); +#13133=ORIENTED_EDGE('',*,*,#13132,.T.); +#13135=ORIENTED_EDGE('',*,*,#13134,.T.); +#13137=ORIENTED_EDGE('',*,*,#13136,.T.); +#13139=ORIENTED_EDGE('',*,*,#13138,.T.); +#13140=EDGE_LOOP('',(#13117,#13119,#13121,#13123,#13125,#13127,#13129,#13131, +#13133,#13135,#13137,#13139)); +#13141=FACE_BOUND('',#13140,.F.); +#13143=ORIENTED_EDGE('',*,*,#13142,.F.); +#13145=ORIENTED_EDGE('',*,*,#13144,.F.); +#13146=EDGE_LOOP('',(#13143,#13145)); +#13147=FACE_BOUND('',#13146,.F.); +#13149=ORIENTED_EDGE('',*,*,#13148,.T.); +#13151=ORIENTED_EDGE('',*,*,#13150,.T.); +#13153=ORIENTED_EDGE('',*,*,#13152,.T.); +#13155=ORIENTED_EDGE('',*,*,#13154,.T.); +#13156=EDGE_LOOP('',(#13149,#13151,#13153,#13155)); +#13157=FACE_BOUND('',#13156,.F.); +#13159=ORIENTED_EDGE('',*,*,#13158,.T.); +#13161=ORIENTED_EDGE('',*,*,#13160,.T.); +#13163=ORIENTED_EDGE('',*,*,#13162,.T.); +#13165=ORIENTED_EDGE('',*,*,#13164,.T.); +#13166=EDGE_LOOP('',(#13159,#13161,#13163,#13165)); +#13167=FACE_BOUND('',#13166,.F.); +#13169=FILL_AREA_STYLE_COLOUR('',#5); +#13170=FILL_AREA_STYLE('',(#13169)); +#13171=SURFACE_STYLE_FILL_AREA(#13170); +#13172=SURFACE_SIDE_STYLE('',(#13171)); +#13173=SURFACE_STYLE_USAGE(.POSITIVE.,#13172); +#13174=PRESENTATION_STYLE_ASSIGNMENT((#13173)); +#13175=STYLED_ITEM('',(#13174),#13168); +#13176=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,4.311724784545E0)); +#13177=DIRECTION('',(0.E0,0.E0,1.E0)); +#13178=DIRECTION('',(1.E0,0.E0,0.E0)); +#13179=AXIS2_PLACEMENT_3D('',#13176,#13177,#13178); +#13180=CYLINDRICAL_SURFACE('',#13179,9.748495E-1); +#13181=ORIENTED_EDGE('',*,*,#11544,.F.); +#13183=ORIENTED_EDGE('',*,*,#13182,.T.); +#13184=ORIENTED_EDGE('',*,*,#13142,.T.); +#13186=ORIENTED_EDGE('',*,*,#13185,.F.); +#13187=EDGE_LOOP('',(#13181,#13183,#13184,#13186)); +#13188=FACE_OUTER_BOUND('',#13187,.F.); +#13190=CARTESIAN_POINT('',(8.26444325E1,1.06429602E2,4.311724784545E0)); +#13191=DIRECTION('',(0.E0,0.E0,1.E0)); +#13192=DIRECTION('',(1.E0,0.E0,0.E0)); +#13193=AXIS2_PLACEMENT_3D('',#13190,#13191,#13192); +#13194=CYLINDRICAL_SURFACE('',#13193,9.748495E-1); +#13195=ORIENTED_EDGE('',*,*,#11546,.F.); +#13196=ORIENTED_EDGE('',*,*,#13185,.T.); +#13197=ORIENTED_EDGE('',*,*,#13144,.T.); +#13198=ORIENTED_EDGE('',*,*,#13182,.F.); +#13199=EDGE_LOOP('',(#13195,#13196,#13197,#13198)); +#13200=FACE_OUTER_BOUND('',#13199,.F.); +#13202=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,4.311724784545E0)); +#13203=DIRECTION('',(0.E0,0.E0,1.E0)); +#13204=DIRECTION('',(1.E0,0.E0,0.E0)); +#13205=AXIS2_PLACEMENT_3D('',#13202,#13203,#13204); +#13206=CYLINDRICAL_SURFACE('',#13205,9.41174E-1); +#13207=ORIENTED_EDGE('',*,*,#11550,.F.); +#13209=ORIENTED_EDGE('',*,*,#13208,.T.); +#13210=ORIENTED_EDGE('',*,*,#12966,.T.); +#13212=ORIENTED_EDGE('',*,*,#13211,.F.); +#13213=EDGE_LOOP('',(#13207,#13209,#13210,#13212)); +#13214=FACE_OUTER_BOUND('',#13213,.F.); +#13216=CARTESIAN_POINT('',(1.02655789E2,1.06426003E2,4.311724784545E0)); +#13217=DIRECTION('',(0.E0,0.E0,1.E0)); +#13218=DIRECTION('',(1.E0,0.E0,0.E0)); +#13219=AXIS2_PLACEMENT_3D('',#13216,#13217,#13218); +#13220=CYLINDRICAL_SURFACE('',#13219,9.41174E-1); +#13221=ORIENTED_EDGE('',*,*,#11552,.F.); +#13222=ORIENTED_EDGE('',*,*,#13211,.T.); +#13223=ORIENTED_EDGE('',*,*,#12968,.T.); +#13224=ORIENTED_EDGE('',*,*,#13208,.F.); +#13225=EDGE_LOOP('',(#13221,#13222,#13223,#13224)); +#13226=FACE_OUTER_BOUND('',#13225,.F.); +#13228=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,3.111724784545E0)); +#13229=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13230=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13231=AXIS2_PLACEMENT_3D('',#13228,#13229,#13230); +#13232=CYLINDRICAL_SURFACE('',#13231,7.01599E-1); +#13233=ORIENTED_EDGE('',*,*,#12754,.T.); +#13235=ORIENTED_EDGE('',*,*,#13234,.F.); +#13236=ORIENTED_EDGE('',*,*,#12972,.F.); +#13238=ORIENTED_EDGE('',*,*,#13237,.T.); +#13239=EDGE_LOOP('',(#13233,#13235,#13236,#13238)); +#13240=FACE_OUTER_BOUND('',#13239,.F.); +#13242=CARTESIAN_POINT('',(1.041547905E2,1.00711E2,3.111724784545E0)); +#13243=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13244=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13245=AXIS2_PLACEMENT_3D('',#13242,#13243,#13244); +#13246=CYLINDRICAL_SURFACE('',#13245,7.01599E-1); +#13247=ORIENTED_EDGE('',*,*,#12756,.T.); +#13248=ORIENTED_EDGE('',*,*,#13237,.F.); +#13249=ORIENTED_EDGE('',*,*,#12974,.F.); +#13250=ORIENTED_EDGE('',*,*,#13234,.T.); +#13251=EDGE_LOOP('',(#13247,#13248,#13249,#13250)); +#13252=FACE_OUTER_BOUND('',#13251,.F.); +#13254=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,3.111724784545E0)); +#13255=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13256=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13257=AXIS2_PLACEMENT_3D('',#13254,#13255,#13256); +#13258=CYLINDRICAL_SURFACE('',#13257,7.01599E-1); +#13259=ORIENTED_EDGE('',*,*,#12760,.T.); +#13261=ORIENTED_EDGE('',*,*,#13260,.F.); +#13262=ORIENTED_EDGE('',*,*,#12978,.F.); +#13264=ORIENTED_EDGE('',*,*,#13263,.T.); +#13265=EDGE_LOOP('',(#13259,#13261,#13262,#13264)); +#13266=FACE_OUTER_BOUND('',#13265,.F.); +#13268=CARTESIAN_POINT('',(1.041547905E2,9.8171E1,3.111724784545E0)); +#13269=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13270=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13271=AXIS2_PLACEMENT_3D('',#13268,#13269,#13270); +#13272=CYLINDRICAL_SURFACE('',#13271,7.01599E-1); +#13273=ORIENTED_EDGE('',*,*,#12762,.T.); +#13274=ORIENTED_EDGE('',*,*,#13263,.F.); +#13275=ORIENTED_EDGE('',*,*,#12980,.F.); +#13276=ORIENTED_EDGE('',*,*,#13260,.T.); +#13277=EDGE_LOOP('',(#13273,#13274,#13275,#13276)); +#13278=FACE_OUTER_BOUND('',#13277,.F.); +#13280=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,3.111724784545E0)); +#13281=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13282=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13283=AXIS2_PLACEMENT_3D('',#13280,#13281,#13282); +#13284=CYLINDRICAL_SURFACE('',#13283,7.01599E-1); +#13285=ORIENTED_EDGE('',*,*,#12766,.T.); +#13287=ORIENTED_EDGE('',*,*,#13286,.F.); +#13288=ORIENTED_EDGE('',*,*,#12984,.F.); +#13290=ORIENTED_EDGE('',*,*,#13289,.T.); +#13291=EDGE_LOOP('',(#13285,#13287,#13288,#13290)); +#13292=FACE_OUTER_BOUND('',#13291,.F.); +#13294=CARTESIAN_POINT('',(1.041547905E2,9.5631E1,3.111724784545E0)); +#13295=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13296=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13297=AXIS2_PLACEMENT_3D('',#13294,#13295,#13296); +#13298=CYLINDRICAL_SURFACE('',#13297,7.01599E-1); +#13299=ORIENTED_EDGE('',*,*,#12768,.T.); +#13300=ORIENTED_EDGE('',*,*,#13289,.F.); +#13301=ORIENTED_EDGE('',*,*,#12986,.F.); +#13302=ORIENTED_EDGE('',*,*,#13286,.T.); +#13303=EDGE_LOOP('',(#13299,#13300,#13301,#13302)); +#13304=FACE_OUTER_BOUND('',#13303,.F.); +#13306=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,3.111724784545E0)); +#13307=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13308=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13309=AXIS2_PLACEMENT_3D('',#13306,#13307,#13308); +#13310=CYLINDRICAL_SURFACE('',#13309,7.01599E-1); +#13311=ORIENTED_EDGE('',*,*,#12772,.T.); +#13313=ORIENTED_EDGE('',*,*,#13312,.F.); +#13314=ORIENTED_EDGE('',*,*,#12990,.F.); +#13316=ORIENTED_EDGE('',*,*,#13315,.T.); +#13317=EDGE_LOOP('',(#13311,#13313,#13314,#13316)); +#13318=FACE_OUTER_BOUND('',#13317,.F.); +#13320=CARTESIAN_POINT('',(1.041547905E2,9.3091E1,3.111724784545E0)); +#13321=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13322=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13323=AXIS2_PLACEMENT_3D('',#13320,#13321,#13322); +#13324=CYLINDRICAL_SURFACE('',#13323,7.01599E-1); +#13325=ORIENTED_EDGE('',*,*,#12774,.T.); +#13326=ORIENTED_EDGE('',*,*,#13315,.F.); +#13327=ORIENTED_EDGE('',*,*,#12992,.F.); +#13328=ORIENTED_EDGE('',*,*,#13312,.T.); +#13329=EDGE_LOOP('',(#13325,#13326,#13327,#13328)); +#13330=FACE_OUTER_BOUND('',#13329,.F.); +#13332=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,3.111724784545E0)); +#13333=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13334=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13335=AXIS2_PLACEMENT_3D('',#13332,#13333,#13334); +#13336=CYLINDRICAL_SURFACE('',#13335,7.01599E-1); +#13337=ORIENTED_EDGE('',*,*,#12778,.T.); +#13339=ORIENTED_EDGE('',*,*,#13338,.F.); +#13340=ORIENTED_EDGE('',*,*,#12996,.F.); +#13342=ORIENTED_EDGE('',*,*,#13341,.T.); +#13343=EDGE_LOOP('',(#13337,#13339,#13340,#13342)); +#13344=FACE_OUTER_BOUND('',#13343,.F.); +#13346=CARTESIAN_POINT('',(1.041547905E2,9.0551E1,3.111724784545E0)); +#13347=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13348=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13349=AXIS2_PLACEMENT_3D('',#13346,#13347,#13348); +#13350=CYLINDRICAL_SURFACE('',#13349,7.01599E-1); +#13351=ORIENTED_EDGE('',*,*,#12780,.T.); +#13352=ORIENTED_EDGE('',*,*,#13341,.F.); +#13353=ORIENTED_EDGE('',*,*,#12998,.F.); +#13354=ORIENTED_EDGE('',*,*,#13338,.T.); +#13355=EDGE_LOOP('',(#13351,#13352,#13353,#13354)); +#13356=FACE_OUTER_BOUND('',#13355,.F.); +#13358=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,3.111724784545E0)); +#13359=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13360=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13361=AXIS2_PLACEMENT_3D('',#13358,#13359,#13360); +#13362=CYLINDRICAL_SURFACE('',#13361,7.01599E-1); +#13363=ORIENTED_EDGE('',*,*,#12784,.T.); +#13365=ORIENTED_EDGE('',*,*,#13364,.F.); +#13366=ORIENTED_EDGE('',*,*,#13002,.F.); +#13368=ORIENTED_EDGE('',*,*,#13367,.T.); +#13369=EDGE_LOOP('',(#13363,#13365,#13366,#13368)); +#13370=FACE_OUTER_BOUND('',#13369,.F.); +#13372=CARTESIAN_POINT('',(1.041547905E2,8.8011E1,3.111724784545E0)); +#13373=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13374=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13375=AXIS2_PLACEMENT_3D('',#13372,#13373,#13374); +#13376=CYLINDRICAL_SURFACE('',#13375,7.01599E-1); +#13377=ORIENTED_EDGE('',*,*,#12786,.T.); +#13378=ORIENTED_EDGE('',*,*,#13367,.F.); +#13379=ORIENTED_EDGE('',*,*,#13004,.F.); +#13380=ORIENTED_EDGE('',*,*,#13364,.T.); +#13381=EDGE_LOOP('',(#13377,#13378,#13379,#13380)); +#13382=FACE_OUTER_BOUND('',#13381,.F.); +#13384=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,3.111724784545E0)); +#13385=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13386=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13387=AXIS2_PLACEMENT_3D('',#13384,#13385,#13386); +#13388=CYLINDRICAL_SURFACE('',#13387,8.01599E-1); +#13389=ORIENTED_EDGE('',*,*,#12790,.T.); +#13391=ORIENTED_EDGE('',*,*,#13390,.F.); +#13392=ORIENTED_EDGE('',*,*,#13008,.F.); +#13394=ORIENTED_EDGE('',*,*,#13393,.T.); +#13395=EDGE_LOOP('',(#13389,#13391,#13392,#13394)); +#13396=FACE_OUTER_BOUND('',#13395,.F.); +#13398=CARTESIAN_POINT('',(1.041547905E2,8.5471E1,3.111724784545E0)); +#13399=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13400=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13401=AXIS2_PLACEMENT_3D('',#13398,#13399,#13400); +#13402=CYLINDRICAL_SURFACE('',#13401,8.01599E-1); +#13403=ORIENTED_EDGE('',*,*,#12792,.T.); +#13404=ORIENTED_EDGE('',*,*,#13393,.F.); +#13405=ORIENTED_EDGE('',*,*,#13010,.F.); +#13406=ORIENTED_EDGE('',*,*,#13390,.T.); +#13407=EDGE_LOOP('',(#13403,#13404,#13405,#13406)); +#13408=FACE_OUTER_BOUND('',#13407,.F.); +#13410=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,3.111724784545E0)); +#13411=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13412=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13413=AXIS2_PLACEMENT_3D('',#13410,#13411,#13412); +#13414=CYLINDRICAL_SURFACE('',#13413,8.01599E-1); +#13415=ORIENTED_EDGE('',*,*,#12796,.T.); +#13417=ORIENTED_EDGE('',*,*,#13416,.F.); +#13418=ORIENTED_EDGE('',*,*,#13014,.F.); +#13420=ORIENTED_EDGE('',*,*,#13419,.T.); +#13421=EDGE_LOOP('',(#13415,#13417,#13418,#13420)); +#13422=FACE_OUTER_BOUND('',#13421,.F.); +#13424=CARTESIAN_POINT('',(1.041547905E2,8.2931E1,3.111724784545E0)); +#13425=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13426=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13427=AXIS2_PLACEMENT_3D('',#13424,#13425,#13426); +#13428=CYLINDRICAL_SURFACE('',#13427,8.01599E-1); +#13429=ORIENTED_EDGE('',*,*,#12798,.T.); +#13430=ORIENTED_EDGE('',*,*,#13419,.F.); +#13431=ORIENTED_EDGE('',*,*,#13016,.F.); +#13432=ORIENTED_EDGE('',*,*,#13416,.T.); +#13433=EDGE_LOOP('',(#13429,#13430,#13431,#13432)); +#13434=FACE_OUTER_BOUND('',#13433,.F.); +#13436=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,3.111724784545E0)); +#13437=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13438=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13439=AXIS2_PLACEMENT_3D('',#13436,#13437,#13438); +#13440=CYLINDRICAL_SURFACE('',#13439,8.01599E-1); +#13441=ORIENTED_EDGE('',*,*,#12802,.T.); +#13443=ORIENTED_EDGE('',*,*,#13442,.F.); +#13444=ORIENTED_EDGE('',*,*,#13020,.F.); +#13446=ORIENTED_EDGE('',*,*,#13445,.T.); +#13447=EDGE_LOOP('',(#13441,#13443,#13444,#13446)); +#13448=FACE_OUTER_BOUND('',#13447,.F.); +#13450=CARTESIAN_POINT('',(1.041547905E2,8.0391E1,3.111724784545E0)); +#13451=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13452=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13453=AXIS2_PLACEMENT_3D('',#13450,#13451,#13452); +#13454=CYLINDRICAL_SURFACE('',#13453,8.01599E-1); +#13455=ORIENTED_EDGE('',*,*,#12804,.T.); +#13456=ORIENTED_EDGE('',*,*,#13445,.F.); +#13457=ORIENTED_EDGE('',*,*,#13022,.F.); +#13458=ORIENTED_EDGE('',*,*,#13442,.T.); +#13459=EDGE_LOOP('',(#13455,#13456,#13457,#13458)); +#13460=FACE_OUTER_BOUND('',#13459,.F.); +#13462=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,3.111724784545E0)); +#13463=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13464=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13465=AXIS2_PLACEMENT_3D('',#13462,#13463,#13464); +#13466=CYLINDRICAL_SURFACE('',#13465,8.01599E-1); +#13467=ORIENTED_EDGE('',*,*,#12808,.T.); +#13469=ORIENTED_EDGE('',*,*,#13468,.F.); +#13470=ORIENTED_EDGE('',*,*,#13026,.F.); +#13472=ORIENTED_EDGE('',*,*,#13471,.T.); +#13473=EDGE_LOOP('',(#13467,#13469,#13470,#13472)); +#13474=FACE_OUTER_BOUND('',#13473,.F.); +#13476=CARTESIAN_POINT('',(1.041547905E2,7.7851E1,3.111724784545E0)); +#13477=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13478=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13479=AXIS2_PLACEMENT_3D('',#13476,#13477,#13478); +#13480=CYLINDRICAL_SURFACE('',#13479,8.01599E-1); +#13481=ORIENTED_EDGE('',*,*,#12810,.T.); +#13482=ORIENTED_EDGE('',*,*,#13471,.F.); +#13483=ORIENTED_EDGE('',*,*,#13028,.F.); +#13484=ORIENTED_EDGE('',*,*,#13468,.T.); +#13485=EDGE_LOOP('',(#13481,#13482,#13483,#13484)); +#13486=FACE_OUTER_BOUND('',#13485,.F.); +#13488=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,3.111724784545E0)); +#13489=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13490=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13491=AXIS2_PLACEMENT_3D('',#13488,#13489,#13490); +#13492=CYLINDRICAL_SURFACE('',#13491,8.01599E-1); +#13493=ORIENTED_EDGE('',*,*,#12814,.T.); +#13495=ORIENTED_EDGE('',*,*,#13494,.F.); +#13496=ORIENTED_EDGE('',*,*,#13032,.F.); +#13498=ORIENTED_EDGE('',*,*,#13497,.T.); +#13499=EDGE_LOOP('',(#13493,#13495,#13496,#13498)); +#13500=FACE_OUTER_BOUND('',#13499,.F.); +#13502=CARTESIAN_POINT('',(1.041547905E2,7.5311E1,3.111724784545E0)); +#13503=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13504=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13505=AXIS2_PLACEMENT_3D('',#13502,#13503,#13504); +#13506=CYLINDRICAL_SURFACE('',#13505,8.01599E-1); +#13507=ORIENTED_EDGE('',*,*,#12816,.T.); +#13508=ORIENTED_EDGE('',*,*,#13497,.F.); +#13509=ORIENTED_EDGE('',*,*,#13034,.F.); +#13510=ORIENTED_EDGE('',*,*,#13494,.T.); +#13511=EDGE_LOOP('',(#13507,#13508,#13509,#13510)); +#13512=FACE_OUTER_BOUND('',#13511,.F.); +#13514=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,3.111724784545E0)); +#13515=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13516=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13517=AXIS2_PLACEMENT_3D('',#13514,#13515,#13516); +#13518=CYLINDRICAL_SURFACE('',#13517,8.01599E-1); +#13519=ORIENTED_EDGE('',*,*,#12820,.T.); +#13521=ORIENTED_EDGE('',*,*,#13520,.F.); +#13522=ORIENTED_EDGE('',*,*,#13038,.F.); +#13524=ORIENTED_EDGE('',*,*,#13523,.T.); +#13525=EDGE_LOOP('',(#13519,#13521,#13522,#13524)); +#13526=FACE_OUTER_BOUND('',#13525,.F.); +#13528=CARTESIAN_POINT('',(1.041547905E2,7.2771E1,3.111724784545E0)); +#13529=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13531=AXIS2_PLACEMENT_3D('',#13528,#13529,#13530); +#13532=CYLINDRICAL_SURFACE('',#13531,8.01599E-1); +#13533=ORIENTED_EDGE('',*,*,#12822,.T.); +#13534=ORIENTED_EDGE('',*,*,#13523,.F.); +#13535=ORIENTED_EDGE('',*,*,#13040,.F.); +#13536=ORIENTED_EDGE('',*,*,#13520,.T.); +#13537=EDGE_LOOP('',(#13533,#13534,#13535,#13536)); +#13538=FACE_OUTER_BOUND('',#13537,.F.); +#13540=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,3.111724784545E0)); +#13541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13542=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13543=AXIS2_PLACEMENT_3D('',#13540,#13541,#13542); +#13544=CYLINDRICAL_SURFACE('',#13543,8.01599E-1); +#13545=ORIENTED_EDGE('',*,*,#12826,.T.); +#13547=ORIENTED_EDGE('',*,*,#13546,.F.); +#13548=ORIENTED_EDGE('',*,*,#13044,.F.); +#13550=ORIENTED_EDGE('',*,*,#13549,.T.); +#13551=EDGE_LOOP('',(#13545,#13547,#13548,#13550)); +#13552=FACE_OUTER_BOUND('',#13551,.F.); +#13554=CARTESIAN_POINT('',(8.12947905E1,1.00711399E2,3.111724784545E0)); +#13555=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13556=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13557=AXIS2_PLACEMENT_3D('',#13554,#13555,#13556); +#13558=CYLINDRICAL_SURFACE('',#13557,8.01599E-1); +#13559=ORIENTED_EDGE('',*,*,#12828,.T.); +#13560=ORIENTED_EDGE('',*,*,#13549,.F.); +#13561=ORIENTED_EDGE('',*,*,#13046,.F.); +#13562=ORIENTED_EDGE('',*,*,#13546,.T.); +#13563=EDGE_LOOP('',(#13559,#13560,#13561,#13562)); +#13564=FACE_OUTER_BOUND('',#13563,.F.); +#13566=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,3.111724784545E0)); +#13567=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13568=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13569=AXIS2_PLACEMENT_3D('',#13566,#13567,#13568); +#13570=CYLINDRICAL_SURFACE('',#13569,7.01599E-1); +#13571=ORIENTED_EDGE('',*,*,#12832,.T.); +#13573=ORIENTED_EDGE('',*,*,#13572,.F.); +#13574=ORIENTED_EDGE('',*,*,#13050,.F.); +#13576=ORIENTED_EDGE('',*,*,#13575,.T.); +#13577=EDGE_LOOP('',(#13571,#13573,#13574,#13576)); +#13578=FACE_OUTER_BOUND('',#13577,.F.); +#13580=CARTESIAN_POINT('',(8.12947905E1,9.8171399E1,3.111724784545E0)); +#13581=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13582=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13583=AXIS2_PLACEMENT_3D('',#13580,#13581,#13582); +#13584=CYLINDRICAL_SURFACE('',#13583,7.01599E-1); +#13585=ORIENTED_EDGE('',*,*,#12834,.T.); +#13586=ORIENTED_EDGE('',*,*,#13575,.F.); +#13587=ORIENTED_EDGE('',*,*,#13052,.F.); +#13588=ORIENTED_EDGE('',*,*,#13572,.T.); +#13589=EDGE_LOOP('',(#13585,#13586,#13587,#13588)); +#13590=FACE_OUTER_BOUND('',#13589,.F.); +#13592=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,3.111724784545E0)); +#13593=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13594=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13595=AXIS2_PLACEMENT_3D('',#13592,#13593,#13594); +#13596=CYLINDRICAL_SURFACE('',#13595,7.01599E-1); +#13597=ORIENTED_EDGE('',*,*,#12838,.T.); +#13599=ORIENTED_EDGE('',*,*,#13598,.F.); +#13600=ORIENTED_EDGE('',*,*,#13056,.F.); +#13602=ORIENTED_EDGE('',*,*,#13601,.T.); +#13603=EDGE_LOOP('',(#13597,#13599,#13600,#13602)); +#13604=FACE_OUTER_BOUND('',#13603,.F.); +#13606=CARTESIAN_POINT('',(8.12947905E1,9.5631399E1,3.111724784545E0)); +#13607=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13608=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13609=AXIS2_PLACEMENT_3D('',#13606,#13607,#13608); +#13610=CYLINDRICAL_SURFACE('',#13609,7.01599E-1); +#13611=ORIENTED_EDGE('',*,*,#12840,.T.); +#13612=ORIENTED_EDGE('',*,*,#13601,.F.); +#13613=ORIENTED_EDGE('',*,*,#13058,.F.); +#13614=ORIENTED_EDGE('',*,*,#13598,.T.); +#13615=EDGE_LOOP('',(#13611,#13612,#13613,#13614)); +#13616=FACE_OUTER_BOUND('',#13615,.F.); +#13618=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,3.111724784545E0)); +#13619=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13620=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13621=AXIS2_PLACEMENT_3D('',#13618,#13619,#13620); +#13622=CYLINDRICAL_SURFACE('',#13621,7.01599E-1); +#13623=ORIENTED_EDGE('',*,*,#12844,.T.); +#13625=ORIENTED_EDGE('',*,*,#13624,.F.); +#13626=ORIENTED_EDGE('',*,*,#13062,.F.); +#13628=ORIENTED_EDGE('',*,*,#13627,.T.); +#13629=EDGE_LOOP('',(#13623,#13625,#13626,#13628)); +#13630=FACE_OUTER_BOUND('',#13629,.F.); +#13632=CARTESIAN_POINT('',(8.12947905E1,9.3091399E1,3.111724784545E0)); +#13633=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13635=AXIS2_PLACEMENT_3D('',#13632,#13633,#13634); +#13636=CYLINDRICAL_SURFACE('',#13635,7.01599E-1); +#13637=ORIENTED_EDGE('',*,*,#12846,.T.); +#13638=ORIENTED_EDGE('',*,*,#13627,.F.); +#13639=ORIENTED_EDGE('',*,*,#13064,.F.); +#13640=ORIENTED_EDGE('',*,*,#13624,.T.); +#13641=EDGE_LOOP('',(#13637,#13638,#13639,#13640)); +#13642=FACE_OUTER_BOUND('',#13641,.F.); +#13644=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,3.111724784545E0)); +#13645=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13646=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13647=AXIS2_PLACEMENT_3D('',#13644,#13645,#13646); +#13648=CYLINDRICAL_SURFACE('',#13647,7.01599E-1); +#13649=ORIENTED_EDGE('',*,*,#12850,.T.); +#13651=ORIENTED_EDGE('',*,*,#13650,.F.); +#13652=ORIENTED_EDGE('',*,*,#13068,.F.); +#13654=ORIENTED_EDGE('',*,*,#13653,.T.); +#13655=EDGE_LOOP('',(#13649,#13651,#13652,#13654)); +#13656=FACE_OUTER_BOUND('',#13655,.F.); +#13658=CARTESIAN_POINT('',(8.12947905E1,9.0551399E1,3.111724784545E0)); +#13659=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13660=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13661=AXIS2_PLACEMENT_3D('',#13658,#13659,#13660); +#13662=CYLINDRICAL_SURFACE('',#13661,7.01599E-1); +#13663=ORIENTED_EDGE('',*,*,#12852,.T.); +#13664=ORIENTED_EDGE('',*,*,#13653,.F.); +#13665=ORIENTED_EDGE('',*,*,#13070,.F.); +#13666=ORIENTED_EDGE('',*,*,#13650,.T.); +#13667=EDGE_LOOP('',(#13663,#13664,#13665,#13666)); +#13668=FACE_OUTER_BOUND('',#13667,.F.); +#13670=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,3.111724784545E0)); +#13671=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13672=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13673=AXIS2_PLACEMENT_3D('',#13670,#13671,#13672); +#13674=CYLINDRICAL_SURFACE('',#13673,7.01599E-1); +#13675=ORIENTED_EDGE('',*,*,#12856,.T.); +#13677=ORIENTED_EDGE('',*,*,#13676,.F.); +#13678=ORIENTED_EDGE('',*,*,#13074,.F.); +#13680=ORIENTED_EDGE('',*,*,#13679,.T.); +#13681=EDGE_LOOP('',(#13675,#13677,#13678,#13680)); +#13682=FACE_OUTER_BOUND('',#13681,.F.); +#13684=CARTESIAN_POINT('',(8.12947905E1,8.8011399E1,3.111724784545E0)); +#13685=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13686=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13687=AXIS2_PLACEMENT_3D('',#13684,#13685,#13686); +#13688=CYLINDRICAL_SURFACE('',#13687,7.01599E-1); +#13689=ORIENTED_EDGE('',*,*,#12858,.T.); +#13690=ORIENTED_EDGE('',*,*,#13679,.F.); +#13691=ORIENTED_EDGE('',*,*,#13076,.F.); +#13692=ORIENTED_EDGE('',*,*,#13676,.T.); +#13693=EDGE_LOOP('',(#13689,#13690,#13691,#13692)); +#13694=FACE_OUTER_BOUND('',#13693,.F.); +#13696=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,3.111724784545E0)); +#13697=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13698=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13699=AXIS2_PLACEMENT_3D('',#13696,#13697,#13698); +#13700=CYLINDRICAL_SURFACE('',#13699,7.01599E-1); +#13701=ORIENTED_EDGE('',*,*,#12862,.T.); +#13703=ORIENTED_EDGE('',*,*,#13702,.F.); +#13704=ORIENTED_EDGE('',*,*,#13080,.F.); +#13706=ORIENTED_EDGE('',*,*,#13705,.T.); +#13707=EDGE_LOOP('',(#13701,#13703,#13704,#13706)); +#13708=FACE_OUTER_BOUND('',#13707,.F.); +#13710=CARTESIAN_POINT('',(8.12947905E1,8.5471399E1,3.111724784545E0)); +#13711=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13712=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13713=AXIS2_PLACEMENT_3D('',#13710,#13711,#13712); +#13714=CYLINDRICAL_SURFACE('',#13713,7.01599E-1); +#13715=ORIENTED_EDGE('',*,*,#12864,.T.); +#13716=ORIENTED_EDGE('',*,*,#13705,.F.); +#13717=ORIENTED_EDGE('',*,*,#13082,.F.); +#13718=ORIENTED_EDGE('',*,*,#13702,.T.); +#13719=EDGE_LOOP('',(#13715,#13716,#13717,#13718)); +#13720=FACE_OUTER_BOUND('',#13719,.F.); +#13722=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,3.111724784545E0)); +#13723=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13724=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13725=AXIS2_PLACEMENT_3D('',#13722,#13723,#13724); +#13726=CYLINDRICAL_SURFACE('',#13725,7.01599E-1); +#13727=ORIENTED_EDGE('',*,*,#12868,.T.); +#13729=ORIENTED_EDGE('',*,*,#13728,.F.); +#13730=ORIENTED_EDGE('',*,*,#13086,.F.); +#13732=ORIENTED_EDGE('',*,*,#13731,.T.); +#13733=EDGE_LOOP('',(#13727,#13729,#13730,#13732)); +#13734=FACE_OUTER_BOUND('',#13733,.F.); +#13736=CARTESIAN_POINT('',(8.12947905E1,8.2931399E1,3.111724784545E0)); +#13737=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13738=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13739=AXIS2_PLACEMENT_3D('',#13736,#13737,#13738); +#13740=CYLINDRICAL_SURFACE('',#13739,7.01599E-1); +#13741=ORIENTED_EDGE('',*,*,#12870,.T.); +#13742=ORIENTED_EDGE('',*,*,#13731,.F.); +#13743=ORIENTED_EDGE('',*,*,#13088,.F.); +#13744=ORIENTED_EDGE('',*,*,#13728,.T.); +#13745=EDGE_LOOP('',(#13741,#13742,#13743,#13744)); +#13746=FACE_OUTER_BOUND('',#13745,.F.); +#13748=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,3.111724784545E0)); +#13749=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13750=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13751=AXIS2_PLACEMENT_3D('',#13748,#13749,#13750); +#13752=CYLINDRICAL_SURFACE('',#13751,7.01599E-1); +#13753=ORIENTED_EDGE('',*,*,#12874,.T.); +#13755=ORIENTED_EDGE('',*,*,#13754,.F.); +#13756=ORIENTED_EDGE('',*,*,#13092,.F.); +#13758=ORIENTED_EDGE('',*,*,#13757,.T.); +#13759=EDGE_LOOP('',(#13753,#13755,#13756,#13758)); +#13760=FACE_OUTER_BOUND('',#13759,.F.); +#13762=CARTESIAN_POINT('',(8.12947905E1,8.0391399E1,3.111724784545E0)); +#13763=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13764=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13765=AXIS2_PLACEMENT_3D('',#13762,#13763,#13764); +#13766=CYLINDRICAL_SURFACE('',#13765,7.01599E-1); +#13767=ORIENTED_EDGE('',*,*,#12876,.T.); +#13768=ORIENTED_EDGE('',*,*,#13757,.F.); +#13769=ORIENTED_EDGE('',*,*,#13094,.F.); +#13770=ORIENTED_EDGE('',*,*,#13754,.T.); +#13771=EDGE_LOOP('',(#13767,#13768,#13769,#13770)); +#13772=FACE_OUTER_BOUND('',#13771,.F.); +#13774=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,3.111724784545E0)); +#13775=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13776=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13777=AXIS2_PLACEMENT_3D('',#13774,#13775,#13776); +#13778=CYLINDRICAL_SURFACE('',#13777,7.01599E-1); +#13779=ORIENTED_EDGE('',*,*,#12880,.T.); +#13781=ORIENTED_EDGE('',*,*,#13780,.F.); +#13782=ORIENTED_EDGE('',*,*,#13098,.F.); +#13784=ORIENTED_EDGE('',*,*,#13783,.T.); +#13785=EDGE_LOOP('',(#13779,#13781,#13782,#13784)); +#13786=FACE_OUTER_BOUND('',#13785,.F.); +#13788=CARTESIAN_POINT('',(8.12947905E1,7.7851399E1,3.111724784545E0)); +#13789=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13790=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13791=AXIS2_PLACEMENT_3D('',#13788,#13789,#13790); +#13792=CYLINDRICAL_SURFACE('',#13791,7.01599E-1); +#13793=ORIENTED_EDGE('',*,*,#12882,.T.); +#13794=ORIENTED_EDGE('',*,*,#13783,.F.); +#13795=ORIENTED_EDGE('',*,*,#13100,.F.); +#13796=ORIENTED_EDGE('',*,*,#13780,.T.); +#13797=EDGE_LOOP('',(#13793,#13794,#13795,#13796)); +#13798=FACE_OUTER_BOUND('',#13797,.F.); +#13800=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,3.111724784545E0)); +#13801=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13802=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13803=AXIS2_PLACEMENT_3D('',#13800,#13801,#13802); +#13804=CYLINDRICAL_SURFACE('',#13803,7.01599E-1); +#13805=ORIENTED_EDGE('',*,*,#12886,.T.); +#13807=ORIENTED_EDGE('',*,*,#13806,.F.); +#13808=ORIENTED_EDGE('',*,*,#13104,.F.); +#13810=ORIENTED_EDGE('',*,*,#13809,.T.); +#13811=EDGE_LOOP('',(#13805,#13807,#13808,#13810)); +#13812=FACE_OUTER_BOUND('',#13811,.F.); +#13814=CARTESIAN_POINT('',(8.12947905E1,7.5311399E1,3.111724784545E0)); +#13815=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13816=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13817=AXIS2_PLACEMENT_3D('',#13814,#13815,#13816); +#13818=CYLINDRICAL_SURFACE('',#13817,7.01599E-1); +#13819=ORIENTED_EDGE('',*,*,#12888,.T.); +#13820=ORIENTED_EDGE('',*,*,#13809,.F.); +#13821=ORIENTED_EDGE('',*,*,#13106,.F.); +#13822=ORIENTED_EDGE('',*,*,#13806,.T.); +#13823=EDGE_LOOP('',(#13819,#13820,#13821,#13822)); +#13824=FACE_OUTER_BOUND('',#13823,.F.); +#13826=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,3.111724784545E0)); +#13827=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13828=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13829=AXIS2_PLACEMENT_3D('',#13826,#13827,#13828); +#13830=CYLINDRICAL_SURFACE('',#13829,7.01599E-1); +#13831=ORIENTED_EDGE('',*,*,#12892,.T.); +#13833=ORIENTED_EDGE('',*,*,#13832,.F.); +#13834=ORIENTED_EDGE('',*,*,#13110,.F.); +#13836=ORIENTED_EDGE('',*,*,#13835,.T.); +#13837=EDGE_LOOP('',(#13831,#13833,#13834,#13836)); +#13838=FACE_OUTER_BOUND('',#13837,.F.); +#13840=CARTESIAN_POINT('',(8.12947905E1,7.2771399E1,3.111724784545E0)); +#13841=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13842=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13843=AXIS2_PLACEMENT_3D('',#13840,#13841,#13842); +#13844=CYLINDRICAL_SURFACE('',#13843,7.01599E-1); +#13845=ORIENTED_EDGE('',*,*,#12894,.T.); +#13846=ORIENTED_EDGE('',*,*,#13835,.F.); +#13847=ORIENTED_EDGE('',*,*,#13112,.F.); +#13848=ORIENTED_EDGE('',*,*,#13832,.T.); +#13849=EDGE_LOOP('',(#13845,#13846,#13847,#13848)); +#13850=FACE_OUTER_BOUND('',#13849,.F.); +#13852=CARTESIAN_POINT('',(8.2723624E1,8.5605734E1,3.111724784545E0)); +#13853=DIRECTION('',(0.E0,1.E0,0.E0)); +#13854=DIRECTION('',(1.E0,0.E0,0.E0)); +#13855=AXIS2_PLACEMENT_3D('',#13852,#13853,#13854); +#13856=PLANE('',#13855); +#13857=ORIENTED_EDGE('',*,*,#13116,.F.); +#13859=ORIENTED_EDGE('',*,*,#13858,.T.); +#13861=ORIENTED_EDGE('',*,*,#13860,.T.); +#13863=ORIENTED_EDGE('',*,*,#13862,.F.); +#13864=EDGE_LOOP('',(#13857,#13859,#13861,#13863)); +#13865=FACE_OUTER_BOUND('',#13864,.F.); +#13867=CARTESIAN_POINT('',(8.2723624E1,8.3902537E1,3.111724784545E0)); +#13868=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13869=DIRECTION('',(0.E0,1.E0,0.E0)); +#13870=AXIS2_PLACEMENT_3D('',#13867,#13868,#13869); +#13871=PLANE('',#13870); +#13872=ORIENTED_EDGE('',*,*,#13138,.F.); +#13874=ORIENTED_EDGE('',*,*,#13873,.T.); +#13876=ORIENTED_EDGE('',*,*,#13875,.T.); +#13877=ORIENTED_EDGE('',*,*,#13858,.F.); +#13878=EDGE_LOOP('',(#13872,#13874,#13876,#13877)); +#13879=FACE_OUTER_BOUND('',#13878,.F.); +#13881=CARTESIAN_POINT('',(8.348498713377E1,8.3902537E1,3.111724784545E0)); +#13882=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13883=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13884=AXIS2_PLACEMENT_3D('',#13881,#13882,#13883); +#13885=PLANE('',#13884); +#13886=ORIENTED_EDGE('',*,*,#13136,.F.); +#13888=ORIENTED_EDGE('',*,*,#13887,.T.); +#13890=ORIENTED_EDGE('',*,*,#13889,.T.); +#13891=ORIENTED_EDGE('',*,*,#13873,.F.); +#13892=EDGE_LOOP('',(#13886,#13888,#13890,#13891)); +#13893=FACE_OUTER_BOUND('',#13892,.F.); +#13895=CARTESIAN_POINT('',(8.348498713377E1,8.21502E1,3.111724784545E0)); +#13896=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13897=DIRECTION('',(0.E0,1.E0,0.E0)); +#13898=AXIS2_PLACEMENT_3D('',#13895,#13896,#13897); +#13899=PLANE('',#13898); +#13901=ORIENTED_EDGE('',*,*,#13900,.T.); +#13903=ORIENTED_EDGE('',*,*,#13902,.T.); +#13905=ORIENTED_EDGE('',*,*,#13904,.F.); +#13907=ORIENTED_EDGE('',*,*,#13906,.T.); +#13909=ORIENTED_EDGE('',*,*,#13908,.T.); +#13911=ORIENTED_EDGE('',*,*,#13910,.F.); +#13912=ORIENTED_EDGE('',*,*,#13118,.F.); +#13913=ORIENTED_EDGE('',*,*,#13862,.T.); +#13915=ORIENTED_EDGE('',*,*,#13914,.F.); +#13916=ORIENTED_EDGE('',*,*,#13887,.F.); +#13917=ORIENTED_EDGE('',*,*,#13134,.F.); +#13919=ORIENTED_EDGE('',*,*,#13918,.T.); +#13920=EDGE_LOOP('',(#13901,#13903,#13905,#13907,#13909,#13911,#13912,#13913, +#13915,#13916,#13917,#13919)); +#13921=FACE_OUTER_BOUND('',#13920,.F.); +#13923=CARTESIAN_POINT('',(0.E0,0.E0,2.111724784545E0)); +#13924=DIRECTION('',(0.E0,0.E0,-1.E0)); +#13925=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13926=AXIS2_PLACEMENT_3D('',#13923,#13924,#13925); +#13927=PLANE('',#13926); +#13929=ORIENTED_EDGE('',*,*,#13928,.T.); +#13931=ORIENTED_EDGE('',*,*,#13930,.T.); +#13933=ORIENTED_EDGE('',*,*,#13932,.T.); +#13935=ORIENTED_EDGE('',*,*,#13934,.T.); +#13937=ORIENTED_EDGE('',*,*,#13936,.T.); +#13939=ORIENTED_EDGE('',*,*,#13938,.T.); +#13940=ORIENTED_EDGE('',*,*,#13900,.F.); +#13942=ORIENTED_EDGE('',*,*,#13941,.F.); +#13943=EDGE_LOOP('',(#13929,#13931,#13933,#13935,#13937,#13939,#13940,#13942)); +#13944=FACE_OUTER_BOUND('',#13943,.F.); +#13946=CARTESIAN_POINT('',(1.019849871338E2,8.79502E1,3.111724784545E0)); +#13947=DIRECTION('',(1.E0,0.E0,0.E0)); +#13948=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13949=AXIS2_PLACEMENT_3D('',#13946,#13947,#13948); +#13950=PLANE('',#13949); +#13951=ORIENTED_EDGE('',*,*,#13928,.F.); +#13953=ORIENTED_EDGE('',*,*,#13952,.F.); +#13954=ORIENTED_EDGE('',*,*,#13130,.F.); +#13956=ORIENTED_EDGE('',*,*,#13955,.T.); +#13958=ORIENTED_EDGE('',*,*,#13957,.T.); +#13960=ORIENTED_EDGE('',*,*,#13959,.F.); +#13961=ORIENTED_EDGE('',*,*,#13122,.F.); +#13963=ORIENTED_EDGE('',*,*,#13962,.T.); +#13965=ORIENTED_EDGE('',*,*,#13964,.T.); +#13967=ORIENTED_EDGE('',*,*,#13966,.F.); +#13969=ORIENTED_EDGE('',*,*,#13968,.T.); +#13971=ORIENTED_EDGE('',*,*,#13970,.F.); +#13972=EDGE_LOOP('',(#13951,#13953,#13954,#13956,#13958,#13960,#13961,#13963, +#13965,#13967,#13969,#13971)); +#13973=FACE_OUTER_BOUND('',#13972,.F.); +#13975=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,3.111724784545E0)); +#13976=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13977=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13978=AXIS2_PLACEMENT_3D('',#13975,#13976,#13977); +#13979=PLANE('',#13978); +#13980=ORIENTED_EDGE('',*,*,#13941,.T.); +#13981=ORIENTED_EDGE('',*,*,#13918,.F.); +#13982=ORIENTED_EDGE('',*,*,#13132,.F.); +#13983=ORIENTED_EDGE('',*,*,#13952,.T.); +#13984=EDGE_LOOP('',(#13980,#13981,#13982,#13983)); +#13985=FACE_OUTER_BOUND('',#13984,.F.); +#13987=CARTESIAN_POINT('',(1.019849871338E2,8.21502E1,3.111724784545E0)); +#13988=DIRECTION('',(0.E0,-1.E0,0.E0)); +#13989=DIRECTION('',(-1.E0,0.E0,0.E0)); +#13990=AXIS2_PLACEMENT_3D('',#13987,#13988,#13989); +#13991=PLANE('',#13990); +#13993=ORIENTED_EDGE('',*,*,#13992,.F.); +#13994=ORIENTED_EDGE('',*,*,#13966,.T.); +#13996=ORIENTED_EDGE('',*,*,#13995,.T.); +#13997=ORIENTED_EDGE('',*,*,#13906,.F.); +#13998=EDGE_LOOP('',(#13993,#13994,#13996,#13997)); +#13999=FACE_OUTER_BOUND('',#13998,.F.); +#14001=CARTESIAN_POINT('',(0.E0,0.E0,1.511724784545E0)); +#14002=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14003=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14004=AXIS2_PLACEMENT_3D('',#14001,#14002,#14003); +#14005=PLANE('',#14004); +#14006=ORIENTED_EDGE('',*,*,#13968,.F.); +#14007=ORIENTED_EDGE('',*,*,#13992,.T.); +#14008=ORIENTED_EDGE('',*,*,#13904,.T.); +#14010=ORIENTED_EDGE('',*,*,#14009,.F.); +#14012=ORIENTED_EDGE('',*,*,#14011,.F.); +#14014=ORIENTED_EDGE('',*,*,#14013,.F.); +#14016=ORIENTED_EDGE('',*,*,#14015,.F.); +#14018=ORIENTED_EDGE('',*,*,#14017,.F.); +#14019=EDGE_LOOP('',(#14006,#14007,#14008,#14010,#14012,#14014,#14016,#14018)); +#14020=FACE_OUTER_BOUND('',#14019,.F.); +#14022=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,2.111724784545E0)); +#14023=DIRECTION('',(0.E0,1.E0,0.E0)); +#14024=DIRECTION('',(1.E0,0.E0,0.E0)); +#14025=AXIS2_PLACEMENT_3D('',#14022,#14023,#14024); +#14026=PLANE('',#14025); +#14027=ORIENTED_EDGE('',*,*,#13970,.T.); +#14028=ORIENTED_EDGE('',*,*,#14017,.T.); +#14030=ORIENTED_EDGE('',*,*,#14029,.F.); +#14031=ORIENTED_EDGE('',*,*,#13930,.F.); +#14032=EDGE_LOOP('',(#14027,#14028,#14030,#14031)); +#14033=FACE_OUTER_BOUND('',#14032,.F.); +#14035=CARTESIAN_POINT('',(8.3075262E1,8.3772858E1,2.111724784545E0)); +#14036=DIRECTION('',(0.E0,1.E0,0.E0)); +#14037=DIRECTION('',(1.E0,0.E0,0.E0)); +#14038=AXIS2_PLACEMENT_3D('',#14035,#14036,#14037); +#14039=PLANE('',#14038); +#14040=ORIENTED_EDGE('',*,*,#13902,.F.); +#14041=ORIENTED_EDGE('',*,*,#13938,.F.); +#14043=ORIENTED_EDGE('',*,*,#14042,.T.); +#14044=ORIENTED_EDGE('',*,*,#14009,.T.); +#14045=EDGE_LOOP('',(#14040,#14041,#14043,#14044)); +#14046=FACE_OUTER_BOUND('',#14045,.F.); +#14048=CARTESIAN_POINT('',(1.02475274E2,8.3772858E1,2.111724784545E0)); +#14049=DIRECTION('',(1.E0,0.E0,0.E0)); +#14050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14051=AXIS2_PLACEMENT_3D('',#14048,#14049,#14050); +#14052=PLANE('',#14051); +#14053=ORIENTED_EDGE('',*,*,#13932,.F.); +#14054=ORIENTED_EDGE('',*,*,#14029,.T.); +#14055=ORIENTED_EDGE('',*,*,#14015,.T.); +#14057=ORIENTED_EDGE('',*,*,#14056,.F.); +#14058=EDGE_LOOP('',(#14053,#14054,#14055,#14057)); +#14059=FACE_OUTER_BOUND('',#14058,.F.); +#14061=CARTESIAN_POINT('',(1.02475274E2,8.1603952E1,2.111724784545E0)); +#14062=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14063=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14064=AXIS2_PLACEMENT_3D('',#14061,#14062,#14063); +#14065=PLANE('',#14064); +#14066=ORIENTED_EDGE('',*,*,#13934,.F.); +#14067=ORIENTED_EDGE('',*,*,#14056,.T.); +#14068=ORIENTED_EDGE('',*,*,#14013,.T.); +#14070=ORIENTED_EDGE('',*,*,#14069,.F.); +#14071=EDGE_LOOP('',(#14066,#14067,#14068,#14070)); +#14072=FACE_OUTER_BOUND('',#14071,.F.); +#14074=CARTESIAN_POINT('',(8.3075262E1,8.1603952E1,2.111724784545E0)); +#14075=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14076=DIRECTION('',(0.E0,1.E0,0.E0)); +#14077=AXIS2_PLACEMENT_3D('',#14074,#14075,#14076); +#14078=PLANE('',#14077); +#14079=ORIENTED_EDGE('',*,*,#13936,.F.); +#14080=ORIENTED_EDGE('',*,*,#14069,.T.); +#14081=ORIENTED_EDGE('',*,*,#14011,.T.); +#14082=ORIENTED_EDGE('',*,*,#14042,.F.); +#14083=EDGE_LOOP('',(#14079,#14080,#14081,#14082)); +#14084=FACE_OUTER_BOUND('',#14083,.F.); +#14086=CARTESIAN_POINT('',(0.E0,0.E0,1.111724784545E0)); +#14087=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14088=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14089=AXIS2_PLACEMENT_3D('',#14086,#14087,#14088); +#14090=PLANE('',#14089); +#14091=ORIENTED_EDGE('',*,*,#13964,.F.); +#14093=ORIENTED_EDGE('',*,*,#14092,.F.); +#14094=ORIENTED_EDGE('',*,*,#13908,.F.); +#14095=ORIENTED_EDGE('',*,*,#13995,.F.); +#14096=EDGE_LOOP('',(#14091,#14093,#14094,#14095)); +#14097=FACE_OUTER_BOUND('',#14096,.F.); +#14099=CARTESIAN_POINT('',(8.348498713377E1,8.79502E1,3.111724784545E0)); +#14100=DIRECTION('',(0.E0,1.E0,0.E0)); +#14101=DIRECTION('',(1.E0,0.E0,0.E0)); +#14102=AXIS2_PLACEMENT_3D('',#14099,#14100,#14101); +#14103=PLANE('',#14102); +#14104=ORIENTED_EDGE('',*,*,#13120,.F.); +#14105=ORIENTED_EDGE('',*,*,#13910,.T.); +#14106=ORIENTED_EDGE('',*,*,#14092,.T.); +#14107=ORIENTED_EDGE('',*,*,#13962,.F.); +#14108=EDGE_LOOP('',(#14104,#14105,#14106,#14107)); +#14109=FACE_OUTER_BOUND('',#14108,.F.); +#14111=CARTESIAN_POINT('',(1.02826683E2,8.4002435E1,3.111724784545E0)); +#14112=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14113=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14114=AXIS2_PLACEMENT_3D('',#14111,#14112,#14113); +#14115=PLANE('',#14114); +#14116=ORIENTED_EDGE('',*,*,#13128,.F.); +#14118=ORIENTED_EDGE('',*,*,#14117,.T.); +#14120=ORIENTED_EDGE('',*,*,#14119,.T.); +#14121=ORIENTED_EDGE('',*,*,#13955,.F.); +#14122=EDGE_LOOP('',(#14116,#14118,#14120,#14121)); +#14123=FACE_OUTER_BOUND('',#14122,.F.); +#14125=CARTESIAN_POINT('',(1.02826683E2,8.5505633E1,3.111724784545E0)); +#14126=DIRECTION('',(1.E0,0.E0,0.E0)); +#14127=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14128=AXIS2_PLACEMENT_3D('',#14125,#14126,#14127); +#14129=PLANE('',#14128); +#14130=ORIENTED_EDGE('',*,*,#13126,.F.); +#14132=ORIENTED_EDGE('',*,*,#14131,.T.); +#14134=ORIENTED_EDGE('',*,*,#14133,.T.); +#14135=ORIENTED_EDGE('',*,*,#14117,.F.); +#14136=EDGE_LOOP('',(#14130,#14132,#14134,#14135)); +#14137=FACE_OUTER_BOUND('',#14136,.F.); +#14139=CARTESIAN_POINT('',(1.019849871338E2,8.5505633E1,3.111724784545E0)); +#14140=DIRECTION('',(0.E0,1.E0,0.E0)); +#14141=DIRECTION('',(1.E0,0.E0,0.E0)); +#14142=AXIS2_PLACEMENT_3D('',#14139,#14140,#14141); +#14143=PLANE('',#14142); +#14144=ORIENTED_EDGE('',*,*,#13124,.F.); +#14145=ORIENTED_EDGE('',*,*,#13959,.T.); +#14147=ORIENTED_EDGE('',*,*,#14146,.T.); +#14148=ORIENTED_EDGE('',*,*,#14131,.F.); +#14149=EDGE_LOOP('',(#14144,#14145,#14147,#14148)); +#14150=FACE_OUTER_BOUND('',#14149,.F.); +#14152=CARTESIAN_POINT('',(0.E0,0.E0,2.611724784545E0)); +#14153=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14154=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14155=AXIS2_PLACEMENT_3D('',#14152,#14153,#14154); +#14156=PLANE('',#14155); +#14157=ORIENTED_EDGE('',*,*,#13957,.F.); +#14158=ORIENTED_EDGE('',*,*,#14119,.F.); +#14159=ORIENTED_EDGE('',*,*,#14133,.F.); +#14160=ORIENTED_EDGE('',*,*,#14146,.F.); +#14161=EDGE_LOOP('',(#14157,#14158,#14159,#14160)); +#14162=FACE_OUTER_BOUND('',#14161,.F.); +#14164=CARTESIAN_POINT('',(0.E0,0.E0,2.611724784545E0)); +#14165=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14166=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14167=AXIS2_PLACEMENT_3D('',#14164,#14165,#14166); +#14168=PLANE('',#14167); +#14169=ORIENTED_EDGE('',*,*,#13914,.T.); +#14170=ORIENTED_EDGE('',*,*,#13860,.F.); +#14171=ORIENTED_EDGE('',*,*,#13875,.F.); +#14172=ORIENTED_EDGE('',*,*,#13889,.F.); +#14173=EDGE_LOOP('',(#14169,#14170,#14171,#14172)); +#14174=FACE_OUTER_BOUND('',#14173,.F.); +#14176=CARTESIAN_POINT('',(8.8995791E1,5.5944999E1,3.111724784545E0)); +#14177=DIRECTION('',(0.E0,1.E0,0.E0)); +#14178=DIRECTION('',(1.E0,0.E0,0.E0)); +#14179=AXIS2_PLACEMENT_3D('',#14176,#14177,#14178); +#14180=PLANE('',#14179); +#14181=ORIENTED_EDGE('',*,*,#12933,.F.); +#14183=ORIENTED_EDGE('',*,*,#14182,.T.); +#14185=ORIENTED_EDGE('',*,*,#14184,.T.); +#14187=ORIENTED_EDGE('',*,*,#14186,.F.); +#14188=EDGE_LOOP('',(#14181,#14183,#14185,#14187)); +#14189=FACE_OUTER_BOUND('',#14188,.F.); +#14191=CARTESIAN_POINT('',(8.8995791E1,5.5067992E1,3.111724784545E0)); +#14192=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14193=DIRECTION('',(0.E0,1.E0,0.E0)); +#14194=AXIS2_PLACEMENT_3D('',#14191,#14192,#14193); +#14195=PLANE('',#14194); +#14196=ORIENTED_EDGE('',*,*,#12962,.F.); +#14198=ORIENTED_EDGE('',*,*,#14197,.T.); +#14200=ORIENTED_EDGE('',*,*,#14199,.T.); +#14202=ORIENTED_EDGE('',*,*,#14201,.T.); +#14203=ORIENTED_EDGE('',*,*,#14182,.F.); +#14204=EDGE_LOOP('',(#14196,#14198,#14200,#14202,#14203)); +#14205=FACE_OUTER_BOUND('',#14204,.F.); +#14207=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.111724784545E0)); +#14208=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14209=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14210=AXIS2_PLACEMENT_3D('',#14207,#14208,#14209); +#14211=PLANE('',#14210); +#14212=ORIENTED_EDGE('',*,*,#12937,.F.); +#14214=ORIENTED_EDGE('',*,*,#14213,.T.); +#14216=ORIENTED_EDGE('',*,*,#14215,.F.); +#14217=EDGE_LOOP('',(#14212,#14214,#14216)); +#14218=FACE_OUTER_BOUND('',#14217,.F.); +#14220=CARTESIAN_POINT('',(9.6198992E1,5.5067992E1,3.111724784545E0)); +#14221=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14222=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14223=AXIS2_PLACEMENT_3D('',#14220,#14221,#14222); +#14224=PLANE('',#14223); +#14225=ORIENTED_EDGE('',*,*,#12960,.F.); +#14227=ORIENTED_EDGE('',*,*,#14226,.T.); +#14228=ORIENTED_EDGE('',*,*,#14197,.F.); +#14229=EDGE_LOOP('',(#14225,#14227,#14228)); +#14230=FACE_OUTER_BOUND('',#14229,.F.); +#14232=CARTESIAN_POINT('',(9.6198992E1,5.5944999E1,3.111724784545E0)); +#14233=DIRECTION('',(1.E0,0.E0,0.E0)); +#14234=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14235=AXIS2_PLACEMENT_3D('',#14232,#14233,#14234); +#14236=PLANE('',#14235); +#14237=ORIENTED_EDGE('',*,*,#12935,.F.); +#14238=ORIENTED_EDGE('',*,*,#14186,.T.); +#14240=ORIENTED_EDGE('',*,*,#14239,.T.); +#14242=ORIENTED_EDGE('',*,*,#14241,.F.); +#14243=ORIENTED_EDGE('',*,*,#14213,.F.); +#14244=EDGE_LOOP('',(#14237,#14238,#14240,#14242,#14243)); +#14245=FACE_OUTER_BOUND('',#14244,.F.); +#14247=CARTESIAN_POINT('',(0.E0,0.E0,2.811724784545E0)); +#14248=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14249=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14250=AXIS2_PLACEMENT_3D('',#14247,#14248,#14249); +#14251=PLANE('',#14250); +#14253=ORIENTED_EDGE('',*,*,#14252,.F.); +#14254=ORIENTED_EDGE('',*,*,#14239,.F.); +#14255=ORIENTED_EDGE('',*,*,#14184,.F.); +#14256=ORIENTED_EDGE('',*,*,#14201,.F.); +#14257=EDGE_LOOP('',(#14253,#14254,#14255,#14256)); +#14258=FACE_OUTER_BOUND('',#14257,.F.); +#14260=CARTESIAN_POINT('',(8.8122392E1,5.5067992E1,3.111724784545E0)); +#14261=DIRECTION('',(0.E0,1.E0,0.E0)); +#14262=DIRECTION('',(1.E0,0.E0,0.E0)); +#14263=AXIS2_PLACEMENT_3D('',#14260,#14261,#14262); +#14264=PLANE('',#14263); +#14265=ORIENTED_EDGE('',*,*,#14252,.T.); +#14266=ORIENTED_EDGE('',*,*,#14199,.F.); +#14268=ORIENTED_EDGE('',*,*,#14267,.F.); +#14270=ORIENTED_EDGE('',*,*,#14269,.T.); +#14272=ORIENTED_EDGE('',*,*,#14271,.F.); +#14274=ORIENTED_EDGE('',*,*,#14273,.T.); +#14276=ORIENTED_EDGE('',*,*,#14275,.F.); +#14278=ORIENTED_EDGE('',*,*,#14277,.F.); +#14280=ORIENTED_EDGE('',*,*,#14279,.F.); +#14281=ORIENTED_EDGE('',*,*,#14241,.T.); +#14282=EDGE_LOOP('',(#14265,#14266,#14268,#14270,#14272,#14274,#14276,#14278, +#14280,#14281)); +#14283=FACE_OUTER_BOUND('',#14282,.F.); +#14285=CARTESIAN_POINT('',(8.9422392E1,7.942611794679E1,1.811724784545E0)); +#14286=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14287=DIRECTION('',(0.E0,0.E0,1.E0)); +#14288=AXIS2_PLACEMENT_3D('',#14285,#14286,#14287); +#14289=CYLINDRICAL_SURFACE('',#14288,1.3E0); +#14290=ORIENTED_EDGE('',*,*,#14226,.F.); +#14291=ORIENTED_EDGE('',*,*,#12958,.T.); +#14293=ORIENTED_EDGE('',*,*,#14292,.T.); +#14295=ORIENTED_EDGE('',*,*,#14294,.F.); +#14297=ORIENTED_EDGE('',*,*,#14296,.F.); +#14298=ORIENTED_EDGE('',*,*,#14267,.T.); +#14299=EDGE_LOOP('',(#14290,#14291,#14293,#14295,#14297,#14298)); +#14300=FACE_OUTER_BOUND('',#14299,.F.); +#14302=CARTESIAN_POINT('',(0.E0,0.E0,3.111724784545E0)); +#14303=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14304=DIRECTION('',(1.E0,0.E0,0.E0)); +#14305=AXIS2_PLACEMENT_3D('',#14302,#14303,#14304); +#14306=PLANE('',#14305); +#14308=ORIENTED_EDGE('',*,*,#14307,.T.); +#14310=ORIENTED_EDGE('',*,*,#14309,.F.); +#14312=ORIENTED_EDGE('',*,*,#14311,.F.); +#14313=ORIENTED_EDGE('',*,*,#14292,.F.); +#14314=EDGE_LOOP('',(#14308,#14310,#14312,#14313)); +#14315=FACE_OUTER_BOUND('',#14314,.F.); +#14317=CARTESIAN_POINT('',(8.000664145115E1,4.8767992E1,4.311724784545E0)); +#14318=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14319=DIRECTION('',(1.E0,0.E0,0.E0)); +#14320=AXIS2_PLACEMENT_3D('',#14317,#14318,#14319); +#14321=PLANE('',#14320); +#14322=ORIENTED_EDGE('',*,*,#14307,.F.); +#14323=ORIENTED_EDGE('',*,*,#12956,.F.); +#14325=ORIENTED_EDGE('',*,*,#14324,.F.); +#14326=ORIENTED_EDGE('',*,*,#11536,.T.); +#14328=ORIENTED_EDGE('',*,*,#14327,.T.); +#14329=ORIENTED_EDGE('',*,*,#12941,.F.); +#14330=EDGE_LOOP('',(#14322,#14323,#14325,#14326,#14328,#14329)); +#14331=FACE_OUTER_BOUND('',#14330,.F.); +#14333=FILL_AREA_STYLE_COLOUR('',#5); +#14334=FILL_AREA_STYLE('',(#14333)); +#14335=SURFACE_STYLE_FILL_AREA(#14334); +#14336=SURFACE_SIDE_STYLE('',(#14335)); +#14337=SURFACE_STYLE_USAGE(.POSITIVE.,#14336); +#14338=PRESENTATION_STYLE_ASSIGNMENT((#14337)); +#14339=STYLED_ITEM('',(#14338),#14332); +#14340=CARTESIAN_POINT('',(8.100624038897E1,4.9767992E1,5.876044784545E0)); +#14341=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14342=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14343=AXIS2_PLACEMENT_3D('',#14340,#14341,#14342); +#14344=CYLINDRICAL_SURFACE('',#14343,1.E0); +#14345=ORIENTED_EDGE('',*,*,#12954,.T.); +#14347=ORIENTED_EDGE('',*,*,#14346,.F.); +#14348=ORIENTED_EDGE('',*,*,#11538,.T.); +#14349=ORIENTED_EDGE('',*,*,#14324,.T.); +#14350=EDGE_LOOP('',(#14345,#14347,#14348,#14349)); +#14351=FACE_OUTER_BOUND('',#14350,.F.); +#14353=FILL_AREA_STYLE_COLOUR('',#5); +#14354=FILL_AREA_STYLE('',(#14353)); +#14355=SURFACE_STYLE_FILL_AREA(#14354); +#14356=SURFACE_SIDE_STYLE('',(#14355)); +#14357=SURFACE_STYLE_USAGE(.POSITIVE.,#14356); +#14358=PRESENTATION_STYLE_ASSIGNMENT((#14357)); +#14359=STYLED_ITEM('',(#14358),#14352); +#14360=CARTESIAN_POINT('',(7.998225911592E1,1.095502E2,4.311724784545E0)); +#14361=DIRECTION('',(-9.999999195423E-1,-4.011426052263E-4,0.E0)); +#14362=DIRECTION('',(4.011426052263E-4,-9.999999195423E-1,0.E0)); +#14363=AXIS2_PLACEMENT_3D('',#14360,#14361,#14362); +#14364=PLANE('',#14363); +#14365=ORIENTED_EDGE('',*,*,#12916,.F.); +#14366=ORIENTED_EDGE('',*,*,#11540,.T.); +#14367=ORIENTED_EDGE('',*,*,#14346,.T.); +#14368=ORIENTED_EDGE('',*,*,#12952,.F.); +#14369=EDGE_LOOP('',(#14365,#14366,#14367,#14368)); +#14370=FACE_OUTER_BOUND('',#14369,.F.); +#14372=FILL_AREA_STYLE_COLOUR('',#5); +#14373=FILL_AREA_STYLE('',(#14372)); +#14374=SURFACE_STYLE_FILL_AREA(#14373); +#14375=SURFACE_SIDE_STYLE('',(#14374)); +#14376=SURFACE_STYLE_USAGE(.POSITIVE.,#14375); +#14377=PRESENTATION_STYLE_ASSIGNMENT((#14376)); +#14378=STYLED_ITEM('',(#14377),#14371); +#14379=CARTESIAN_POINT('',(1.044751390308E2,4.9767992E1,5.876044784545E0)); +#14380=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14381=DIRECTION('',(9.999999765744E-1,-2.164513699654E-4,0.E0)); +#14382=AXIS2_PLACEMENT_3D('',#14379,#14380,#14381); +#14383=CYLINDRICAL_SURFACE('',#14382,1.E0); +#14384=ORIENTED_EDGE('',*,*,#12943,.T.); +#14385=ORIENTED_EDGE('',*,*,#14327,.F.); +#14386=ORIENTED_EDGE('',*,*,#11534,.T.); +#14388=ORIENTED_EDGE('',*,*,#14387,.T.); +#14389=EDGE_LOOP('',(#14384,#14385,#14386,#14388)); +#14390=FACE_OUTER_BOUND('',#14389,.F.); +#14392=FILL_AREA_STYLE_COLOUR('',#5); +#14393=FILL_AREA_STYLE('',(#14392)); +#14394=SURFACE_STYLE_FILL_AREA(#14393); +#14395=SURFACE_SIDE_STYLE('',(#14394)); +#14396=SURFACE_STYLE_USAGE(.POSITIVE.,#14395); +#14397=PRESENTATION_STYLE_ASSIGNMENT((#14396)); +#14398=STYLED_ITEM('',(#14397),#14391); +#14399=CARTESIAN_POINT('',(1.054749226029E2,4.8767992E1,4.311724784545E0)); +#14400=DIRECTION('',(9.999999765744E-1,-2.164513699646E-4,0.E0)); +#14401=DIRECTION('',(2.164513699646E-4,9.999999765744E-1,0.E0)); +#14402=AXIS2_PLACEMENT_3D('',#14399,#14400,#14401); +#14403=PLANE('',#14402); +#14405=ORIENTED_EDGE('',*,*,#14404,.T.); +#14406=ORIENTED_EDGE('',*,*,#12945,.F.); +#14407=ORIENTED_EDGE('',*,*,#14387,.F.); +#14408=ORIENTED_EDGE('',*,*,#11532,.T.); +#14409=EDGE_LOOP('',(#14405,#14406,#14407,#14408)); +#14410=FACE_OUTER_BOUND('',#14409,.F.); +#14412=FILL_AREA_STYLE_COLOUR('',#5); +#14413=FILL_AREA_STYLE('',(#14412)); +#14414=SURFACE_STYLE_FILL_AREA(#14413); +#14415=SURFACE_SIDE_STYLE('',(#14414)); +#14416=SURFACE_STYLE_USAGE(.POSITIVE.,#14415); +#14417=PRESENTATION_STYLE_ASSIGNMENT((#14416)); +#14418=STYLED_ITEM('',(#14417),#14411); +#14419=CARTESIAN_POINT('',(1.044878625206E2,1.085502E2,5.876044784545E0)); +#14420=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14421=DIRECTION('',(0.E0,1.E0,0.E0)); +#14422=AXIS2_PLACEMENT_3D('',#14419,#14420,#14421); +#14423=CYLINDRICAL_SURFACE('',#14422,1.E0); +#14424=ORIENTED_EDGE('',*,*,#12947,.T.); +#14425=ORIENTED_EDGE('',*,*,#14404,.F.); +#14426=ORIENTED_EDGE('',*,*,#11530,.T.); +#14428=ORIENTED_EDGE('',*,*,#14427,.T.); +#14429=EDGE_LOOP('',(#14424,#14425,#14426,#14428)); +#14430=FACE_OUTER_BOUND('',#14429,.F.); +#14432=FILL_AREA_STYLE_COLOUR('',#5); +#14433=FILL_AREA_STYLE('',(#14432)); +#14434=SURFACE_STYLE_FILL_AREA(#14433); +#14435=SURFACE_SIDE_STYLE('',(#14434)); +#14436=SURFACE_STYLE_USAGE(.POSITIVE.,#14435); +#14437=PRESENTATION_STYLE_ASSIGNMENT((#14436)); +#14438=STYLED_ITEM('',(#14437),#14431); +#14439=CARTESIAN_POINT('',(1.054880789954E2,1.095502E2,4.311724784545E0)); +#14440=DIRECTION('',(0.E0,1.E0,0.E0)); +#14441=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14442=AXIS2_PLACEMENT_3D('',#14439,#14440,#14441); +#14443=PLANE('',#14442); +#14444=ORIENTED_EDGE('',*,*,#12913,.T.); +#14445=ORIENTED_EDGE('',*,*,#12949,.F.); +#14446=ORIENTED_EDGE('',*,*,#14427,.F.); +#14447=ORIENTED_EDGE('',*,*,#11528,.T.); +#14448=EDGE_LOOP('',(#14444,#14445,#14446,#14447)); +#14449=FACE_OUTER_BOUND('',#14448,.F.); +#14451=FILL_AREA_STYLE_COLOUR('',#5); +#14452=FILL_AREA_STYLE('',(#14451)); +#14453=SURFACE_STYLE_FILL_AREA(#14452); +#14454=SURFACE_SIDE_STYLE('',(#14453)); +#14455=SURFACE_STYLE_USAGE(.POSITIVE.,#14454); +#14456=PRESENTATION_STYLE_ASSIGNMENT((#14455)); +#14457=STYLED_ITEM('',(#14456),#14450); +#14458=CARTESIAN_POINT('',(9.5772392E1,2.318414205181E1,1.811724784545E0)); +#14459=DIRECTION('',(0.E0,1.E0,0.E0)); +#14460=DIRECTION('',(0.E0,0.E0,1.E0)); +#14461=AXIS2_PLACEMENT_3D('',#14458,#14459,#14460); +#14462=CYLINDRICAL_SURFACE('',#14461,1.3E0); +#14463=ORIENTED_EDGE('',*,*,#14215,.T.); +#14464=ORIENTED_EDGE('',*,*,#14279,.T.); +#14466=ORIENTED_EDGE('',*,*,#14465,.F.); +#14468=ORIENTED_EDGE('',*,*,#14467,.F.); +#14469=ORIENTED_EDGE('',*,*,#14309,.T.); +#14470=ORIENTED_EDGE('',*,*,#12939,.T.); +#14471=EDGE_LOOP('',(#14463,#14464,#14466,#14468,#14469,#14470)); +#14472=FACE_OUTER_BOUND('',#14471,.F.); +#14474=CARTESIAN_POINT('',(9.7072392E1,5.5067992E1,3.111724784545E0)); +#14475=DIRECTION('',(1.E0,0.E0,0.E0)); +#14476=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14477=AXIS2_PLACEMENT_3D('',#14474,#14475,#14476); +#14478=PLANE('',#14477); +#14480=ORIENTED_EDGE('',*,*,#14479,.F.); +#14481=ORIENTED_EDGE('',*,*,#14465,.T.); +#14482=ORIENTED_EDGE('',*,*,#14277,.T.); +#14484=ORIENTED_EDGE('',*,*,#14483,.T.); +#14485=EDGE_LOOP('',(#14480,#14481,#14482,#14484)); +#14486=FACE_OUTER_BOUND('',#14485,.F.); +#14488=CARTESIAN_POINT('',(9.2597392E1,4.7567992E1,2.961724784545E0)); +#14489=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14490=DIRECTION('',(1.E0,0.E0,0.E0)); +#14491=AXIS2_PLACEMENT_3D('',#14488,#14489,#14490); +#14492=PLANE('',#14491); +#14494=ORIENTED_EDGE('',*,*,#14493,.T.); +#14496=ORIENTED_EDGE('',*,*,#14495,.T.); +#14497=ORIENTED_EDGE('',*,*,#14294,.T.); +#14498=ORIENTED_EDGE('',*,*,#14311,.T.); +#14499=ORIENTED_EDGE('',*,*,#14467,.T.); +#14500=ORIENTED_EDGE('',*,*,#14479,.T.); +#14502=ORIENTED_EDGE('',*,*,#14501,.T.); +#14504=ORIENTED_EDGE('',*,*,#14503,.T.); +#14505=EDGE_LOOP('',(#14494,#14496,#14497,#14498,#14499,#14500,#14502,#14504)); +#14506=FACE_OUTER_BOUND('',#14505,.F.); +#14508=ORIENTED_EDGE('',*,*,#14507,.F.); +#14510=ORIENTED_EDGE('',*,*,#14509,.F.); +#14512=ORIENTED_EDGE('',*,*,#14511,.F.); +#14514=ORIENTED_EDGE('',*,*,#14513,.F.); +#14516=ORIENTED_EDGE('',*,*,#14515,.F.); +#14518=ORIENTED_EDGE('',*,*,#14517,.F.); +#14520=ORIENTED_EDGE('',*,*,#14519,.F.); +#14522=ORIENTED_EDGE('',*,*,#14521,.F.); +#14523=EDGE_LOOP('',(#14508,#14510,#14512,#14514,#14516,#14518,#14520,#14522)); +#14524=FACE_BOUND('',#14523,.F.); +#14526=CARTESIAN_POINT('',(8.9422392E1,2.321948612108E1,1.251724784545E0)); +#14527=DIRECTION('',(0.E0,1.E0,0.E0)); +#14528=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14529=AXIS2_PLACEMENT_3D('',#14526,#14527,#14528); +#14530=CYLINDRICAL_SURFACE('',#14529,1.3E0); +#14531=ORIENTED_EDGE('',*,*,#14493,.F.); +#14533=ORIENTED_EDGE('',*,*,#14532,.T.); +#14534=ORIENTED_EDGE('',*,*,#14271,.T.); +#14536=ORIENTED_EDGE('',*,*,#14535,.F.); +#14537=EDGE_LOOP('',(#14531,#14533,#14534,#14536)); +#14538=FACE_OUTER_BOUND('',#14537,.F.); +#14540=CARTESIAN_POINT('',(0.E0,0.E0,-4.827521545509E-2)); +#14541=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14542=DIRECTION('',(1.E0,0.E0,0.E0)); +#14543=AXIS2_PLACEMENT_3D('',#14540,#14541,#14542); +#14544=PLANE('',#14543); +#14545=ORIENTED_EDGE('',*,*,#14503,.F.); +#14547=ORIENTED_EDGE('',*,*,#14546,.F.); +#14548=ORIENTED_EDGE('',*,*,#14273,.F.); +#14549=ORIENTED_EDGE('',*,*,#14532,.F.); +#14550=EDGE_LOOP('',(#14545,#14547,#14548,#14549)); +#14551=FACE_OUTER_BOUND('',#14550,.F.); +#14553=CARTESIAN_POINT('',(9.5772392E1,7.941649787892E1,1.251724784545E0)); +#14554=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14555=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14556=AXIS2_PLACEMENT_3D('',#14553,#14554,#14555); +#14557=CYLINDRICAL_SURFACE('',#14556,1.3E0); +#14558=ORIENTED_EDGE('',*,*,#14501,.F.); +#14559=ORIENTED_EDGE('',*,*,#14483,.F.); +#14560=ORIENTED_EDGE('',*,*,#14275,.T.); +#14561=ORIENTED_EDGE('',*,*,#14546,.T.); +#14562=EDGE_LOOP('',(#14558,#14559,#14560,#14561)); +#14563=FACE_OUTER_BOUND('',#14562,.F.); +#14565=CARTESIAN_POINT('',(8.8122392E1,4.7567992E1,3.111724784545E0)); +#14566=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14567=DIRECTION('',(0.E0,1.E0,0.E0)); +#14568=AXIS2_PLACEMENT_3D('',#14565,#14566,#14567); +#14569=PLANE('',#14568); +#14570=ORIENTED_EDGE('',*,*,#14495,.F.); +#14571=ORIENTED_EDGE('',*,*,#14535,.T.); +#14572=ORIENTED_EDGE('',*,*,#14269,.F.); +#14573=ORIENTED_EDGE('',*,*,#14296,.T.); +#14574=EDGE_LOOP('',(#14570,#14571,#14572,#14573)); +#14575=FACE_OUTER_BOUND('',#14574,.F.); +#14577=CARTESIAN_POINT('',(8.9422392E1,7.942611794679E1,1.811724784545E0)); +#14578=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14579=DIRECTION('',(0.E0,0.E0,1.E0)); +#14580=AXIS2_PLACEMENT_3D('',#14577,#14578,#14579); +#14581=CYLINDRICAL_SURFACE('',#14580,1.E0); +#14582=ORIENTED_EDGE('',*,*,#14507,.T.); +#14584=ORIENTED_EDGE('',*,*,#14583,.F.); +#14586=ORIENTED_EDGE('',*,*,#14585,.F.); +#14588=ORIENTED_EDGE('',*,*,#14587,.T.); +#14589=EDGE_LOOP('',(#14582,#14584,#14586,#14588)); +#14590=FACE_OUTER_BOUND('',#14589,.F.); +#14592=CARTESIAN_POINT('',(0.E0,0.E0,2.811724784545E0)); +#14593=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14594=DIRECTION('',(1.E0,0.E0,0.E0)); +#14595=AXIS2_PLACEMENT_3D('',#14592,#14593,#14594); +#14596=PLANE('',#14595); +#14597=ORIENTED_EDGE('',*,*,#14521,.T.); +#14599=ORIENTED_EDGE('',*,*,#14598,.T.); +#14601=ORIENTED_EDGE('',*,*,#14600,.F.); +#14602=ORIENTED_EDGE('',*,*,#14583,.T.); +#14603=EDGE_LOOP('',(#14597,#14599,#14601,#14602)); +#14604=FACE_OUTER_BOUND('',#14603,.F.); +#14606=CARTESIAN_POINT('',(9.5772392E1,2.318414205181E1,1.811724784545E0)); +#14607=DIRECTION('',(0.E0,1.E0,0.E0)); +#14608=DIRECTION('',(0.E0,0.E0,1.E0)); +#14609=AXIS2_PLACEMENT_3D('',#14606,#14607,#14608); +#14610=CYLINDRICAL_SURFACE('',#14609,1.E0); +#14611=ORIENTED_EDGE('',*,*,#14519,.T.); +#14613=ORIENTED_EDGE('',*,*,#14612,.T.); +#14615=ORIENTED_EDGE('',*,*,#14614,.F.); +#14616=ORIENTED_EDGE('',*,*,#14598,.F.); +#14617=EDGE_LOOP('',(#14611,#14613,#14615,#14616)); +#14618=FACE_OUTER_BOUND('',#14617,.F.); +#14620=CARTESIAN_POINT('',(9.6772392E1,5.5067992E1,3.111724784545E0)); +#14621=DIRECTION('',(1.E0,0.E0,0.E0)); +#14622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14623=AXIS2_PLACEMENT_3D('',#14620,#14621,#14622); +#14624=PLANE('',#14623); +#14625=ORIENTED_EDGE('',*,*,#14517,.T.); +#14627=ORIENTED_EDGE('',*,*,#14626,.F.); +#14629=ORIENTED_EDGE('',*,*,#14628,.F.); +#14630=ORIENTED_EDGE('',*,*,#14612,.F.); +#14631=EDGE_LOOP('',(#14625,#14627,#14629,#14630)); +#14632=FACE_OUTER_BOUND('',#14631,.F.); +#14634=CARTESIAN_POINT('',(9.5772392E1,7.941649787892E1,1.251724784545E0)); +#14635=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14636=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14637=AXIS2_PLACEMENT_3D('',#14634,#14635,#14636); +#14638=CYLINDRICAL_SURFACE('',#14637,1.E0); +#14639=ORIENTED_EDGE('',*,*,#14515,.T.); +#14641=ORIENTED_EDGE('',*,*,#14640,.F.); +#14643=ORIENTED_EDGE('',*,*,#14642,.F.); +#14644=ORIENTED_EDGE('',*,*,#14626,.T.); +#14645=EDGE_LOOP('',(#14639,#14641,#14643,#14644)); +#14646=FACE_OUTER_BOUND('',#14645,.F.); +#14648=CARTESIAN_POINT('',(0.E0,0.E0,2.517247845449E-1)); +#14649=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14650=DIRECTION('',(1.E0,0.E0,0.E0)); +#14651=AXIS2_PLACEMENT_3D('',#14648,#14649,#14650); +#14652=PLANE('',#14651); +#14653=ORIENTED_EDGE('',*,*,#14513,.T.); +#14655=ORIENTED_EDGE('',*,*,#14654,.T.); +#14657=ORIENTED_EDGE('',*,*,#14656,.T.); +#14658=ORIENTED_EDGE('',*,*,#14640,.T.); +#14659=EDGE_LOOP('',(#14653,#14655,#14657,#14658)); +#14660=FACE_OUTER_BOUND('',#14659,.F.); +#14662=CARTESIAN_POINT('',(8.9422392E1,2.321948612108E1,1.251724784545E0)); +#14663=DIRECTION('',(0.E0,1.E0,0.E0)); +#14664=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14665=AXIS2_PLACEMENT_3D('',#14662,#14663,#14664); +#14666=CYLINDRICAL_SURFACE('',#14665,1.E0); +#14667=ORIENTED_EDGE('',*,*,#14511,.T.); +#14669=ORIENTED_EDGE('',*,*,#14668,.T.); +#14671=ORIENTED_EDGE('',*,*,#14670,.F.); +#14672=ORIENTED_EDGE('',*,*,#14654,.F.); +#14673=EDGE_LOOP('',(#14667,#14669,#14671,#14672)); +#14674=FACE_OUTER_BOUND('',#14673,.F.); +#14676=CARTESIAN_POINT('',(8.8422392E1,4.7567992E1,3.111724784545E0)); +#14677=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14678=DIRECTION('',(0.E0,1.E0,0.E0)); +#14679=AXIS2_PLACEMENT_3D('',#14676,#14677,#14678); +#14680=PLANE('',#14679); +#14681=ORIENTED_EDGE('',*,*,#14509,.T.); +#14682=ORIENTED_EDGE('',*,*,#14587,.F.); +#14684=ORIENTED_EDGE('',*,*,#14683,.T.); +#14685=ORIENTED_EDGE('',*,*,#14668,.F.); +#14686=EDGE_LOOP('',(#14681,#14682,#14684,#14685)); +#14687=FACE_OUTER_BOUND('',#14686,.F.); +#14689=CARTESIAN_POINT('',(8.8122392E1,5.4767992E1,3.111724784545E0)); +#14690=DIRECTION('',(0.E0,1.E0,0.E0)); +#14691=DIRECTION('',(1.E0,0.E0,0.E0)); +#14692=AXIS2_PLACEMENT_3D('',#14689,#14690,#14691); +#14693=PLANE('',#14692); +#14694=ORIENTED_EDGE('',*,*,#14642,.T.); +#14695=ORIENTED_EDGE('',*,*,#14656,.F.); +#14696=ORIENTED_EDGE('',*,*,#14670,.T.); +#14697=ORIENTED_EDGE('',*,*,#14683,.F.); +#14698=ORIENTED_EDGE('',*,*,#14585,.T.); +#14699=ORIENTED_EDGE('',*,*,#14600,.T.); +#14700=ORIENTED_EDGE('',*,*,#14614,.T.); +#14701=ORIENTED_EDGE('',*,*,#14628,.T.); +#14702=EDGE_LOOP('',(#14694,#14695,#14696,#14697,#14698,#14699,#14700,#14701)); +#14703=FACE_OUTER_BOUND('',#14702,.F.); +#14705=CARTESIAN_POINT('',(8.13467915E1,4.94122005E1,3.111724784545E0)); +#14706=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14707=DIRECTION('',(0.E0,1.E0,0.E0)); +#14708=AXIS2_PLACEMENT_3D('',#14705,#14706,#14707); +#14709=PLANE('',#14708); +#14711=ORIENTED_EDGE('',*,*,#14710,.T.); +#14713=ORIENTED_EDGE('',*,*,#14712,.F.); +#14714=ORIENTED_EDGE('',*,*,#13148,.F.); +#14716=ORIENTED_EDGE('',*,*,#14715,.T.); +#14717=EDGE_LOOP('',(#14711,#14713,#14714,#14716)); +#14718=FACE_OUTER_BOUND('',#14717,.F.); +#14720=CARTESIAN_POINT('',(0.E0,0.E0,1.611724784545E0)); +#14721=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14722=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14723=AXIS2_PLACEMENT_3D('',#14720,#14721,#14722); +#14724=PLANE('',#14723); +#14725=ORIENTED_EDGE('',*,*,#14710,.F.); +#14727=ORIENTED_EDGE('',*,*,#14726,.F.); +#14729=ORIENTED_EDGE('',*,*,#14728,.F.); +#14731=ORIENTED_EDGE('',*,*,#14730,.F.); +#14732=EDGE_LOOP('',(#14725,#14727,#14729,#14731)); +#14733=FACE_OUTER_BOUND('',#14732,.F.); +#14735=ORIENTED_EDGE('',*,*,#14734,.F.); +#14737=ORIENTED_EDGE('',*,*,#14736,.F.); +#14739=ORIENTED_EDGE('',*,*,#14738,.F.); +#14741=ORIENTED_EDGE('',*,*,#14740,.F.); +#14742=EDGE_LOOP('',(#14735,#14737,#14739,#14741)); +#14743=FACE_BOUND('',#14742,.F.); +#14745=CARTESIAN_POINT('',(0.E0,0.E0,1.611724784545E0)); +#14746=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14747=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14748=AXIS2_PLACEMENT_3D('',#14745,#14746,#14747); +#14749=PLANE('',#14748); +#14751=ORIENTED_EDGE('',*,*,#14750,.F.); +#14753=ORIENTED_EDGE('',*,*,#14752,.F.); +#14755=ORIENTED_EDGE('',*,*,#14754,.F.); +#14757=ORIENTED_EDGE('',*,*,#14756,.F.); +#14758=EDGE_LOOP('',(#14751,#14753,#14755,#14757)); +#14759=FACE_OUTER_BOUND('',#14758,.F.); +#14761=ORIENTED_EDGE('',*,*,#14760,.F.); +#14763=ORIENTED_EDGE('',*,*,#14762,.F.); +#14765=ORIENTED_EDGE('',*,*,#14764,.F.); +#14767=ORIENTED_EDGE('',*,*,#14766,.F.); +#14768=EDGE_LOOP('',(#14761,#14763,#14765,#14767)); +#14769=FACE_BOUND('',#14768,.F.); +#14771=CARTESIAN_POINT('',(8.30967915E1,5.10872005E1,6.117247845449E-1)); +#14772=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14773=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14774=AXIS2_PLACEMENT_3D('',#14771,#14772,#14773); +#14775=CYLINDRICAL_SURFACE('',#14774,1.05E0); +#14777=ORIENTED_EDGE('',*,*,#14776,.T.); +#14779=ORIENTED_EDGE('',*,*,#14778,.T.); +#14780=ORIENTED_EDGE('',*,*,#14734,.T.); +#14782=ORIENTED_EDGE('',*,*,#14781,.F.); +#14783=EDGE_LOOP('',(#14777,#14779,#14780,#14782)); +#14784=FACE_OUTER_BOUND('',#14783,.F.); +#14786=CARTESIAN_POINT('',(8.30967915E1,5.10872005E1,9.117247845449E-1)); +#14787=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14788=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); +#14789=AXIS2_PLACEMENT_3D('',#14786,#14787,#14788); +#14790=TOROIDAL_SURFACE('',#14789,7.5E-1,3.E-1); +#14792=ORIENTED_EDGE('',*,*,#14791,.T.); +#14794=ORIENTED_EDGE('',*,*,#14793,.T.); +#14795=ORIENTED_EDGE('',*,*,#14776,.F.); +#14797=ORIENTED_EDGE('',*,*,#14796,.F.); +#14798=EDGE_LOOP('',(#14792,#14794,#14795,#14797)); +#14799=FACE_OUTER_BOUND('',#14798,.F.); +#14801=CARTESIAN_POINT('',(0.E0,0.E0,6.117247845449E-1)); +#14802=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14803=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14804=AXIS2_PLACEMENT_3D('',#14801,#14802,#14803); +#14805=PLANE('',#14804); +#14807=ORIENTED_EDGE('',*,*,#14806,.F.); +#14809=ORIENTED_EDGE('',*,*,#14808,.F.); +#14811=ORIENTED_EDGE('',*,*,#14810,.F.); +#14813=ORIENTED_EDGE('',*,*,#14812,.F.); +#14814=EDGE_LOOP('',(#14807,#14809,#14811,#14813)); +#14815=FACE_OUTER_BOUND('',#14814,.F.); +#14817=CARTESIAN_POINT('',(0.E0,0.E0,6.117247845449E-1)); +#14818=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14819=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14820=AXIS2_PLACEMENT_3D('',#14817,#14818,#14819); +#14821=PLANE('',#14820); +#14823=ORIENTED_EDGE('',*,*,#14822,.F.); +#14825=ORIENTED_EDGE('',*,*,#14824,.F.); +#14827=ORIENTED_EDGE('',*,*,#14826,.F.); +#14828=ORIENTED_EDGE('',*,*,#14791,.F.); +#14829=EDGE_LOOP('',(#14823,#14825,#14827,#14828)); +#14830=FACE_OUTER_BOUND('',#14829,.F.); +#14832=CARTESIAN_POINT('',(1.020428183723E2,5.02199995E1,9.117247845449E-1)); +#14833=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14834=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14835=AXIS2_PLACEMENT_3D('',#14832,#14833,#14834); +#14836=CYLINDRICAL_SURFACE('',#14835,3.E-1); +#14837=ORIENTED_EDGE('',*,*,#14806,.T.); +#14839=ORIENTED_EDGE('',*,*,#14838,.T.); +#14841=ORIENTED_EDGE('',*,*,#14840,.F.); +#14843=ORIENTED_EDGE('',*,*,#14842,.F.); +#14844=EDGE_LOOP('',(#14837,#14839,#14841,#14843)); +#14845=FACE_OUTER_BOUND('',#14844,.F.); +#14847=CARTESIAN_POINT('',(1.01194792E2,5.09699995E1,9.117247845449E-1)); +#14848=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14849=DIRECTION('',(9.907827429997E-2,-9.950796428235E-1,0.E0)); +#14850=AXIS2_PLACEMENT_3D('',#14847,#14848,#14849); +#14851=TOROIDAL_SURFACE('',#14850,7.5E-1,3.E-1); +#14852=ORIENTED_EDGE('',*,*,#14812,.T.); +#14854=ORIENTED_EDGE('',*,*,#14853,.T.); +#14856=ORIENTED_EDGE('',*,*,#14855,.F.); +#14857=ORIENTED_EDGE('',*,*,#14838,.F.); +#14858=EDGE_LOOP('',(#14852,#14854,#14856,#14857)); +#14859=FACE_OUTER_BOUND('',#14858,.F.); +#14861=CARTESIAN_POINT('',(1.010467656277E2,5.17199995E1,9.117247845449E-1)); +#14862=DIRECTION('',(1.E0,0.E0,0.E0)); +#14863=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14864=AXIS2_PLACEMENT_3D('',#14861,#14862,#14863); +#14865=CYLINDRICAL_SURFACE('',#14864,3.E-1); +#14866=ORIENTED_EDGE('',*,*,#14810,.T.); +#14868=ORIENTED_EDGE('',*,*,#14867,.T.); +#14870=ORIENTED_EDGE('',*,*,#14869,.F.); +#14871=ORIENTED_EDGE('',*,*,#14853,.F.); +#14872=EDGE_LOOP('',(#14866,#14868,#14870,#14871)); +#14873=FACE_OUTER_BOUND('',#14872,.F.); +#14875=CARTESIAN_POINT('',(1.01894792E2,5.09699995E1,9.117247845449E-1)); +#14876=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14877=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); +#14878=AXIS2_PLACEMENT_3D('',#14875,#14876,#14877); +#14879=TOROIDAL_SURFACE('',#14878,7.5E-1,3.E-1); +#14880=ORIENTED_EDGE('',*,*,#14808,.T.); +#14881=ORIENTED_EDGE('',*,*,#14842,.T.); +#14883=ORIENTED_EDGE('',*,*,#14882,.F.); +#14884=ORIENTED_EDGE('',*,*,#14867,.F.); +#14885=EDGE_LOOP('',(#14880,#14881,#14883,#14884)); +#14886=FACE_OUTER_BOUND('',#14885,.F.); +#14888=CARTESIAN_POINT('',(1.01894792E2,5.09699995E1,6.117247845449E-1)); +#14889=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14890=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14891=AXIS2_PLACEMENT_3D('',#14888,#14889,#14890); +#14892=CYLINDRICAL_SURFACE('',#14891,1.05E0); +#14893=ORIENTED_EDGE('',*,*,#14882,.T.); +#14895=ORIENTED_EDGE('',*,*,#14894,.T.); +#14896=ORIENTED_EDGE('',*,*,#14764,.T.); +#14898=ORIENTED_EDGE('',*,*,#14897,.F.); +#14899=EDGE_LOOP('',(#14893,#14895,#14896,#14898)); +#14900=FACE_OUTER_BOUND('',#14899,.F.); +#14902=CARTESIAN_POINT('',(1.01194792E2,4.99199995E1,6.117247845449E-1)); +#14903=DIRECTION('',(0.E0,1.E0,0.E0)); +#14904=DIRECTION('',(1.E0,0.E0,0.E0)); +#14905=AXIS2_PLACEMENT_3D('',#14902,#14903,#14904); +#14906=PLANE('',#14905); +#14907=ORIENTED_EDGE('',*,*,#14840,.T.); +#14909=ORIENTED_EDGE('',*,*,#14908,.T.); +#14910=ORIENTED_EDGE('',*,*,#14766,.T.); +#14911=ORIENTED_EDGE('',*,*,#14894,.F.); +#14912=EDGE_LOOP('',(#14907,#14909,#14910,#14911)); +#14913=FACE_OUTER_BOUND('',#14912,.F.); +#14915=CARTESIAN_POINT('',(1.01194792E2,5.09699995E1,6.117247845449E-1)); +#14916=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14917=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14918=AXIS2_PLACEMENT_3D('',#14915,#14916,#14917); +#14919=CYLINDRICAL_SURFACE('',#14918,1.05E0); +#14920=ORIENTED_EDGE('',*,*,#14855,.T.); +#14922=ORIENTED_EDGE('',*,*,#14921,.T.); +#14923=ORIENTED_EDGE('',*,*,#14760,.T.); +#14924=ORIENTED_EDGE('',*,*,#14908,.F.); +#14925=EDGE_LOOP('',(#14920,#14922,#14923,#14924)); +#14926=FACE_OUTER_BOUND('',#14925,.F.); +#14928=CARTESIAN_POINT('',(1.01894792E2,5.20199995E1,6.117247845449E-1)); +#14929=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14930=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14931=AXIS2_PLACEMENT_3D('',#14928,#14929,#14930); +#14932=PLANE('',#14931); +#14933=ORIENTED_EDGE('',*,*,#14869,.T.); +#14934=ORIENTED_EDGE('',*,*,#14897,.T.); +#14935=ORIENTED_EDGE('',*,*,#14762,.T.); +#14936=ORIENTED_EDGE('',*,*,#14921,.F.); +#14937=EDGE_LOOP('',(#14933,#14934,#14935,#14936)); +#14938=FACE_OUTER_BOUND('',#14937,.F.); +#14940=CARTESIAN_POINT('',(8.394481787227E1,5.03372005E1,9.117247845449E-1)); +#14941=DIRECTION('',(-1.E0,0.E0,0.E0)); +#14942=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14943=AXIS2_PLACEMENT_3D('',#14940,#14941,#14942); +#14944=CYLINDRICAL_SURFACE('',#14943,3.E-1); +#14945=ORIENTED_EDGE('',*,*,#14822,.T.); +#14946=ORIENTED_EDGE('',*,*,#14796,.T.); +#14948=ORIENTED_EDGE('',*,*,#14947,.F.); +#14950=ORIENTED_EDGE('',*,*,#14949,.F.); +#14951=EDGE_LOOP('',(#14945,#14946,#14948,#14950)); +#14952=FACE_OUTER_BOUND('',#14951,.F.); +#14954=CARTESIAN_POINT('',(8.30967915E1,5.00372005E1,6.117247845449E-1)); +#14955=DIRECTION('',(0.E0,1.E0,0.E0)); +#14956=DIRECTION('',(1.E0,0.E0,0.E0)); +#14957=AXIS2_PLACEMENT_3D('',#14954,#14955,#14956); +#14958=PLANE('',#14957); +#14959=ORIENTED_EDGE('',*,*,#14947,.T.); +#14960=ORIENTED_EDGE('',*,*,#14781,.T.); +#14961=ORIENTED_EDGE('',*,*,#14740,.T.); +#14963=ORIENTED_EDGE('',*,*,#14962,.F.); +#14964=EDGE_LOOP('',(#14959,#14960,#14961,#14963)); +#14965=FACE_OUTER_BOUND('',#14964,.F.); +#14967=CARTESIAN_POINT('',(8.37967915E1,5.10872005E1,6.117247845449E-1)); +#14968=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14969=DIRECTION('',(0.E0,-1.E0,0.E0)); +#14970=AXIS2_PLACEMENT_3D('',#14967,#14968,#14969); +#14971=CYLINDRICAL_SURFACE('',#14970,1.05E0); +#14973=ORIENTED_EDGE('',*,*,#14972,.T.); +#14974=ORIENTED_EDGE('',*,*,#14962,.T.); +#14975=ORIENTED_EDGE('',*,*,#14738,.T.); +#14977=ORIENTED_EDGE('',*,*,#14976,.F.); +#14978=EDGE_LOOP('',(#14973,#14974,#14975,#14977)); +#14979=FACE_OUTER_BOUND('',#14978,.F.); +#14981=CARTESIAN_POINT('',(8.37967915E1,5.10872005E1,9.117247845449E-1)); +#14982=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14983=DIRECTION('',(-9.907827429997E-2,9.950796428235E-1,0.E0)); +#14984=AXIS2_PLACEMENT_3D('',#14981,#14982,#14983); +#14985=TOROIDAL_SURFACE('',#14984,7.5E-1,3.E-1); +#14986=ORIENTED_EDGE('',*,*,#14824,.T.); +#14987=ORIENTED_EDGE('',*,*,#14949,.T.); +#14988=ORIENTED_EDGE('',*,*,#14972,.F.); +#14990=ORIENTED_EDGE('',*,*,#14989,.F.); +#14991=EDGE_LOOP('',(#14986,#14987,#14988,#14990)); +#14992=FACE_OUTER_BOUND('',#14991,.F.); +#14994=CARTESIAN_POINT('',(8.294876512773E1,5.18372005E1,9.117247845449E-1)); +#14995=DIRECTION('',(1.E0,0.E0,0.E0)); +#14996=DIRECTION('',(0.E0,0.E0,-1.E0)); +#14997=AXIS2_PLACEMENT_3D('',#14994,#14995,#14996); +#14998=CYLINDRICAL_SURFACE('',#14997,3.E-1); +#14999=ORIENTED_EDGE('',*,*,#14826,.T.); +#15000=ORIENTED_EDGE('',*,*,#14989,.T.); +#15002=ORIENTED_EDGE('',*,*,#15001,.F.); +#15003=ORIENTED_EDGE('',*,*,#14793,.F.); +#15004=EDGE_LOOP('',(#14999,#15000,#15002,#15003)); +#15005=FACE_OUTER_BOUND('',#15004,.F.); +#15007=CARTESIAN_POINT('',(8.37967915E1,5.21372005E1,6.117247845449E-1)); +#15008=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15009=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15010=AXIS2_PLACEMENT_3D('',#15007,#15008,#15009); +#15011=PLANE('',#15010); +#15012=ORIENTED_EDGE('',*,*,#15001,.T.); +#15013=ORIENTED_EDGE('',*,*,#14976,.T.); +#15014=ORIENTED_EDGE('',*,*,#14736,.T.); +#15015=ORIENTED_EDGE('',*,*,#14778,.F.); +#15016=EDGE_LOOP('',(#15012,#15013,#15014,#15015)); +#15017=FACE_OUTER_BOUND('',#15016,.F.); +#15019=CARTESIAN_POINT('',(8.55467915E1,4.94122005E1,3.111724784545E0)); +#15020=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15021=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15022=AXIS2_PLACEMENT_3D('',#15019,#15020,#15021); +#15023=PLANE('',#15022); +#15024=ORIENTED_EDGE('',*,*,#14726,.T.); +#15025=ORIENTED_EDGE('',*,*,#14715,.F.); +#15026=ORIENTED_EDGE('',*,*,#13154,.F.); +#15028=ORIENTED_EDGE('',*,*,#15027,.T.); +#15029=EDGE_LOOP('',(#15024,#15025,#15026,#15028)); +#15030=FACE_OUTER_BOUND('',#15029,.F.); +#15032=CARTESIAN_POINT('',(8.55467915E1,5.27622005E1,3.111724784545E0)); +#15033=DIRECTION('',(1.E0,0.E0,0.E0)); +#15034=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15035=AXIS2_PLACEMENT_3D('',#15032,#15033,#15034); +#15036=PLANE('',#15035); +#15037=ORIENTED_EDGE('',*,*,#14728,.T.); +#15038=ORIENTED_EDGE('',*,*,#15027,.F.); +#15039=ORIENTED_EDGE('',*,*,#13152,.F.); +#15041=ORIENTED_EDGE('',*,*,#15040,.T.); +#15042=EDGE_LOOP('',(#15037,#15038,#15039,#15041)); +#15043=FACE_OUTER_BOUND('',#15042,.F.); +#15045=CARTESIAN_POINT('',(8.13467915E1,5.27622005E1,3.111724784545E0)); +#15046=DIRECTION('',(0.E0,1.E0,0.E0)); +#15047=DIRECTION('',(1.E0,0.E0,0.E0)); +#15048=AXIS2_PLACEMENT_3D('',#15045,#15046,#15047); +#15049=PLANE('',#15048); +#15050=ORIENTED_EDGE('',*,*,#14730,.T.); +#15051=ORIENTED_EDGE('',*,*,#15040,.F.); +#15052=ORIENTED_EDGE('',*,*,#13150,.F.); +#15053=ORIENTED_EDGE('',*,*,#14712,.T.); +#15054=EDGE_LOOP('',(#15050,#15051,#15052,#15053)); +#15055=FACE_OUTER_BOUND('',#15054,.F.); +#15057=CARTESIAN_POINT('',(9.9444792E1,4.92949995E1,3.111724784545E0)); +#15058=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15059=DIRECTION('',(0.E0,1.E0,0.E0)); +#15060=AXIS2_PLACEMENT_3D('',#15057,#15058,#15059); +#15061=PLANE('',#15060); +#15062=ORIENTED_EDGE('',*,*,#14750,.T.); +#15064=ORIENTED_EDGE('',*,*,#15063,.F.); +#15065=ORIENTED_EDGE('',*,*,#13158,.F.); +#15067=ORIENTED_EDGE('',*,*,#15066,.T.); +#15068=EDGE_LOOP('',(#15062,#15064,#15065,#15067)); +#15069=FACE_OUTER_BOUND('',#15068,.F.); +#15071=CARTESIAN_POINT('',(9.9444792E1,5.26449995E1,3.111724784545E0)); +#15072=DIRECTION('',(0.E0,1.E0,0.E0)); +#15073=DIRECTION('',(1.E0,0.E0,0.E0)); +#15074=AXIS2_PLACEMENT_3D('',#15071,#15072,#15073); +#15075=PLANE('',#15074); +#15076=ORIENTED_EDGE('',*,*,#14756,.T.); +#15078=ORIENTED_EDGE('',*,*,#15077,.F.); +#15079=ORIENTED_EDGE('',*,*,#13160,.F.); +#15080=ORIENTED_EDGE('',*,*,#15063,.T.); +#15081=EDGE_LOOP('',(#15076,#15078,#15079,#15080)); +#15082=FACE_OUTER_BOUND('',#15081,.F.); +#15084=CARTESIAN_POINT('',(1.03644792E2,5.26449995E1,3.111724784545E0)); +#15085=DIRECTION('',(1.E0,0.E0,0.E0)); +#15086=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15087=AXIS2_PLACEMENT_3D('',#15084,#15085,#15086); +#15088=PLANE('',#15087); +#15089=ORIENTED_EDGE('',*,*,#14754,.T.); +#15091=ORIENTED_EDGE('',*,*,#15090,.F.); +#15092=ORIENTED_EDGE('',*,*,#13162,.F.); +#15093=ORIENTED_EDGE('',*,*,#15077,.T.); +#15094=EDGE_LOOP('',(#15089,#15091,#15092,#15093)); +#15095=FACE_OUTER_BOUND('',#15094,.F.); +#15097=CARTESIAN_POINT('',(1.03644792E2,4.92949995E1,3.111724784545E0)); +#15098=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15099=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15100=AXIS2_PLACEMENT_3D('',#15097,#15098,#15099); +#15101=PLANE('',#15100); +#15102=ORIENTED_EDGE('',*,*,#14752,.T.); +#15103=ORIENTED_EDGE('',*,*,#15066,.F.); +#15104=ORIENTED_EDGE('',*,*,#13164,.F.); +#15105=ORIENTED_EDGE('',*,*,#15090,.T.); +#15106=EDGE_LOOP('',(#15102,#15103,#15104,#15105)); +#15107=FACE_OUTER_BOUND('',#15106,.F.); +#15109=CARTESIAN_POINT('',(1.03135661E2,5.4645408E1,4.311724784545E0)); +#15110=DIRECTION('',(0.E0,1.E0,0.E0)); +#15111=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15112=AXIS2_PLACEMENT_3D('',#15109,#15110,#15111); +#15113=PLANE('',#15112); +#15114=ORIENTED_EDGE('',*,*,#11556,.F.); +#15116=ORIENTED_EDGE('',*,*,#15115,.T.); +#15118=ORIENTED_EDGE('',*,*,#15117,.T.); +#15120=ORIENTED_EDGE('',*,*,#15119,.F.); +#15121=EDGE_LOOP('',(#15114,#15116,#15118,#15120)); +#15122=FACE_OUTER_BOUND('',#15121,.F.); +#15124=CARTESIAN_POINT('',(1.03135661E2,5.3689809E1,4.311724784545E0)); +#15125=DIRECTION('',(1.E0,0.E0,0.E0)); +#15126=DIRECTION('',(0.E0,1.E0,0.E0)); +#15127=AXIS2_PLACEMENT_3D('',#15124,#15125,#15126); +#15128=PLANE('',#15127); +#15129=ORIENTED_EDGE('',*,*,#11594,.F.); +#15131=ORIENTED_EDGE('',*,*,#15130,.T.); +#15133=ORIENTED_EDGE('',*,*,#15132,.T.); +#15134=ORIENTED_EDGE('',*,*,#15115,.F.); +#15135=EDGE_LOOP('',(#15129,#15131,#15133,#15134)); +#15136=FACE_OUTER_BOUND('',#15135,.F.); +#15138=CARTESIAN_POINT('',(1.04382915E2,5.3689809E1,4.311724784545E0)); +#15139=DIRECTION('',(0.E0,1.E0,0.E0)); +#15140=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15141=AXIS2_PLACEMENT_3D('',#15138,#15139,#15140); +#15142=PLANE('',#15141); +#15144=ORIENTED_EDGE('',*,*,#15143,.T.); +#15146=ORIENTED_EDGE('',*,*,#15145,.F.); +#15147=ORIENTED_EDGE('',*,*,#11576,.F.); +#15149=ORIENTED_EDGE('',*,*,#15148,.T.); +#15151=ORIENTED_EDGE('',*,*,#15150,.T.); +#15153=ORIENTED_EDGE('',*,*,#15152,.F.); +#15154=ORIENTED_EDGE('',*,*,#11568,.F.); +#15156=ORIENTED_EDGE('',*,*,#15155,.T.); +#15158=ORIENTED_EDGE('',*,*,#15157,.T.); +#15160=ORIENTED_EDGE('',*,*,#15159,.F.); +#15161=ORIENTED_EDGE('',*,*,#11560,.F.); +#15163=ORIENTED_EDGE('',*,*,#15162,.T.); +#15165=ORIENTED_EDGE('',*,*,#15164,.T.); +#15166=ORIENTED_EDGE('',*,*,#15130,.F.); +#15167=ORIENTED_EDGE('',*,*,#11592,.F.); +#15169=ORIENTED_EDGE('',*,*,#15168,.T.); +#15171=ORIENTED_EDGE('',*,*,#15170,.T.); +#15173=ORIENTED_EDGE('',*,*,#15172,.F.); +#15174=ORIENTED_EDGE('',*,*,#11584,.F.); +#15176=ORIENTED_EDGE('',*,*,#15175,.T.); +#15177=EDGE_LOOP('',(#15144,#15146,#15147,#15149,#15151,#15153,#15154,#15156, +#15158,#15160,#15161,#15163,#15165,#15166,#15167,#15169,#15171,#15173,#15174, +#15176)); +#15178=FACE_OUTER_BOUND('',#15177,.F.); +#15180=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#15181=DIRECTION('',(0.E0,0.E0,1.E0)); +#15182=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15183=AXIS2_PLACEMENT_3D('',#15180,#15181,#15182); +#15184=PLANE('',#15183); +#15185=ORIENTED_EDGE('',*,*,#15143,.F.); +#15187=ORIENTED_EDGE('',*,*,#15186,.F.); +#15189=ORIENTED_EDGE('',*,*,#15188,.F.); +#15191=ORIENTED_EDGE('',*,*,#15190,.F.); +#15192=EDGE_LOOP('',(#15185,#15187,#15189,#15191)); +#15193=FACE_OUTER_BOUND('',#15192,.F.); +#15195=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#15196=DIRECTION('',(0.E0,0.E0,1.E0)); +#15197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15198=AXIS2_PLACEMENT_3D('',#15195,#15196,#15197); +#15199=PLANE('',#15198); +#15200=ORIENTED_EDGE('',*,*,#15150,.F.); +#15202=ORIENTED_EDGE('',*,*,#15201,.F.); +#15204=ORIENTED_EDGE('',*,*,#15203,.F.); +#15206=ORIENTED_EDGE('',*,*,#15205,.F.); +#15207=EDGE_LOOP('',(#15200,#15202,#15204,#15206)); +#15208=FACE_OUTER_BOUND('',#15207,.F.); +#15210=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#15211=DIRECTION('',(0.E0,0.E0,1.E0)); +#15212=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15213=AXIS2_PLACEMENT_3D('',#15210,#15211,#15212); +#15214=PLANE('',#15213); +#15215=ORIENTED_EDGE('',*,*,#15157,.F.); +#15217=ORIENTED_EDGE('',*,*,#15216,.F.); +#15219=ORIENTED_EDGE('',*,*,#15218,.F.); +#15221=ORIENTED_EDGE('',*,*,#15220,.F.); +#15222=EDGE_LOOP('',(#15215,#15217,#15219,#15221)); +#15223=FACE_OUTER_BOUND('',#15222,.F.); +#15225=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#15226=DIRECTION('',(0.E0,0.E0,1.E0)); +#15227=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15228=AXIS2_PLACEMENT_3D('',#15225,#15226,#15227); +#15229=PLANE('',#15228); +#15230=ORIENTED_EDGE('',*,*,#15164,.F.); +#15232=ORIENTED_EDGE('',*,*,#15231,.F.); +#15233=ORIENTED_EDGE('',*,*,#15117,.F.); +#15234=ORIENTED_EDGE('',*,*,#15132,.F.); +#15235=EDGE_LOOP('',(#15230,#15232,#15233,#15234)); +#15236=FACE_OUTER_BOUND('',#15235,.F.); +#15238=CARTESIAN_POINT('',(9.9432468E1,5.4645408E1,4.311724784545E0)); +#15239=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15240=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15241=AXIS2_PLACEMENT_3D('',#15238,#15239,#15240); +#15242=PLANE('',#15241); +#15243=ORIENTED_EDGE('',*,*,#11582,.F.); +#15245=ORIENTED_EDGE('',*,*,#15244,.T.); +#15246=ORIENTED_EDGE('',*,*,#15186,.T.); +#15247=ORIENTED_EDGE('',*,*,#15175,.F.); +#15248=EDGE_LOOP('',(#15243,#15245,#15246,#15247)); +#15249=FACE_OUTER_BOUND('',#15248,.F.); +#15251=CARTESIAN_POINT('',(1.00135667E2,5.4645408E1,4.311724784545E0)); +#15252=DIRECTION('',(0.E0,1.E0,0.E0)); +#15253=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15254=AXIS2_PLACEMENT_3D('',#15251,#15252,#15253); +#15255=PLANE('',#15254); +#15256=ORIENTED_EDGE('',*,*,#11580,.F.); +#15258=ORIENTED_EDGE('',*,*,#15257,.T.); +#15259=ORIENTED_EDGE('',*,*,#15188,.T.); +#15260=ORIENTED_EDGE('',*,*,#15244,.F.); +#15261=EDGE_LOOP('',(#15256,#15258,#15259,#15260)); +#15262=FACE_OUTER_BOUND('',#15261,.F.); +#15264=CARTESIAN_POINT('',(1.00135667E2,5.3689809E1,4.311724784545E0)); +#15265=DIRECTION('',(1.E0,0.E0,0.E0)); +#15266=DIRECTION('',(0.E0,1.E0,0.E0)); +#15267=AXIS2_PLACEMENT_3D('',#15264,#15265,#15266); +#15268=PLANE('',#15267); +#15269=ORIENTED_EDGE('',*,*,#11578,.F.); +#15270=ORIENTED_EDGE('',*,*,#15145,.T.); +#15271=ORIENTED_EDGE('',*,*,#15190,.T.); +#15272=ORIENTED_EDGE('',*,*,#15257,.F.); +#15273=EDGE_LOOP('',(#15269,#15270,#15271,#15272)); +#15274=FACE_OUTER_BOUND('',#15273,.F.); +#15276=CARTESIAN_POINT('',(1.00432466E2,5.4645408E1,4.311724784545E0)); +#15277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15278=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15279=AXIS2_PLACEMENT_3D('',#15276,#15277,#15278); +#15280=PLANE('',#15279); +#15281=ORIENTED_EDGE('',*,*,#11574,.F.); +#15283=ORIENTED_EDGE('',*,*,#15282,.T.); +#15284=ORIENTED_EDGE('',*,*,#15201,.T.); +#15285=ORIENTED_EDGE('',*,*,#15148,.F.); +#15286=EDGE_LOOP('',(#15281,#15283,#15284,#15285)); +#15287=FACE_OUTER_BOUND('',#15286,.F.); +#15289=CARTESIAN_POINT('',(1.01135665E2,5.4645408E1,4.311724784545E0)); +#15290=DIRECTION('',(0.E0,1.E0,0.E0)); +#15291=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15292=AXIS2_PLACEMENT_3D('',#15289,#15290,#15291); +#15293=PLANE('',#15292); +#15294=ORIENTED_EDGE('',*,*,#11572,.F.); +#15296=ORIENTED_EDGE('',*,*,#15295,.T.); +#15297=ORIENTED_EDGE('',*,*,#15203,.T.); +#15298=ORIENTED_EDGE('',*,*,#15282,.F.); +#15299=EDGE_LOOP('',(#15294,#15296,#15297,#15298)); +#15300=FACE_OUTER_BOUND('',#15299,.F.); +#15302=CARTESIAN_POINT('',(1.01135665E2,5.3689809E1,4.311724784545E0)); +#15303=DIRECTION('',(1.E0,0.E0,0.E0)); +#15304=DIRECTION('',(0.E0,1.E0,0.E0)); +#15305=AXIS2_PLACEMENT_3D('',#15302,#15303,#15304); +#15306=PLANE('',#15305); +#15307=ORIENTED_EDGE('',*,*,#11570,.F.); +#15308=ORIENTED_EDGE('',*,*,#15152,.T.); +#15309=ORIENTED_EDGE('',*,*,#15205,.T.); +#15310=ORIENTED_EDGE('',*,*,#15295,.F.); +#15311=EDGE_LOOP('',(#15307,#15308,#15309,#15310)); +#15312=FACE_OUTER_BOUND('',#15311,.F.); +#15314=CARTESIAN_POINT('',(1.01432464E2,5.4645408E1,4.311724784545E0)); +#15315=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15316=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15317=AXIS2_PLACEMENT_3D('',#15314,#15315,#15316); +#15318=PLANE('',#15317); +#15319=ORIENTED_EDGE('',*,*,#11566,.F.); +#15321=ORIENTED_EDGE('',*,*,#15320,.T.); +#15322=ORIENTED_EDGE('',*,*,#15216,.T.); +#15323=ORIENTED_EDGE('',*,*,#15155,.F.); +#15324=EDGE_LOOP('',(#15319,#15321,#15322,#15323)); +#15325=FACE_OUTER_BOUND('',#15324,.F.); +#15327=CARTESIAN_POINT('',(1.02135663E2,5.4645408E1,4.311724784545E0)); +#15328=DIRECTION('',(0.E0,1.E0,0.E0)); +#15329=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15330=AXIS2_PLACEMENT_3D('',#15327,#15328,#15329); +#15331=PLANE('',#15330); +#15332=ORIENTED_EDGE('',*,*,#11564,.F.); +#15334=ORIENTED_EDGE('',*,*,#15333,.T.); +#15335=ORIENTED_EDGE('',*,*,#15218,.T.); +#15336=ORIENTED_EDGE('',*,*,#15320,.F.); +#15337=EDGE_LOOP('',(#15332,#15334,#15335,#15336)); +#15338=FACE_OUTER_BOUND('',#15337,.F.); +#15340=CARTESIAN_POINT('',(1.02135663E2,5.3689809E1,4.311724784545E0)); +#15341=DIRECTION('',(1.E0,0.E0,0.E0)); +#15342=DIRECTION('',(0.E0,1.E0,0.E0)); +#15343=AXIS2_PLACEMENT_3D('',#15340,#15341,#15342); +#15344=PLANE('',#15343); +#15345=ORIENTED_EDGE('',*,*,#11562,.F.); +#15346=ORIENTED_EDGE('',*,*,#15159,.T.); +#15347=ORIENTED_EDGE('',*,*,#15220,.T.); +#15348=ORIENTED_EDGE('',*,*,#15333,.F.); +#15349=EDGE_LOOP('',(#15345,#15346,#15347,#15348)); +#15350=FACE_OUTER_BOUND('',#15349,.F.); +#15352=CARTESIAN_POINT('',(1.02432462E2,5.4645408E1,4.311724784545E0)); +#15353=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15354=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15355=AXIS2_PLACEMENT_3D('',#15352,#15353,#15354); +#15356=PLANE('',#15355); +#15357=ORIENTED_EDGE('',*,*,#11558,.F.); +#15358=ORIENTED_EDGE('',*,*,#15119,.T.); +#15359=ORIENTED_EDGE('',*,*,#15231,.T.); +#15360=ORIENTED_EDGE('',*,*,#15162,.F.); +#15361=EDGE_LOOP('',(#15357,#15358,#15359,#15360)); +#15362=FACE_OUTER_BOUND('',#15361,.F.); +#15364=CARTESIAN_POINT('',(1.04382915E2,4.8990809E1,4.311724784545E0)); +#15365=DIRECTION('',(1.E0,0.E0,0.E0)); +#15366=DIRECTION('',(0.E0,1.E0,0.E0)); +#15367=AXIS2_PLACEMENT_3D('',#15364,#15365,#15366); +#15368=PLANE('',#15367); +#15369=ORIENTED_EDGE('',*,*,#11590,.F.); +#15371=ORIENTED_EDGE('',*,*,#15370,.T.); +#15373=ORIENTED_EDGE('',*,*,#15372,.T.); +#15374=ORIENTED_EDGE('',*,*,#15168,.F.); +#15375=EDGE_LOOP('',(#15369,#15371,#15373,#15374)); +#15376=FACE_OUTER_BOUND('',#15375,.F.); +#15378=CARTESIAN_POINT('',(9.8061084E1,4.8990809E1,4.311724784545E0)); +#15379=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15380=DIRECTION('',(1.E0,0.E0,0.E0)); +#15381=AXIS2_PLACEMENT_3D('',#15378,#15379,#15380); +#15382=PLANE('',#15381); +#15383=ORIENTED_EDGE('',*,*,#11588,.F.); +#15385=ORIENTED_EDGE('',*,*,#15384,.T.); +#15387=ORIENTED_EDGE('',*,*,#15386,.T.); +#15388=ORIENTED_EDGE('',*,*,#15370,.F.); +#15389=EDGE_LOOP('',(#15383,#15385,#15387,#15388)); +#15390=FACE_OUTER_BOUND('',#15389,.F.); +#15392=ORIENTED_EDGE('',*,*,#15391,.T.); +#15394=ORIENTED_EDGE('',*,*,#15393,.T.); +#15396=ORIENTED_EDGE('',*,*,#15395,.T.); +#15398=ORIENTED_EDGE('',*,*,#15397,.T.); +#15400=ORIENTED_EDGE('',*,*,#15399,.T.); +#15402=ORIENTED_EDGE('',*,*,#15401,.T.); +#15404=ORIENTED_EDGE('',*,*,#15403,.T.); +#15406=ORIENTED_EDGE('',*,*,#15405,.T.); +#15408=ORIENTED_EDGE('',*,*,#15407,.T.); +#15410=ORIENTED_EDGE('',*,*,#15409,.T.); +#15412=ORIENTED_EDGE('',*,*,#15411,.T.); +#15414=ORIENTED_EDGE('',*,*,#15413,.T.); +#15415=EDGE_LOOP('',(#15392,#15394,#15396,#15398,#15400,#15402,#15404,#15406, +#15408,#15410,#15412,#15414)); +#15416=FACE_BOUND('',#15415,.F.); +#15418=CARTESIAN_POINT('',(9.8061084E1,5.3689809E1,4.311724784545E0)); +#15419=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15420=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15421=AXIS2_PLACEMENT_3D('',#15418,#15419,#15420); +#15422=PLANE('',#15421); +#15423=ORIENTED_EDGE('',*,*,#11586,.F.); +#15424=ORIENTED_EDGE('',*,*,#15172,.T.); +#15426=ORIENTED_EDGE('',*,*,#15425,.T.); +#15427=ORIENTED_EDGE('',*,*,#15384,.F.); +#15428=EDGE_LOOP('',(#15423,#15424,#15426,#15427)); +#15429=FACE_OUTER_BOUND('',#15428,.F.); +#15431=CARTESIAN_POINT('',(0.E0,0.E0,7.111724784545E0)); +#15432=DIRECTION('',(0.E0,0.E0,1.E0)); +#15433=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15434=AXIS2_PLACEMENT_3D('',#15431,#15432,#15433); +#15435=PLANE('',#15434); +#15436=ORIENTED_EDGE('',*,*,#15170,.F.); +#15437=ORIENTED_EDGE('',*,*,#15372,.F.); +#15438=ORIENTED_EDGE('',*,*,#15386,.F.); +#15439=ORIENTED_EDGE('',*,*,#15425,.F.); +#15440=EDGE_LOOP('',(#15436,#15437,#15438,#15439)); +#15441=FACE_OUTER_BOUND('',#15440,.F.); +#15443=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,6.311724784545E0)); +#15444=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15445=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15446=AXIS2_PLACEMENT_3D('',#15443,#15444,#15445); +#15447=PLANE('',#15446); +#15448=ORIENTED_EDGE('',*,*,#15391,.F.); +#15450=ORIENTED_EDGE('',*,*,#15449,.F.); +#15452=ORIENTED_EDGE('',*,*,#15451,.T.); +#15454=ORIENTED_EDGE('',*,*,#15453,.T.); +#15455=EDGE_LOOP('',(#15448,#15450,#15452,#15454)); +#15456=FACE_OUTER_BOUND('',#15455,.F.); +#15458=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,6.311724784545E0)); +#15459=DIRECTION('',(0.E0,0.E0,1.E0)); +#15460=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15461=AXIS2_PLACEMENT_3D('',#15458,#15459,#15460); +#15462=PLANE('',#15461); +#15463=ORIENTED_EDGE('',*,*,#15405,.F.); +#15465=ORIENTED_EDGE('',*,*,#15464,.F.); +#15467=ORIENTED_EDGE('',*,*,#15466,.T.); +#15469=ORIENTED_EDGE('',*,*,#15468,.T.); +#15470=EDGE_LOOP('',(#15463,#15465,#15467,#15469)); +#15471=FACE_OUTER_BOUND('',#15470,.F.); +#15473=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,6.311724784545E0)); +#15474=DIRECTION('',(0.E0,0.E0,1.E0)); +#15475=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15476=AXIS2_PLACEMENT_3D('',#15473,#15474,#15475); +#15477=PLANE('',#15476); +#15478=ORIENTED_EDGE('',*,*,#15413,.F.); +#15480=ORIENTED_EDGE('',*,*,#15479,.F.); +#15482=ORIENTED_EDGE('',*,*,#15481,.T.); +#15483=ORIENTED_EDGE('',*,*,#15449,.T.); +#15484=EDGE_LOOP('',(#15478,#15480,#15482,#15483)); +#15485=FACE_OUTER_BOUND('',#15484,.F.); +#15487=CARTESIAN_POINT('',(1.038219995E2,5.2490809E1,5.811724784545E0)); +#15488=DIRECTION('',(1.E0,0.E0,0.E0)); +#15489=DIRECTION('',(0.E0,0.E0,1.E0)); +#15490=AXIS2_PLACEMENT_3D('',#15487,#15488,#15489); +#15491=PLANE('',#15490); +#15492=ORIENTED_EDGE('',*,*,#15403,.F.); +#15494=ORIENTED_EDGE('',*,*,#15493,.F.); +#15496=ORIENTED_EDGE('',*,*,#15495,.T.); +#15497=ORIENTED_EDGE('',*,*,#15464,.T.); +#15498=EDGE_LOOP('',(#15492,#15494,#15496,#15497)); +#15499=FACE_OUTER_BOUND('',#15498,.F.); +#15501=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,5.811724784545E0)); +#15502=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15503=DIRECTION('',(1.E0,0.E0,0.E0)); +#15504=AXIS2_PLACEMENT_3D('',#15501,#15502,#15503); +#15505=PLANE('',#15504); +#15506=ORIENTED_EDGE('',*,*,#15393,.F.); +#15507=ORIENTED_EDGE('',*,*,#15453,.F.); +#15509=ORIENTED_EDGE('',*,*,#15508,.T.); +#15511=ORIENTED_EDGE('',*,*,#15510,.T.); +#15512=EDGE_LOOP('',(#15506,#15507,#15509,#15511)); +#15513=FACE_OUTER_BOUND('',#15512,.F.); +#15515=CARTESIAN_POINT('',(9.86219995E1,5.2490809E1,5.811724784545E0)); +#15516=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15517=DIRECTION('',(1.E0,0.E0,0.E0)); +#15518=AXIS2_PLACEMENT_3D('',#15515,#15516,#15517); +#15519=PLANE('',#15518); +#15520=ORIENTED_EDGE('',*,*,#15401,.F.); +#15522=ORIENTED_EDGE('',*,*,#15521,.F.); +#15524=ORIENTED_EDGE('',*,*,#15523,.T.); +#15525=ORIENTED_EDGE('',*,*,#15493,.T.); +#15526=EDGE_LOOP('',(#15520,#15522,#15524,#15525)); +#15527=FACE_OUTER_BOUND('',#15526,.F.); +#15529=CARTESIAN_POINT('',(0.E0,5.2490809E1,7.111724784545E0)); +#15530=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15531=DIRECTION('',(1.E0,0.E0,0.E0)); +#15532=AXIS2_PLACEMENT_3D('',#15529,#15530,#15531); +#15533=PLANE('',#15532); +#15535=ORIENTED_EDGE('',*,*,#15534,.F.); +#15536=ORIENTED_EDGE('',*,*,#15466,.F.); +#15537=ORIENTED_EDGE('',*,*,#15495,.F.); +#15538=ORIENTED_EDGE('',*,*,#15523,.F.); +#15540=ORIENTED_EDGE('',*,*,#15539,.F.); +#15542=ORIENTED_EDGE('',*,*,#15541,.F.); +#15544=ORIENTED_EDGE('',*,*,#15543,.F.); +#15545=ORIENTED_EDGE('',*,*,#15508,.F.); +#15546=ORIENTED_EDGE('',*,*,#15451,.F.); +#15547=ORIENTED_EDGE('',*,*,#15481,.F.); +#15549=ORIENTED_EDGE('',*,*,#15548,.F.); +#15551=ORIENTED_EDGE('',*,*,#15550,.F.); +#15552=EDGE_LOOP('',(#15535,#15536,#15537,#15538,#15540,#15542,#15544,#15545, +#15546,#15547,#15549,#15551)); +#15553=FACE_OUTER_BOUND('',#15552,.F.); +#15555=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,4.861724784545E0)); +#15556=DIRECTION('',(1.E0,0.E0,0.E0)); +#15557=DIRECTION('',(0.E0,0.E0,1.E0)); +#15558=AXIS2_PLACEMENT_3D('',#15555,#15556,#15557); +#15559=PLANE('',#15558); +#15560=ORIENTED_EDGE('',*,*,#15521,.T.); +#15561=ORIENTED_EDGE('',*,*,#15399,.F.); +#15563=ORIENTED_EDGE('',*,*,#15562,.T.); +#15564=ORIENTED_EDGE('',*,*,#15539,.T.); +#15565=EDGE_LOOP('',(#15560,#15561,#15563,#15564)); +#15566=FACE_OUTER_BOUND('',#15565,.F.); +#15568=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,4.861724784545E0)); +#15569=DIRECTION('',(1.E0,0.E0,0.E0)); +#15570=DIRECTION('',(0.E0,0.E0,1.E0)); +#15571=AXIS2_PLACEMENT_3D('',#15568,#15569,#15570); +#15572=PLANE('',#15571); +#15573=ORIENTED_EDGE('',*,*,#15468,.F.); +#15574=ORIENTED_EDGE('',*,*,#15534,.T.); +#15576=ORIENTED_EDGE('',*,*,#15575,.F.); +#15577=ORIENTED_EDGE('',*,*,#15407,.F.); +#15578=EDGE_LOOP('',(#15573,#15574,#15576,#15577)); +#15579=FACE_OUTER_BOUND('',#15578,.F.); +#15581=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,4.861724784545E0)); +#15582=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15583=DIRECTION('',(1.E0,0.E0,0.E0)); +#15584=AXIS2_PLACEMENT_3D('',#15581,#15582,#15583); +#15585=PLANE('',#15584); +#15586=ORIENTED_EDGE('',*,*,#15397,.F.); +#15588=ORIENTED_EDGE('',*,*,#15587,.T.); +#15589=ORIENTED_EDGE('',*,*,#15541,.T.); +#15590=ORIENTED_EDGE('',*,*,#15562,.F.); +#15591=EDGE_LOOP('',(#15586,#15588,#15589,#15590)); +#15592=FACE_OUTER_BOUND('',#15591,.F.); +#15594=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.711724784545E0)); +#15595=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15596=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15597=AXIS2_PLACEMENT_3D('',#15594,#15595,#15596); +#15598=PLANE('',#15597); +#15599=ORIENTED_EDGE('',*,*,#15510,.F.); +#15600=ORIENTED_EDGE('',*,*,#15543,.T.); +#15601=ORIENTED_EDGE('',*,*,#15587,.F.); +#15602=ORIENTED_EDGE('',*,*,#15395,.F.); +#15603=EDGE_LOOP('',(#15599,#15600,#15601,#15602)); +#15604=FACE_OUTER_BOUND('',#15603,.F.); +#15606=CARTESIAN_POINT('',(9.90219995E1,4.8990809E1,6.711724784545E0)); +#15607=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15608=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15609=AXIS2_PLACEMENT_3D('',#15606,#15607,#15608); +#15610=PLANE('',#15609); +#15611=ORIENTED_EDGE('',*,*,#15479,.T.); +#15612=ORIENTED_EDGE('',*,*,#15411,.F.); +#15614=ORIENTED_EDGE('',*,*,#15613,.T.); +#15615=ORIENTED_EDGE('',*,*,#15548,.T.); +#15616=EDGE_LOOP('',(#15611,#15612,#15614,#15615)); +#15617=FACE_OUTER_BOUND('',#15616,.F.); +#15619=CARTESIAN_POINT('',(1.034219995E2,4.8990809E1,6.711724784545E0)); +#15620=DIRECTION('',(0.E0,0.E0,1.E0)); +#15621=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15622=AXIS2_PLACEMENT_3D('',#15619,#15620,#15621); +#15623=PLANE('',#15622); +#15624=ORIENTED_EDGE('',*,*,#15409,.F.); +#15625=ORIENTED_EDGE('',*,*,#15575,.T.); +#15626=ORIENTED_EDGE('',*,*,#15550,.T.); +#15627=ORIENTED_EDGE('',*,*,#15613,.F.); +#15628=EDGE_LOOP('',(#15624,#15625,#15626,#15627)); +#15629=FACE_OUTER_BOUND('',#15628,.F.); +#15631=CARTESIAN_POINT('',(9.3633191E1,4.9084801E1,4.311724784545E0)); +#15632=DIRECTION('',(1.E0,0.E0,0.E0)); +#15633=DIRECTION('',(0.E0,1.E0,0.E0)); +#15634=AXIS2_PLACEMENT_3D('',#15631,#15632,#15633); +#15635=PLANE('',#15634); +#15636=ORIENTED_EDGE('',*,*,#11598,.F.); +#15638=ORIENTED_EDGE('',*,*,#15637,.T.); +#15640=ORIENTED_EDGE('',*,*,#15639,.T.); +#15642=ORIENTED_EDGE('',*,*,#15641,.F.); +#15643=EDGE_LOOP('',(#15636,#15638,#15640,#15642)); +#15644=FACE_OUTER_BOUND('',#15643,.F.); +#15646=CARTESIAN_POINT('',(9.2933192E1,4.9084801E1,4.311724784545E0)); +#15647=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15648=DIRECTION('',(1.E0,0.E0,0.E0)); +#15649=AXIS2_PLACEMENT_3D('',#15646,#15647,#15648); +#15650=PLANE('',#15649); +#15651=ORIENTED_EDGE('',*,*,#11632,.F.); +#15653=ORIENTED_EDGE('',*,*,#15652,.T.); +#15655=ORIENTED_EDGE('',*,*,#15654,.T.); +#15656=ORIENTED_EDGE('',*,*,#15637,.F.); +#15657=EDGE_LOOP('',(#15651,#15653,#15655,#15656)); +#15658=FACE_OUTER_BOUND('',#15657,.F.); +#15660=CARTESIAN_POINT('',(9.2933192E1,5.0584798E1,4.311724784545E0)); +#15661=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15662=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15663=AXIS2_PLACEMENT_3D('',#15660,#15661,#15662); +#15664=PLANE('',#15663); +#15665=ORIENTED_EDGE('',*,*,#11630,.F.); +#15667=ORIENTED_EDGE('',*,*,#15666,.T.); +#15669=ORIENTED_EDGE('',*,*,#15668,.T.); +#15670=ORIENTED_EDGE('',*,*,#15652,.F.); +#15671=EDGE_LOOP('',(#15665,#15667,#15669,#15670)); +#15672=FACE_OUTER_BOUND('',#15671,.F.); +#15674=CARTESIAN_POINT('',(8.9383192E1,4.9884797E1,4.311724784545E0)); +#15675=DIRECTION('',(7.812727858092E-3,-9.999694801760E-1,0.E0)); +#15676=DIRECTION('',(9.999694801760E-1,7.812727858092E-3,0.E0)); +#15677=AXIS2_PLACEMENT_3D('',#15674,#15675,#15676); +#15678=PLANE('',#15677); +#15680=ORIENTED_EDGE('',*,*,#15679,.T.); +#15682=ORIENTED_EDGE('',*,*,#15681,.F.); +#15684=ORIENTED_EDGE('',*,*,#15683,.T.); +#15686=ORIENTED_EDGE('',*,*,#15685,.T.); +#15688=ORIENTED_EDGE('',*,*,#15687,.T.); +#15690=ORIENTED_EDGE('',*,*,#15689,.F.); +#15691=ORIENTED_EDGE('',*,*,#11600,.F.); +#15692=ORIENTED_EDGE('',*,*,#15641,.T.); +#15694=ORIENTED_EDGE('',*,*,#15693,.F.); +#15695=ORIENTED_EDGE('',*,*,#15666,.F.); +#15696=ORIENTED_EDGE('',*,*,#11628,.F.); +#15698=ORIENTED_EDGE('',*,*,#15697,.T.); +#15700=ORIENTED_EDGE('',*,*,#15699,.F.); +#15702=ORIENTED_EDGE('',*,*,#15701,.F.); +#15703=ORIENTED_EDGE('',*,*,#11620,.F.); +#15705=ORIENTED_EDGE('',*,*,#15704,.T.); +#15706=EDGE_LOOP('',(#15680,#15682,#15684,#15686,#15688,#15690,#15691,#15692, +#15694,#15695,#15696,#15698,#15700,#15702,#15703,#15705)); +#15707=FACE_OUTER_BOUND('',#15706,.F.); +#15709=CARTESIAN_POINT('',(0.E0,0.E0,7.111724784545E0)); +#15710=DIRECTION('',(0.E0,0.E0,1.E0)); +#15711=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15712=AXIS2_PLACEMENT_3D('',#15709,#15710,#15711); +#15713=PLANE('',#15712); +#15715=ORIENTED_EDGE('',*,*,#15714,.F.); +#15717=ORIENTED_EDGE('',*,*,#15716,.F.); +#15718=ORIENTED_EDGE('',*,*,#15679,.F.); +#15720=ORIENTED_EDGE('',*,*,#15719,.F.); +#15722=ORIENTED_EDGE('',*,*,#15721,.F.); +#15723=EDGE_LOOP('',(#15715,#15717,#15718,#15720,#15722)); +#15724=FACE_OUTER_BOUND('',#15723,.F.); +#15726=CARTESIAN_POINT('',(0.E0,0.E0,7.111724784545E0)); +#15727=DIRECTION('',(0.E0,0.E0,1.E0)); +#15728=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15729=AXIS2_PLACEMENT_3D('',#15726,#15727,#15728); +#15730=PLANE('',#15729); +#15731=ORIENTED_EDGE('',*,*,#15687,.F.); +#15733=ORIENTED_EDGE('',*,*,#15732,.F.); +#15735=ORIENTED_EDGE('',*,*,#15734,.F.); +#15737=ORIENTED_EDGE('',*,*,#15736,.F.); +#15739=ORIENTED_EDGE('',*,*,#15738,.F.); +#15740=EDGE_LOOP('',(#15731,#15733,#15735,#15737,#15739)); +#15741=FACE_OUTER_BOUND('',#15740,.F.); +#15743=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,4.311724784545E0)); +#15744=DIRECTION('',(-2.639156219341E-13,1.E0,0.E0)); +#15745=DIRECTION('',(-1.E0,-2.639156219341E-13,0.E0)); +#15746=AXIS2_PLACEMENT_3D('',#15743,#15744,#15745); +#15747=PLANE('',#15746); +#15748=ORIENTED_EDGE('',*,*,#15714,.T.); +#15750=ORIENTED_EDGE('',*,*,#15749,.F.); +#15751=ORIENTED_EDGE('',*,*,#11614,.F.); +#15753=ORIENTED_EDGE('',*,*,#15752,.T.); +#15754=EDGE_LOOP('',(#15748,#15750,#15751,#15753)); +#15755=FACE_OUTER_BOUND('',#15754,.F.); +#15757=CARTESIAN_POINT('',(8.9133192E1,5.3041801E1,4.311724784545E0)); +#15758=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15759=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15760=AXIS2_PLACEMENT_3D('',#15757,#15758,#15759); +#15761=PLANE('',#15760); +#15762=ORIENTED_EDGE('',*,*,#15721,.T.); +#15764=ORIENTED_EDGE('',*,*,#15763,.F.); +#15765=ORIENTED_EDGE('',*,*,#11616,.F.); +#15766=ORIENTED_EDGE('',*,*,#15749,.T.); +#15767=EDGE_LOOP('',(#15762,#15764,#15765,#15766)); +#15768=FACE_OUTER_BOUND('',#15767,.F.); +#15770=CARTESIAN_POINT('',(8.9133192E1,5.0134797E1,4.311724784545E0)); +#15771=DIRECTION('',(-7.071067811865E-1,-7.071067811865E-1,0.E0)); +#15772=DIRECTION('',(7.071067811865E-1,-7.071067811865E-1,0.E0)); +#15773=AXIS2_PLACEMENT_3D('',#15770,#15771,#15772); +#15774=PLANE('',#15773); +#15775=ORIENTED_EDGE('',*,*,#15719,.T.); +#15776=ORIENTED_EDGE('',*,*,#15704,.F.); +#15777=ORIENTED_EDGE('',*,*,#11618,.F.); +#15778=ORIENTED_EDGE('',*,*,#15763,.T.); +#15779=EDGE_LOOP('',(#15775,#15776,#15777,#15778)); +#15780=FACE_OUTER_BOUND('',#15779,.F.); +#15782=CARTESIAN_POINT('',(9.0183193E1,5.4234799E1,4.311724784545E0)); +#15783=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15784=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15785=AXIS2_PLACEMENT_3D('',#15782,#15783,#15784); +#15786=PLANE('',#15785); +#15788=ORIENTED_EDGE('',*,*,#15787,.T.); +#15790=ORIENTED_EDGE('',*,*,#15789,.F.); +#15792=ORIENTED_EDGE('',*,*,#15791,.T.); +#15793=ORIENTED_EDGE('',*,*,#15681,.T.); +#15794=ORIENTED_EDGE('',*,*,#15716,.T.); +#15795=ORIENTED_EDGE('',*,*,#15752,.F.); +#15796=ORIENTED_EDGE('',*,*,#11612,.F.); +#15798=ORIENTED_EDGE('',*,*,#15797,.T.); +#15799=EDGE_LOOP('',(#15788,#15790,#15792,#15793,#15794,#15795,#15796,#15798)); +#15800=FACE_OUTER_BOUND('',#15799,.F.); +#15802=CARTESIAN_POINT('',(0.E0,0.E0,7.411724784545E0)); +#15803=DIRECTION('',(0.E0,0.E0,1.E0)); +#15804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15805=AXIS2_PLACEMENT_3D('',#15802,#15803,#15804); +#15806=PLANE('',#15805); +#15808=ORIENTED_EDGE('',*,*,#15807,.F.); +#15810=ORIENTED_EDGE('',*,*,#15809,.F.); +#15812=ORIENTED_EDGE('',*,*,#15811,.T.); +#15814=ORIENTED_EDGE('',*,*,#15813,.F.); +#15815=EDGE_LOOP('',(#15808,#15810,#15812,#15814)); +#15816=FACE_OUTER_BOUND('',#15815,.F.); +#15818=CARTESIAN_POINT('',(0.E0,0.E0,7.411724784545E0)); +#15819=DIRECTION('',(0.E0,0.E0,1.E0)); +#15820=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15821=AXIS2_PLACEMENT_3D('',#15818,#15819,#15820); +#15822=PLANE('',#15821); +#15824=ORIENTED_EDGE('',*,*,#15823,.T.); +#15826=ORIENTED_EDGE('',*,*,#15825,.F.); +#15827=ORIENTED_EDGE('',*,*,#15787,.F.); +#15829=ORIENTED_EDGE('',*,*,#15828,.F.); +#15830=EDGE_LOOP('',(#15824,#15826,#15827,#15829)); +#15831=FACE_OUTER_BOUND('',#15830,.F.); +#15833=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,7.411724784545E0)); +#15834=DIRECTION('',(1.E0,0.E0,0.E0)); +#15835=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15836=AXIS2_PLACEMENT_3D('',#15833,#15834,#15835); +#15837=PLANE('',#15836); +#15839=ORIENTED_EDGE('',*,*,#15838,.F.); +#15840=ORIENTED_EDGE('',*,*,#15807,.T.); +#15842=ORIENTED_EDGE('',*,*,#15841,.T.); +#15844=ORIENTED_EDGE('',*,*,#15843,.T.); +#15846=ORIENTED_EDGE('',*,*,#15845,.F.); +#15847=EDGE_LOOP('',(#15839,#15840,#15842,#15844,#15846)); +#15848=FACE_OUTER_BOUND('',#15847,.F.); +#15850=CARTESIAN_POINT('',(9.5083191E1,5.4234799E1,4.311724784545E0)); +#15851=DIRECTION('',(0.E0,1.E0,0.E0)); +#15852=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15853=AXIS2_PLACEMENT_3D('',#15850,#15851,#15852); +#15854=PLANE('',#15853); +#15855=ORIENTED_EDGE('',*,*,#15838,.T.); +#15857=ORIENTED_EDGE('',*,*,#15856,.T.); +#15859=ORIENTED_EDGE('',*,*,#15858,.F.); +#15860=ORIENTED_EDGE('',*,*,#15828,.T.); +#15861=ORIENTED_EDGE('',*,*,#15797,.F.); +#15862=ORIENTED_EDGE('',*,*,#11610,.F.); +#15864=ORIENTED_EDGE('',*,*,#15863,.T.); +#15865=ORIENTED_EDGE('',*,*,#15809,.T.); +#15866=EDGE_LOOP('',(#15855,#15857,#15859,#15860,#15861,#15862,#15864,#15865)); +#15867=FACE_OUTER_BOUND('',#15866,.F.); +#15869=CARTESIAN_POINT('',(9.4683191E1,5.4234799E1,4.711724784545E0)); +#15870=DIRECTION('',(0.E0,0.E0,-1.E0)); +#15871=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15872=AXIS2_PLACEMENT_3D('',#15869,#15870,#15871); +#15873=PLANE('',#15872); +#15874=ORIENTED_EDGE('',*,*,#15856,.F.); +#15875=ORIENTED_EDGE('',*,*,#15845,.T.); +#15877=ORIENTED_EDGE('',*,*,#15876,.T.); +#15879=ORIENTED_EDGE('',*,*,#15878,.F.); +#15880=EDGE_LOOP('',(#15874,#15875,#15877,#15879)); +#15881=FACE_OUTER_BOUND('',#15880,.F.); +#15883=CARTESIAN_POINT('',(0.E0,5.1534799E1,7.811724784545E0)); +#15884=DIRECTION('',(0.E0,1.E0,0.E0)); +#15885=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15886=AXIS2_PLACEMENT_3D('',#15883,#15884,#15885); +#15887=PLANE('',#15886); +#15888=ORIENTED_EDGE('',*,*,#15843,.F.); +#15890=ORIENTED_EDGE('',*,*,#15889,.F.); +#15892=ORIENTED_EDGE('',*,*,#15891,.F.); +#15893=ORIENTED_EDGE('',*,*,#15876,.F.); +#15894=EDGE_LOOP('',(#15888,#15890,#15892,#15893)); +#15895=FACE_OUTER_BOUND('',#15894,.F.); +#15897=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,7.411724784545E0)); +#15898=DIRECTION('',(0.E0,0.E0,1.E0)); +#15899=DIRECTION('',(1.E0,0.E0,0.E0)); +#15900=AXIS2_PLACEMENT_3D('',#15897,#15898,#15899); +#15901=PLANE('',#15900); +#15903=ORIENTED_EDGE('',*,*,#15902,.F.); +#15905=ORIENTED_EDGE('',*,*,#15904,.T.); +#15906=ORIENTED_EDGE('',*,*,#15889,.T.); +#15907=ORIENTED_EDGE('',*,*,#15841,.F.); +#15908=EDGE_LOOP('',(#15903,#15905,#15906,#15907)); +#15909=FACE_OUTER_BOUND('',#15908,.F.); +#15911=CARTESIAN_POINT('',(9.0183193E1,5.3041801E1,7.811724784545E0)); +#15912=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15913=DIRECTION('',(1.E0,0.E0,0.E0)); +#15914=AXIS2_PLACEMENT_3D('',#15911,#15912,#15913); +#15915=PLANE('',#15914); +#15916=ORIENTED_EDGE('',*,*,#15902,.T.); +#15917=ORIENTED_EDGE('',*,*,#15813,.T.); +#15919=ORIENTED_EDGE('',*,*,#15918,.F.); +#15921=ORIENTED_EDGE('',*,*,#15920,.F.); +#15922=ORIENTED_EDGE('',*,*,#15789,.T.); +#15923=ORIENTED_EDGE('',*,*,#15825,.T.); +#15924=EDGE_LOOP('',(#15916,#15917,#15919,#15921,#15922,#15923)); +#15925=FACE_OUTER_BOUND('',#15924,.F.); +#15927=CARTESIAN_POINT('',(9.5083191E1,5.3041801E1,4.311724784545E0)); +#15928=DIRECTION('',(1.E0,0.E0,0.E0)); +#15929=DIRECTION('',(0.E0,1.E0,0.E0)); +#15930=AXIS2_PLACEMENT_3D('',#15927,#15928,#15929); +#15931=PLANE('',#15930); +#15932=ORIENTED_EDGE('',*,*,#15811,.F.); +#15933=ORIENTED_EDGE('',*,*,#15863,.F.); +#15934=ORIENTED_EDGE('',*,*,#11608,.F.); +#15936=ORIENTED_EDGE('',*,*,#15935,.T.); +#15937=ORIENTED_EDGE('',*,*,#15732,.T.); +#15938=ORIENTED_EDGE('',*,*,#15685,.F.); +#15940=ORIENTED_EDGE('',*,*,#15939,.T.); +#15941=ORIENTED_EDGE('',*,*,#15918,.T.); +#15942=EDGE_LOOP('',(#15932,#15933,#15934,#15936,#15937,#15938,#15940,#15941)); +#15943=FACE_OUTER_BOUND('',#15942,.F.); +#15945=CARTESIAN_POINT('',(9.6133188E1,5.3041801E1,4.311724784545E0)); +#15946=DIRECTION('',(0.E0,1.E0,0.E0)); +#15947=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15948=AXIS2_PLACEMENT_3D('',#15945,#15946,#15947); +#15949=PLANE('',#15948); +#15950=ORIENTED_EDGE('',*,*,#15734,.T.); +#15951=ORIENTED_EDGE('',*,*,#15935,.F.); +#15952=ORIENTED_EDGE('',*,*,#11606,.F.); +#15954=ORIENTED_EDGE('',*,*,#15953,.T.); +#15955=EDGE_LOOP('',(#15950,#15951,#15952,#15954)); +#15956=FACE_OUTER_BOUND('',#15955,.F.); +#15958=CARTESIAN_POINT('',(9.6133191E1,5.0284802E1,4.311724784545E0)); +#15959=DIRECTION('',(9.999999999994E-1,1.088139673763E-6,0.E0)); +#15960=DIRECTION('',(-1.088139673763E-6,9.999999999994E-1,0.E0)); +#15961=AXIS2_PLACEMENT_3D('',#15958,#15959,#15960); +#15962=PLANE('',#15961); +#15963=ORIENTED_EDGE('',*,*,#15736,.T.); +#15964=ORIENTED_EDGE('',*,*,#15953,.F.); +#15965=ORIENTED_EDGE('',*,*,#11604,.F.); +#15967=ORIENTED_EDGE('',*,*,#15966,.T.); +#15968=EDGE_LOOP('',(#15963,#15964,#15965,#15967)); +#15969=FACE_OUTER_BOUND('',#15968,.F.); +#15971=CARTESIAN_POINT('',(9.5783194E1,4.99348E1,4.311724784545E0)); +#15972=DIRECTION('',(7.071118319384E-1,-7.071017303986E-1,0.E0)); +#15973=DIRECTION('',(7.071017303986E-1,7.071118319384E-1,0.E0)); +#15974=AXIS2_PLACEMENT_3D('',#15971,#15972,#15973); +#15975=PLANE('',#15974); +#15976=ORIENTED_EDGE('',*,*,#15738,.T.); +#15977=ORIENTED_EDGE('',*,*,#15966,.F.); +#15978=ORIENTED_EDGE('',*,*,#11602,.F.); +#15979=ORIENTED_EDGE('',*,*,#15689,.T.); +#15980=EDGE_LOOP('',(#15976,#15977,#15978,#15979)); +#15981=FACE_OUTER_BOUND('',#15980,.F.); +#15983=CARTESIAN_POINT('',(0.E0,0.E0,7.811724784545E0)); +#15984=DIRECTION('',(0.E0,0.E0,1.E0)); +#15985=DIRECTION('',(0.E0,-1.E0,0.E0)); +#15986=AXIS2_PLACEMENT_3D('',#15983,#15984,#15985); +#15987=PLANE('',#15986); +#15988=ORIENTED_EDGE('',*,*,#15920,.T.); +#15989=ORIENTED_EDGE('',*,*,#15939,.F.); +#15990=ORIENTED_EDGE('',*,*,#15683,.F.); +#15991=ORIENTED_EDGE('',*,*,#15791,.F.); +#15992=EDGE_LOOP('',(#15988,#15989,#15990,#15991)); +#15993=FACE_OUTER_BOUND('',#15992,.F.); +#15995=CARTESIAN_POINT('',(9.0583193E1,5.4234799E1,4.711724784545E0)); +#15996=DIRECTION('',(-1.E0,0.E0,0.E0)); +#15997=DIRECTION('',(0.E0,0.E0,1.E0)); +#15998=AXIS2_PLACEMENT_3D('',#15995,#15996,#15997); +#15999=PLANE('',#15998); +#16000=ORIENTED_EDGE('',*,*,#15858,.T.); +#16001=ORIENTED_EDGE('',*,*,#15878,.T.); +#16002=ORIENTED_EDGE('',*,*,#15891,.T.); +#16003=ORIENTED_EDGE('',*,*,#15904,.F.); +#16004=ORIENTED_EDGE('',*,*,#15823,.F.); +#16005=EDGE_LOOP('',(#16000,#16001,#16002,#16003,#16004)); +#16006=FACE_OUTER_BOUND('',#16005,.F.); +#16008=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16009=DIRECTION('',(0.E0,0.E0,1.E0)); +#16010=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16011=AXIS2_PLACEMENT_3D('',#16008,#16009,#16010); +#16012=PLANE('',#16011); +#16013=ORIENTED_EDGE('',*,*,#15699,.T.); +#16015=ORIENTED_EDGE('',*,*,#16014,.F.); +#16017=ORIENTED_EDGE('',*,*,#16016,.F.); +#16019=ORIENTED_EDGE('',*,*,#16018,.F.); +#16020=EDGE_LOOP('',(#16013,#16015,#16017,#16019)); +#16021=FACE_OUTER_BOUND('',#16020,.F.); +#16023=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16024=DIRECTION('',(0.E0,0.E0,1.E0)); +#16025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16026=AXIS2_PLACEMENT_3D('',#16023,#16024,#16025); +#16027=PLANE('',#16026); +#16028=ORIENTED_EDGE('',*,*,#15693,.T.); +#16029=ORIENTED_EDGE('',*,*,#15639,.F.); +#16030=ORIENTED_EDGE('',*,*,#15654,.F.); +#16031=ORIENTED_EDGE('',*,*,#15668,.F.); +#16032=EDGE_LOOP('',(#16028,#16029,#16030,#16031)); +#16033=FACE_OUTER_BOUND('',#16032,.F.); +#16035=CARTESIAN_POINT('',(9.2363193E1,4.9084804E1,4.311724784545E0)); +#16036=DIRECTION('',(1.E0,0.E0,0.E0)); +#16037=DIRECTION('',(0.E0,1.E0,0.E0)); +#16038=AXIS2_PLACEMENT_3D('',#16035,#16036,#16037); +#16039=PLANE('',#16038); +#16040=ORIENTED_EDGE('',*,*,#11626,.F.); +#16042=ORIENTED_EDGE('',*,*,#16041,.T.); +#16043=ORIENTED_EDGE('',*,*,#16014,.T.); +#16044=ORIENTED_EDGE('',*,*,#15697,.F.); +#16045=EDGE_LOOP('',(#16040,#16042,#16043,#16044)); +#16046=FACE_OUTER_BOUND('',#16045,.F.); +#16048=CARTESIAN_POINT('',(9.1663195E1,4.9084804E1,4.311724784545E0)); +#16049=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16050=DIRECTION('',(1.E0,0.E0,0.E0)); +#16051=AXIS2_PLACEMENT_3D('',#16048,#16049,#16050); +#16052=PLANE('',#16051); +#16053=ORIENTED_EDGE('',*,*,#11624,.F.); +#16055=ORIENTED_EDGE('',*,*,#16054,.T.); +#16056=ORIENTED_EDGE('',*,*,#16016,.T.); +#16057=ORIENTED_EDGE('',*,*,#16041,.F.); +#16058=EDGE_LOOP('',(#16053,#16055,#16056,#16057)); +#16059=FACE_OUTER_BOUND('',#16058,.F.); +#16061=CARTESIAN_POINT('',(9.1663195E1,5.0584798E1,4.311724784545E0)); +#16062=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16063=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16064=AXIS2_PLACEMENT_3D('',#16061,#16062,#16063); +#16065=PLANE('',#16064); +#16066=ORIENTED_EDGE('',*,*,#11622,.F.); +#16067=ORIENTED_EDGE('',*,*,#15701,.T.); +#16068=ORIENTED_EDGE('',*,*,#16018,.T.); +#16069=ORIENTED_EDGE('',*,*,#16054,.F.); +#16070=EDGE_LOOP('',(#16066,#16067,#16068,#16069)); +#16071=FACE_OUTER_BOUND('',#16070,.F.); +#16073=CARTESIAN_POINT('',(1.046527925E2,6.1730402E1,4.311724784545E0)); +#16074=DIRECTION('',(0.E0,0.E0,1.E0)); +#16075=DIRECTION('',(1.E0,0.E0,0.E0)); +#16076=AXIS2_PLACEMENT_3D('',#16073,#16074,#16075); +#16077=CYLINDRICAL_SURFACE('',#16076,6.01599E-1); +#16078=ORIENTED_EDGE('',*,*,#11636,.T.); +#16080=ORIENTED_EDGE('',*,*,#16079,.T.); +#16082=ORIENTED_EDGE('',*,*,#16081,.T.); +#16084=ORIENTED_EDGE('',*,*,#16083,.F.); +#16085=EDGE_LOOP('',(#16078,#16080,#16082,#16084)); +#16086=FACE_OUTER_BOUND('',#16085,.F.); +#16088=CARTESIAN_POINT('',(1.04952794E2,5.6780403E1,4.311724784545E0)); +#16089=DIRECTION('',(9.999999999999E-1,4.347829856701E-7,0.E0)); +#16090=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); +#16091=AXIS2_PLACEMENT_3D('',#16088,#16089,#16090); +#16092=PLANE('',#16091); +#16094=ORIENTED_EDGE('',*,*,#16093,.F.); +#16096=ORIENTED_EDGE('',*,*,#16095,.T.); +#16098=ORIENTED_EDGE('',*,*,#16097,.T.); +#16100=ORIENTED_EDGE('',*,*,#16099,.F.); +#16102=ORIENTED_EDGE('',*,*,#16101,.F.); +#16103=ORIENTED_EDGE('',*,*,#16079,.F.); +#16104=ORIENTED_EDGE('',*,*,#11654,.F.); +#16106=ORIENTED_EDGE('',*,*,#16105,.T.); +#16107=EDGE_LOOP('',(#16094,#16096,#16098,#16100,#16102,#16103,#16104,#16106)); +#16108=FACE_OUTER_BOUND('',#16107,.F.); +#16110=ORIENTED_EDGE('',*,*,#16109,.T.); +#16112=ORIENTED_EDGE('',*,*,#16111,.T.); +#16114=ORIENTED_EDGE('',*,*,#16113,.T.); +#16116=ORIENTED_EDGE('',*,*,#16115,.T.); +#16117=EDGE_LOOP('',(#16110,#16112,#16114,#16116)); +#16118=FACE_BOUND('',#16117,.F.); +#16120=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,5.711724784545E0)); +#16121=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); +#16122=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16123=AXIS2_PLACEMENT_3D('',#16120,#16121,#16122); +#16124=PLANE('',#16123); +#16125=ORIENTED_EDGE('',*,*,#16109,.F.); +#16127=ORIENTED_EDGE('',*,*,#16126,.T.); +#16129=ORIENTED_EDGE('',*,*,#16128,.T.); +#16131=ORIENTED_EDGE('',*,*,#16130,.F.); +#16132=EDGE_LOOP('',(#16125,#16127,#16129,#16131)); +#16133=FACE_OUTER_BOUND('',#16132,.F.); +#16135=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,5.711724784545E0)); +#16136=DIRECTION('',(0.E0,0.E0,1.E0)); +#16137=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); +#16138=AXIS2_PLACEMENT_3D('',#16135,#16136,#16137); +#16139=PLANE('',#16138); +#16140=ORIENTED_EDGE('',*,*,#16115,.F.); +#16142=ORIENTED_EDGE('',*,*,#16141,.T.); +#16144=ORIENTED_EDGE('',*,*,#16143,.T.); +#16145=ORIENTED_EDGE('',*,*,#16126,.F.); +#16146=EDGE_LOOP('',(#16140,#16142,#16144,#16145)); +#16147=FACE_OUTER_BOUND('',#16146,.F.); +#16149=CARTESIAN_POINT('',(1.049527925978E2,6.0005401E1,4.911724784545E0)); +#16150=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); +#16151=DIRECTION('',(0.E0,0.E0,1.E0)); +#16152=AXIS2_PLACEMENT_3D('',#16149,#16150,#16151); +#16153=PLANE('',#16152); +#16154=ORIENTED_EDGE('',*,*,#16113,.F.); +#16156=ORIENTED_EDGE('',*,*,#16155,.T.); +#16158=ORIENTED_EDGE('',*,*,#16157,.T.); +#16159=ORIENTED_EDGE('',*,*,#16141,.F.); +#16160=EDGE_LOOP('',(#16154,#16156,#16158,#16159)); +#16161=FACE_OUTER_BOUND('',#16160,.F.); +#16163=CARTESIAN_POINT('',(1.049527934022E2,5.8155401E1,4.911724784545E0)); +#16164=DIRECTION('',(0.E0,0.E0,-1.E0)); +#16165=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); +#16166=AXIS2_PLACEMENT_3D('',#16163,#16164,#16165); +#16167=PLANE('',#16166); +#16168=ORIENTED_EDGE('',*,*,#16111,.F.); +#16169=ORIENTED_EDGE('',*,*,#16130,.T.); +#16171=ORIENTED_EDGE('',*,*,#16170,.T.); +#16172=ORIENTED_EDGE('',*,*,#16155,.F.); +#16173=EDGE_LOOP('',(#16168,#16169,#16171,#16172)); +#16174=FACE_OUTER_BOUND('',#16173,.F.); +#16176=CARTESIAN_POINT('',(1.060728186871E2,4.611865680622E-5, +6.111724784545E0)); +#16177=DIRECTION('',(9.999999999999E-1,4.347829856701E-7,0.E0)); +#16178=DIRECTION('',(-4.347829856701E-7,9.999999999999E-1,0.E0)); +#16179=AXIS2_PLACEMENT_3D('',#16176,#16177,#16178); +#16180=PLANE('',#16179); +#16181=ORIENTED_EDGE('',*,*,#16128,.F.); +#16182=ORIENTED_EDGE('',*,*,#16143,.F.); +#16183=ORIENTED_EDGE('',*,*,#16157,.F.); +#16184=ORIENTED_EDGE('',*,*,#16170,.F.); +#16185=EDGE_LOOP('',(#16181,#16182,#16183,#16184)); +#16186=FACE_OUTER_BOUND('',#16185,.F.); +#16188=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16189=DIRECTION('',(0.E0,0.E0,1.E0)); +#16190=DIRECTION('',(1.E0,0.E0,0.E0)); +#16191=AXIS2_PLACEMENT_3D('',#16188,#16189,#16190); +#16192=PLANE('',#16191); +#16194=ORIENTED_EDGE('',*,*,#16193,.T.); +#16195=ORIENTED_EDGE('',*,*,#16093,.T.); +#16197=ORIENTED_EDGE('',*,*,#16196,.F.); +#16198=EDGE_LOOP('',(#16194,#16195,#16197)); +#16199=FACE_OUTER_BOUND('',#16198,.F.); +#16201=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16202=DIRECTION('',(0.E0,0.E0,1.E0)); +#16203=DIRECTION('',(1.E0,0.E0,0.E0)); +#16204=AXIS2_PLACEMENT_3D('',#16201,#16202,#16203); +#16205=PLANE('',#16204); +#16206=ORIENTED_EDGE('',*,*,#16101,.T.); +#16208=ORIENTED_EDGE('',*,*,#16207,.T.); +#16209=ORIENTED_EDGE('',*,*,#16081,.F.); +#16210=EDGE_LOOP('',(#16206,#16208,#16209)); +#16211=FACE_OUTER_BOUND('',#16210,.F.); +#16213=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16214=DIRECTION('',(0.E0,0.E0,1.E0)); +#16215=DIRECTION('',(1.E0,0.E0,0.E0)); +#16216=AXIS2_PLACEMENT_3D('',#16213,#16214,#16215); +#16217=PLANE('',#16216); +#16219=ORIENTED_EDGE('',*,*,#16218,.T.); +#16221=ORIENTED_EDGE('',*,*,#16220,.F.); +#16222=EDGE_LOOP('',(#16219,#16221)); +#16223=FACE_OUTER_BOUND('',#16222,.F.); +#16225=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16226=DIRECTION('',(0.E0,0.E0,1.E0)); +#16227=DIRECTION('',(1.E0,0.E0,0.E0)); +#16228=AXIS2_PLACEMENT_3D('',#16225,#16226,#16227); +#16229=PLANE('',#16228); +#16231=ORIENTED_EDGE('',*,*,#16230,.T.); +#16233=ORIENTED_EDGE('',*,*,#16232,.F.); +#16234=EDGE_LOOP('',(#16231,#16233)); +#16235=FACE_OUTER_BOUND('',#16234,.F.); +#16237=CARTESIAN_POINT('',(1.02652794E2,5.6780402E1,4.311724784545E0)); +#16238=DIRECTION('',(4.347829844941E-7,-9.999999999999E-1,0.E0)); +#16239=DIRECTION('',(9.999999999999E-1,4.347829844941E-7,0.E0)); +#16240=AXIS2_PLACEMENT_3D('',#16237,#16238,#16239); +#16241=PLANE('',#16240); +#16242=ORIENTED_EDGE('',*,*,#16193,.F.); +#16244=ORIENTED_EDGE('',*,*,#16243,.F.); +#16245=ORIENTED_EDGE('',*,*,#11650,.F.); +#16247=ORIENTED_EDGE('',*,*,#16246,.T.); +#16249=ORIENTED_EDGE('',*,*,#16248,.T.); +#16250=ORIENTED_EDGE('',*,*,#16095,.F.); +#16251=EDGE_LOOP('',(#16242,#16244,#16245,#16247,#16249,#16250)); +#16252=FACE_OUTER_BOUND('',#16251,.F.); +#16254=CARTESIAN_POINT('',(1.046527925E2,5.6430398E1,4.311724784545E0)); +#16255=DIRECTION('',(0.E0,0.E0,1.E0)); +#16256=DIRECTION('',(1.E0,0.E0,0.E0)); +#16257=AXIS2_PLACEMENT_3D('',#16254,#16255,#16256); +#16258=CYLINDRICAL_SURFACE('',#16257,6.01599E-1); +#16259=ORIENTED_EDGE('',*,*,#11652,.T.); +#16260=ORIENTED_EDGE('',*,*,#16243,.T.); +#16261=ORIENTED_EDGE('',*,*,#16196,.T.); +#16262=ORIENTED_EDGE('',*,*,#16105,.F.); +#16263=EDGE_LOOP('',(#16259,#16260,#16261,#16262)); +#16264=FACE_OUTER_BOUND('',#16263,.F.); +#16266=CARTESIAN_POINT('',(1.02652792E2,6.1380398E1,4.311724784545E0)); +#16267=DIRECTION('',(-9.999999999999E-1,-4.347829856701E-7,0.E0)); +#16268=DIRECTION('',(4.347829856701E-7,-9.999999999999E-1,0.E0)); +#16269=AXIS2_PLACEMENT_3D('',#16266,#16267,#16268); +#16270=PLANE('',#16269); +#16271=ORIENTED_EDGE('',*,*,#16218,.F.); +#16273=ORIENTED_EDGE('',*,*,#16272,.F.); +#16274=ORIENTED_EDGE('',*,*,#11640,.F.); +#16276=ORIENTED_EDGE('',*,*,#16275,.T.); +#16278=ORIENTED_EDGE('',*,*,#16277,.T.); +#16279=ORIENTED_EDGE('',*,*,#16246,.F.); +#16280=ORIENTED_EDGE('',*,*,#11648,.F.); +#16282=ORIENTED_EDGE('',*,*,#16281,.T.); +#16283=ORIENTED_EDGE('',*,*,#16230,.F.); +#16285=ORIENTED_EDGE('',*,*,#16284,.F.); +#16286=ORIENTED_EDGE('',*,*,#11644,.F.); +#16288=ORIENTED_EDGE('',*,*,#16287,.T.); +#16289=EDGE_LOOP('',(#16271,#16273,#16274,#16276,#16278,#16279,#16280,#16282, +#16283,#16285,#16286,#16288)); +#16290=FACE_OUTER_BOUND('',#16289,.F.); +#16292=CARTESIAN_POINT('',(1.024027875E2,6.0780397E1,4.311724784545E0)); +#16293=DIRECTION('',(0.E0,0.E0,1.E0)); +#16294=DIRECTION('',(1.E0,0.E0,0.E0)); +#16295=AXIS2_PLACEMENT_3D('',#16292,#16293,#16294); +#16296=CYLINDRICAL_SURFACE('',#16295,5.516E-1); +#16297=ORIENTED_EDGE('',*,*,#16220,.T.); +#16298=ORIENTED_EDGE('',*,*,#16287,.F.); +#16299=ORIENTED_EDGE('',*,*,#11642,.T.); +#16300=ORIENTED_EDGE('',*,*,#16272,.T.); +#16301=EDGE_LOOP('',(#16297,#16298,#16299,#16300)); +#16302=FACE_OUTER_BOUND('',#16301,.F.); +#16304=CARTESIAN_POINT('',(1.04952792E2,6.1380399E1,4.311724784545E0)); +#16305=DIRECTION('',(-4.347829844941E-7,9.999999999999E-1,0.E0)); +#16306=DIRECTION('',(-9.999999999999E-1,-4.347829844941E-7,0.E0)); +#16307=AXIS2_PLACEMENT_3D('',#16304,#16305,#16306); +#16308=PLANE('',#16307); +#16309=ORIENTED_EDGE('',*,*,#16207,.F.); +#16310=ORIENTED_EDGE('',*,*,#16099,.T.); +#16312=ORIENTED_EDGE('',*,*,#16311,.T.); +#16313=ORIENTED_EDGE('',*,*,#16275,.F.); +#16314=ORIENTED_EDGE('',*,*,#11638,.F.); +#16315=ORIENTED_EDGE('',*,*,#16083,.T.); +#16316=EDGE_LOOP('',(#16309,#16310,#16312,#16313,#16314,#16315)); +#16317=FACE_OUTER_BOUND('',#16316,.F.); +#16319=CARTESIAN_POINT('',(0.E0,0.E0,6.111724784545E0)); +#16320=DIRECTION('',(0.E0,0.E0,1.E0)); +#16321=DIRECTION('',(0.E0,1.E0,0.E0)); +#16322=AXIS2_PLACEMENT_3D('',#16319,#16320,#16321); +#16323=PLANE('',#16322); +#16324=ORIENTED_EDGE('',*,*,#16248,.F.); +#16325=ORIENTED_EDGE('',*,*,#16277,.F.); +#16326=ORIENTED_EDGE('',*,*,#16311,.F.); +#16327=ORIENTED_EDGE('',*,*,#16097,.F.); +#16328=EDGE_LOOP('',(#16324,#16325,#16326,#16327)); +#16329=FACE_OUTER_BOUND('',#16328,.F.); +#16331=CARTESIAN_POINT('',(1.0240279E2,5.7380401E1,4.311724784545E0)); +#16332=DIRECTION('',(0.E0,0.E0,1.E0)); +#16333=DIRECTION('',(1.E0,0.E0,0.E0)); +#16334=AXIS2_PLACEMENT_3D('',#16331,#16332,#16333); +#16335=CYLINDRICAL_SURFACE('',#16334,5.516005E-1); +#16336=ORIENTED_EDGE('',*,*,#16232,.T.); +#16337=ORIENTED_EDGE('',*,*,#16281,.F.); +#16338=ORIENTED_EDGE('',*,*,#11646,.T.); +#16339=ORIENTED_EDGE('',*,*,#16284,.T.); +#16340=EDGE_LOOP('',(#16336,#16337,#16338,#16339)); +#16341=FACE_OUTER_BOUND('',#16340,.F.); +#16343=CARTESIAN_POINT('',(9.52246E1,7.69366E1,4.311724784545E0)); +#16344=DIRECTION('',(0.E0,1.E0,0.E0)); +#16345=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16346=AXIS2_PLACEMENT_3D('',#16343,#16344,#16345); +#16347=PLANE('',#16346); +#16348=ORIENTED_EDGE('',*,*,#11658,.F.); +#16350=ORIENTED_EDGE('',*,*,#16349,.T.); +#16352=ORIENTED_EDGE('',*,*,#16351,.T.); +#16354=ORIENTED_EDGE('',*,*,#16353,.F.); +#16355=EDGE_LOOP('',(#16348,#16350,#16352,#16354)); +#16356=FACE_OUTER_BOUND('',#16355,.F.); +#16358=CARTESIAN_POINT('',(9.52246E1,7.21106E1,4.311724784545E0)); +#16359=DIRECTION('',(1.E0,0.E0,0.E0)); +#16360=DIRECTION('',(0.E0,1.E0,0.E0)); +#16361=AXIS2_PLACEMENT_3D('',#16358,#16359,#16360); +#16362=PLANE('',#16361); +#16363=ORIENTED_EDGE('',*,*,#11664,.F.); +#16365=ORIENTED_EDGE('',*,*,#16364,.T.); +#16367=ORIENTED_EDGE('',*,*,#16366,.T.); +#16368=ORIENTED_EDGE('',*,*,#16349,.F.); +#16369=EDGE_LOOP('',(#16363,#16365,#16367,#16368)); +#16370=FACE_OUTER_BOUND('',#16369,.F.); +#16372=ORIENTED_EDGE('',*,*,#16371,.F.); +#16374=ORIENTED_EDGE('',*,*,#16373,.T.); +#16376=ORIENTED_EDGE('',*,*,#16375,.T.); +#16378=ORIENTED_EDGE('',*,*,#16377,.F.); +#16379=EDGE_LOOP('',(#16372,#16374,#16376,#16378)); +#16380=FACE_BOUND('',#16379,.F.); +#16382=ORIENTED_EDGE('',*,*,#16381,.F.); +#16384=ORIENTED_EDGE('',*,*,#16383,.T.); +#16386=ORIENTED_EDGE('',*,*,#16385,.T.); +#16388=ORIENTED_EDGE('',*,*,#16387,.F.); +#16389=EDGE_LOOP('',(#16382,#16384,#16386,#16388)); +#16390=FACE_BOUND('',#16389,.F.); +#16392=ORIENTED_EDGE('',*,*,#16391,.F.); +#16394=ORIENTED_EDGE('',*,*,#16393,.T.); +#16396=ORIENTED_EDGE('',*,*,#16395,.T.); +#16398=ORIENTED_EDGE('',*,*,#16397,.F.); +#16399=EDGE_LOOP('',(#16392,#16394,#16396,#16398)); +#16400=FACE_BOUND('',#16399,.F.); +#16402=ORIENTED_EDGE('',*,*,#16401,.F.); +#16404=ORIENTED_EDGE('',*,*,#16403,.T.); +#16406=ORIENTED_EDGE('',*,*,#16405,.T.); +#16408=ORIENTED_EDGE('',*,*,#16407,.F.); +#16409=EDGE_LOOP('',(#16402,#16404,#16406,#16408)); +#16410=FACE_BOUND('',#16409,.F.); +#16412=CARTESIAN_POINT('',(9.01446E1,7.21106E1,4.311724784545E0)); +#16413=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16414=DIRECTION('',(1.E0,0.E0,0.E0)); +#16415=AXIS2_PLACEMENT_3D('',#16412,#16413,#16414); +#16416=PLANE('',#16415); +#16417=ORIENTED_EDGE('',*,*,#11662,.F.); +#16419=ORIENTED_EDGE('',*,*,#16418,.T.); +#16421=ORIENTED_EDGE('',*,*,#16420,.T.); +#16422=ORIENTED_EDGE('',*,*,#16364,.F.); +#16423=EDGE_LOOP('',(#16417,#16419,#16421,#16422)); +#16424=FACE_OUTER_BOUND('',#16423,.F.); +#16426=CARTESIAN_POINT('',(9.01446E1,7.69366E1,4.311724784545E0)); +#16427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16428=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16429=AXIS2_PLACEMENT_3D('',#16426,#16427,#16428); +#16430=PLANE('',#16429); +#16431=ORIENTED_EDGE('',*,*,#11660,.F.); +#16432=ORIENTED_EDGE('',*,*,#16353,.T.); +#16434=ORIENTED_EDGE('',*,*,#16433,.T.); +#16435=ORIENTED_EDGE('',*,*,#16418,.F.); +#16436=EDGE_LOOP('',(#16431,#16432,#16434,#16435)); +#16437=FACE_OUTER_BOUND('',#16436,.F.); +#16439=ORIENTED_EDGE('',*,*,#16438,.T.); +#16441=ORIENTED_EDGE('',*,*,#16440,.T.); +#16443=ORIENTED_EDGE('',*,*,#16442,.F.); +#16445=ORIENTED_EDGE('',*,*,#16444,.F.); +#16446=EDGE_LOOP('',(#16439,#16441,#16443,#16445)); +#16447=FACE_BOUND('',#16446,.F.); +#16449=ORIENTED_EDGE('',*,*,#16448,.T.); +#16451=ORIENTED_EDGE('',*,*,#16450,.T.); +#16453=ORIENTED_EDGE('',*,*,#16452,.F.); +#16455=ORIENTED_EDGE('',*,*,#16454,.F.); +#16456=EDGE_LOOP('',(#16449,#16451,#16453,#16455)); +#16457=FACE_BOUND('',#16456,.F.); +#16459=ORIENTED_EDGE('',*,*,#16458,.T.); +#16461=ORIENTED_EDGE('',*,*,#16460,.T.); +#16463=ORIENTED_EDGE('',*,*,#16462,.F.); +#16465=ORIENTED_EDGE('',*,*,#16464,.F.); +#16466=EDGE_LOOP('',(#16459,#16461,#16463,#16465)); +#16467=FACE_BOUND('',#16466,.F.); +#16469=ORIENTED_EDGE('',*,*,#16468,.T.); +#16471=ORIENTED_EDGE('',*,*,#16470,.T.); +#16473=ORIENTED_EDGE('',*,*,#16472,.F.); +#16475=ORIENTED_EDGE('',*,*,#16474,.F.); +#16476=EDGE_LOOP('',(#16469,#16471,#16473,#16475)); +#16477=FACE_BOUND('',#16476,.F.); +#16479=CARTESIAN_POINT('',(0.E0,0.E0,6.311724784545E0)); +#16480=DIRECTION('',(0.E0,0.E0,1.E0)); +#16481=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16482=AXIS2_PLACEMENT_3D('',#16479,#16480,#16481); +#16483=PLANE('',#16482); +#16484=ORIENTED_EDGE('',*,*,#16351,.F.); +#16485=ORIENTED_EDGE('',*,*,#16366,.F.); +#16486=ORIENTED_EDGE('',*,*,#16420,.F.); +#16487=ORIENTED_EDGE('',*,*,#16433,.F.); +#16488=EDGE_LOOP('',(#16484,#16485,#16486,#16487)); +#16489=FACE_OUTER_BOUND('',#16488,.F.); +#16491=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); +#16492=DIRECTION('',(0.E0,0.E0,1.E0)); +#16493=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16494=AXIS2_PLACEMENT_3D('',#16491,#16492,#16493); +#16495=PLANE('',#16494); +#16496=ORIENTED_EDGE('',*,*,#16438,.F.); +#16498=ORIENTED_EDGE('',*,*,#16497,.T.); +#16500=ORIENTED_EDGE('',*,*,#16499,.F.); +#16502=ORIENTED_EDGE('',*,*,#16501,.T.); +#16503=EDGE_LOOP('',(#16496,#16498,#16500,#16502)); +#16504=FACE_OUTER_BOUND('',#16503,.F.); +#16506=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); +#16507=DIRECTION('',(0.E0,0.E0,1.E0)); +#16508=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16509=AXIS2_PLACEMENT_3D('',#16506,#16507,#16508); +#16510=PLANE('',#16509); +#16511=ORIENTED_EDGE('',*,*,#16448,.F.); +#16513=ORIENTED_EDGE('',*,*,#16512,.T.); +#16515=ORIENTED_EDGE('',*,*,#16514,.F.); +#16517=ORIENTED_EDGE('',*,*,#16516,.T.); +#16518=EDGE_LOOP('',(#16511,#16513,#16515,#16517)); +#16519=FACE_OUTER_BOUND('',#16518,.F.); +#16521=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); +#16522=DIRECTION('',(0.E0,0.E0,1.E0)); +#16523=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16524=AXIS2_PLACEMENT_3D('',#16521,#16522,#16523); +#16525=PLANE('',#16524); +#16526=ORIENTED_EDGE('',*,*,#16458,.F.); +#16528=ORIENTED_EDGE('',*,*,#16527,.T.); +#16530=ORIENTED_EDGE('',*,*,#16529,.F.); +#16532=ORIENTED_EDGE('',*,*,#16531,.T.); +#16533=EDGE_LOOP('',(#16526,#16528,#16530,#16532)); +#16534=FACE_OUTER_BOUND('',#16533,.F.); +#16536=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); +#16537=DIRECTION('',(0.E0,0.E0,1.E0)); +#16538=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16539=AXIS2_PLACEMENT_3D('',#16536,#16537,#16538); +#16540=PLANE('',#16539); +#16541=ORIENTED_EDGE('',*,*,#16468,.F.); +#16543=ORIENTED_EDGE('',*,*,#16542,.T.); +#16545=ORIENTED_EDGE('',*,*,#16544,.F.); +#16547=ORIENTED_EDGE('',*,*,#16546,.T.); +#16548=EDGE_LOOP('',(#16541,#16543,#16545,#16547)); +#16549=FACE_OUTER_BOUND('',#16548,.F.); +#16551=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); +#16552=DIRECTION('',(0.E0,0.E0,1.E0)); +#16553=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16554=AXIS2_PLACEMENT_3D('',#16551,#16552,#16553); +#16555=PLANE('',#16554); +#16556=ORIENTED_EDGE('',*,*,#16371,.T.); +#16558=ORIENTED_EDGE('',*,*,#16557,.T.); +#16560=ORIENTED_EDGE('',*,*,#16559,.F.); +#16562=ORIENTED_EDGE('',*,*,#16561,.T.); +#16563=EDGE_LOOP('',(#16556,#16558,#16560,#16562)); +#16564=FACE_OUTER_BOUND('',#16563,.F.); +#16566=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); +#16567=DIRECTION('',(0.E0,0.E0,1.E0)); +#16568=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16569=AXIS2_PLACEMENT_3D('',#16566,#16567,#16568); +#16570=PLANE('',#16569); +#16571=ORIENTED_EDGE('',*,*,#16381,.T.); +#16573=ORIENTED_EDGE('',*,*,#16572,.T.); +#16575=ORIENTED_EDGE('',*,*,#16574,.F.); +#16577=ORIENTED_EDGE('',*,*,#16576,.T.); +#16578=EDGE_LOOP('',(#16571,#16573,#16575,#16577)); +#16579=FACE_OUTER_BOUND('',#16578,.F.); +#16581=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); +#16582=DIRECTION('',(0.E0,0.E0,1.E0)); +#16583=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16584=AXIS2_PLACEMENT_3D('',#16581,#16582,#16583); +#16585=PLANE('',#16584); +#16586=ORIENTED_EDGE('',*,*,#16391,.T.); +#16588=ORIENTED_EDGE('',*,*,#16587,.T.); +#16590=ORIENTED_EDGE('',*,*,#16589,.F.); +#16592=ORIENTED_EDGE('',*,*,#16591,.T.); +#16593=EDGE_LOOP('',(#16586,#16588,#16590,#16592)); +#16594=FACE_OUTER_BOUND('',#16593,.F.); +#16596=CARTESIAN_POINT('',(0.E0,0.E0,5.311724784545E0)); +#16597=DIRECTION('',(0.E0,0.E0,1.E0)); +#16598=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16599=AXIS2_PLACEMENT_3D('',#16596,#16597,#16598); +#16600=PLANE('',#16599); +#16601=ORIENTED_EDGE('',*,*,#16401,.T.); +#16603=ORIENTED_EDGE('',*,*,#16602,.T.); +#16605=ORIENTED_EDGE('',*,*,#16604,.F.); +#16607=ORIENTED_EDGE('',*,*,#16606,.T.); +#16608=EDGE_LOOP('',(#16601,#16603,#16605,#16607)); +#16609=FACE_OUTER_BOUND('',#16608,.F.); +#16611=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.311724784545E0)); +#16612=DIRECTION('',(0.E0,1.E0,0.E0)); +#16613=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16614=AXIS2_PLACEMENT_3D('',#16611,#16612,#16613); +#16615=PLANE('',#16614); +#16617=ORIENTED_EDGE('',*,*,#16616,.F.); +#16619=ORIENTED_EDGE('',*,*,#16618,.T.); +#16621=ORIENTED_EDGE('',*,*,#16620,.F.); +#16622=ORIENTED_EDGE('',*,*,#11672,.T.); +#16624=ORIENTED_EDGE('',*,*,#16623,.T.); +#16625=ORIENTED_EDGE('',*,*,#16497,.F.); +#16626=ORIENTED_EDGE('',*,*,#16444,.T.); +#16628=ORIENTED_EDGE('',*,*,#16627,.T.); +#16629=EDGE_LOOP('',(#16617,#16619,#16621,#16622,#16624,#16625,#16626,#16628)); +#16630=FACE_OUTER_BOUND('',#16629,.F.); +#16632=CARTESIAN_POINT('',(9.73836E1,7.6835E1,4.311724784545E0)); +#16633=DIRECTION('',(0.E0,1.E0,0.E0)); +#16634=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16635=AXIS2_PLACEMENT_3D('',#16632,#16633,#16634); +#16636=PLANE('',#16635); +#16638=ORIENTED_EDGE('',*,*,#16637,.T.); +#16640=ORIENTED_EDGE('',*,*,#16639,.T.); +#16641=ORIENTED_EDGE('',*,*,#16373,.F.); +#16642=ORIENTED_EDGE('',*,*,#16561,.F.); +#16644=ORIENTED_EDGE('',*,*,#16643,.F.); +#16645=ORIENTED_EDGE('',*,*,#11708,.T.); +#16647=ORIENTED_EDGE('',*,*,#16646,.T.); +#16649=ORIENTED_EDGE('',*,*,#16648,.T.); +#16650=EDGE_LOOP('',(#16638,#16640,#16641,#16642,#16644,#16645,#16647,#16649)); +#16651=FACE_OUTER_BOUND('',#16650,.F.); +#16653=CARTESIAN_POINT('',(8.92556E1,7.6835E1,4.311724784545E0)); +#16654=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16655=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16656=AXIS2_PLACEMENT_3D('',#16653,#16654,#16655); +#16657=PLANE('',#16656); +#16658=ORIENTED_EDGE('',*,*,#16616,.T.); +#16660=ORIENTED_EDGE('',*,*,#16659,.T.); +#16662=ORIENTED_EDGE('',*,*,#16661,.T.); +#16664=ORIENTED_EDGE('',*,*,#16663,.F.); +#16665=EDGE_LOOP('',(#16658,#16660,#16662,#16664)); +#16666=FACE_OUTER_BOUND('',#16665,.F.); +#16668=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); +#16669=DIRECTION('',(0.E0,0.E0,1.E0)); +#16670=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16671=AXIS2_PLACEMENT_3D('',#16668,#16669,#16670); +#16672=PLANE('',#16671); +#16673=ORIENTED_EDGE('',*,*,#16442,.T.); +#16675=ORIENTED_EDGE('',*,*,#16674,.F.); +#16676=ORIENTED_EDGE('',*,*,#16659,.F.); +#16677=ORIENTED_EDGE('',*,*,#16627,.F.); +#16678=EDGE_LOOP('',(#16673,#16675,#16676,#16677)); +#16679=FACE_OUTER_BOUND('',#16678,.F.); +#16681=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); +#16682=DIRECTION('',(0.E0,0.E0,1.E0)); +#16683=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16684=AXIS2_PLACEMENT_3D('',#16681,#16682,#16683); +#16685=PLANE('',#16684); +#16686=ORIENTED_EDGE('',*,*,#16452,.T.); +#16688=ORIENTED_EDGE('',*,*,#16687,.F.); +#16690=ORIENTED_EDGE('',*,*,#16689,.F.); +#16692=ORIENTED_EDGE('',*,*,#16691,.F.); +#16693=EDGE_LOOP('',(#16686,#16688,#16690,#16692)); +#16694=FACE_OUTER_BOUND('',#16693,.F.); +#16696=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); +#16697=DIRECTION('',(0.E0,0.E0,1.E0)); +#16698=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16699=AXIS2_PLACEMENT_3D('',#16696,#16697,#16698); +#16700=PLANE('',#16699); +#16701=ORIENTED_EDGE('',*,*,#16462,.T.); +#16703=ORIENTED_EDGE('',*,*,#16702,.F.); +#16705=ORIENTED_EDGE('',*,*,#16704,.F.); +#16707=ORIENTED_EDGE('',*,*,#16706,.F.); +#16708=EDGE_LOOP('',(#16701,#16703,#16705,#16707)); +#16709=FACE_OUTER_BOUND('',#16708,.F.); +#16711=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); +#16712=DIRECTION('',(0.E0,0.E0,1.E0)); +#16713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16714=AXIS2_PLACEMENT_3D('',#16711,#16712,#16713); +#16715=PLANE('',#16714); +#16716=ORIENTED_EDGE('',*,*,#16472,.T.); +#16718=ORIENTED_EDGE('',*,*,#16717,.F.); +#16720=ORIENTED_EDGE('',*,*,#16719,.F.); +#16722=ORIENTED_EDGE('',*,*,#16721,.F.); +#16723=EDGE_LOOP('',(#16716,#16718,#16720,#16722)); +#16724=FACE_OUTER_BOUND('',#16723,.F.); +#16726=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); +#16727=DIRECTION('',(0.E0,0.E0,1.E0)); +#16728=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16729=AXIS2_PLACEMENT_3D('',#16726,#16727,#16728); +#16730=PLANE('',#16729); +#16731=ORIENTED_EDGE('',*,*,#16375,.F.); +#16732=ORIENTED_EDGE('',*,*,#16639,.F.); +#16734=ORIENTED_EDGE('',*,*,#16733,.F.); +#16736=ORIENTED_EDGE('',*,*,#16735,.F.); +#16737=EDGE_LOOP('',(#16731,#16732,#16734,#16736)); +#16738=FACE_OUTER_BOUND('',#16737,.F.); +#16740=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); +#16741=DIRECTION('',(0.E0,0.E0,1.E0)); +#16742=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16743=AXIS2_PLACEMENT_3D('',#16740,#16741,#16742); +#16744=PLANE('',#16743); +#16745=ORIENTED_EDGE('',*,*,#16385,.F.); +#16747=ORIENTED_EDGE('',*,*,#16746,.F.); +#16749=ORIENTED_EDGE('',*,*,#16748,.F.); +#16751=ORIENTED_EDGE('',*,*,#16750,.F.); +#16752=EDGE_LOOP('',(#16745,#16747,#16749,#16751)); +#16753=FACE_OUTER_BOUND('',#16752,.F.); +#16755=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); +#16756=DIRECTION('',(0.E0,0.E0,1.E0)); +#16757=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16758=AXIS2_PLACEMENT_3D('',#16755,#16756,#16757); +#16759=PLANE('',#16758); +#16760=ORIENTED_EDGE('',*,*,#16395,.F.); +#16762=ORIENTED_EDGE('',*,*,#16761,.F.); +#16764=ORIENTED_EDGE('',*,*,#16763,.F.); +#16766=ORIENTED_EDGE('',*,*,#16765,.F.); +#16767=EDGE_LOOP('',(#16760,#16762,#16764,#16766)); +#16768=FACE_OUTER_BOUND('',#16767,.F.); +#16770=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); +#16771=DIRECTION('',(0.E0,0.E0,1.E0)); +#16772=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16773=AXIS2_PLACEMENT_3D('',#16770,#16771,#16772); +#16774=PLANE('',#16773); +#16775=ORIENTED_EDGE('',*,*,#16405,.F.); +#16777=ORIENTED_EDGE('',*,*,#16776,.F.); +#16779=ORIENTED_EDGE('',*,*,#16778,.F.); +#16781=ORIENTED_EDGE('',*,*,#16780,.F.); +#16782=EDGE_LOOP('',(#16775,#16777,#16779,#16781)); +#16783=FACE_OUTER_BOUND('',#16782,.F.); +#16785=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.311724784545E0)); +#16786=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16787=DIRECTION('',(1.E0,0.E0,0.E0)); +#16788=AXIS2_PLACEMENT_3D('',#16785,#16786,#16787); +#16789=PLANE('',#16788); +#16790=ORIENTED_EDGE('',*,*,#16501,.F.); +#16792=ORIENTED_EDGE('',*,*,#16791,.F.); +#16793=ORIENTED_EDGE('',*,*,#11668,.T.); +#16795=ORIENTED_EDGE('',*,*,#16794,.T.); +#16797=ORIENTED_EDGE('',*,*,#16796,.T.); +#16798=ORIENTED_EDGE('',*,*,#16661,.F.); +#16799=ORIENTED_EDGE('',*,*,#16674,.T.); +#16800=ORIENTED_EDGE('',*,*,#16440,.F.); +#16801=EDGE_LOOP('',(#16790,#16792,#16793,#16795,#16797,#16798,#16799,#16800)); +#16802=FACE_OUTER_BOUND('',#16801,.F.); +#16804=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.311724784545E0)); +#16805=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16806=DIRECTION('',(1.E0,0.E0,0.E0)); +#16807=AXIS2_PLACEMENT_3D('',#16804,#16805,#16806); +#16808=PLANE('',#16807); +#16810=ORIENTED_EDGE('',*,*,#16809,.T.); +#16811=ORIENTED_EDGE('',*,*,#16557,.F.); +#16812=ORIENTED_EDGE('',*,*,#16377,.T.); +#16813=ORIENTED_EDGE('',*,*,#16735,.T.); +#16815=ORIENTED_EDGE('',*,*,#16814,.F.); +#16817=ORIENTED_EDGE('',*,*,#16816,.T.); +#16819=ORIENTED_EDGE('',*,*,#16818,.F.); +#16820=ORIENTED_EDGE('',*,*,#11712,.T.); +#16821=EDGE_LOOP('',(#16810,#16811,#16812,#16813,#16815,#16817,#16819,#16820)); +#16822=FACE_OUTER_BOUND('',#16821,.F.); +#16824=CARTESIAN_POINT('',(8.9662E1,7.60222E1,4.311724784545E0)); +#16825=DIRECTION('',(1.E0,0.E0,0.E0)); +#16826=DIRECTION('',(0.E0,1.E0,0.E0)); +#16827=AXIS2_PLACEMENT_3D('',#16824,#16825,#16826); +#16828=PLANE('',#16827); +#16829=ORIENTED_EDGE('',*,*,#16499,.T.); +#16830=ORIENTED_EDGE('',*,*,#16623,.F.); +#16831=ORIENTED_EDGE('',*,*,#11670,.F.); +#16832=ORIENTED_EDGE('',*,*,#16791,.T.); +#16833=EDGE_LOOP('',(#16829,#16830,#16831,#16832)); +#16834=FACE_OUTER_BOUND('',#16833,.F.); +#16836=CARTESIAN_POINT('',(8.79856E1,7.6835E1,4.311724784545E0)); +#16837=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16838=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16839=AXIS2_PLACEMENT_3D('',#16836,#16837,#16838); +#16840=PLANE('',#16839); +#16841=ORIENTED_EDGE('',*,*,#11674,.F.); +#16842=ORIENTED_EDGE('',*,*,#16620,.T.); +#16844=ORIENTED_EDGE('',*,*,#16843,.T.); +#16845=ORIENTED_EDGE('',*,*,#16794,.F.); +#16846=EDGE_LOOP('',(#16841,#16842,#16844,#16845)); +#16847=FACE_OUTER_BOUND('',#16846,.F.); +#16849=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16850=DIRECTION('',(0.E0,0.E0,1.E0)); +#16851=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16852=AXIS2_PLACEMENT_3D('',#16849,#16850,#16851); +#16853=PLANE('',#16852); +#16854=ORIENTED_EDGE('',*,*,#16663,.T.); +#16855=ORIENTED_EDGE('',*,*,#16796,.F.); +#16856=ORIENTED_EDGE('',*,*,#16843,.F.); +#16857=ORIENTED_EDGE('',*,*,#16618,.F.); +#16858=EDGE_LOOP('',(#16854,#16855,#16856,#16857)); +#16859=FACE_OUTER_BOUND('',#16858,.F.); +#16861=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16862=DIRECTION('',(0.E0,0.E0,1.E0)); +#16863=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16864=AXIS2_PLACEMENT_3D('',#16861,#16862,#16863); +#16865=PLANE('',#16864); +#16867=ORIENTED_EDGE('',*,*,#16866,.T.); +#16869=ORIENTED_EDGE('',*,*,#16868,.F.); +#16871=ORIENTED_EDGE('',*,*,#16870,.F.); +#16873=ORIENTED_EDGE('',*,*,#16872,.F.); +#16874=EDGE_LOOP('',(#16867,#16869,#16871,#16873)); +#16875=FACE_OUTER_BOUND('',#16874,.F.); +#16877=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16878=DIRECTION('',(0.E0,0.E0,1.E0)); +#16879=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16880=AXIS2_PLACEMENT_3D('',#16877,#16878,#16879); +#16881=PLANE('',#16880); +#16883=ORIENTED_EDGE('',*,*,#16882,.T.); +#16885=ORIENTED_EDGE('',*,*,#16884,.F.); +#16887=ORIENTED_EDGE('',*,*,#16886,.F.); +#16889=ORIENTED_EDGE('',*,*,#16888,.F.); +#16890=EDGE_LOOP('',(#16883,#16885,#16887,#16889)); +#16891=FACE_OUTER_BOUND('',#16890,.F.); +#16893=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16894=DIRECTION('',(0.E0,0.E0,1.E0)); +#16895=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16896=AXIS2_PLACEMENT_3D('',#16893,#16894,#16895); +#16897=PLANE('',#16896); +#16899=ORIENTED_EDGE('',*,*,#16898,.F.); +#16901=ORIENTED_EDGE('',*,*,#16900,.F.); +#16903=ORIENTED_EDGE('',*,*,#16902,.F.); +#16905=ORIENTED_EDGE('',*,*,#16904,.F.); +#16906=EDGE_LOOP('',(#16899,#16901,#16903,#16905)); +#16907=FACE_OUTER_BOUND('',#16906,.F.); +#16909=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16910=DIRECTION('',(0.E0,0.E0,1.E0)); +#16911=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16912=AXIS2_PLACEMENT_3D('',#16909,#16910,#16911); +#16913=PLANE('',#16912); +#16915=ORIENTED_EDGE('',*,*,#16914,.F.); +#16916=ORIENTED_EDGE('',*,*,#16648,.F.); +#16918=ORIENTED_EDGE('',*,*,#16917,.F.); +#16919=ORIENTED_EDGE('',*,*,#16816,.F.); +#16920=EDGE_LOOP('',(#16915,#16916,#16918,#16919)); +#16921=FACE_OUTER_BOUND('',#16920,.F.); +#16923=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16924=DIRECTION('',(0.E0,0.E0,1.E0)); +#16925=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16926=AXIS2_PLACEMENT_3D('',#16923,#16924,#16925); +#16927=PLANE('',#16926); +#16929=ORIENTED_EDGE('',*,*,#16928,.T.); +#16931=ORIENTED_EDGE('',*,*,#16930,.F.); +#16933=ORIENTED_EDGE('',*,*,#16932,.F.); +#16935=ORIENTED_EDGE('',*,*,#16934,.F.); +#16936=EDGE_LOOP('',(#16929,#16931,#16933,#16935)); +#16937=FACE_OUTER_BOUND('',#16936,.F.); +#16939=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16940=DIRECTION('',(0.E0,0.E0,1.E0)); +#16941=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16942=AXIS2_PLACEMENT_3D('',#16939,#16940,#16941); +#16943=PLANE('',#16942); +#16945=ORIENTED_EDGE('',*,*,#16944,.T.); +#16947=ORIENTED_EDGE('',*,*,#16946,.F.); +#16949=ORIENTED_EDGE('',*,*,#16948,.F.); +#16951=ORIENTED_EDGE('',*,*,#16950,.F.); +#16952=EDGE_LOOP('',(#16945,#16947,#16949,#16951)); +#16953=FACE_OUTER_BOUND('',#16952,.F.); +#16955=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#16956=DIRECTION('',(0.E0,0.E0,1.E0)); +#16957=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16958=AXIS2_PLACEMENT_3D('',#16955,#16956,#16957); +#16959=PLANE('',#16958); +#16961=ORIENTED_EDGE('',*,*,#16960,.T.); +#16963=ORIENTED_EDGE('',*,*,#16962,.F.); +#16965=ORIENTED_EDGE('',*,*,#16964,.F.); +#16967=ORIENTED_EDGE('',*,*,#16966,.F.); +#16968=EDGE_LOOP('',(#16961,#16963,#16965,#16967)); +#16969=FACE_OUTER_BOUND('',#16968,.F.); +#16971=CARTESIAN_POINT('',(8.92556E1,7.5565E1,4.311724784545E0)); +#16972=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16973=DIRECTION('',(0.E0,-1.E0,0.E0)); +#16974=AXIS2_PLACEMENT_3D('',#16971,#16972,#16973); +#16975=PLANE('',#16974); +#16977=ORIENTED_EDGE('',*,*,#16976,.T.); +#16978=ORIENTED_EDGE('',*,*,#16689,.T.); +#16980=ORIENTED_EDGE('',*,*,#16979,.F.); +#16981=ORIENTED_EDGE('',*,*,#16866,.F.); +#16982=EDGE_LOOP('',(#16977,#16978,#16980,#16981)); +#16983=FACE_OUTER_BOUND('',#16982,.F.); +#16985=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.311724784545E0)); +#16986=DIRECTION('',(0.E0,1.E0,0.E0)); +#16987=DIRECTION('',(-1.E0,0.E0,0.E0)); +#16988=AXIS2_PLACEMENT_3D('',#16985,#16986,#16987); +#16989=PLANE('',#16988); +#16990=ORIENTED_EDGE('',*,*,#16976,.F.); +#16991=ORIENTED_EDGE('',*,*,#16872,.T.); +#16993=ORIENTED_EDGE('',*,*,#16992,.F.); +#16994=ORIENTED_EDGE('',*,*,#11682,.T.); +#16996=ORIENTED_EDGE('',*,*,#16995,.T.); +#16997=ORIENTED_EDGE('',*,*,#16512,.F.); +#16998=ORIENTED_EDGE('',*,*,#16454,.T.); +#16999=ORIENTED_EDGE('',*,*,#16691,.T.); +#17000=EDGE_LOOP('',(#16990,#16991,#16993,#16994,#16996,#16997,#16998,#16999)); +#17001=FACE_OUTER_BOUND('',#17000,.F.); +#17003=CARTESIAN_POINT('',(9.73836E1,7.5565E1,4.311724784545E0)); +#17004=DIRECTION('',(0.E0,1.E0,0.E0)); +#17005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17006=AXIS2_PLACEMENT_3D('',#17003,#17004,#17005); +#17007=PLANE('',#17006); +#17009=ORIENTED_EDGE('',*,*,#17008,.T.); +#17010=ORIENTED_EDGE('',*,*,#16746,.T.); +#17011=ORIENTED_EDGE('',*,*,#16383,.F.); +#17012=ORIENTED_EDGE('',*,*,#16576,.F.); +#17014=ORIENTED_EDGE('',*,*,#17013,.F.); +#17015=ORIENTED_EDGE('',*,*,#11718,.T.); +#17017=ORIENTED_EDGE('',*,*,#17016,.T.); +#17018=ORIENTED_EDGE('',*,*,#16930,.T.); +#17019=EDGE_LOOP('',(#17009,#17010,#17011,#17012,#17014,#17015,#17017,#17018)); +#17020=FACE_OUTER_BOUND('',#17019,.F.); +#17022=CARTESIAN_POINT('',(8.79856E1,7.5565E1,4.311724784545E0)); +#17023=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17024=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17025=AXIS2_PLACEMENT_3D('',#17022,#17023,#17024); +#17026=PLANE('',#17025); +#17027=ORIENTED_EDGE('',*,*,#11684,.F.); +#17028=ORIENTED_EDGE('',*,*,#16992,.T.); +#17029=ORIENTED_EDGE('',*,*,#16870,.T.); +#17031=ORIENTED_EDGE('',*,*,#17030,.F.); +#17032=EDGE_LOOP('',(#17027,#17028,#17029,#17031)); +#17033=FACE_OUTER_BOUND('',#17032,.F.); +#17035=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.311724784545E0)); +#17036=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17037=DIRECTION('',(1.E0,0.E0,0.E0)); +#17038=AXIS2_PLACEMENT_3D('',#17035,#17036,#17037); +#17039=PLANE('',#17038); +#17040=ORIENTED_EDGE('',*,*,#16516,.F.); +#17042=ORIENTED_EDGE('',*,*,#17041,.F.); +#17043=ORIENTED_EDGE('',*,*,#11678,.T.); +#17044=ORIENTED_EDGE('',*,*,#17030,.T.); +#17045=ORIENTED_EDGE('',*,*,#16868,.T.); +#17046=ORIENTED_EDGE('',*,*,#16979,.T.); +#17047=ORIENTED_EDGE('',*,*,#16687,.T.); +#17048=ORIENTED_EDGE('',*,*,#16450,.F.); +#17049=EDGE_LOOP('',(#17040,#17042,#17043,#17044,#17045,#17046,#17047,#17048)); +#17050=FACE_OUTER_BOUND('',#17049,.F.); +#17052=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.311724784545E0)); +#17053=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17054=DIRECTION('',(1.E0,0.E0,0.E0)); +#17055=AXIS2_PLACEMENT_3D('',#17052,#17053,#17054); +#17056=PLANE('',#17055); +#17058=ORIENTED_EDGE('',*,*,#17057,.T.); +#17059=ORIENTED_EDGE('',*,*,#16572,.F.); +#17060=ORIENTED_EDGE('',*,*,#16387,.T.); +#17061=ORIENTED_EDGE('',*,*,#16750,.T.); +#17063=ORIENTED_EDGE('',*,*,#17062,.T.); +#17064=ORIENTED_EDGE('',*,*,#16934,.T.); +#17066=ORIENTED_EDGE('',*,*,#17065,.F.); +#17067=ORIENTED_EDGE('',*,*,#11722,.T.); +#17068=EDGE_LOOP('',(#17058,#17059,#17060,#17061,#17063,#17064,#17066,#17067)); +#17069=FACE_OUTER_BOUND('',#17068,.F.); +#17071=CARTESIAN_POINT('',(8.9662E1,7.47522E1,4.311724784545E0)); +#17072=DIRECTION('',(1.E0,0.E0,0.E0)); +#17073=DIRECTION('',(0.E0,1.E0,0.E0)); +#17074=AXIS2_PLACEMENT_3D('',#17071,#17072,#17073); +#17075=PLANE('',#17074); +#17076=ORIENTED_EDGE('',*,*,#16514,.T.); +#17077=ORIENTED_EDGE('',*,*,#16995,.F.); +#17078=ORIENTED_EDGE('',*,*,#11680,.F.); +#17079=ORIENTED_EDGE('',*,*,#17041,.T.); +#17080=EDGE_LOOP('',(#17076,#17077,#17078,#17079)); +#17081=FACE_OUTER_BOUND('',#17080,.F.); +#17083=CARTESIAN_POINT('',(9.57072E1,7.5565E1,4.311724784545E0)); +#17084=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17085=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17086=AXIS2_PLACEMENT_3D('',#17083,#17084,#17085); +#17087=PLANE('',#17086); +#17088=ORIENTED_EDGE('',*,*,#16574,.T.); +#17089=ORIENTED_EDGE('',*,*,#17057,.F.); +#17090=ORIENTED_EDGE('',*,*,#11720,.F.); +#17091=ORIENTED_EDGE('',*,*,#17013,.T.); +#17092=EDGE_LOOP('',(#17088,#17089,#17090,#17091)); +#17093=FACE_OUTER_BOUND('',#17092,.F.); +#17095=CARTESIAN_POINT('',(9.61136E1,7.47522E1,4.311724784545E0)); +#17096=DIRECTION('',(1.E0,0.E0,0.E0)); +#17097=DIRECTION('',(0.E0,1.E0,0.E0)); +#17098=AXIS2_PLACEMENT_3D('',#17095,#17096,#17097); +#17099=PLANE('',#17098); +#17100=ORIENTED_EDGE('',*,*,#17062,.F.); +#17101=ORIENTED_EDGE('',*,*,#16748,.T.); +#17102=ORIENTED_EDGE('',*,*,#17008,.F.); +#17103=ORIENTED_EDGE('',*,*,#16928,.F.); +#17104=EDGE_LOOP('',(#17100,#17101,#17102,#17103)); +#17105=FACE_OUTER_BOUND('',#17104,.F.); +#17107=CARTESIAN_POINT('',(9.73836E1,7.47522E1,4.311724784545E0)); +#17108=DIRECTION('',(1.E0,0.E0,0.E0)); +#17109=DIRECTION('',(0.E0,1.E0,0.E0)); +#17110=AXIS2_PLACEMENT_3D('',#17107,#17108,#17109); +#17111=PLANE('',#17110); +#17112=ORIENTED_EDGE('',*,*,#11724,.F.); +#17113=ORIENTED_EDGE('',*,*,#17065,.T.); +#17114=ORIENTED_EDGE('',*,*,#16932,.T.); +#17115=ORIENTED_EDGE('',*,*,#17016,.F.); +#17116=EDGE_LOOP('',(#17112,#17113,#17114,#17115)); +#17117=FACE_OUTER_BOUND('',#17116,.F.); +#17119=CARTESIAN_POINT('',(8.92556E1,7.4295E1,4.311724784545E0)); +#17120=DIRECTION('',(-1.E0,1.748382715945E-14,0.E0)); +#17121=DIRECTION('',(-1.748382715945E-14,-1.E0,0.E0)); +#17122=AXIS2_PLACEMENT_3D('',#17119,#17120,#17121); +#17123=PLANE('',#17122); +#17125=ORIENTED_EDGE('',*,*,#17124,.T.); +#17126=ORIENTED_EDGE('',*,*,#16704,.T.); +#17128=ORIENTED_EDGE('',*,*,#17127,.T.); +#17129=ORIENTED_EDGE('',*,*,#16882,.F.); +#17130=EDGE_LOOP('',(#17125,#17126,#17128,#17129)); +#17131=FACE_OUTER_BOUND('',#17130,.F.); +#17133=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.311724784545E0)); +#17134=DIRECTION('',(0.E0,1.E0,0.E0)); +#17135=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17136=AXIS2_PLACEMENT_3D('',#17133,#17134,#17135); +#17137=PLANE('',#17136); +#17138=ORIENTED_EDGE('',*,*,#17124,.F.); +#17139=ORIENTED_EDGE('',*,*,#16888,.T.); +#17141=ORIENTED_EDGE('',*,*,#17140,.F.); +#17142=ORIENTED_EDGE('',*,*,#11692,.T.); +#17144=ORIENTED_EDGE('',*,*,#17143,.T.); +#17145=ORIENTED_EDGE('',*,*,#16527,.F.); +#17146=ORIENTED_EDGE('',*,*,#16464,.T.); +#17147=ORIENTED_EDGE('',*,*,#16706,.T.); +#17148=EDGE_LOOP('',(#17138,#17139,#17141,#17142,#17144,#17145,#17146,#17147)); +#17149=FACE_OUTER_BOUND('',#17148,.F.); +#17151=CARTESIAN_POINT('',(9.73836E1,7.4295E1,4.311724784545E0)); +#17152=DIRECTION('',(0.E0,1.E0,0.E0)); +#17153=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17154=AXIS2_PLACEMENT_3D('',#17151,#17152,#17153); +#17155=PLANE('',#17154); +#17157=ORIENTED_EDGE('',*,*,#17156,.T.); +#17158=ORIENTED_EDGE('',*,*,#16761,.T.); +#17159=ORIENTED_EDGE('',*,*,#16393,.F.); +#17160=ORIENTED_EDGE('',*,*,#16591,.F.); +#17162=ORIENTED_EDGE('',*,*,#17161,.F.); +#17163=ORIENTED_EDGE('',*,*,#11728,.T.); +#17165=ORIENTED_EDGE('',*,*,#17164,.T.); +#17166=ORIENTED_EDGE('',*,*,#16946,.T.); +#17167=EDGE_LOOP('',(#17157,#17158,#17159,#17160,#17162,#17163,#17165,#17166)); +#17168=FACE_OUTER_BOUND('',#17167,.F.); +#17170=CARTESIAN_POINT('',(8.79856E1,7.4295E1,4.311724784545E0)); +#17171=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17172=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17173=AXIS2_PLACEMENT_3D('',#17170,#17171,#17172); +#17174=PLANE('',#17173); +#17175=ORIENTED_EDGE('',*,*,#11694,.F.); +#17176=ORIENTED_EDGE('',*,*,#17140,.T.); +#17177=ORIENTED_EDGE('',*,*,#16886,.T.); +#17179=ORIENTED_EDGE('',*,*,#17178,.F.); +#17180=EDGE_LOOP('',(#17175,#17176,#17177,#17179)); +#17181=FACE_OUTER_BOUND('',#17180,.F.); +#17183=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.311724784545E0)); +#17184=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17185=DIRECTION('',(1.E0,0.E0,0.E0)); +#17186=AXIS2_PLACEMENT_3D('',#17183,#17184,#17185); +#17187=PLANE('',#17186); +#17188=ORIENTED_EDGE('',*,*,#16531,.F.); +#17190=ORIENTED_EDGE('',*,*,#17189,.F.); +#17191=ORIENTED_EDGE('',*,*,#11688,.T.); +#17192=ORIENTED_EDGE('',*,*,#17178,.T.); +#17193=ORIENTED_EDGE('',*,*,#16884,.T.); +#17194=ORIENTED_EDGE('',*,*,#17127,.F.); +#17195=ORIENTED_EDGE('',*,*,#16702,.T.); +#17196=ORIENTED_EDGE('',*,*,#16460,.F.); +#17197=EDGE_LOOP('',(#17188,#17190,#17191,#17192,#17193,#17194,#17195,#17196)); +#17198=FACE_OUTER_BOUND('',#17197,.F.); +#17200=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.311724784545E0)); +#17201=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17202=DIRECTION('',(1.E0,0.E0,0.E0)); +#17203=AXIS2_PLACEMENT_3D('',#17200,#17201,#17202); +#17204=PLANE('',#17203); +#17206=ORIENTED_EDGE('',*,*,#17205,.T.); +#17207=ORIENTED_EDGE('',*,*,#16587,.F.); +#17208=ORIENTED_EDGE('',*,*,#16397,.T.); +#17209=ORIENTED_EDGE('',*,*,#16765,.T.); +#17211=ORIENTED_EDGE('',*,*,#17210,.F.); +#17212=ORIENTED_EDGE('',*,*,#16950,.T.); +#17214=ORIENTED_EDGE('',*,*,#17213,.F.); +#17215=ORIENTED_EDGE('',*,*,#11732,.T.); +#17216=EDGE_LOOP('',(#17206,#17207,#17208,#17209,#17211,#17212,#17214,#17215)); +#17217=FACE_OUTER_BOUND('',#17216,.F.); +#17219=CARTESIAN_POINT('',(8.9662E1,7.34822E1,4.311724784545E0)); +#17220=DIRECTION('',(1.E0,-3.496765431890E-14,0.E0)); +#17221=DIRECTION('',(3.496765431890E-14,1.E0,0.E0)); +#17222=AXIS2_PLACEMENT_3D('',#17219,#17220,#17221); +#17223=PLANE('',#17222); +#17224=ORIENTED_EDGE('',*,*,#16529,.T.); +#17225=ORIENTED_EDGE('',*,*,#17143,.F.); +#17226=ORIENTED_EDGE('',*,*,#11690,.F.); +#17227=ORIENTED_EDGE('',*,*,#17189,.T.); +#17228=EDGE_LOOP('',(#17224,#17225,#17226,#17227)); +#17229=FACE_OUTER_BOUND('',#17228,.F.); +#17231=CARTESIAN_POINT('',(9.57072E1,7.4295E1,4.311724784545E0)); +#17232=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17233=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17234=AXIS2_PLACEMENT_3D('',#17231,#17232,#17233); +#17235=PLANE('',#17234); +#17236=ORIENTED_EDGE('',*,*,#16589,.T.); +#17237=ORIENTED_EDGE('',*,*,#17205,.F.); +#17238=ORIENTED_EDGE('',*,*,#11730,.F.); +#17239=ORIENTED_EDGE('',*,*,#17161,.T.); +#17240=EDGE_LOOP('',(#17236,#17237,#17238,#17239)); +#17241=FACE_OUTER_BOUND('',#17240,.F.); +#17243=CARTESIAN_POINT('',(9.61136E1,7.34822E1,4.311724784545E0)); +#17244=DIRECTION('',(1.E0,0.E0,0.E0)); +#17245=DIRECTION('',(0.E0,1.E0,0.E0)); +#17246=AXIS2_PLACEMENT_3D('',#17243,#17244,#17245); +#17247=PLANE('',#17246); +#17248=ORIENTED_EDGE('',*,*,#17210,.T.); +#17249=ORIENTED_EDGE('',*,*,#16763,.T.); +#17250=ORIENTED_EDGE('',*,*,#17156,.F.); +#17251=ORIENTED_EDGE('',*,*,#16944,.F.); +#17252=EDGE_LOOP('',(#17248,#17249,#17250,#17251)); +#17253=FACE_OUTER_BOUND('',#17252,.F.); +#17255=CARTESIAN_POINT('',(9.73836E1,7.34822E1,4.311724784545E0)); +#17256=DIRECTION('',(1.E0,0.E0,0.E0)); +#17257=DIRECTION('',(0.E0,1.E0,0.E0)); +#17258=AXIS2_PLACEMENT_3D('',#17255,#17256,#17257); +#17259=PLANE('',#17258); +#17260=ORIENTED_EDGE('',*,*,#11734,.F.); +#17261=ORIENTED_EDGE('',*,*,#17213,.T.); +#17262=ORIENTED_EDGE('',*,*,#16948,.T.); +#17263=ORIENTED_EDGE('',*,*,#17164,.F.); +#17264=EDGE_LOOP('',(#17260,#17261,#17262,#17263)); +#17265=FACE_OUTER_BOUND('',#17264,.F.); +#17267=CARTESIAN_POINT('',(8.92556E1,7.3025E1,4.311724784545E0)); +#17268=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17269=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17270=AXIS2_PLACEMENT_3D('',#17267,#17268,#17269); +#17271=PLANE('',#17270); +#17273=ORIENTED_EDGE('',*,*,#17272,.T.); +#17274=ORIENTED_EDGE('',*,*,#16719,.T.); +#17276=ORIENTED_EDGE('',*,*,#17275,.F.); +#17277=ORIENTED_EDGE('',*,*,#16898,.T.); +#17278=EDGE_LOOP('',(#17273,#17274,#17276,#17277)); +#17279=FACE_OUTER_BOUND('',#17278,.F.); +#17281=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.311724784545E0)); +#17282=DIRECTION('',(0.E0,1.E0,0.E0)); +#17283=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17284=AXIS2_PLACEMENT_3D('',#17281,#17282,#17283); +#17285=PLANE('',#17284); +#17286=ORIENTED_EDGE('',*,*,#17272,.F.); +#17287=ORIENTED_EDGE('',*,*,#16904,.T.); +#17289=ORIENTED_EDGE('',*,*,#17288,.F.); +#17290=ORIENTED_EDGE('',*,*,#11702,.T.); +#17292=ORIENTED_EDGE('',*,*,#17291,.T.); +#17293=ORIENTED_EDGE('',*,*,#16542,.F.); +#17294=ORIENTED_EDGE('',*,*,#16474,.T.); +#17295=ORIENTED_EDGE('',*,*,#16721,.T.); +#17296=EDGE_LOOP('',(#17286,#17287,#17289,#17290,#17292,#17293,#17294,#17295)); +#17297=FACE_OUTER_BOUND('',#17296,.F.); +#17299=CARTESIAN_POINT('',(9.73836E1,7.3025E1,4.311724784545E0)); +#17300=DIRECTION('',(0.E0,1.E0,0.E0)); +#17301=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17302=AXIS2_PLACEMENT_3D('',#17299,#17300,#17301); +#17303=PLANE('',#17302); +#17305=ORIENTED_EDGE('',*,*,#17304,.T.); +#17306=ORIENTED_EDGE('',*,*,#16776,.T.); +#17307=ORIENTED_EDGE('',*,*,#16403,.F.); +#17308=ORIENTED_EDGE('',*,*,#16606,.F.); +#17310=ORIENTED_EDGE('',*,*,#17309,.F.); +#17311=ORIENTED_EDGE('',*,*,#11738,.T.); +#17313=ORIENTED_EDGE('',*,*,#17312,.T.); +#17314=ORIENTED_EDGE('',*,*,#16962,.T.); +#17315=EDGE_LOOP('',(#17305,#17306,#17307,#17308,#17310,#17311,#17313,#17314)); +#17316=FACE_OUTER_BOUND('',#17315,.F.); +#17318=CARTESIAN_POINT('',(8.79856E1,7.3025E1,4.311724784545E0)); +#17319=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17320=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17321=AXIS2_PLACEMENT_3D('',#17318,#17319,#17320); +#17322=PLANE('',#17321); +#17323=ORIENTED_EDGE('',*,*,#11704,.F.); +#17324=ORIENTED_EDGE('',*,*,#17288,.T.); +#17325=ORIENTED_EDGE('',*,*,#16902,.T.); +#17327=ORIENTED_EDGE('',*,*,#17326,.F.); +#17328=EDGE_LOOP('',(#17323,#17324,#17325,#17327)); +#17329=FACE_OUTER_BOUND('',#17328,.F.); +#17331=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.311724784545E0)); +#17332=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17333=DIRECTION('',(1.E0,0.E0,0.E0)); +#17334=AXIS2_PLACEMENT_3D('',#17331,#17332,#17333); +#17335=PLANE('',#17334); +#17336=ORIENTED_EDGE('',*,*,#16546,.F.); +#17338=ORIENTED_EDGE('',*,*,#17337,.F.); +#17339=ORIENTED_EDGE('',*,*,#11698,.T.); +#17340=ORIENTED_EDGE('',*,*,#17326,.T.); +#17341=ORIENTED_EDGE('',*,*,#16900,.T.); +#17342=ORIENTED_EDGE('',*,*,#17275,.T.); +#17343=ORIENTED_EDGE('',*,*,#16717,.T.); +#17344=ORIENTED_EDGE('',*,*,#16470,.F.); +#17345=EDGE_LOOP('',(#17336,#17338,#17339,#17340,#17341,#17342,#17343,#17344)); +#17346=FACE_OUTER_BOUND('',#17345,.F.); +#17348=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.311724784545E0)); +#17349=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17350=DIRECTION('',(1.E0,0.E0,0.E0)); +#17351=AXIS2_PLACEMENT_3D('',#17348,#17349,#17350); +#17352=PLANE('',#17351); +#17354=ORIENTED_EDGE('',*,*,#17353,.T.); +#17355=ORIENTED_EDGE('',*,*,#16602,.F.); +#17356=ORIENTED_EDGE('',*,*,#16407,.T.); +#17357=ORIENTED_EDGE('',*,*,#16780,.T.); +#17359=ORIENTED_EDGE('',*,*,#17358,.T.); +#17360=ORIENTED_EDGE('',*,*,#16966,.T.); +#17362=ORIENTED_EDGE('',*,*,#17361,.F.); +#17363=ORIENTED_EDGE('',*,*,#11742,.T.); +#17364=EDGE_LOOP('',(#17354,#17355,#17356,#17357,#17359,#17360,#17362,#17363)); +#17365=FACE_OUTER_BOUND('',#17364,.F.); +#17367=CARTESIAN_POINT('',(8.9662E1,7.22122E1,4.311724784545E0)); +#17368=DIRECTION('',(1.E0,0.E0,0.E0)); +#17369=DIRECTION('',(0.E0,1.E0,0.E0)); +#17370=AXIS2_PLACEMENT_3D('',#17367,#17368,#17369); +#17371=PLANE('',#17370); +#17372=ORIENTED_EDGE('',*,*,#16544,.T.); +#17373=ORIENTED_EDGE('',*,*,#17291,.F.); +#17374=ORIENTED_EDGE('',*,*,#11700,.F.); +#17375=ORIENTED_EDGE('',*,*,#17337,.T.); +#17376=EDGE_LOOP('',(#17372,#17373,#17374,#17375)); +#17377=FACE_OUTER_BOUND('',#17376,.F.); +#17379=CARTESIAN_POINT('',(9.57072E1,7.3025E1,4.311724784545E0)); +#17380=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17381=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17382=AXIS2_PLACEMENT_3D('',#17379,#17380,#17381); +#17383=PLANE('',#17382); +#17384=ORIENTED_EDGE('',*,*,#16604,.T.); +#17385=ORIENTED_EDGE('',*,*,#17353,.F.); +#17386=ORIENTED_EDGE('',*,*,#11740,.F.); +#17387=ORIENTED_EDGE('',*,*,#17309,.T.); +#17388=EDGE_LOOP('',(#17384,#17385,#17386,#17387)); +#17389=FACE_OUTER_BOUND('',#17388,.F.); +#17391=CARTESIAN_POINT('',(9.61136E1,7.22122E1,4.311724784545E0)); +#17392=DIRECTION('',(1.E0,0.E0,0.E0)); +#17393=DIRECTION('',(0.E0,1.E0,0.E0)); +#17394=AXIS2_PLACEMENT_3D('',#17391,#17392,#17393); +#17395=PLANE('',#17394); +#17396=ORIENTED_EDGE('',*,*,#17358,.F.); +#17397=ORIENTED_EDGE('',*,*,#16778,.T.); +#17398=ORIENTED_EDGE('',*,*,#17304,.F.); +#17399=ORIENTED_EDGE('',*,*,#16960,.F.); +#17400=EDGE_LOOP('',(#17396,#17397,#17398,#17399)); +#17401=FACE_OUTER_BOUND('',#17400,.F.); +#17403=CARTESIAN_POINT('',(9.73836E1,7.22122E1,4.311724784545E0)); +#17404=DIRECTION('',(1.E0,0.E0,0.E0)); +#17405=DIRECTION('',(0.E0,1.E0,0.E0)); +#17406=AXIS2_PLACEMENT_3D('',#17403,#17404,#17405); +#17407=PLANE('',#17406); +#17408=ORIENTED_EDGE('',*,*,#11744,.F.); +#17409=ORIENTED_EDGE('',*,*,#17361,.T.); +#17410=ORIENTED_EDGE('',*,*,#16964,.T.); +#17411=ORIENTED_EDGE('',*,*,#17312,.F.); +#17412=EDGE_LOOP('',(#17408,#17409,#17410,#17411)); +#17413=FACE_OUTER_BOUND('',#17412,.F.); +#17415=CARTESIAN_POINT('',(9.61136E1,7.60222E1,4.311724784545E0)); +#17416=DIRECTION('',(1.E0,0.E0,0.E0)); +#17417=DIRECTION('',(0.E0,1.E0,0.E0)); +#17418=AXIS2_PLACEMENT_3D('',#17415,#17416,#17417); +#17419=PLANE('',#17418); +#17420=ORIENTED_EDGE('',*,*,#16814,.T.); +#17421=ORIENTED_EDGE('',*,*,#16733,.T.); +#17422=ORIENTED_EDGE('',*,*,#16637,.F.); +#17423=ORIENTED_EDGE('',*,*,#16914,.T.); +#17424=EDGE_LOOP('',(#17420,#17421,#17422,#17423)); +#17425=FACE_OUTER_BOUND('',#17424,.F.); +#17427=CARTESIAN_POINT('',(9.73836E1,7.60222E1,4.311724784545E0)); +#17428=DIRECTION('',(1.E0,0.E0,0.E0)); +#17429=DIRECTION('',(0.E0,1.E0,0.E0)); +#17430=AXIS2_PLACEMENT_3D('',#17427,#17428,#17429); +#17431=PLANE('',#17430); +#17432=ORIENTED_EDGE('',*,*,#11714,.F.); +#17433=ORIENTED_EDGE('',*,*,#16818,.T.); +#17434=ORIENTED_EDGE('',*,*,#16917,.T.); +#17435=ORIENTED_EDGE('',*,*,#16646,.F.); +#17436=EDGE_LOOP('',(#17432,#17433,#17434,#17435)); +#17437=FACE_OUTER_BOUND('',#17436,.F.); +#17439=CARTESIAN_POINT('',(9.57072E1,7.6835E1,4.311724784545E0)); +#17440=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17441=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17442=AXIS2_PLACEMENT_3D('',#17439,#17440,#17441); +#17443=PLANE('',#17442); +#17444=ORIENTED_EDGE('',*,*,#16559,.T.); +#17445=ORIENTED_EDGE('',*,*,#16809,.F.); +#17446=ORIENTED_EDGE('',*,*,#11710,.F.); +#17447=ORIENTED_EDGE('',*,*,#16643,.T.); +#17448=EDGE_LOOP('',(#17444,#17445,#17446,#17447)); +#17449=FACE_OUTER_BOUND('',#17448,.F.); +#17451=CARTESIAN_POINT('',(9.7212086E1,9.3530318E1,4.311724784545E0)); +#17452=DIRECTION('',(0.E0,1.E0,0.E0)); +#17453=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17454=AXIS2_PLACEMENT_3D('',#17451,#17452,#17453); +#17455=PLANE('',#17454); +#17456=ORIENTED_EDGE('',*,*,#11748,.F.); +#17458=ORIENTED_EDGE('',*,*,#17457,.T.); +#17460=ORIENTED_EDGE('',*,*,#17459,.T.); +#17462=ORIENTED_EDGE('',*,*,#17461,.F.); +#17463=EDGE_LOOP('',(#17456,#17458,#17460,#17462)); +#17464=FACE_OUTER_BOUND('',#17463,.F.); +#17466=CARTESIAN_POINT('',(9.7212086E1,8.7927129E1,4.311724784545E0)); +#17467=DIRECTION('',(1.E0,0.E0,0.E0)); +#17468=DIRECTION('',(0.E0,1.E0,0.E0)); +#17469=AXIS2_PLACEMENT_3D('',#17466,#17467,#17468); +#17470=PLANE('',#17469); +#17471=ORIENTED_EDGE('',*,*,#11786,.F.); +#17473=ORIENTED_EDGE('',*,*,#17472,.T.); +#17475=ORIENTED_EDGE('',*,*,#17474,.T.); +#17476=ORIENTED_EDGE('',*,*,#17457,.F.); +#17477=EDGE_LOOP('',(#17471,#17473,#17475,#17476)); +#17478=FACE_OUTER_BOUND('',#17477,.F.); +#17480=CARTESIAN_POINT('',(9.6946695E1,8.7927129E1,4.311724784545E0)); +#17481=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17482=DIRECTION('',(1.E0,0.E0,0.E0)); +#17483=AXIS2_PLACEMENT_3D('',#17480,#17481,#17482); +#17484=PLANE('',#17483); +#17485=ORIENTED_EDGE('',*,*,#11784,.F.); +#17487=ORIENTED_EDGE('',*,*,#17486,.T.); +#17489=ORIENTED_EDGE('',*,*,#17488,.T.); +#17490=ORIENTED_EDGE('',*,*,#17472,.F.); +#17491=EDGE_LOOP('',(#17485,#17487,#17489,#17490)); +#17492=FACE_OUTER_BOUND('',#17491,.F.); +#17494=CARTESIAN_POINT('',(9.6946695E1,8.7152505E1,4.311724784545E0)); +#17495=DIRECTION('',(1.E0,0.E0,0.E0)); +#17496=DIRECTION('',(0.E0,1.E0,0.E0)); +#17497=AXIS2_PLACEMENT_3D('',#17494,#17495,#17496); +#17498=PLANE('',#17497); +#17500=ORIENTED_EDGE('',*,*,#17499,.F.); +#17501=ORIENTED_EDGE('',*,*,#17486,.F.); +#17502=ORIENTED_EDGE('',*,*,#11782,.F.); +#17504=ORIENTED_EDGE('',*,*,#17503,.T.); +#17506=ORIENTED_EDGE('',*,*,#17505,.T.); +#17508=ORIENTED_EDGE('',*,*,#17507,.F.); +#17509=ORIENTED_EDGE('',*,*,#11750,.F.); +#17510=ORIENTED_EDGE('',*,*,#17461,.T.); +#17511=EDGE_LOOP('',(#17500,#17501,#17502,#17504,#17506,#17508,#17509,#17510)); +#17512=FACE_OUTER_BOUND('',#17511,.F.); +#17514=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); +#17515=DIRECTION('',(0.E0,0.E0,1.E0)); +#17516=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17517=AXIS2_PLACEMENT_3D('',#17514,#17515,#17516); +#17518=PLANE('',#17517); +#17520=ORIENTED_EDGE('',*,*,#17519,.T.); +#17522=ORIENTED_EDGE('',*,*,#17521,.F.); +#17524=ORIENTED_EDGE('',*,*,#17523,.F.); +#17526=ORIENTED_EDGE('',*,*,#17525,.F.); +#17527=EDGE_LOOP('',(#17520,#17522,#17524,#17526)); +#17528=FACE_OUTER_BOUND('',#17527,.F.); +#17530=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); +#17531=DIRECTION('',(0.E0,0.E0,1.E0)); +#17532=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17533=AXIS2_PLACEMENT_3D('',#17530,#17531,#17532); +#17534=PLANE('',#17533); +#17536=ORIENTED_EDGE('',*,*,#17535,.T.); +#17538=ORIENTED_EDGE('',*,*,#17537,.F.); +#17540=ORIENTED_EDGE('',*,*,#17539,.F.); +#17542=ORIENTED_EDGE('',*,*,#17541,.F.); +#17543=EDGE_LOOP('',(#17536,#17538,#17540,#17542)); +#17544=FACE_OUTER_BOUND('',#17543,.F.); +#17546=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); +#17547=DIRECTION('',(0.E0,0.E0,1.E0)); +#17548=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17549=AXIS2_PLACEMENT_3D('',#17546,#17547,#17548); +#17550=PLANE('',#17549); +#17551=ORIENTED_EDGE('',*,*,#17499,.T.); +#17552=ORIENTED_EDGE('',*,*,#17459,.F.); +#17553=ORIENTED_EDGE('',*,*,#17474,.F.); +#17554=ORIENTED_EDGE('',*,*,#17488,.F.); +#17555=EDGE_LOOP('',(#17551,#17552,#17553,#17554)); +#17556=FACE_OUTER_BOUND('',#17555,.F.); +#17558=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); +#17559=DIRECTION('',(0.E0,0.E0,1.E0)); +#17560=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17561=AXIS2_PLACEMENT_3D('',#17558,#17559,#17560); +#17562=PLANE('',#17561); +#17564=ORIENTED_EDGE('',*,*,#17563,.T.); +#17566=ORIENTED_EDGE('',*,*,#17565,.F.); +#17568=ORIENTED_EDGE('',*,*,#17567,.F.); +#17570=ORIENTED_EDGE('',*,*,#17569,.F.); +#17571=EDGE_LOOP('',(#17564,#17566,#17568,#17570)); +#17572=FACE_OUTER_BOUND('',#17571,.F.); +#17574=CARTESIAN_POINT('',(8.9794258E1,9.4304942E1,4.311724784545E0)); +#17575=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17576=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17577=AXIS2_PLACEMENT_3D('',#17574,#17575,#17576); +#17578=PLANE('',#17577); +#17579=ORIENTED_EDGE('',*,*,#17519,.F.); +#17581=ORIENTED_EDGE('',*,*,#17580,.F.); +#17582=ORIENTED_EDGE('',*,*,#11762,.F.); +#17584=ORIENTED_EDGE('',*,*,#17583,.T.); +#17586=ORIENTED_EDGE('',*,*,#17585,.T.); +#17588=ORIENTED_EDGE('',*,*,#17587,.F.); +#17589=ORIENTED_EDGE('',*,*,#11770,.F.); +#17591=ORIENTED_EDGE('',*,*,#17590,.T.); +#17592=EDGE_LOOP('',(#17579,#17581,#17582,#17584,#17586,#17588,#17589,#17591)); +#17593=FACE_OUTER_BOUND('',#17592,.F.); +#17595=CARTESIAN_POINT('',(8.9794258E1,9.3530318E1,4.311724784545E0)); +#17596=DIRECTION('',(0.E0,1.E0,0.E0)); +#17597=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17598=AXIS2_PLACEMENT_3D('',#17595,#17596,#17597); +#17599=PLANE('',#17598); +#17600=ORIENTED_EDGE('',*,*,#11764,.F.); +#17601=ORIENTED_EDGE('',*,*,#17580,.T.); +#17602=ORIENTED_EDGE('',*,*,#17525,.T.); +#17604=ORIENTED_EDGE('',*,*,#17603,.F.); +#17605=EDGE_LOOP('',(#17600,#17601,#17602,#17604)); +#17606=FACE_OUTER_BOUND('',#17605,.F.); +#17608=CARTESIAN_POINT('',(8.9528866E1,9.3530318E1,4.311724784545E0)); +#17609=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17610=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17611=AXIS2_PLACEMENT_3D('',#17608,#17609,#17610); +#17612=PLANE('',#17611); +#17613=ORIENTED_EDGE('',*,*,#11766,.F.); +#17614=ORIENTED_EDGE('',*,*,#17603,.T.); +#17615=ORIENTED_EDGE('',*,*,#17523,.T.); +#17617=ORIENTED_EDGE('',*,*,#17616,.F.); +#17618=EDGE_LOOP('',(#17613,#17614,#17615,#17617)); +#17619=FACE_OUTER_BOUND('',#17618,.F.); +#17621=CARTESIAN_POINT('',(8.9528866E1,8.7927129E1,4.311724784545E0)); +#17622=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17623=DIRECTION('',(1.E0,0.E0,0.E0)); +#17624=AXIS2_PLACEMENT_3D('',#17621,#17622,#17623); +#17625=PLANE('',#17624); +#17626=ORIENTED_EDGE('',*,*,#11768,.F.); +#17627=ORIENTED_EDGE('',*,*,#17616,.T.); +#17628=ORIENTED_EDGE('',*,*,#17521,.T.); +#17629=ORIENTED_EDGE('',*,*,#17590,.F.); +#17630=EDGE_LOOP('',(#17626,#17627,#17628,#17629)); +#17631=FACE_OUTER_BOUND('',#17630,.F.); +#17633=CARTESIAN_POINT('',(9.6946695E1,9.4304942E1,4.311724784545E0)); +#17634=DIRECTION('',(0.E0,1.E0,0.E0)); +#17635=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17636=AXIS2_PLACEMENT_3D('',#17633,#17634,#17635); +#17637=PLANE('',#17636); +#17638=ORIENTED_EDGE('',*,*,#17563,.F.); +#17640=ORIENTED_EDGE('',*,*,#17639,.F.); +#17641=ORIENTED_EDGE('',*,*,#11752,.F.); +#17642=ORIENTED_EDGE('',*,*,#17507,.T.); +#17644=ORIENTED_EDGE('',*,*,#17643,.T.); +#17645=ORIENTED_EDGE('',*,*,#17583,.F.); +#17646=ORIENTED_EDGE('',*,*,#11760,.F.); +#17648=ORIENTED_EDGE('',*,*,#17647,.T.); +#17649=EDGE_LOOP('',(#17638,#17640,#17641,#17642,#17644,#17645,#17646,#17648)); +#17650=FACE_OUTER_BOUND('',#17649,.F.); +#17652=CARTESIAN_POINT('',(9.6172071E1,9.4304942E1,4.311724784545E0)); +#17653=DIRECTION('',(1.E0,0.E0,0.E0)); +#17654=DIRECTION('',(0.E0,1.E0,0.E0)); +#17655=AXIS2_PLACEMENT_3D('',#17652,#17653,#17654); +#17656=PLANE('',#17655); +#17657=ORIENTED_EDGE('',*,*,#11754,.F.); +#17658=ORIENTED_EDGE('',*,*,#17639,.T.); +#17659=ORIENTED_EDGE('',*,*,#17569,.T.); +#17661=ORIENTED_EDGE('',*,*,#17660,.F.); +#17662=EDGE_LOOP('',(#17657,#17658,#17659,#17661)); +#17663=FACE_OUTER_BOUND('',#17662,.F.); +#17665=CARTESIAN_POINT('',(9.6172071E1,9.4570334E1,4.311724784545E0)); +#17666=DIRECTION('',(0.E0,1.E0,0.E0)); +#17667=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17668=AXIS2_PLACEMENT_3D('',#17665,#17666,#17667); +#17669=PLANE('',#17668); +#17670=ORIENTED_EDGE('',*,*,#11756,.F.); +#17671=ORIENTED_EDGE('',*,*,#17660,.T.); +#17672=ORIENTED_EDGE('',*,*,#17567,.T.); +#17674=ORIENTED_EDGE('',*,*,#17673,.F.); +#17675=EDGE_LOOP('',(#17670,#17671,#17672,#17674)); +#17676=FACE_OUTER_BOUND('',#17675,.F.); +#17678=CARTESIAN_POINT('',(9.0568882E1,9.4570334E1,4.311724784545E0)); +#17679=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17680=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17681=AXIS2_PLACEMENT_3D('',#17678,#17679,#17680); +#17682=PLANE('',#17681); +#17683=ORIENTED_EDGE('',*,*,#11758,.F.); +#17684=ORIENTED_EDGE('',*,*,#17673,.T.); +#17685=ORIENTED_EDGE('',*,*,#17565,.T.); +#17686=ORIENTED_EDGE('',*,*,#17647,.F.); +#17687=EDGE_LOOP('',(#17683,#17684,#17685,#17686)); +#17688=FACE_OUTER_BOUND('',#17687,.F.); +#17690=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#17691=DIRECTION('',(0.E0,0.E0,1.E0)); +#17692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17693=AXIS2_PLACEMENT_3D('',#17690,#17691,#17692); +#17694=PLANE('',#17693); +#17695=ORIENTED_EDGE('',*,*,#17585,.F.); +#17696=ORIENTED_EDGE('',*,*,#17643,.F.); +#17697=ORIENTED_EDGE('',*,*,#17505,.F.); +#17699=ORIENTED_EDGE('',*,*,#17698,.F.); +#17700=EDGE_LOOP('',(#17695,#17696,#17697,#17699)); +#17701=FACE_OUTER_BOUND('',#17700,.F.); +#17703=CARTESIAN_POINT('',(8.9794258E1,8.7152505E1,4.311724784545E0)); +#17704=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17705=DIRECTION('',(1.E0,0.E0,0.E0)); +#17706=AXIS2_PLACEMENT_3D('',#17703,#17704,#17705); +#17707=PLANE('',#17706); +#17708=ORIENTED_EDGE('',*,*,#17535,.F.); +#17710=ORIENTED_EDGE('',*,*,#17709,.F.); +#17711=ORIENTED_EDGE('',*,*,#11772,.F.); +#17712=ORIENTED_EDGE('',*,*,#17587,.T.); +#17713=ORIENTED_EDGE('',*,*,#17698,.T.); +#17714=ORIENTED_EDGE('',*,*,#17503,.F.); +#17715=ORIENTED_EDGE('',*,*,#11780,.F.); +#17717=ORIENTED_EDGE('',*,*,#17716,.T.); +#17718=EDGE_LOOP('',(#17708,#17710,#17711,#17712,#17713,#17714,#17715,#17717)); +#17719=FACE_OUTER_BOUND('',#17718,.F.); +#17721=CARTESIAN_POINT('',(9.0568882E1,8.7152505E1,4.311724784545E0)); +#17722=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17723=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17724=AXIS2_PLACEMENT_3D('',#17721,#17722,#17723); +#17725=PLANE('',#17724); +#17726=ORIENTED_EDGE('',*,*,#11774,.F.); +#17727=ORIENTED_EDGE('',*,*,#17709,.T.); +#17728=ORIENTED_EDGE('',*,*,#17541,.T.); +#17730=ORIENTED_EDGE('',*,*,#17729,.F.); +#17731=EDGE_LOOP('',(#17726,#17727,#17728,#17730)); +#17732=FACE_OUTER_BOUND('',#17731,.F.); +#17734=CARTESIAN_POINT('',(9.0568882E1,8.6887113E1,4.311724784545E0)); +#17735=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17736=DIRECTION('',(1.E0,0.E0,0.E0)); +#17737=AXIS2_PLACEMENT_3D('',#17734,#17735,#17736); +#17738=PLANE('',#17737); +#17739=ORIENTED_EDGE('',*,*,#11776,.F.); +#17740=ORIENTED_EDGE('',*,*,#17729,.T.); +#17741=ORIENTED_EDGE('',*,*,#17539,.T.); +#17743=ORIENTED_EDGE('',*,*,#17742,.F.); +#17744=EDGE_LOOP('',(#17739,#17740,#17741,#17743)); +#17745=FACE_OUTER_BOUND('',#17744,.F.); +#17747=CARTESIAN_POINT('',(9.6172071E1,8.6887113E1,4.311724784545E0)); +#17748=DIRECTION('',(1.E0,0.E0,0.E0)); +#17749=DIRECTION('',(0.E0,1.E0,0.E0)); +#17750=AXIS2_PLACEMENT_3D('',#17747,#17748,#17749); +#17751=PLANE('',#17750); +#17752=ORIENTED_EDGE('',*,*,#11778,.F.); +#17753=ORIENTED_EDGE('',*,*,#17742,.T.); +#17754=ORIENTED_EDGE('',*,*,#17537,.T.); +#17755=ORIENTED_EDGE('',*,*,#17716,.F.); +#17756=EDGE_LOOP('',(#17752,#17753,#17754,#17755)); +#17757=FACE_OUTER_BOUND('',#17756,.F.); +#17759=CARTESIAN_POINT('',(9.6902016E1,8.2304712E1,4.311724784545E0)); +#17760=DIRECTION('',(0.E0,1.E0,0.E0)); +#17761=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17762=AXIS2_PLACEMENT_3D('',#17759,#17760,#17761); +#17763=PLANE('',#17762); +#17764=ORIENTED_EDGE('',*,*,#11790,.F.); +#17766=ORIENTED_EDGE('',*,*,#17765,.T.); +#17768=ORIENTED_EDGE('',*,*,#17767,.T.); +#17770=ORIENTED_EDGE('',*,*,#17769,.F.); +#17771=EDGE_LOOP('',(#17764,#17766,#17768,#17770)); +#17772=FACE_OUTER_BOUND('',#17771,.F.); +#17774=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.311724784545E0)); +#17775=DIRECTION('',(1.E0,0.E0,0.E0)); +#17776=DIRECTION('',(0.E0,1.E0,0.E0)); +#17777=AXIS2_PLACEMENT_3D('',#17774,#17775,#17776); +#17778=PLANE('',#17777); +#17779=ORIENTED_EDGE('',*,*,#11804,.F.); +#17781=ORIENTED_EDGE('',*,*,#17780,.T.); +#17783=ORIENTED_EDGE('',*,*,#17782,.T.); +#17785=ORIENTED_EDGE('',*,*,#17784,.F.); +#17786=EDGE_LOOP('',(#17779,#17781,#17783,#17785)); +#17787=FACE_OUTER_BOUND('',#17786,.F.); +#17789=CARTESIAN_POINT('',(9.6902016E1,7.9696488E1,4.311724784545E0)); +#17790=DIRECTION('',(1.E0,0.E0,0.E0)); +#17791=DIRECTION('',(0.E0,1.E0,0.E0)); +#17792=AXIS2_PLACEMENT_3D('',#17789,#17790,#17791); +#17793=PLANE('',#17792); +#17794=ORIENTED_EDGE('',*,*,#11812,.F.); +#17796=ORIENTED_EDGE('',*,*,#17795,.T.); +#17798=ORIENTED_EDGE('',*,*,#17797,.T.); +#17799=ORIENTED_EDGE('',*,*,#17765,.F.); +#17800=EDGE_LOOP('',(#17794,#17796,#17798,#17799)); +#17801=FACE_OUTER_BOUND('',#17800,.F.); +#17803=CARTESIAN_POINT('',(9.4918784E1,7.9696488E1,4.311724784545E0)); +#17804=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17805=DIRECTION('',(1.E0,0.E0,0.E0)); +#17806=AXIS2_PLACEMENT_3D('',#17803,#17804,#17805); +#17807=PLANE('',#17806); +#17808=ORIENTED_EDGE('',*,*,#11802,.F.); +#17810=ORIENTED_EDGE('',*,*,#17809,.T.); +#17812=ORIENTED_EDGE('',*,*,#17811,.T.); +#17813=ORIENTED_EDGE('',*,*,#17780,.F.); +#17814=EDGE_LOOP('',(#17808,#17810,#17812,#17813)); +#17815=FACE_OUTER_BOUND('',#17814,.F.); +#17817=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.311724784545E0)); +#17818=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17819=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17820=AXIS2_PLACEMENT_3D('',#17817,#17818,#17819); +#17821=PLANE('',#17820); +#17822=ORIENTED_EDGE('',*,*,#11792,.F.); +#17823=ORIENTED_EDGE('',*,*,#17769,.T.); +#17825=ORIENTED_EDGE('',*,*,#17824,.T.); +#17827=ORIENTED_EDGE('',*,*,#17826,.F.); +#17828=EDGE_LOOP('',(#17822,#17823,#17825,#17827)); +#17829=FACE_OUTER_BOUND('',#17828,.F.); +#17831=CARTESIAN_POINT('',(9.4918784E1,8.2304712E1,4.311724784545E0)); +#17832=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17833=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17834=AXIS2_PLACEMENT_3D('',#17831,#17832,#17833); +#17835=PLANE('',#17834); +#17836=ORIENTED_EDGE('',*,*,#11800,.F.); +#17838=ORIENTED_EDGE('',*,*,#17837,.T.); +#17840=ORIENTED_EDGE('',*,*,#17839,.T.); +#17841=ORIENTED_EDGE('',*,*,#17809,.F.); +#17842=EDGE_LOOP('',(#17836,#17838,#17840,#17841)); +#17843=FACE_OUTER_BOUND('',#17842,.F.); +#17845=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); +#17846=DIRECTION('',(0.E0,0.E0,1.E0)); +#17847=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17848=AXIS2_PLACEMENT_3D('',#17845,#17846,#17847); +#17849=PLANE('',#17848); +#17851=ORIENTED_EDGE('',*,*,#17850,.F.); +#17852=ORIENTED_EDGE('',*,*,#17824,.F.); +#17853=ORIENTED_EDGE('',*,*,#17767,.F.); +#17854=ORIENTED_EDGE('',*,*,#17797,.F.); +#17855=EDGE_LOOP('',(#17851,#17852,#17853,#17854)); +#17856=FACE_OUTER_BOUND('',#17855,.F.); +#17858=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); +#17859=DIRECTION('',(0.E0,0.E0,1.E0)); +#17860=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17861=AXIS2_PLACEMENT_3D('',#17858,#17859,#17860); +#17862=PLANE('',#17861); +#17864=ORIENTED_EDGE('',*,*,#17863,.T.); +#17865=ORIENTED_EDGE('',*,*,#17782,.F.); +#17866=ORIENTED_EDGE('',*,*,#17811,.F.); +#17867=ORIENTED_EDGE('',*,*,#17839,.F.); +#17868=EDGE_LOOP('',(#17864,#17865,#17866,#17867)); +#17869=FACE_OUTER_BOUND('',#17868,.F.); +#17871=CARTESIAN_POINT('',(9.6986598E1,8.2076798E1,4.311724784545E0)); +#17872=DIRECTION('',(0.E0,1.E0,0.E0)); +#17873=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17874=AXIS2_PLACEMENT_3D('',#17871,#17872,#17873); +#17875=PLANE('',#17874); +#17876=ORIENTED_EDGE('',*,*,#17850,.T.); +#17877=ORIENTED_EDGE('',*,*,#17795,.F.); +#17878=ORIENTED_EDGE('',*,*,#11810,.F.); +#17880=ORIENTED_EDGE('',*,*,#17879,.T.); +#17882=ORIENTED_EDGE('',*,*,#17881,.T.); +#17884=ORIENTED_EDGE('',*,*,#17883,.F.); +#17885=ORIENTED_EDGE('',*,*,#11794,.F.); +#17886=ORIENTED_EDGE('',*,*,#17826,.T.); +#17887=EDGE_LOOP('',(#17876,#17877,#17878,#17880,#17882,#17884,#17885,#17886)); +#17888=FACE_OUTER_BOUND('',#17887,.F.); +#17890=CARTESIAN_POINT('',(9.6986598E1,7.9924402E1,4.311724784545E0)); +#17891=DIRECTION('',(1.E0,0.E0,0.E0)); +#17892=DIRECTION('',(0.E0,1.E0,0.E0)); +#17893=AXIS2_PLACEMENT_3D('',#17890,#17891,#17892); +#17894=PLANE('',#17893); +#17895=ORIENTED_EDGE('',*,*,#11808,.F.); +#17897=ORIENTED_EDGE('',*,*,#17896,.T.); +#17899=ORIENTED_EDGE('',*,*,#17898,.T.); +#17900=ORIENTED_EDGE('',*,*,#17879,.F.); +#17901=EDGE_LOOP('',(#17895,#17897,#17899,#17900)); +#17902=FACE_OUTER_BOUND('',#17901,.F.); +#17904=CARTESIAN_POINT('',(9.4834202E1,7.9924402E1,4.311724784545E0)); +#17905=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17906=DIRECTION('',(1.E0,0.E0,0.E0)); +#17907=AXIS2_PLACEMENT_3D('',#17904,#17905,#17906); +#17908=PLANE('',#17907); +#17909=ORIENTED_EDGE('',*,*,#17863,.F.); +#17910=ORIENTED_EDGE('',*,*,#17837,.F.); +#17911=ORIENTED_EDGE('',*,*,#11798,.F.); +#17913=ORIENTED_EDGE('',*,*,#17912,.T.); +#17915=ORIENTED_EDGE('',*,*,#17914,.T.); +#17916=ORIENTED_EDGE('',*,*,#17896,.F.); +#17917=ORIENTED_EDGE('',*,*,#11806,.F.); +#17918=ORIENTED_EDGE('',*,*,#17784,.T.); +#17919=EDGE_LOOP('',(#17909,#17910,#17911,#17913,#17915,#17916,#17917,#17918)); +#17920=FACE_OUTER_BOUND('',#17919,.F.); +#17922=CARTESIAN_POINT('',(9.4834202E1,8.2076798E1,4.311724784545E0)); +#17923=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17924=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17925=AXIS2_PLACEMENT_3D('',#17922,#17923,#17924); +#17926=PLANE('',#17925); +#17927=ORIENTED_EDGE('',*,*,#11796,.F.); +#17928=ORIENTED_EDGE('',*,*,#17883,.T.); +#17930=ORIENTED_EDGE('',*,*,#17929,.T.); +#17931=ORIENTED_EDGE('',*,*,#17912,.F.); +#17932=EDGE_LOOP('',(#17927,#17928,#17930,#17931)); +#17933=FACE_OUTER_BOUND('',#17932,.F.); +#17935=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#17936=DIRECTION('',(0.E0,0.E0,1.E0)); +#17937=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17938=AXIS2_PLACEMENT_3D('',#17935,#17936,#17937); +#17939=PLANE('',#17938); +#17940=ORIENTED_EDGE('',*,*,#17881,.F.); +#17941=ORIENTED_EDGE('',*,*,#17898,.F.); +#17942=ORIENTED_EDGE('',*,*,#17914,.F.); +#17943=ORIENTED_EDGE('',*,*,#17929,.F.); +#17944=EDGE_LOOP('',(#17940,#17941,#17942,#17943)); +#17945=FACE_OUTER_BOUND('',#17944,.F.); +#17947=CARTESIAN_POINT('',(1.02635799E2,9.5153401E1,4.311724784545E0)); +#17948=DIRECTION('',(0.E0,1.E0,0.E0)); +#17949=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17950=AXIS2_PLACEMENT_3D('',#17947,#17948,#17949); +#17951=PLANE('',#17950); +#17952=ORIENTED_EDGE('',*,*,#11816,.F.); +#17954=ORIENTED_EDGE('',*,*,#17953,.T.); +#17956=ORIENTED_EDGE('',*,*,#17955,.T.); +#17958=ORIENTED_EDGE('',*,*,#17957,.F.); +#17959=EDGE_LOOP('',(#17952,#17954,#17956,#17958)); +#17960=FACE_OUTER_BOUND('',#17959,.F.); +#17962=CARTESIAN_POINT('',(1.02635799E2,9.1333399E1,4.311724784545E0)); +#17963=DIRECTION('',(1.E0,0.E0,0.E0)); +#17964=DIRECTION('',(0.E0,1.E0,0.E0)); +#17965=AXIS2_PLACEMENT_3D('',#17962,#17963,#17964); +#17966=PLANE('',#17965); +#17967=ORIENTED_EDGE('',*,*,#11822,.F.); +#17969=ORIENTED_EDGE('',*,*,#17968,.T.); +#17971=ORIENTED_EDGE('',*,*,#17970,.T.); +#17972=ORIENTED_EDGE('',*,*,#17953,.F.); +#17973=EDGE_LOOP('',(#17967,#17969,#17971,#17972)); +#17974=FACE_OUTER_BOUND('',#17973,.F.); +#17976=CARTESIAN_POINT('',(9.9365801E1,9.1333399E1,4.311724784545E0)); +#17977=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17978=DIRECTION('',(1.E0,0.E0,0.E0)); +#17979=AXIS2_PLACEMENT_3D('',#17976,#17977,#17978); +#17980=PLANE('',#17979); +#17981=ORIENTED_EDGE('',*,*,#11820,.F.); +#17983=ORIENTED_EDGE('',*,*,#17982,.T.); +#17985=ORIENTED_EDGE('',*,*,#17984,.T.); +#17986=ORIENTED_EDGE('',*,*,#17968,.F.); +#17987=EDGE_LOOP('',(#17981,#17983,#17985,#17986)); +#17988=FACE_OUTER_BOUND('',#17987,.F.); +#17990=CARTESIAN_POINT('',(9.9365801E1,9.5153401E1,4.311724784545E0)); +#17991=DIRECTION('',(-1.E0,0.E0,0.E0)); +#17992=DIRECTION('',(0.E0,-1.E0,0.E0)); +#17993=AXIS2_PLACEMENT_3D('',#17990,#17991,#17992); +#17994=PLANE('',#17993); +#17995=ORIENTED_EDGE('',*,*,#11818,.F.); +#17996=ORIENTED_EDGE('',*,*,#17957,.T.); +#17998=ORIENTED_EDGE('',*,*,#17997,.T.); +#17999=ORIENTED_EDGE('',*,*,#17982,.F.); +#18000=EDGE_LOOP('',(#17995,#17996,#17998,#17999)); +#18001=FACE_OUTER_BOUND('',#18000,.F.); +#18003=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#18004=DIRECTION('',(0.E0,0.E0,1.E0)); +#18005=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18006=AXIS2_PLACEMENT_3D('',#18003,#18004,#18005); +#18007=PLANE('',#18006); +#18008=ORIENTED_EDGE('',*,*,#17955,.F.); +#18009=ORIENTED_EDGE('',*,*,#17970,.F.); +#18010=ORIENTED_EDGE('',*,*,#17984,.F.); +#18011=ORIENTED_EDGE('',*,*,#17997,.F.); +#18012=EDGE_LOOP('',(#18008,#18009,#18010,#18011)); +#18013=FACE_OUTER_BOUND('',#18012,.F.); +#18015=CARTESIAN_POINT('',(1.021334E2,1.02177639E2,4.311724784545E0)); +#18016=DIRECTION('',(1.E0,0.E0,0.E0)); +#18017=DIRECTION('',(0.E0,1.E0,0.E0)); +#18018=AXIS2_PLACEMENT_3D('',#18015,#18016,#18017); +#18019=PLANE('',#18018); +#18020=ORIENTED_EDGE('',*,*,#11826,.F.); +#18022=ORIENTED_EDGE('',*,*,#18021,.T.); +#18024=ORIENTED_EDGE('',*,*,#18023,.T.); +#18026=ORIENTED_EDGE('',*,*,#18025,.F.); +#18027=EDGE_LOOP('',(#18020,#18022,#18024,#18026)); +#18028=FACE_OUTER_BOUND('',#18027,.F.); +#18030=CARTESIAN_POINT('',(1.024779891032E2,1.02177639E2,4.311724784545E0)); +#18031=DIRECTION('',(0.E0,1.E0,0.E0)); +#18032=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18033=AXIS2_PLACEMENT_3D('',#18030,#18031,#18032); +#18034=PLANE('',#18033); +#18036=ORIENTED_EDGE('',*,*,#18035,.T.); +#18037=ORIENTED_EDGE('',*,*,#18021,.F.); +#18038=ORIENTED_EDGE('',*,*,#11856,.F.); +#18040=ORIENTED_EDGE('',*,*,#18039,.T.); +#18042=ORIENTED_EDGE('',*,*,#18041,.T.); +#18044=ORIENTED_EDGE('',*,*,#18043,.F.); +#18045=ORIENTED_EDGE('',*,*,#11832,.F.); +#18047=ORIENTED_EDGE('',*,*,#18046,.T.); +#18048=EDGE_LOOP('',(#18036,#18037,#18038,#18040,#18042,#18044,#18045,#18047)); +#18049=FACE_OUTER_BOUND('',#18048,.F.); +#18051=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); +#18052=DIRECTION('',(0.E0,0.E0,1.E0)); +#18053=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18054=AXIS2_PLACEMENT_3D('',#18051,#18052,#18053); +#18055=PLANE('',#18054); +#18056=ORIENTED_EDGE('',*,*,#18035,.F.); +#18058=ORIENTED_EDGE('',*,*,#18057,.F.); +#18060=ORIENTED_EDGE('',*,*,#18059,.F.); +#18061=ORIENTED_EDGE('',*,*,#18023,.F.); +#18062=EDGE_LOOP('',(#18056,#18058,#18060,#18061)); +#18063=FACE_OUTER_BOUND('',#18062,.F.); +#18065=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); +#18066=DIRECTION('',(0.E0,0.E0,1.E0)); +#18067=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18068=AXIS2_PLACEMENT_3D('',#18065,#18066,#18067); +#18069=PLANE('',#18068); +#18071=ORIENTED_EDGE('',*,*,#18070,.T.); +#18073=ORIENTED_EDGE('',*,*,#18072,.F.); +#18075=ORIENTED_EDGE('',*,*,#18074,.F.); +#18077=ORIENTED_EDGE('',*,*,#18076,.F.); +#18078=EDGE_LOOP('',(#18071,#18073,#18075,#18077)); +#18079=FACE_OUTER_BOUND('',#18078,.F.); +#18081=CARTESIAN_POINT('',(0.E0,0.E0,4.511724784545E0)); +#18082=DIRECTION('',(0.E0,0.E0,1.E0)); +#18083=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18084=AXIS2_PLACEMENT_3D('',#18081,#18082,#18083); +#18085=PLANE('',#18084); +#18087=ORIENTED_EDGE('',*,*,#18086,.T.); +#18089=ORIENTED_EDGE('',*,*,#18088,.F.); +#18091=ORIENTED_EDGE('',*,*,#18090,.F.); +#18093=ORIENTED_EDGE('',*,*,#18092,.F.); +#18094=EDGE_LOOP('',(#18087,#18089,#18091,#18093)); +#18095=FACE_OUTER_BOUND('',#18094,.F.); +#18097=CARTESIAN_POINT('',(1.00471072E2,1.023366004030E2,4.311724784545E0)); +#18098=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18099=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18100=AXIS2_PLACEMENT_3D('',#18097,#18098,#18099); +#18101=PLANE('',#18100); +#18102=ORIENTED_EDGE('',*,*,#11830,.F.); +#18104=ORIENTED_EDGE('',*,*,#18103,.T.); +#18105=ORIENTED_EDGE('',*,*,#18057,.T.); +#18106=ORIENTED_EDGE('',*,*,#18046,.F.); +#18107=EDGE_LOOP('',(#18102,#18104,#18105,#18106)); +#18108=FACE_OUTER_BOUND('',#18107,.F.); +#18110=CARTESIAN_POINT('',(1.021334E2,1.023366004030E2,4.311724784545E0)); +#18111=DIRECTION('',(0.E0,1.E0,0.E0)); +#18112=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18113=AXIS2_PLACEMENT_3D('',#18110,#18111,#18112); +#18114=PLANE('',#18113); +#18115=ORIENTED_EDGE('',*,*,#11828,.F.); +#18116=ORIENTED_EDGE('',*,*,#18025,.T.); +#18117=ORIENTED_EDGE('',*,*,#18059,.T.); +#18118=ORIENTED_EDGE('',*,*,#18103,.F.); +#18119=EDGE_LOOP('',(#18115,#18116,#18117,#18118)); +#18120=FACE_OUTER_BOUND('',#18119,.F.); +#18122=CARTESIAN_POINT('',(9.9912592E1,1.02177639E2,4.311724784545E0)); +#18123=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18124=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18125=AXIS2_PLACEMENT_3D('',#18122,#18123,#18124); +#18126=PLANE('',#18125); +#18127=ORIENTED_EDGE('',*,*,#18070,.F.); +#18129=ORIENTED_EDGE('',*,*,#18128,.F.); +#18130=ORIENTED_EDGE('',*,*,#11834,.F.); +#18131=ORIENTED_EDGE('',*,*,#18043,.T.); +#18133=ORIENTED_EDGE('',*,*,#18132,.T.); +#18135=ORIENTED_EDGE('',*,*,#18134,.F.); +#18136=ORIENTED_EDGE('',*,*,#11842,.F.); +#18138=ORIENTED_EDGE('',*,*,#18137,.T.); +#18139=EDGE_LOOP('',(#18127,#18129,#18130,#18131,#18133,#18135,#18136,#18138)); +#18140=FACE_OUTER_BOUND('',#18139,.F.); +#18142=CARTESIAN_POINT('',(1.000269506742E2,1.00939237E2,4.311724784545E0)); +#18143=DIRECTION('',(0.E0,1.E0,0.E0)); +#18144=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18145=AXIS2_PLACEMENT_3D('',#18142,#18143,#18144); +#18146=PLANE('',#18145); +#18147=ORIENTED_EDGE('',*,*,#11836,.F.); +#18148=ORIENTED_EDGE('',*,*,#18128,.T.); +#18149=ORIENTED_EDGE('',*,*,#18076,.T.); +#18151=ORIENTED_EDGE('',*,*,#18150,.F.); +#18152=EDGE_LOOP('',(#18147,#18148,#18149,#18151)); +#18153=FACE_OUTER_BOUND('',#18152,.F.); +#18155=CARTESIAN_POINT('',(9.9341074E1,1.00939237E2,4.311724784545E0)); +#18156=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18157=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18158=AXIS2_PLACEMENT_3D('',#18155,#18156,#18157); +#18159=PLANE('',#18158); +#18160=ORIENTED_EDGE('',*,*,#11838,.F.); +#18161=ORIENTED_EDGE('',*,*,#18150,.T.); +#18162=ORIENTED_EDGE('',*,*,#18074,.T.); +#18164=ORIENTED_EDGE('',*,*,#18163,.F.); +#18165=EDGE_LOOP('',(#18160,#18161,#18162,#18164)); +#18166=FACE_OUTER_BOUND('',#18165,.F.); +#18168=CARTESIAN_POINT('',(9.9341074E1,9.9936038E1,4.311724784545E0)); +#18169=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18170=DIRECTION('',(1.E0,0.E0,0.E0)); +#18171=AXIS2_PLACEMENT_3D('',#18168,#18169,#18170); +#18172=PLANE('',#18171); +#18173=ORIENTED_EDGE('',*,*,#11840,.F.); +#18174=ORIENTED_EDGE('',*,*,#18163,.T.); +#18175=ORIENTED_EDGE('',*,*,#18072,.T.); +#18176=ORIENTED_EDGE('',*,*,#18137,.F.); +#18177=EDGE_LOOP('',(#18173,#18174,#18175,#18176)); +#18178=FACE_OUTER_BOUND('',#18177,.F.); +#18180=CARTESIAN_POINT('',(0.E0,0.E0,4.611724784545E0)); +#18181=DIRECTION('',(0.E0,0.E0,1.E0)); +#18182=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18183=AXIS2_PLACEMENT_3D('',#18180,#18181,#18182); +#18184=PLANE('',#18183); +#18186=ORIENTED_EDGE('',*,*,#18185,.F.); +#18188=ORIENTED_EDGE('',*,*,#18187,.F.); +#18189=ORIENTED_EDGE('',*,*,#18132,.F.); +#18190=ORIENTED_EDGE('',*,*,#18041,.F.); +#18192=ORIENTED_EDGE('',*,*,#18191,.F.); +#18194=ORIENTED_EDGE('',*,*,#18193,.T.); +#18196=ORIENTED_EDGE('',*,*,#18195,.T.); +#18198=ORIENTED_EDGE('',*,*,#18197,.T.); +#18199=EDGE_LOOP('',(#18186,#18188,#18189,#18190,#18192,#18194,#18196,#18198)); +#18200=FACE_OUTER_BOUND('',#18199,.F.); +#18202=CARTESIAN_POINT('',(1.024779891032E2,9.8657641E1,4.311724784545E0)); +#18203=DIRECTION('',(1.E0,0.E0,0.E0)); +#18204=DIRECTION('',(0.E0,1.E0,0.E0)); +#18205=AXIS2_PLACEMENT_3D('',#18202,#18203,#18204); +#18206=PLANE('',#18205); +#18207=ORIENTED_EDGE('',*,*,#11854,.F.); +#18209=ORIENTED_EDGE('',*,*,#18208,.T.); +#18210=ORIENTED_EDGE('',*,*,#18185,.T.); +#18211=ORIENTED_EDGE('',*,*,#18191,.T.); +#18212=ORIENTED_EDGE('',*,*,#18039,.F.); +#18213=EDGE_LOOP('',(#18207,#18209,#18210,#18211,#18212)); +#18214=FACE_OUTER_BOUND('',#18213,.F.); +#18216=CARTESIAN_POINT('',(9.9912592E1,9.8657641E1,4.311724784545E0)); +#18217=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18218=DIRECTION('',(1.E0,0.E0,0.E0)); +#18219=AXIS2_PLACEMENT_3D('',#18216,#18217,#18218); +#18220=PLANE('',#18219); +#18221=ORIENTED_EDGE('',*,*,#18086,.F.); +#18223=ORIENTED_EDGE('',*,*,#18222,.F.); +#18224=ORIENTED_EDGE('',*,*,#11844,.F.); +#18225=ORIENTED_EDGE('',*,*,#18134,.T.); +#18226=ORIENTED_EDGE('',*,*,#18187,.T.); +#18227=ORIENTED_EDGE('',*,*,#18208,.F.); +#18228=ORIENTED_EDGE('',*,*,#11852,.F.); +#18230=ORIENTED_EDGE('',*,*,#18229,.T.); +#18231=EDGE_LOOP('',(#18221,#18223,#18224,#18225,#18226,#18227,#18228,#18230)); +#18232=FACE_OUTER_BOUND('',#18231,.F.); +#18234=CARTESIAN_POINT('',(1.00471072E2,9.8657641E1,4.311724784545E0)); +#18235=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18236=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18237=AXIS2_PLACEMENT_3D('',#18234,#18235,#18236); +#18238=PLANE('',#18237); +#18239=ORIENTED_EDGE('',*,*,#11846,.F.); +#18240=ORIENTED_EDGE('',*,*,#18222,.T.); +#18241=ORIENTED_EDGE('',*,*,#18092,.T.); +#18243=ORIENTED_EDGE('',*,*,#18242,.F.); +#18244=EDGE_LOOP('',(#18239,#18240,#18241,#18243)); +#18245=FACE_OUTER_BOUND('',#18244,.F.); +#18247=CARTESIAN_POINT('',(1.00471072E2,9.8526597E1,4.311724784545E0)); +#18248=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18249=DIRECTION('',(1.E0,0.E0,0.E0)); +#18250=AXIS2_PLACEMENT_3D('',#18247,#18248,#18249); +#18251=PLANE('',#18250); +#18252=ORIENTED_EDGE('',*,*,#11848,.F.); +#18253=ORIENTED_EDGE('',*,*,#18242,.T.); +#18254=ORIENTED_EDGE('',*,*,#18090,.T.); +#18256=ORIENTED_EDGE('',*,*,#18255,.F.); +#18257=EDGE_LOOP('',(#18252,#18253,#18254,#18256)); +#18258=FACE_OUTER_BOUND('',#18257,.F.); +#18260=CARTESIAN_POINT('',(1.019556E2,9.8526597E1,4.311724784545E0)); +#18261=DIRECTION('',(1.E0,0.E0,0.E0)); +#18262=DIRECTION('',(0.E0,1.E0,0.E0)); +#18263=AXIS2_PLACEMENT_3D('',#18260,#18261,#18262); +#18264=PLANE('',#18263); +#18265=ORIENTED_EDGE('',*,*,#11850,.F.); +#18266=ORIENTED_EDGE('',*,*,#18255,.T.); +#18267=ORIENTED_EDGE('',*,*,#18088,.T.); +#18268=ORIENTED_EDGE('',*,*,#18229,.F.); +#18269=EDGE_LOOP('',(#18265,#18266,#18267,#18268)); +#18270=FACE_OUTER_BOUND('',#18269,.F.); +#18272=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); +#18273=DIRECTION('',(0.E0,0.E0,1.E0)); +#18274=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18275=AXIS2_PLACEMENT_3D('',#18272,#18273,#18274); +#18276=CYLINDRICAL_SURFACE('',#18275,1.E0); +#18277=ORIENTED_EDGE('',*,*,#18197,.F.); +#18279=ORIENTED_EDGE('',*,*,#18278,.T.); +#18281=ORIENTED_EDGE('',*,*,#18280,.T.); +#18283=ORIENTED_EDGE('',*,*,#18282,.F.); +#18284=ORIENTED_EDGE('',*,*,#18193,.F.); +#18285=EDGE_LOOP('',(#18277,#18279,#18281,#18283,#18284)); +#18286=FACE_OUTER_BOUND('',#18285,.F.); +#18288=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); +#18289=DIRECTION('',(0.E0,0.E0,1.E0)); +#18290=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18291=AXIS2_PLACEMENT_3D('',#18288,#18289,#18290); +#18292=CYLINDRICAL_SURFACE('',#18291,1.E0); +#18293=ORIENTED_EDGE('',*,*,#18195,.F.); +#18294=ORIENTED_EDGE('',*,*,#18282,.T.); +#18296=ORIENTED_EDGE('',*,*,#18295,.T.); +#18297=ORIENTED_EDGE('',*,*,#18278,.F.); +#18298=EDGE_LOOP('',(#18293,#18294,#18296,#18297)); +#18299=FACE_OUTER_BOUND('',#18298,.F.); +#18301=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#18302=DIRECTION('',(0.E0,0.E0,1.E0)); +#18303=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18304=AXIS2_PLACEMENT_3D('',#18301,#18302,#18303); +#18305=PLANE('',#18304); +#18306=ORIENTED_EDGE('',*,*,#18280,.F.); +#18307=ORIENTED_EDGE('',*,*,#18295,.F.); +#18308=EDGE_LOOP('',(#18306,#18307)); +#18309=FACE_OUTER_BOUND('',#18308,.F.); +#18311=ORIENTED_EDGE('',*,*,#18310,.T.); +#18313=ORIENTED_EDGE('',*,*,#18312,.T.); +#18314=EDGE_LOOP('',(#18311,#18313)); +#18315=FACE_BOUND('',#18314,.F.); +#18317=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#18318=DIRECTION('',(0.E0,0.E0,1.E0)); +#18319=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18320=AXIS2_PLACEMENT_3D('',#18317,#18318,#18319); +#18321=PLANE('',#18320); +#18323=ORIENTED_EDGE('',*,*,#18322,.F.); +#18325=ORIENTED_EDGE('',*,*,#18324,.F.); +#18326=EDGE_LOOP('',(#18323,#18325)); +#18327=FACE_OUTER_BOUND('',#18326,.F.); +#18329=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); +#18330=DIRECTION('',(0.E0,0.E0,1.E0)); +#18331=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18332=AXIS2_PLACEMENT_3D('',#18329,#18330,#18331); +#18333=CYLINDRICAL_SURFACE('',#18332,8.E-1); +#18334=ORIENTED_EDGE('',*,*,#18310,.F.); +#18336=ORIENTED_EDGE('',*,*,#18335,.F.); +#18338=ORIENTED_EDGE('',*,*,#18337,.T.); +#18340=ORIENTED_EDGE('',*,*,#18339,.T.); +#18341=EDGE_LOOP('',(#18334,#18336,#18338,#18340)); +#18342=FACE_OUTER_BOUND('',#18341,.F.); +#18344=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); +#18345=DIRECTION('',(0.E0,0.E0,1.E0)); +#18346=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18347=AXIS2_PLACEMENT_3D('',#18344,#18345,#18346); +#18348=CYLINDRICAL_SURFACE('',#18347,8.E-1); +#18349=ORIENTED_EDGE('',*,*,#18312,.F.); +#18350=ORIENTED_EDGE('',*,*,#18339,.F.); +#18352=ORIENTED_EDGE('',*,*,#18351,.T.); +#18353=ORIENTED_EDGE('',*,*,#18335,.T.); +#18354=EDGE_LOOP('',(#18349,#18350,#18352,#18353)); +#18355=FACE_OUTER_BOUND('',#18354,.F.); +#18357=CARTESIAN_POINT('',(0.E0,0.E0,4.311724784545E0)); +#18358=DIRECTION('',(0.E0,0.E0,1.E0)); +#18359=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18360=AXIS2_PLACEMENT_3D('',#18357,#18358,#18359); +#18361=PLANE('',#18360); +#18362=ORIENTED_EDGE('',*,*,#18337,.F.); +#18363=ORIENTED_EDGE('',*,*,#18351,.F.); +#18364=EDGE_LOOP('',(#18362,#18363)); +#18365=FACE_OUTER_BOUND('',#18364,.F.); +#18367=ORIENTED_EDGE('',*,*,#18366,.F.); +#18369=ORIENTED_EDGE('',*,*,#18368,.F.); +#18370=EDGE_LOOP('',(#18367,#18369)); +#18371=FACE_BOUND('',#18370,.F.); +#18373=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); +#18374=DIRECTION('',(0.E0,0.E0,1.E0)); +#18375=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18376=AXIS2_PLACEMENT_3D('',#18373,#18374,#18375); +#18377=CYLINDRICAL_SURFACE('',#18376,2.5E-1); +#18378=ORIENTED_EDGE('',*,*,#18322,.T.); +#18380=ORIENTED_EDGE('',*,*,#18379,.F.); +#18381=ORIENTED_EDGE('',*,*,#18366,.T.); +#18383=ORIENTED_EDGE('',*,*,#18382,.T.); +#18384=EDGE_LOOP('',(#18378,#18380,#18381,#18383)); +#18385=FACE_OUTER_BOUND('',#18384,.F.); +#18387=CARTESIAN_POINT('',(1.0147267E2,1.004376375E2,4.311724784545E0)); +#18388=DIRECTION('',(0.E0,0.E0,1.E0)); +#18389=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18390=AXIS2_PLACEMENT_3D('',#18387,#18388,#18389); +#18391=CYLINDRICAL_SURFACE('',#18390,2.5E-1); +#18392=ORIENTED_EDGE('',*,*,#18324,.T.); +#18393=ORIENTED_EDGE('',*,*,#18382,.F.); +#18394=ORIENTED_EDGE('',*,*,#18368,.T.); +#18395=ORIENTED_EDGE('',*,*,#18379,.T.); +#18396=EDGE_LOOP('',(#18392,#18393,#18394,#18395)); +#18397=FACE_OUTER_BOUND('',#18396,.F.); +#18399=CARTESIAN_POINT('',(1.02466389E2,6.3901201E1,4.311724784545E0)); +#18400=DIRECTION('',(0.E0,1.E0,0.E0)); +#18401=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18402=AXIS2_PLACEMENT_3D('',#18399,#18400,#18401); +#18403=PLANE('',#18402); +#18404=ORIENTED_EDGE('',*,*,#11860,.F.); +#18406=ORIENTED_EDGE('',*,*,#18405,.T.); +#18408=ORIENTED_EDGE('',*,*,#18407,.T.); +#18410=ORIENTED_EDGE('',*,*,#18409,.F.); +#18411=EDGE_LOOP('',(#18404,#18406,#18408,#18410)); +#18412=FACE_OUTER_BOUND('',#18411,.F.); +#18414=CARTESIAN_POINT('',(1.02466389E2,6.3149599E1,4.311724784545E0)); +#18415=DIRECTION('',(1.E0,0.E0,0.E0)); +#18416=DIRECTION('',(0.E0,1.E0,0.E0)); +#18417=AXIS2_PLACEMENT_3D('',#18414,#18415,#18416); +#18418=PLANE('',#18417); +#18419=ORIENTED_EDGE('',*,*,#11866,.F.); +#18421=ORIENTED_EDGE('',*,*,#18420,.T.); +#18423=ORIENTED_EDGE('',*,*,#18422,.T.); +#18424=ORIENTED_EDGE('',*,*,#18405,.F.); +#18425=EDGE_LOOP('',(#18419,#18421,#18423,#18424)); +#18426=FACE_OUTER_BOUND('',#18425,.F.); +#18428=CARTESIAN_POINT('',(1.00914789E2,6.3149599E1,4.311724784545E0)); +#18429=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18430=DIRECTION('',(1.E0,0.E0,0.E0)); +#18431=AXIS2_PLACEMENT_3D('',#18428,#18429,#18430); +#18432=PLANE('',#18431); +#18433=ORIENTED_EDGE('',*,*,#11864,.F.); +#18435=ORIENTED_EDGE('',*,*,#18434,.T.); +#18437=ORIENTED_EDGE('',*,*,#18436,.T.); +#18438=ORIENTED_EDGE('',*,*,#18420,.F.); +#18439=EDGE_LOOP('',(#18433,#18435,#18437,#18438)); +#18440=FACE_OUTER_BOUND('',#18439,.F.); +#18442=CARTESIAN_POINT('',(1.00914789E2,6.3901201E1,4.311724784545E0)); +#18443=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18444=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18445=AXIS2_PLACEMENT_3D('',#18442,#18443,#18444); +#18446=PLANE('',#18445); +#18447=ORIENTED_EDGE('',*,*,#11862,.F.); +#18448=ORIENTED_EDGE('',*,*,#18409,.T.); +#18450=ORIENTED_EDGE('',*,*,#18449,.T.); +#18451=ORIENTED_EDGE('',*,*,#18434,.F.); +#18452=EDGE_LOOP('',(#18447,#18448,#18450,#18451)); +#18453=FACE_OUTER_BOUND('',#18452,.F.); +#18455=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#18456=DIRECTION('',(0.E0,0.E0,1.E0)); +#18457=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18458=AXIS2_PLACEMENT_3D('',#18455,#18456,#18457); +#18459=PLANE('',#18458); +#18460=ORIENTED_EDGE('',*,*,#18407,.F.); +#18461=ORIENTED_EDGE('',*,*,#18422,.F.); +#18462=ORIENTED_EDGE('',*,*,#18436,.F.); +#18463=ORIENTED_EDGE('',*,*,#18449,.F.); +#18464=EDGE_LOOP('',(#18460,#18461,#18462,#18463)); +#18465=FACE_OUTER_BOUND('',#18464,.F.); +#18467=FILL_AREA_STYLE_COLOUR('',#44); +#18468=FILL_AREA_STYLE('',(#18467)); +#18469=SURFACE_STYLE_FILL_AREA(#18468); +#18470=SURFACE_SIDE_STYLE('',(#18469)); +#18471=SURFACE_STYLE_USAGE(.POSITIVE.,#18470); +#18472=FILL_AREA_STYLE_COLOUR('',#5); +#18473=FILL_AREA_STYLE('',(#18472)); +#18474=SURFACE_STYLE_FILL_AREA(#18473); +#18475=SURFACE_SIDE_STYLE('',(#18474)); +#18476=SURFACE_STYLE_USAGE(.NEGATIVE.,#18475); +#18477=PRESENTATION_STYLE_ASSIGNMENT((#18471,#18476)); +#18478=STYLED_ITEM('',(#18477),#18466); +#18479=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#18480=DIRECTION('',(0.E0,0.E0,1.E0)); +#18481=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18482=AXIS2_PLACEMENT_3D('',#18479,#18480,#18481); +#18483=PLANE('',#18482); +#18485=ORIENTED_EDGE('',*,*,#18484,.F.); +#18487=ORIENTED_EDGE('',*,*,#18486,.F.); +#18489=ORIENTED_EDGE('',*,*,#18488,.F.); +#18491=ORIENTED_EDGE('',*,*,#18490,.F.); +#18492=EDGE_LOOP('',(#18485,#18487,#18489,#18491)); +#18493=FACE_OUTER_BOUND('',#18492,.F.); +#18495=FILL_AREA_STYLE_COLOUR('',#44); +#18496=FILL_AREA_STYLE('',(#18495)); +#18497=SURFACE_STYLE_FILL_AREA(#18496); +#18498=SURFACE_SIDE_STYLE('',(#18497)); +#18499=SURFACE_STYLE_USAGE(.POSITIVE.,#18498); +#18500=FILL_AREA_STYLE_COLOUR('',#5); +#18501=FILL_AREA_STYLE('',(#18500)); +#18502=SURFACE_STYLE_FILL_AREA(#18501); +#18503=SURFACE_SIDE_STYLE('',(#18502)); +#18504=SURFACE_STYLE_USAGE(.NEGATIVE.,#18503); +#18505=PRESENTATION_STYLE_ASSIGNMENT((#18499,#18504)); +#18506=STYLED_ITEM('',(#18505),#18494); +#18507=CARTESIAN_POINT('',(9.2865194E1,5.7957601E1,4.311724784545E0)); +#18508=DIRECTION('',(0.E0,1.E0,0.E0)); +#18509=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18510=AXIS2_PLACEMENT_3D('',#18507,#18508,#18509); +#18511=PLANE('',#18510); +#18512=ORIENTED_EDGE('',*,*,#11870,.F.); +#18514=ORIENTED_EDGE('',*,*,#18513,.T.); +#18515=ORIENTED_EDGE('',*,*,#18484,.T.); +#18517=ORIENTED_EDGE('',*,*,#18516,.F.); +#18518=EDGE_LOOP('',(#18512,#18514,#18515,#18517)); +#18519=FACE_OUTER_BOUND('',#18518,.F.); +#18521=CARTESIAN_POINT('',(9.2865194E1,5.7205999E1,4.311724784545E0)); +#18522=DIRECTION('',(1.E0,0.E0,0.E0)); +#18523=DIRECTION('',(0.E0,1.E0,0.E0)); +#18524=AXIS2_PLACEMENT_3D('',#18521,#18522,#18523); +#18525=PLANE('',#18524); +#18526=ORIENTED_EDGE('',*,*,#11876,.F.); +#18528=ORIENTED_EDGE('',*,*,#18527,.T.); +#18529=ORIENTED_EDGE('',*,*,#18486,.T.); +#18530=ORIENTED_EDGE('',*,*,#18513,.F.); +#18531=EDGE_LOOP('',(#18526,#18528,#18529,#18530)); +#18532=FACE_OUTER_BOUND('',#18531,.F.); +#18534=CARTESIAN_POINT('',(9.1313594E1,5.7205999E1,4.311724784545E0)); +#18535=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18536=DIRECTION('',(1.E0,0.E0,0.E0)); +#18537=AXIS2_PLACEMENT_3D('',#18534,#18535,#18536); +#18538=PLANE('',#18537); +#18539=ORIENTED_EDGE('',*,*,#11874,.F.); +#18541=ORIENTED_EDGE('',*,*,#18540,.T.); +#18542=ORIENTED_EDGE('',*,*,#18488,.T.); +#18543=ORIENTED_EDGE('',*,*,#18527,.F.); +#18544=EDGE_LOOP('',(#18539,#18541,#18542,#18543)); +#18545=FACE_OUTER_BOUND('',#18544,.F.); +#18547=CARTESIAN_POINT('',(9.1313594E1,5.7957601E1,4.311724784545E0)); +#18548=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18549=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18550=AXIS2_PLACEMENT_3D('',#18547,#18548,#18549); +#18551=PLANE('',#18550); +#18552=ORIENTED_EDGE('',*,*,#11872,.F.); +#18553=ORIENTED_EDGE('',*,*,#18516,.T.); +#18554=ORIENTED_EDGE('',*,*,#18490,.T.); +#18555=ORIENTED_EDGE('',*,*,#18540,.F.); +#18556=EDGE_LOOP('',(#18552,#18553,#18554,#18555)); +#18557=FACE_OUTER_BOUND('',#18556,.F.); +#18559=CARTESIAN_POINT('',(1.02466389E2,6.5069601E1,4.311724784545E0)); +#18560=DIRECTION('',(0.E0,1.E0,0.E0)); +#18561=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18562=AXIS2_PLACEMENT_3D('',#18559,#18560,#18561); +#18563=PLANE('',#18562); +#18564=ORIENTED_EDGE('',*,*,#11880,.F.); +#18566=ORIENTED_EDGE('',*,*,#18565,.T.); +#18568=ORIENTED_EDGE('',*,*,#18567,.T.); +#18570=ORIENTED_EDGE('',*,*,#18569,.F.); +#18571=EDGE_LOOP('',(#18564,#18566,#18568,#18570)); +#18572=FACE_OUTER_BOUND('',#18571,.F.); +#18574=CARTESIAN_POINT('',(1.02466389E2,6.4317999E1,4.311724784545E0)); +#18575=DIRECTION('',(1.E0,0.E0,0.E0)); +#18576=DIRECTION('',(0.E0,1.E0,0.E0)); +#18577=AXIS2_PLACEMENT_3D('',#18574,#18575,#18576); +#18578=PLANE('',#18577); +#18579=ORIENTED_EDGE('',*,*,#11886,.F.); +#18581=ORIENTED_EDGE('',*,*,#18580,.T.); +#18583=ORIENTED_EDGE('',*,*,#18582,.T.); +#18584=ORIENTED_EDGE('',*,*,#18565,.F.); +#18585=EDGE_LOOP('',(#18579,#18581,#18583,#18584)); +#18586=FACE_OUTER_BOUND('',#18585,.F.); +#18588=CARTESIAN_POINT('',(1.00914789E2,6.4317999E1,4.311724784545E0)); +#18589=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18590=DIRECTION('',(1.E0,0.E0,0.E0)); +#18591=AXIS2_PLACEMENT_3D('',#18588,#18589,#18590); +#18592=PLANE('',#18591); +#18593=ORIENTED_EDGE('',*,*,#11884,.F.); +#18595=ORIENTED_EDGE('',*,*,#18594,.T.); +#18597=ORIENTED_EDGE('',*,*,#18596,.T.); +#18598=ORIENTED_EDGE('',*,*,#18580,.F.); +#18599=EDGE_LOOP('',(#18593,#18595,#18597,#18598)); +#18600=FACE_OUTER_BOUND('',#18599,.F.); +#18602=CARTESIAN_POINT('',(1.00914789E2,6.5069601E1,4.311724784545E0)); +#18603=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18604=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18605=AXIS2_PLACEMENT_3D('',#18602,#18603,#18604); +#18606=PLANE('',#18605); +#18607=ORIENTED_EDGE('',*,*,#11882,.F.); +#18608=ORIENTED_EDGE('',*,*,#18569,.T.); +#18610=ORIENTED_EDGE('',*,*,#18609,.T.); +#18611=ORIENTED_EDGE('',*,*,#18594,.F.); +#18612=EDGE_LOOP('',(#18607,#18608,#18610,#18611)); +#18613=FACE_OUTER_BOUND('',#18612,.F.); +#18615=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18616=DIRECTION('',(0.E0,0.E0,1.E0)); +#18617=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18618=AXIS2_PLACEMENT_3D('',#18615,#18616,#18617); +#18619=PLANE('',#18618); +#18620=ORIENTED_EDGE('',*,*,#18567,.F.); +#18621=ORIENTED_EDGE('',*,*,#18582,.F.); +#18622=ORIENTED_EDGE('',*,*,#18596,.F.); +#18623=ORIENTED_EDGE('',*,*,#18609,.F.); +#18624=EDGE_LOOP('',(#18620,#18621,#18622,#18623)); +#18625=FACE_OUTER_BOUND('',#18624,.F.); +#18627=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18628=DIRECTION('',(0.E0,0.E0,1.E0)); +#18629=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18630=AXIS2_PLACEMENT_3D('',#18627,#18628,#18629); +#18631=PLANE('',#18630); +#18633=ORIENTED_EDGE('',*,*,#18632,.F.); +#18635=ORIENTED_EDGE('',*,*,#18634,.F.); +#18637=ORIENTED_EDGE('',*,*,#18636,.F.); +#18639=ORIENTED_EDGE('',*,*,#18638,.F.); +#18640=EDGE_LOOP('',(#18633,#18635,#18637,#18639)); +#18641=FACE_OUTER_BOUND('',#18640,.F.); +#18643=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18644=DIRECTION('',(0.E0,0.E0,1.E0)); +#18645=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18646=AXIS2_PLACEMENT_3D('',#18643,#18644,#18645); +#18647=PLANE('',#18646); +#18649=ORIENTED_EDGE('',*,*,#18648,.F.); +#18651=ORIENTED_EDGE('',*,*,#18650,.F.); +#18653=ORIENTED_EDGE('',*,*,#18652,.F.); +#18655=ORIENTED_EDGE('',*,*,#18654,.F.); +#18656=EDGE_LOOP('',(#18649,#18651,#18653,#18655)); +#18657=FACE_OUTER_BOUND('',#18656,.F.); +#18659=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18660=DIRECTION('',(0.E0,0.E0,1.E0)); +#18661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18662=AXIS2_PLACEMENT_3D('',#18659,#18660,#18661); +#18663=PLANE('',#18662); +#18665=ORIENTED_EDGE('',*,*,#18664,.F.); +#18667=ORIENTED_EDGE('',*,*,#18666,.F.); +#18669=ORIENTED_EDGE('',*,*,#18668,.F.); +#18671=ORIENTED_EDGE('',*,*,#18670,.F.); +#18672=EDGE_LOOP('',(#18665,#18667,#18669,#18671)); +#18673=FACE_OUTER_BOUND('',#18672,.F.); +#18675=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18676=DIRECTION('',(0.E0,0.E0,1.E0)); +#18677=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18678=AXIS2_PLACEMENT_3D('',#18675,#18676,#18677); +#18679=PLANE('',#18678); +#18681=ORIENTED_EDGE('',*,*,#18680,.F.); +#18683=ORIENTED_EDGE('',*,*,#18682,.F.); +#18685=ORIENTED_EDGE('',*,*,#18684,.F.); +#18687=ORIENTED_EDGE('',*,*,#18686,.F.); +#18688=EDGE_LOOP('',(#18681,#18683,#18685,#18687)); +#18689=FACE_OUTER_BOUND('',#18688,.F.); +#18691=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18692=DIRECTION('',(0.E0,0.E0,1.E0)); +#18693=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18694=AXIS2_PLACEMENT_3D('',#18691,#18692,#18693); +#18695=PLANE('',#18694); +#18697=ORIENTED_EDGE('',*,*,#18696,.F.); +#18699=ORIENTED_EDGE('',*,*,#18698,.F.); +#18701=ORIENTED_EDGE('',*,*,#18700,.F.); +#18703=ORIENTED_EDGE('',*,*,#18702,.F.); +#18704=EDGE_LOOP('',(#18697,#18699,#18701,#18703)); +#18705=FACE_OUTER_BOUND('',#18704,.F.); +#18707=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18708=DIRECTION('',(0.E0,0.E0,1.E0)); +#18709=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18710=AXIS2_PLACEMENT_3D('',#18707,#18708,#18709); +#18711=PLANE('',#18710); +#18713=ORIENTED_EDGE('',*,*,#18712,.F.); +#18715=ORIENTED_EDGE('',*,*,#18714,.F.); +#18717=ORIENTED_EDGE('',*,*,#18716,.F.); +#18719=ORIENTED_EDGE('',*,*,#18718,.F.); +#18720=EDGE_LOOP('',(#18713,#18715,#18717,#18719)); +#18721=FACE_OUTER_BOUND('',#18720,.F.); +#18723=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18724=DIRECTION('',(0.E0,0.E0,1.E0)); +#18725=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18726=AXIS2_PLACEMENT_3D('',#18723,#18724,#18725); +#18727=PLANE('',#18726); +#18729=ORIENTED_EDGE('',*,*,#18728,.F.); +#18731=ORIENTED_EDGE('',*,*,#18730,.F.); +#18733=ORIENTED_EDGE('',*,*,#18732,.F.); +#18735=ORIENTED_EDGE('',*,*,#18734,.F.); +#18736=EDGE_LOOP('',(#18729,#18731,#18733,#18735)); +#18737=FACE_OUTER_BOUND('',#18736,.F.); +#18739=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18740=DIRECTION('',(0.E0,0.E0,1.E0)); +#18741=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18742=AXIS2_PLACEMENT_3D('',#18739,#18740,#18741); +#18743=PLANE('',#18742); +#18745=ORIENTED_EDGE('',*,*,#18744,.F.); +#18747=ORIENTED_EDGE('',*,*,#18746,.F.); +#18749=ORIENTED_EDGE('',*,*,#18748,.F.); +#18751=ORIENTED_EDGE('',*,*,#18750,.F.); +#18752=EDGE_LOOP('',(#18745,#18747,#18749,#18751)); +#18753=FACE_OUTER_BOUND('',#18752,.F.); +#18755=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18756=DIRECTION('',(0.E0,0.E0,1.E0)); +#18757=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18758=AXIS2_PLACEMENT_3D('',#18755,#18756,#18757); +#18759=PLANE('',#18758); +#18761=ORIENTED_EDGE('',*,*,#18760,.F.); +#18763=ORIENTED_EDGE('',*,*,#18762,.F.); +#18765=ORIENTED_EDGE('',*,*,#18764,.F.); +#18767=ORIENTED_EDGE('',*,*,#18766,.F.); +#18768=EDGE_LOOP('',(#18761,#18763,#18765,#18767)); +#18769=FACE_OUTER_BOUND('',#18768,.F.); +#18771=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18772=DIRECTION('',(0.E0,0.E0,1.E0)); +#18773=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18774=AXIS2_PLACEMENT_3D('',#18771,#18772,#18773); +#18775=PLANE('',#18774); +#18777=ORIENTED_EDGE('',*,*,#18776,.F.); +#18779=ORIENTED_EDGE('',*,*,#18778,.F.); +#18781=ORIENTED_EDGE('',*,*,#18780,.F.); +#18783=ORIENTED_EDGE('',*,*,#18782,.F.); +#18784=EDGE_LOOP('',(#18777,#18779,#18781,#18783)); +#18785=FACE_OUTER_BOUND('',#18784,.F.); +#18787=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18788=DIRECTION('',(0.E0,0.E0,1.E0)); +#18789=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18790=AXIS2_PLACEMENT_3D('',#18787,#18788,#18789); +#18791=PLANE('',#18790); +#18793=ORIENTED_EDGE('',*,*,#18792,.F.); +#18795=ORIENTED_EDGE('',*,*,#18794,.F.); +#18797=ORIENTED_EDGE('',*,*,#18796,.F.); +#18799=ORIENTED_EDGE('',*,*,#18798,.F.); +#18800=EDGE_LOOP('',(#18793,#18795,#18797,#18799)); +#18801=FACE_OUTER_BOUND('',#18800,.F.); +#18803=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18804=DIRECTION('',(0.E0,0.E0,1.E0)); +#18805=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18806=AXIS2_PLACEMENT_3D('',#18803,#18804,#18805); +#18807=PLANE('',#18806); +#18809=ORIENTED_EDGE('',*,*,#18808,.F.); +#18811=ORIENTED_EDGE('',*,*,#18810,.F.); +#18813=ORIENTED_EDGE('',*,*,#18812,.F.); +#18815=ORIENTED_EDGE('',*,*,#18814,.F.); +#18816=EDGE_LOOP('',(#18809,#18811,#18813,#18815)); +#18817=FACE_OUTER_BOUND('',#18816,.F.); +#18819=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18820=DIRECTION('',(0.E0,0.E0,1.E0)); +#18821=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18822=AXIS2_PLACEMENT_3D('',#18819,#18820,#18821); +#18823=PLANE('',#18822); +#18825=ORIENTED_EDGE('',*,*,#18824,.F.); +#18827=ORIENTED_EDGE('',*,*,#18826,.F.); +#18829=ORIENTED_EDGE('',*,*,#18828,.F.); +#18831=ORIENTED_EDGE('',*,*,#18830,.F.); +#18832=EDGE_LOOP('',(#18825,#18827,#18829,#18831)); +#18833=FACE_OUTER_BOUND('',#18832,.F.); +#18835=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18836=DIRECTION('',(0.E0,0.E0,1.E0)); +#18837=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18838=AXIS2_PLACEMENT_3D('',#18835,#18836,#18837); +#18839=PLANE('',#18838); +#18841=ORIENTED_EDGE('',*,*,#18840,.F.); +#18843=ORIENTED_EDGE('',*,*,#18842,.F.); +#18845=ORIENTED_EDGE('',*,*,#18844,.F.); +#18847=ORIENTED_EDGE('',*,*,#18846,.F.); +#18848=EDGE_LOOP('',(#18841,#18843,#18845,#18847)); +#18849=FACE_OUTER_BOUND('',#18848,.F.); +#18851=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18852=DIRECTION('',(0.E0,0.E0,1.E0)); +#18853=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18854=AXIS2_PLACEMENT_3D('',#18851,#18852,#18853); +#18855=PLANE('',#18854); +#18857=ORIENTED_EDGE('',*,*,#18856,.F.); +#18859=ORIENTED_EDGE('',*,*,#18858,.F.); +#18861=ORIENTED_EDGE('',*,*,#18860,.F.); +#18863=ORIENTED_EDGE('',*,*,#18862,.F.); +#18864=EDGE_LOOP('',(#18857,#18859,#18861,#18863)); +#18865=FACE_OUTER_BOUND('',#18864,.F.); +#18867=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18868=DIRECTION('',(0.E0,0.E0,1.E0)); +#18869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18870=AXIS2_PLACEMENT_3D('',#18867,#18868,#18869); +#18871=PLANE('',#18870); +#18873=ORIENTED_EDGE('',*,*,#18872,.F.); +#18875=ORIENTED_EDGE('',*,*,#18874,.F.); +#18877=ORIENTED_EDGE('',*,*,#18876,.F.); +#18879=ORIENTED_EDGE('',*,*,#18878,.F.); +#18880=EDGE_LOOP('',(#18873,#18875,#18877,#18879)); +#18881=FACE_OUTER_BOUND('',#18880,.F.); +#18883=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18884=DIRECTION('',(0.E0,0.E0,1.E0)); +#18885=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18886=AXIS2_PLACEMENT_3D('',#18883,#18884,#18885); +#18887=PLANE('',#18886); +#18889=ORIENTED_EDGE('',*,*,#18888,.F.); +#18891=ORIENTED_EDGE('',*,*,#18890,.F.); +#18893=ORIENTED_EDGE('',*,*,#18892,.F.); +#18895=ORIENTED_EDGE('',*,*,#18894,.F.); +#18896=EDGE_LOOP('',(#18889,#18891,#18893,#18895)); +#18897=FACE_OUTER_BOUND('',#18896,.F.); +#18899=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18900=DIRECTION('',(0.E0,0.E0,1.E0)); +#18901=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18902=AXIS2_PLACEMENT_3D('',#18899,#18900,#18901); +#18903=PLANE('',#18902); +#18905=ORIENTED_EDGE('',*,*,#18904,.F.); +#18907=ORIENTED_EDGE('',*,*,#18906,.F.); +#18909=ORIENTED_EDGE('',*,*,#18908,.F.); +#18911=ORIENTED_EDGE('',*,*,#18910,.F.); +#18912=EDGE_LOOP('',(#18905,#18907,#18909,#18911)); +#18913=FACE_OUTER_BOUND('',#18912,.F.); +#18915=CARTESIAN_POINT('',(0.E0,0.E0,4.711724784545E0)); +#18916=DIRECTION('',(0.E0,0.E0,1.E0)); +#18917=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18918=AXIS2_PLACEMENT_3D('',#18915,#18916,#18917); +#18919=PLANE('',#18918); +#18921=ORIENTED_EDGE('',*,*,#18920,.F.); +#18923=ORIENTED_EDGE('',*,*,#18922,.F.); +#18925=ORIENTED_EDGE('',*,*,#18924,.F.); +#18927=ORIENTED_EDGE('',*,*,#18926,.F.); +#18928=EDGE_LOOP('',(#18921,#18923,#18925,#18927)); +#18929=FACE_OUTER_BOUND('',#18928,.F.); +#18931=CARTESIAN_POINT('',(1.00914794E2,6.2758201E1,4.311724784545E0)); +#18932=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18934=AXIS2_PLACEMENT_3D('',#18931,#18932,#18933); +#18935=PLANE('',#18934); +#18936=ORIENTED_EDGE('',*,*,#11890,.F.); +#18938=ORIENTED_EDGE('',*,*,#18937,.T.); +#18939=ORIENTED_EDGE('',*,*,#18632,.T.); +#18941=ORIENTED_EDGE('',*,*,#18940,.F.); +#18942=EDGE_LOOP('',(#18936,#18938,#18939,#18941)); +#18943=FACE_OUTER_BOUND('',#18942,.F.); +#18945=CARTESIAN_POINT('',(1.02466394E2,6.2758201E1,4.311724784545E0)); +#18946=DIRECTION('',(0.E0,1.E0,0.E0)); +#18947=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18948=AXIS2_PLACEMENT_3D('',#18945,#18946,#18947); +#18949=PLANE('',#18948); +#18950=ORIENTED_EDGE('',*,*,#11896,.F.); +#18952=ORIENTED_EDGE('',*,*,#18951,.T.); +#18953=ORIENTED_EDGE('',*,*,#18634,.T.); +#18954=ORIENTED_EDGE('',*,*,#18937,.F.); +#18955=EDGE_LOOP('',(#18950,#18952,#18953,#18954)); +#18956=FACE_OUTER_BOUND('',#18955,.F.); +#18958=CARTESIAN_POINT('',(1.02466394E2,6.2006599E1,4.311724784545E0)); +#18959=DIRECTION('',(1.E0,0.E0,0.E0)); +#18960=DIRECTION('',(0.E0,1.E0,0.E0)); +#18961=AXIS2_PLACEMENT_3D('',#18958,#18959,#18960); +#18962=PLANE('',#18961); +#18963=ORIENTED_EDGE('',*,*,#11894,.F.); +#18965=ORIENTED_EDGE('',*,*,#18964,.T.); +#18966=ORIENTED_EDGE('',*,*,#18636,.T.); +#18967=ORIENTED_EDGE('',*,*,#18951,.F.); +#18968=EDGE_LOOP('',(#18963,#18965,#18966,#18967)); +#18969=FACE_OUTER_BOUND('',#18968,.F.); +#18971=CARTESIAN_POINT('',(1.00914794E2,6.2006599E1,4.311724784545E0)); +#18972=DIRECTION('',(0.E0,-1.E0,0.E0)); +#18973=DIRECTION('',(1.E0,0.E0,0.E0)); +#18974=AXIS2_PLACEMENT_3D('',#18971,#18972,#18973); +#18975=PLANE('',#18974); +#18976=ORIENTED_EDGE('',*,*,#11892,.F.); +#18977=ORIENTED_EDGE('',*,*,#18940,.T.); +#18978=ORIENTED_EDGE('',*,*,#18638,.T.); +#18979=ORIENTED_EDGE('',*,*,#18964,.F.); +#18980=EDGE_LOOP('',(#18976,#18977,#18978,#18979)); +#18981=FACE_OUTER_BOUND('',#18980,.F.); +#18983=CARTESIAN_POINT('',(1.03507789E2,5.5798601E1,4.311724784545E0)); +#18984=DIRECTION('',(0.E0,1.E0,0.E0)); +#18985=DIRECTION('',(-1.E0,0.E0,0.E0)); +#18986=AXIS2_PLACEMENT_3D('',#18983,#18984,#18985); +#18987=PLANE('',#18986); +#18988=ORIENTED_EDGE('',*,*,#11900,.F.); +#18990=ORIENTED_EDGE('',*,*,#18989,.T.); +#18991=ORIENTED_EDGE('',*,*,#18648,.T.); +#18993=ORIENTED_EDGE('',*,*,#18992,.F.); +#18994=EDGE_LOOP('',(#18988,#18990,#18991,#18993)); +#18995=FACE_OUTER_BOUND('',#18994,.F.); +#18997=CARTESIAN_POINT('',(1.03507789E2,5.5046999E1,4.311724784545E0)); +#18998=DIRECTION('',(1.E0,0.E0,0.E0)); +#18999=DIRECTION('',(0.E0,1.E0,0.E0)); +#19000=AXIS2_PLACEMENT_3D('',#18997,#18998,#18999); +#19001=PLANE('',#19000); +#19002=ORIENTED_EDGE('',*,*,#11906,.F.); +#19004=ORIENTED_EDGE('',*,*,#19003,.T.); +#19005=ORIENTED_EDGE('',*,*,#18650,.T.); +#19006=ORIENTED_EDGE('',*,*,#18989,.F.); +#19007=EDGE_LOOP('',(#19002,#19004,#19005,#19006)); +#19008=FACE_OUTER_BOUND('',#19007,.F.); +#19010=CARTESIAN_POINT('',(1.01956189E2,5.5046999E1,4.311724784545E0)); +#19011=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19012=DIRECTION('',(1.E0,0.E0,0.E0)); +#19013=AXIS2_PLACEMENT_3D('',#19010,#19011,#19012); +#19014=PLANE('',#19013); +#19015=ORIENTED_EDGE('',*,*,#11904,.F.); +#19017=ORIENTED_EDGE('',*,*,#19016,.T.); +#19018=ORIENTED_EDGE('',*,*,#18652,.T.); +#19019=ORIENTED_EDGE('',*,*,#19003,.F.); +#19020=EDGE_LOOP('',(#19015,#19017,#19018,#19019)); +#19021=FACE_OUTER_BOUND('',#19020,.F.); +#19023=CARTESIAN_POINT('',(1.01956189E2,5.5798601E1,4.311724784545E0)); +#19024=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19025=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19026=AXIS2_PLACEMENT_3D('',#19023,#19024,#19025); +#19027=PLANE('',#19026); +#19028=ORIENTED_EDGE('',*,*,#11902,.F.); +#19029=ORIENTED_EDGE('',*,*,#18992,.T.); +#19030=ORIENTED_EDGE('',*,*,#18654,.T.); +#19031=ORIENTED_EDGE('',*,*,#19016,.F.); +#19032=EDGE_LOOP('',(#19028,#19029,#19030,#19031)); +#19033=FACE_OUTER_BOUND('',#19032,.F.); +#19035=CARTESIAN_POINT('',(1.01551994E2,5.5046999E1,4.311724784545E0)); +#19036=DIRECTION('',(1.E0,0.E0,0.E0)); +#19037=DIRECTION('',(0.E0,1.E0,0.E0)); +#19038=AXIS2_PLACEMENT_3D('',#19035,#19036,#19037); +#19039=PLANE('',#19038); +#19040=ORIENTED_EDGE('',*,*,#11910,.F.); +#19042=ORIENTED_EDGE('',*,*,#19041,.T.); +#19043=ORIENTED_EDGE('',*,*,#18664,.T.); +#19045=ORIENTED_EDGE('',*,*,#19044,.F.); +#19046=EDGE_LOOP('',(#19040,#19042,#19043,#19045)); +#19047=FACE_OUTER_BOUND('',#19046,.F.); +#19049=CARTESIAN_POINT('',(1.00000394E2,5.5046999E1,4.311724784545E0)); +#19050=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19051=DIRECTION('',(1.E0,0.E0,0.E0)); +#19052=AXIS2_PLACEMENT_3D('',#19049,#19050,#19051); +#19053=PLANE('',#19052); +#19054=ORIENTED_EDGE('',*,*,#11916,.F.); +#19056=ORIENTED_EDGE('',*,*,#19055,.T.); +#19057=ORIENTED_EDGE('',*,*,#18666,.T.); +#19058=ORIENTED_EDGE('',*,*,#19041,.F.); +#19059=EDGE_LOOP('',(#19054,#19056,#19057,#19058)); +#19060=FACE_OUTER_BOUND('',#19059,.F.); +#19062=CARTESIAN_POINT('',(1.00000394E2,5.5798601E1,4.311724784545E0)); +#19063=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19064=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19065=AXIS2_PLACEMENT_3D('',#19062,#19063,#19064); +#19066=PLANE('',#19065); +#19067=ORIENTED_EDGE('',*,*,#11914,.F.); +#19069=ORIENTED_EDGE('',*,*,#19068,.T.); +#19070=ORIENTED_EDGE('',*,*,#18668,.T.); +#19071=ORIENTED_EDGE('',*,*,#19055,.F.); +#19072=EDGE_LOOP('',(#19067,#19069,#19070,#19071)); +#19073=FACE_OUTER_BOUND('',#19072,.F.); +#19075=CARTESIAN_POINT('',(1.01551994E2,5.5798601E1,4.311724784545E0)); +#19076=DIRECTION('',(0.E0,1.E0,0.E0)); +#19077=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19078=AXIS2_PLACEMENT_3D('',#19075,#19076,#19077); +#19079=PLANE('',#19078); +#19080=ORIENTED_EDGE('',*,*,#11912,.F.); +#19081=ORIENTED_EDGE('',*,*,#19044,.T.); +#19082=ORIENTED_EDGE('',*,*,#18670,.T.); +#19083=ORIENTED_EDGE('',*,*,#19068,.F.); +#19084=EDGE_LOOP('',(#19080,#19081,#19082,#19083)); +#19085=FACE_OUTER_BOUND('',#19084,.F.); +#19087=CARTESIAN_POINT('',(8.5118194E1,5.3995201E1,4.311724784545E0)); +#19088=DIRECTION('',(0.E0,1.E0,0.E0)); +#19089=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19090=AXIS2_PLACEMENT_3D('',#19087,#19088,#19089); +#19091=PLANE('',#19090); +#19092=ORIENTED_EDGE('',*,*,#11920,.F.); +#19094=ORIENTED_EDGE('',*,*,#19093,.T.); +#19095=ORIENTED_EDGE('',*,*,#18680,.T.); +#19097=ORIENTED_EDGE('',*,*,#19096,.F.); +#19098=EDGE_LOOP('',(#19092,#19094,#19095,#19097)); +#19099=FACE_OUTER_BOUND('',#19098,.F.); +#19101=CARTESIAN_POINT('',(8.5118194E1,5.3243599E1,4.311724784545E0)); +#19102=DIRECTION('',(1.E0,0.E0,0.E0)); +#19103=DIRECTION('',(0.E0,1.E0,0.E0)); +#19104=AXIS2_PLACEMENT_3D('',#19101,#19102,#19103); +#19105=PLANE('',#19104); +#19106=ORIENTED_EDGE('',*,*,#11926,.F.); +#19108=ORIENTED_EDGE('',*,*,#19107,.T.); +#19109=ORIENTED_EDGE('',*,*,#18682,.T.); +#19110=ORIENTED_EDGE('',*,*,#19093,.F.); +#19111=EDGE_LOOP('',(#19106,#19108,#19109,#19110)); +#19112=FACE_OUTER_BOUND('',#19111,.F.); +#19114=CARTESIAN_POINT('',(8.3566594E1,5.3243599E1,4.311724784545E0)); +#19115=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19116=DIRECTION('',(1.E0,0.E0,0.E0)); +#19117=AXIS2_PLACEMENT_3D('',#19114,#19115,#19116); +#19118=PLANE('',#19117); +#19119=ORIENTED_EDGE('',*,*,#11924,.F.); +#19121=ORIENTED_EDGE('',*,*,#19120,.T.); +#19122=ORIENTED_EDGE('',*,*,#18684,.T.); +#19123=ORIENTED_EDGE('',*,*,#19107,.F.); +#19124=EDGE_LOOP('',(#19119,#19121,#19122,#19123)); +#19125=FACE_OUTER_BOUND('',#19124,.F.); +#19127=CARTESIAN_POINT('',(8.3566594E1,5.3995201E1,4.311724784545E0)); +#19128=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19129=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19130=AXIS2_PLACEMENT_3D('',#19127,#19128,#19129); +#19131=PLANE('',#19130); +#19132=ORIENTED_EDGE('',*,*,#11922,.F.); +#19133=ORIENTED_EDGE('',*,*,#19096,.T.); +#19134=ORIENTED_EDGE('',*,*,#18686,.T.); +#19135=ORIENTED_EDGE('',*,*,#19120,.F.); +#19136=EDGE_LOOP('',(#19132,#19133,#19134,#19135)); +#19137=FACE_OUTER_BOUND('',#19136,.F.); +#19139=CARTESIAN_POINT('',(9.0858594E1,5.7957601E1,4.311724784545E0)); +#19140=DIRECTION('',(0.E0,1.E0,0.E0)); +#19141=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19142=AXIS2_PLACEMENT_3D('',#19139,#19140,#19141); +#19143=PLANE('',#19142); +#19144=ORIENTED_EDGE('',*,*,#11930,.F.); +#19146=ORIENTED_EDGE('',*,*,#19145,.T.); +#19147=ORIENTED_EDGE('',*,*,#18696,.T.); +#19149=ORIENTED_EDGE('',*,*,#19148,.F.); +#19150=EDGE_LOOP('',(#19144,#19146,#19147,#19149)); +#19151=FACE_OUTER_BOUND('',#19150,.F.); +#19153=CARTESIAN_POINT('',(9.0858594E1,5.7205999E1,4.311724784545E0)); +#19154=DIRECTION('',(1.E0,0.E0,0.E0)); +#19155=DIRECTION('',(0.E0,1.E0,0.E0)); +#19156=AXIS2_PLACEMENT_3D('',#19153,#19154,#19155); +#19157=PLANE('',#19156); +#19158=ORIENTED_EDGE('',*,*,#11936,.F.); +#19160=ORIENTED_EDGE('',*,*,#19159,.T.); +#19161=ORIENTED_EDGE('',*,*,#18698,.T.); +#19162=ORIENTED_EDGE('',*,*,#19145,.F.); +#19163=EDGE_LOOP('',(#19158,#19160,#19161,#19162)); +#19164=FACE_OUTER_BOUND('',#19163,.F.); +#19166=CARTESIAN_POINT('',(8.9306994E1,5.7205999E1,4.311724784545E0)); +#19167=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19168=DIRECTION('',(1.E0,0.E0,0.E0)); +#19169=AXIS2_PLACEMENT_3D('',#19166,#19167,#19168); +#19170=PLANE('',#19169); +#19171=ORIENTED_EDGE('',*,*,#11934,.F.); +#19173=ORIENTED_EDGE('',*,*,#19172,.T.); +#19174=ORIENTED_EDGE('',*,*,#18700,.T.); +#19175=ORIENTED_EDGE('',*,*,#19159,.F.); +#19176=EDGE_LOOP('',(#19171,#19173,#19174,#19175)); +#19177=FACE_OUTER_BOUND('',#19176,.F.); +#19179=CARTESIAN_POINT('',(8.9306994E1,5.7957601E1,4.311724784545E0)); +#19180=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19182=AXIS2_PLACEMENT_3D('',#19179,#19180,#19181); +#19183=PLANE('',#19182); +#19184=ORIENTED_EDGE('',*,*,#11932,.F.); +#19185=ORIENTED_EDGE('',*,*,#19148,.T.); +#19186=ORIENTED_EDGE('',*,*,#18702,.T.); +#19187=ORIENTED_EDGE('',*,*,#19172,.F.); +#19188=EDGE_LOOP('',(#19184,#19185,#19186,#19187)); +#19189=FACE_OUTER_BOUND('',#19188,.F.); +#19191=CARTESIAN_POINT('',(8.6309789E1,6.1320799E1,4.311724784545E0)); +#19192=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19193=DIRECTION('',(1.E0,0.E0,0.E0)); +#19194=AXIS2_PLACEMENT_3D('',#19191,#19192,#19193); +#19195=PLANE('',#19194); +#19196=ORIENTED_EDGE('',*,*,#11940,.F.); +#19198=ORIENTED_EDGE('',*,*,#19197,.T.); +#19199=ORIENTED_EDGE('',*,*,#18712,.T.); +#19201=ORIENTED_EDGE('',*,*,#19200,.F.); +#19202=EDGE_LOOP('',(#19196,#19198,#19199,#19201)); +#19203=FACE_OUTER_BOUND('',#19202,.F.); +#19205=CARTESIAN_POINT('',(8.6309789E1,6.2072401E1,4.311724784545E0)); +#19206=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19207=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19208=AXIS2_PLACEMENT_3D('',#19205,#19206,#19207); +#19209=PLANE('',#19208); +#19210=ORIENTED_EDGE('',*,*,#11946,.F.); +#19212=ORIENTED_EDGE('',*,*,#19211,.T.); +#19213=ORIENTED_EDGE('',*,*,#18714,.T.); +#19214=ORIENTED_EDGE('',*,*,#19197,.F.); +#19215=EDGE_LOOP('',(#19210,#19212,#19213,#19214)); +#19216=FACE_OUTER_BOUND('',#19215,.F.); +#19218=CARTESIAN_POINT('',(8.7861389E1,6.2072401E1,4.311724784545E0)); +#19219=DIRECTION('',(0.E0,1.E0,0.E0)); +#19220=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19221=AXIS2_PLACEMENT_3D('',#19218,#19219,#19220); +#19222=PLANE('',#19221); +#19223=ORIENTED_EDGE('',*,*,#11944,.F.); +#19225=ORIENTED_EDGE('',*,*,#19224,.T.); +#19226=ORIENTED_EDGE('',*,*,#18716,.T.); +#19227=ORIENTED_EDGE('',*,*,#19211,.F.); +#19228=EDGE_LOOP('',(#19223,#19225,#19226,#19227)); +#19229=FACE_OUTER_BOUND('',#19228,.F.); +#19231=CARTESIAN_POINT('',(8.7861389E1,6.1320799E1,4.311724784545E0)); +#19232=DIRECTION('',(1.E0,0.E0,0.E0)); +#19233=DIRECTION('',(0.E0,1.E0,0.E0)); +#19234=AXIS2_PLACEMENT_3D('',#19231,#19232,#19233); +#19235=PLANE('',#19234); +#19236=ORIENTED_EDGE('',*,*,#11942,.F.); +#19237=ORIENTED_EDGE('',*,*,#19200,.T.); +#19238=ORIENTED_EDGE('',*,*,#18718,.T.); +#19239=ORIENTED_EDGE('',*,*,#19224,.F.); +#19240=EDGE_LOOP('',(#19236,#19237,#19238,#19239)); +#19241=FACE_OUTER_BOUND('',#19240,.F.); +#19243=CARTESIAN_POINT('',(8.7141591E1,6.2749598E1,4.311724784545E0)); +#19244=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19245=DIRECTION('',(1.E0,0.E0,0.E0)); +#19246=AXIS2_PLACEMENT_3D('',#19243,#19244,#19245); +#19247=PLANE('',#19246); +#19248=ORIENTED_EDGE('',*,*,#11950,.F.); +#19250=ORIENTED_EDGE('',*,*,#19249,.T.); +#19251=ORIENTED_EDGE('',*,*,#18728,.T.); +#19253=ORIENTED_EDGE('',*,*,#19252,.F.); +#19254=EDGE_LOOP('',(#19248,#19250,#19251,#19253)); +#19255=FACE_OUTER_BOUND('',#19254,.F.); +#19257=CARTESIAN_POINT('',(8.7141591E1,6.4301197E1,4.311724784545E0)); +#19258=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19259=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19260=AXIS2_PLACEMENT_3D('',#19257,#19258,#19259); +#19261=PLANE('',#19260); +#19262=ORIENTED_EDGE('',*,*,#11956,.F.); +#19264=ORIENTED_EDGE('',*,*,#19263,.T.); +#19265=ORIENTED_EDGE('',*,*,#18730,.T.); +#19266=ORIENTED_EDGE('',*,*,#19249,.F.); +#19267=EDGE_LOOP('',(#19262,#19264,#19265,#19266)); +#19268=FACE_OUTER_BOUND('',#19267,.F.); +#19270=CARTESIAN_POINT('',(8.7893192E1,6.4301197E1,4.311724784545E0)); +#19271=DIRECTION('',(0.E0,1.E0,0.E0)); +#19272=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19273=AXIS2_PLACEMENT_3D('',#19270,#19271,#19272); +#19274=PLANE('',#19273); +#19275=ORIENTED_EDGE('',*,*,#11954,.F.); +#19277=ORIENTED_EDGE('',*,*,#19276,.T.); +#19278=ORIENTED_EDGE('',*,*,#18732,.T.); +#19279=ORIENTED_EDGE('',*,*,#19263,.F.); +#19280=EDGE_LOOP('',(#19275,#19277,#19278,#19279)); +#19281=FACE_OUTER_BOUND('',#19280,.F.); +#19283=CARTESIAN_POINT('',(8.7893192E1,6.2749598E1,4.311724784545E0)); +#19284=DIRECTION('',(1.E0,0.E0,0.E0)); +#19285=DIRECTION('',(0.E0,1.E0,0.E0)); +#19286=AXIS2_PLACEMENT_3D('',#19283,#19284,#19285); +#19287=PLANE('',#19286); +#19288=ORIENTED_EDGE('',*,*,#11952,.F.); +#19289=ORIENTED_EDGE('',*,*,#19252,.T.); +#19290=ORIENTED_EDGE('',*,*,#18734,.T.); +#19291=ORIENTED_EDGE('',*,*,#19276,.F.); +#19292=EDGE_LOOP('',(#19288,#19289,#19290,#19291)); +#19293=FACE_OUTER_BOUND('',#19292,.F.); +#19295=CARTESIAN_POINT('',(9.1372992E1,6.7679402E1,4.311724784545E0)); +#19296=DIRECTION('',(0.E0,1.E0,0.E0)); +#19297=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19298=AXIS2_PLACEMENT_3D('',#19295,#19296,#19297); +#19299=PLANE('',#19298); +#19300=ORIENTED_EDGE('',*,*,#11960,.F.); +#19302=ORIENTED_EDGE('',*,*,#19301,.T.); +#19303=ORIENTED_EDGE('',*,*,#18744,.T.); +#19305=ORIENTED_EDGE('',*,*,#19304,.F.); +#19306=EDGE_LOOP('',(#19300,#19302,#19303,#19305)); +#19307=FACE_OUTER_BOUND('',#19306,.F.); +#19309=CARTESIAN_POINT('',(9.1372992E1,6.6127803E1,4.311724784545E0)); +#19310=DIRECTION('',(1.E0,0.E0,0.E0)); +#19311=DIRECTION('',(0.E0,1.E0,0.E0)); +#19312=AXIS2_PLACEMENT_3D('',#19309,#19310,#19311); +#19313=PLANE('',#19312); +#19314=ORIENTED_EDGE('',*,*,#11966,.F.); +#19316=ORIENTED_EDGE('',*,*,#19315,.T.); +#19317=ORIENTED_EDGE('',*,*,#18746,.T.); +#19318=ORIENTED_EDGE('',*,*,#19301,.F.); +#19319=EDGE_LOOP('',(#19314,#19316,#19317,#19318)); +#19320=FACE_OUTER_BOUND('',#19319,.F.); +#19322=CARTESIAN_POINT('',(9.0621391E1,6.6127803E1,4.311724784545E0)); +#19323=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19324=DIRECTION('',(1.E0,0.E0,0.E0)); +#19325=AXIS2_PLACEMENT_3D('',#19322,#19323,#19324); +#19326=PLANE('',#19325); +#19327=ORIENTED_EDGE('',*,*,#11964,.F.); +#19329=ORIENTED_EDGE('',*,*,#19328,.T.); +#19330=ORIENTED_EDGE('',*,*,#18748,.T.); +#19331=ORIENTED_EDGE('',*,*,#19315,.F.); +#19332=EDGE_LOOP('',(#19327,#19329,#19330,#19331)); +#19333=FACE_OUTER_BOUND('',#19332,.F.); +#19335=CARTESIAN_POINT('',(9.0621391E1,6.7679402E1,4.311724784545E0)); +#19336=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19337=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19338=AXIS2_PLACEMENT_3D('',#19335,#19336,#19337); +#19339=PLANE('',#19338); +#19340=ORIENTED_EDGE('',*,*,#11962,.F.); +#19341=ORIENTED_EDGE('',*,*,#19304,.T.); +#19342=ORIENTED_EDGE('',*,*,#18750,.T.); +#19343=ORIENTED_EDGE('',*,*,#19328,.F.); +#19344=EDGE_LOOP('',(#19340,#19341,#19342,#19343)); +#19345=FACE_OUTER_BOUND('',#19344,.F.); +#19347=CARTESIAN_POINT('',(9.3709792E1,6.7679397E1,4.311724784545E0)); +#19348=DIRECTION('',(0.E0,1.E0,0.E0)); +#19349=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19350=AXIS2_PLACEMENT_3D('',#19347,#19348,#19349); +#19351=PLANE('',#19350); +#19352=ORIENTED_EDGE('',*,*,#11970,.F.); +#19354=ORIENTED_EDGE('',*,*,#19353,.T.); +#19355=ORIENTED_EDGE('',*,*,#18760,.T.); +#19357=ORIENTED_EDGE('',*,*,#19356,.F.); +#19358=EDGE_LOOP('',(#19352,#19354,#19355,#19357)); +#19359=FACE_OUTER_BOUND('',#19358,.F.); +#19361=CARTESIAN_POINT('',(9.3709792E1,6.6127798E1,4.311724784545E0)); +#19362=DIRECTION('',(1.E0,0.E0,0.E0)); +#19363=DIRECTION('',(0.E0,1.E0,0.E0)); +#19364=AXIS2_PLACEMENT_3D('',#19361,#19362,#19363); +#19365=PLANE('',#19364); +#19366=ORIENTED_EDGE('',*,*,#11976,.F.); +#19368=ORIENTED_EDGE('',*,*,#19367,.T.); +#19369=ORIENTED_EDGE('',*,*,#18762,.T.); +#19370=ORIENTED_EDGE('',*,*,#19353,.F.); +#19371=EDGE_LOOP('',(#19366,#19368,#19369,#19370)); +#19372=FACE_OUTER_BOUND('',#19371,.F.); +#19374=CARTESIAN_POINT('',(9.2958191E1,6.6127798E1,4.311724784545E0)); +#19375=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19376=DIRECTION('',(1.E0,0.E0,0.E0)); +#19377=AXIS2_PLACEMENT_3D('',#19374,#19375,#19376); +#19378=PLANE('',#19377); +#19379=ORIENTED_EDGE('',*,*,#11974,.F.); +#19381=ORIENTED_EDGE('',*,*,#19380,.T.); +#19382=ORIENTED_EDGE('',*,*,#18764,.T.); +#19383=ORIENTED_EDGE('',*,*,#19367,.F.); +#19384=EDGE_LOOP('',(#19379,#19381,#19382,#19383)); +#19385=FACE_OUTER_BOUND('',#19384,.F.); +#19387=CARTESIAN_POINT('',(9.2958191E1,6.7679397E1,4.311724784545E0)); +#19388=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19389=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19390=AXIS2_PLACEMENT_3D('',#19387,#19388,#19389); +#19391=PLANE('',#19390); +#19392=ORIENTED_EDGE('',*,*,#11972,.F.); +#19393=ORIENTED_EDGE('',*,*,#19356,.T.); +#19394=ORIENTED_EDGE('',*,*,#18766,.T.); +#19395=ORIENTED_EDGE('',*,*,#19380,.F.); +#19396=EDGE_LOOP('',(#19392,#19393,#19394,#19395)); +#19397=FACE_OUTER_BOUND('',#19396,.F.); +#19399=CARTESIAN_POINT('',(9.3906589E1,6.9463801E1,4.311724784545E0)); +#19400=DIRECTION('',(0.E0,1.E0,0.E0)); +#19401=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19402=AXIS2_PLACEMENT_3D('',#19399,#19400,#19401); +#19403=PLANE('',#19402); +#19404=ORIENTED_EDGE('',*,*,#11980,.F.); +#19406=ORIENTED_EDGE('',*,*,#19405,.T.); +#19407=ORIENTED_EDGE('',*,*,#18776,.T.); +#19409=ORIENTED_EDGE('',*,*,#19408,.F.); +#19410=EDGE_LOOP('',(#19404,#19406,#19407,#19409)); +#19411=FACE_OUTER_BOUND('',#19410,.F.); +#19413=CARTESIAN_POINT('',(9.3906589E1,6.8712199E1,4.311724784545E0)); +#19414=DIRECTION('',(1.E0,0.E0,0.E0)); +#19415=DIRECTION('',(0.E0,1.E0,0.E0)); +#19416=AXIS2_PLACEMENT_3D('',#19413,#19414,#19415); +#19417=PLANE('',#19416); +#19418=ORIENTED_EDGE('',*,*,#11986,.F.); +#19420=ORIENTED_EDGE('',*,*,#19419,.T.); +#19421=ORIENTED_EDGE('',*,*,#18778,.T.); +#19422=ORIENTED_EDGE('',*,*,#19405,.F.); +#19423=EDGE_LOOP('',(#19418,#19420,#19421,#19422)); +#19424=FACE_OUTER_BOUND('',#19423,.F.); +#19426=CARTESIAN_POINT('',(9.2354989E1,6.8712199E1,4.311724784545E0)); +#19427=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19428=DIRECTION('',(1.E0,0.E0,0.E0)); +#19429=AXIS2_PLACEMENT_3D('',#19426,#19427,#19428); +#19430=PLANE('',#19429); +#19431=ORIENTED_EDGE('',*,*,#11984,.F.); +#19433=ORIENTED_EDGE('',*,*,#19432,.T.); +#19434=ORIENTED_EDGE('',*,*,#18780,.T.); +#19435=ORIENTED_EDGE('',*,*,#19419,.F.); +#19436=EDGE_LOOP('',(#19431,#19433,#19434,#19435)); +#19437=FACE_OUTER_BOUND('',#19436,.F.); +#19439=CARTESIAN_POINT('',(9.2354989E1,6.9463801E1,4.311724784545E0)); +#19440=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19441=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19442=AXIS2_PLACEMENT_3D('',#19439,#19440,#19441); +#19443=PLANE('',#19442); +#19444=ORIENTED_EDGE('',*,*,#11982,.F.); +#19445=ORIENTED_EDGE('',*,*,#19408,.T.); +#19446=ORIENTED_EDGE('',*,*,#18782,.T.); +#19447=ORIENTED_EDGE('',*,*,#19432,.F.); +#19448=EDGE_LOOP('',(#19444,#19445,#19446,#19447)); +#19449=FACE_OUTER_BOUND('',#19448,.F.); +#19451=CARTESIAN_POINT('',(9.9646989E1,6.6324599E1,4.311724784545E0)); +#19452=DIRECTION('',(1.E0,0.E0,0.E0)); +#19453=DIRECTION('',(0.E0,1.E0,0.E0)); +#19454=AXIS2_PLACEMENT_3D('',#19451,#19452,#19453); +#19455=PLANE('',#19454); +#19456=ORIENTED_EDGE('',*,*,#11990,.F.); +#19458=ORIENTED_EDGE('',*,*,#19457,.T.); +#19459=ORIENTED_EDGE('',*,*,#18792,.T.); +#19461=ORIENTED_EDGE('',*,*,#19460,.F.); +#19462=EDGE_LOOP('',(#19456,#19458,#19459,#19461)); +#19463=FACE_OUTER_BOUND('',#19462,.F.); +#19465=CARTESIAN_POINT('',(9.8095389E1,6.6324599E1,4.311724784545E0)); +#19466=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19467=DIRECTION('',(1.E0,0.E0,0.E0)); +#19468=AXIS2_PLACEMENT_3D('',#19465,#19466,#19467); +#19469=PLANE('',#19468); +#19470=ORIENTED_EDGE('',*,*,#11996,.F.); +#19472=ORIENTED_EDGE('',*,*,#19471,.T.); +#19473=ORIENTED_EDGE('',*,*,#18794,.T.); +#19474=ORIENTED_EDGE('',*,*,#19457,.F.); +#19475=EDGE_LOOP('',(#19470,#19472,#19473,#19474)); +#19476=FACE_OUTER_BOUND('',#19475,.F.); +#19478=CARTESIAN_POINT('',(9.8095389E1,6.7076201E1,4.311724784545E0)); +#19479=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19480=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19481=AXIS2_PLACEMENT_3D('',#19478,#19479,#19480); +#19482=PLANE('',#19481); +#19483=ORIENTED_EDGE('',*,*,#11994,.F.); +#19485=ORIENTED_EDGE('',*,*,#19484,.T.); +#19486=ORIENTED_EDGE('',*,*,#18796,.T.); +#19487=ORIENTED_EDGE('',*,*,#19471,.F.); +#19488=EDGE_LOOP('',(#19483,#19485,#19486,#19487)); +#19489=FACE_OUTER_BOUND('',#19488,.F.); +#19491=CARTESIAN_POINT('',(9.9646989E1,6.7076201E1,4.311724784545E0)); +#19492=DIRECTION('',(0.E0,1.E0,0.E0)); +#19493=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19494=AXIS2_PLACEMENT_3D('',#19491,#19492,#19493); +#19495=PLANE('',#19494); +#19496=ORIENTED_EDGE('',*,*,#11992,.F.); +#19497=ORIENTED_EDGE('',*,*,#19460,.T.); +#19498=ORIENTED_EDGE('',*,*,#18798,.T.); +#19499=ORIENTED_EDGE('',*,*,#19484,.F.); +#19500=EDGE_LOOP('',(#19496,#19497,#19498,#19499)); +#19501=FACE_OUTER_BOUND('',#19500,.F.); +#19503=CARTESIAN_POINT('',(9.8095389E1,6.7518399E1,4.311724784545E0)); +#19504=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19505=DIRECTION('',(1.E0,0.E0,0.E0)); +#19506=AXIS2_PLACEMENT_3D('',#19503,#19504,#19505); +#19507=PLANE('',#19506); +#19508=ORIENTED_EDGE('',*,*,#12000,.F.); +#19510=ORIENTED_EDGE('',*,*,#19509,.T.); +#19511=ORIENTED_EDGE('',*,*,#18808,.T.); +#19513=ORIENTED_EDGE('',*,*,#19512,.F.); +#19514=EDGE_LOOP('',(#19508,#19510,#19511,#19513)); +#19515=FACE_OUTER_BOUND('',#19514,.F.); +#19517=CARTESIAN_POINT('',(9.8095389E1,6.8270001E1,4.311724784545E0)); +#19518=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19519=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19520=AXIS2_PLACEMENT_3D('',#19517,#19518,#19519); +#19521=PLANE('',#19520); +#19522=ORIENTED_EDGE('',*,*,#12006,.F.); +#19524=ORIENTED_EDGE('',*,*,#19523,.T.); +#19525=ORIENTED_EDGE('',*,*,#18810,.T.); +#19526=ORIENTED_EDGE('',*,*,#19509,.F.); +#19527=EDGE_LOOP('',(#19522,#19524,#19525,#19526)); +#19528=FACE_OUTER_BOUND('',#19527,.F.); +#19530=CARTESIAN_POINT('',(9.9646989E1,6.8270001E1,4.311724784545E0)); +#19531=DIRECTION('',(0.E0,1.E0,0.E0)); +#19532=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19533=AXIS2_PLACEMENT_3D('',#19530,#19531,#19532); +#19534=PLANE('',#19533); +#19535=ORIENTED_EDGE('',*,*,#12004,.F.); +#19537=ORIENTED_EDGE('',*,*,#19536,.T.); +#19538=ORIENTED_EDGE('',*,*,#18812,.T.); +#19539=ORIENTED_EDGE('',*,*,#19523,.F.); +#19540=EDGE_LOOP('',(#19535,#19537,#19538,#19539)); +#19541=FACE_OUTER_BOUND('',#19540,.F.); +#19543=CARTESIAN_POINT('',(9.9646989E1,6.7518399E1,4.311724784545E0)); +#19544=DIRECTION('',(1.E0,0.E0,0.E0)); +#19545=DIRECTION('',(0.E0,1.E0,0.E0)); +#19546=AXIS2_PLACEMENT_3D('',#19543,#19544,#19545); +#19547=PLANE('',#19546); +#19548=ORIENTED_EDGE('',*,*,#12002,.F.); +#19549=ORIENTED_EDGE('',*,*,#19512,.T.); +#19550=ORIENTED_EDGE('',*,*,#18814,.T.); +#19551=ORIENTED_EDGE('',*,*,#19536,.F.); +#19552=EDGE_LOOP('',(#19548,#19549,#19550,#19551)); +#19553=FACE_OUTER_BOUND('',#19552,.F.); +#19555=CARTESIAN_POINT('',(9.9646994E1,6.8686799E1,4.311724784545E0)); +#19556=DIRECTION('',(1.E0,0.E0,0.E0)); +#19557=DIRECTION('',(0.E0,1.E0,0.E0)); +#19558=AXIS2_PLACEMENT_3D('',#19555,#19556,#19557); +#19559=PLANE('',#19558); +#19560=ORIENTED_EDGE('',*,*,#12010,.F.); +#19562=ORIENTED_EDGE('',*,*,#19561,.T.); +#19563=ORIENTED_EDGE('',*,*,#18824,.T.); +#19565=ORIENTED_EDGE('',*,*,#19564,.F.); +#19566=EDGE_LOOP('',(#19560,#19562,#19563,#19565)); +#19567=FACE_OUTER_BOUND('',#19566,.F.); +#19569=CARTESIAN_POINT('',(9.8095394E1,6.8686799E1,4.311724784545E0)); +#19570=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19571=DIRECTION('',(1.E0,0.E0,0.E0)); +#19572=AXIS2_PLACEMENT_3D('',#19569,#19570,#19571); +#19573=PLANE('',#19572); +#19574=ORIENTED_EDGE('',*,*,#12016,.F.); +#19576=ORIENTED_EDGE('',*,*,#19575,.T.); +#19577=ORIENTED_EDGE('',*,*,#18826,.T.); +#19578=ORIENTED_EDGE('',*,*,#19561,.F.); +#19579=EDGE_LOOP('',(#19574,#19576,#19577,#19578)); +#19580=FACE_OUTER_BOUND('',#19579,.F.); +#19582=CARTESIAN_POINT('',(9.8095394E1,6.9438401E1,4.311724784545E0)); +#19583=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19584=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19585=AXIS2_PLACEMENT_3D('',#19582,#19583,#19584); +#19586=PLANE('',#19585); +#19587=ORIENTED_EDGE('',*,*,#12014,.F.); +#19589=ORIENTED_EDGE('',*,*,#19588,.T.); +#19590=ORIENTED_EDGE('',*,*,#18828,.T.); +#19591=ORIENTED_EDGE('',*,*,#19575,.F.); +#19592=EDGE_LOOP('',(#19587,#19589,#19590,#19591)); +#19593=FACE_OUTER_BOUND('',#19592,.F.); +#19595=CARTESIAN_POINT('',(9.9646994E1,6.9438401E1,4.311724784545E0)); +#19596=DIRECTION('',(0.E0,1.E0,0.E0)); +#19597=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19598=AXIS2_PLACEMENT_3D('',#19595,#19596,#19597); +#19599=PLANE('',#19598); +#19600=ORIENTED_EDGE('',*,*,#12012,.F.); +#19601=ORIENTED_EDGE('',*,*,#19564,.T.); +#19602=ORIENTED_EDGE('',*,*,#18830,.T.); +#19603=ORIENTED_EDGE('',*,*,#19588,.F.); +#19604=EDGE_LOOP('',(#19600,#19601,#19602,#19603)); +#19605=FACE_OUTER_BOUND('',#19604,.F.); +#19607=CARTESIAN_POINT('',(8.6413594E1,8.0741401E1,4.311724784545E0)); +#19608=DIRECTION('',(0.E0,1.E0,0.E0)); +#19609=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19610=AXIS2_PLACEMENT_3D('',#19607,#19608,#19609); +#19611=PLANE('',#19610); +#19612=ORIENTED_EDGE('',*,*,#12020,.F.); +#19614=ORIENTED_EDGE('',*,*,#19613,.T.); +#19615=ORIENTED_EDGE('',*,*,#18840,.T.); +#19617=ORIENTED_EDGE('',*,*,#19616,.F.); +#19618=EDGE_LOOP('',(#19612,#19614,#19615,#19617)); +#19619=FACE_OUTER_BOUND('',#19618,.F.); +#19621=CARTESIAN_POINT('',(8.6413594E1,7.9989799E1,4.311724784545E0)); +#19622=DIRECTION('',(1.E0,0.E0,0.E0)); +#19623=DIRECTION('',(0.E0,1.E0,0.E0)); +#19624=AXIS2_PLACEMENT_3D('',#19621,#19622,#19623); +#19625=PLANE('',#19624); +#19626=ORIENTED_EDGE('',*,*,#12026,.F.); +#19628=ORIENTED_EDGE('',*,*,#19627,.T.); +#19629=ORIENTED_EDGE('',*,*,#18842,.T.); +#19630=ORIENTED_EDGE('',*,*,#19613,.F.); +#19631=EDGE_LOOP('',(#19626,#19628,#19629,#19630)); +#19632=FACE_OUTER_BOUND('',#19631,.F.); +#19634=CARTESIAN_POINT('',(8.4861994E1,7.9989799E1,4.311724784545E0)); +#19635=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19636=DIRECTION('',(1.E0,0.E0,0.E0)); +#19637=AXIS2_PLACEMENT_3D('',#19634,#19635,#19636); +#19638=PLANE('',#19637); +#19639=ORIENTED_EDGE('',*,*,#12024,.F.); +#19641=ORIENTED_EDGE('',*,*,#19640,.T.); +#19642=ORIENTED_EDGE('',*,*,#18844,.T.); +#19643=ORIENTED_EDGE('',*,*,#19627,.F.); +#19644=EDGE_LOOP('',(#19639,#19641,#19642,#19643)); +#19645=FACE_OUTER_BOUND('',#19644,.F.); +#19647=CARTESIAN_POINT('',(8.4861994E1,8.0741401E1,4.311724784545E0)); +#19648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19649=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19650=AXIS2_PLACEMENT_3D('',#19647,#19648,#19649); +#19651=PLANE('',#19650); +#19652=ORIENTED_EDGE('',*,*,#12022,.F.); +#19653=ORIENTED_EDGE('',*,*,#19616,.T.); +#19654=ORIENTED_EDGE('',*,*,#18846,.T.); +#19655=ORIENTED_EDGE('',*,*,#19640,.F.); +#19656=EDGE_LOOP('',(#19652,#19653,#19654,#19655)); +#19657=FACE_OUTER_BOUND('',#19656,.F.); +#19659=CARTESIAN_POINT('',(8.4861994E1,7.9598401E1,4.311724784545E0)); +#19660=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19661=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19662=AXIS2_PLACEMENT_3D('',#19659,#19660,#19661); +#19663=PLANE('',#19662); +#19664=ORIENTED_EDGE('',*,*,#12030,.F.); +#19666=ORIENTED_EDGE('',*,*,#19665,.T.); +#19667=ORIENTED_EDGE('',*,*,#18856,.T.); +#19669=ORIENTED_EDGE('',*,*,#19668,.F.); +#19670=EDGE_LOOP('',(#19664,#19666,#19667,#19669)); +#19671=FACE_OUTER_BOUND('',#19670,.F.); +#19673=CARTESIAN_POINT('',(8.6413594E1,7.9598401E1,4.311724784545E0)); +#19674=DIRECTION('',(0.E0,1.E0,0.E0)); +#19675=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19676=AXIS2_PLACEMENT_3D('',#19673,#19674,#19675); +#19677=PLANE('',#19676); +#19678=ORIENTED_EDGE('',*,*,#12036,.F.); +#19680=ORIENTED_EDGE('',*,*,#19679,.T.); +#19681=ORIENTED_EDGE('',*,*,#18858,.T.); +#19682=ORIENTED_EDGE('',*,*,#19665,.F.); +#19683=EDGE_LOOP('',(#19678,#19680,#19681,#19682)); +#19684=FACE_OUTER_BOUND('',#19683,.F.); +#19686=CARTESIAN_POINT('',(8.6413594E1,7.8846799E1,4.311724784545E0)); +#19687=DIRECTION('',(1.E0,0.E0,0.E0)); +#19688=DIRECTION('',(0.E0,1.E0,0.E0)); +#19689=AXIS2_PLACEMENT_3D('',#19686,#19687,#19688); +#19690=PLANE('',#19689); +#19691=ORIENTED_EDGE('',*,*,#12034,.F.); +#19693=ORIENTED_EDGE('',*,*,#19692,.T.); +#19694=ORIENTED_EDGE('',*,*,#18860,.T.); +#19695=ORIENTED_EDGE('',*,*,#19679,.F.); +#19696=EDGE_LOOP('',(#19691,#19693,#19694,#19695)); +#19697=FACE_OUTER_BOUND('',#19696,.F.); +#19699=CARTESIAN_POINT('',(8.4861994E1,7.8846799E1,4.311724784545E0)); +#19700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19701=DIRECTION('',(1.E0,0.E0,0.E0)); +#19702=AXIS2_PLACEMENT_3D('',#19699,#19700,#19701); +#19703=PLANE('',#19702); +#19704=ORIENTED_EDGE('',*,*,#12032,.F.); +#19705=ORIENTED_EDGE('',*,*,#19668,.T.); +#19706=ORIENTED_EDGE('',*,*,#18862,.T.); +#19707=ORIENTED_EDGE('',*,*,#19692,.F.); +#19708=EDGE_LOOP('',(#19704,#19705,#19706,#19707)); +#19709=FACE_OUTER_BOUND('',#19708,.F.); +#19711=CARTESIAN_POINT('',(8.6413594E1,7.8455401E1,4.311724784545E0)); +#19712=DIRECTION('',(0.E0,1.E0,0.E0)); +#19713=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19714=AXIS2_PLACEMENT_3D('',#19711,#19712,#19713); +#19715=PLANE('',#19714); +#19716=ORIENTED_EDGE('',*,*,#12040,.F.); +#19718=ORIENTED_EDGE('',*,*,#19717,.T.); +#19719=ORIENTED_EDGE('',*,*,#18872,.T.); +#19721=ORIENTED_EDGE('',*,*,#19720,.F.); +#19722=EDGE_LOOP('',(#19716,#19718,#19719,#19721)); +#19723=FACE_OUTER_BOUND('',#19722,.F.); +#19725=CARTESIAN_POINT('',(8.6413594E1,7.7703799E1,4.311724784545E0)); +#19726=DIRECTION('',(1.E0,0.E0,0.E0)); +#19727=DIRECTION('',(0.E0,1.E0,0.E0)); +#19728=AXIS2_PLACEMENT_3D('',#19725,#19726,#19727); +#19729=PLANE('',#19728); +#19730=ORIENTED_EDGE('',*,*,#12046,.F.); +#19732=ORIENTED_EDGE('',*,*,#19731,.T.); +#19733=ORIENTED_EDGE('',*,*,#18874,.T.); +#19734=ORIENTED_EDGE('',*,*,#19717,.F.); +#19735=EDGE_LOOP('',(#19730,#19732,#19733,#19734)); +#19736=FACE_OUTER_BOUND('',#19735,.F.); +#19738=CARTESIAN_POINT('',(8.4861994E1,7.7703799E1,4.311724784545E0)); +#19739=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19740=DIRECTION('',(1.E0,0.E0,0.E0)); +#19741=AXIS2_PLACEMENT_3D('',#19738,#19739,#19740); +#19742=PLANE('',#19741); +#19743=ORIENTED_EDGE('',*,*,#12044,.F.); +#19745=ORIENTED_EDGE('',*,*,#19744,.T.); +#19746=ORIENTED_EDGE('',*,*,#18876,.T.); +#19747=ORIENTED_EDGE('',*,*,#19731,.F.); +#19748=EDGE_LOOP('',(#19743,#19745,#19746,#19747)); +#19749=FACE_OUTER_BOUND('',#19748,.F.); +#19751=CARTESIAN_POINT('',(8.4861994E1,7.8455401E1,4.311724784545E0)); +#19752=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19753=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19754=AXIS2_PLACEMENT_3D('',#19751,#19752,#19753); +#19755=PLANE('',#19754); +#19756=ORIENTED_EDGE('',*,*,#12042,.F.); +#19757=ORIENTED_EDGE('',*,*,#19720,.T.); +#19758=ORIENTED_EDGE('',*,*,#18878,.T.); +#19759=ORIENTED_EDGE('',*,*,#19744,.F.); +#19760=EDGE_LOOP('',(#19756,#19757,#19758,#19759)); +#19761=FACE_OUTER_BOUND('',#19760,.F.); +#19763=CARTESIAN_POINT('',(9.6540201E1,1.01613797E2,4.311724784545E0)); +#19764=DIRECTION('',(0.E0,1.E0,0.E0)); +#19765=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19766=AXIS2_PLACEMENT_3D('',#19763,#19764,#19765); +#19767=PLANE('',#19766); +#19768=ORIENTED_EDGE('',*,*,#12050,.F.); +#19770=ORIENTED_EDGE('',*,*,#19769,.T.); +#19771=ORIENTED_EDGE('',*,*,#18888,.T.); +#19773=ORIENTED_EDGE('',*,*,#19772,.F.); +#19774=EDGE_LOOP('',(#19768,#19770,#19771,#19773)); +#19775=FACE_OUTER_BOUND('',#19774,.F.); +#19777=CARTESIAN_POINT('',(9.6540201E1,1.00062198E2,4.311724784545E0)); +#19778=DIRECTION('',(1.E0,0.E0,0.E0)); +#19779=DIRECTION('',(0.E0,1.E0,0.E0)); +#19780=AXIS2_PLACEMENT_3D('',#19777,#19778,#19779); +#19781=PLANE('',#19780); +#19782=ORIENTED_EDGE('',*,*,#12056,.F.); +#19784=ORIENTED_EDGE('',*,*,#19783,.T.); +#19785=ORIENTED_EDGE('',*,*,#18890,.T.); +#19786=ORIENTED_EDGE('',*,*,#19769,.F.); +#19787=EDGE_LOOP('',(#19782,#19784,#19785,#19786)); +#19788=FACE_OUTER_BOUND('',#19787,.F.); +#19790=CARTESIAN_POINT('',(9.5788599E1,1.00062198E2,4.311724784545E0)); +#19791=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19792=DIRECTION('',(1.E0,0.E0,0.E0)); +#19793=AXIS2_PLACEMENT_3D('',#19790,#19791,#19792); +#19794=PLANE('',#19793); +#19795=ORIENTED_EDGE('',*,*,#12054,.F.); +#19797=ORIENTED_EDGE('',*,*,#19796,.T.); +#19798=ORIENTED_EDGE('',*,*,#18892,.T.); +#19799=ORIENTED_EDGE('',*,*,#19783,.F.); +#19800=EDGE_LOOP('',(#19795,#19797,#19798,#19799)); +#19801=FACE_OUTER_BOUND('',#19800,.F.); +#19803=CARTESIAN_POINT('',(9.5788599E1,1.01613797E2,4.311724784545E0)); +#19804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19805=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19806=AXIS2_PLACEMENT_3D('',#19803,#19804,#19805); +#19807=PLANE('',#19806); +#19808=ORIENTED_EDGE('',*,*,#12052,.F.); +#19809=ORIENTED_EDGE('',*,*,#19772,.T.); +#19810=ORIENTED_EDGE('',*,*,#18894,.T.); +#19811=ORIENTED_EDGE('',*,*,#19796,.F.); +#19812=EDGE_LOOP('',(#19808,#19809,#19810,#19811)); +#19813=FACE_OUTER_BOUND('',#19812,.F.); +#19815=CARTESIAN_POINT('',(9.7346602E1,1.00807401E2,4.311724784545E0)); +#19816=DIRECTION('',(0.E0,1.E0,0.E0)); +#19817=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19818=AXIS2_PLACEMENT_3D('',#19815,#19816,#19817); +#19819=PLANE('',#19818); +#19820=ORIENTED_EDGE('',*,*,#12060,.F.); +#19822=ORIENTED_EDGE('',*,*,#19821,.T.); +#19823=ORIENTED_EDGE('',*,*,#18904,.T.); +#19825=ORIENTED_EDGE('',*,*,#19824,.F.); +#19826=EDGE_LOOP('',(#19820,#19822,#19823,#19825)); +#19827=FACE_OUTER_BOUND('',#19826,.F.); +#19829=CARTESIAN_POINT('',(9.7346602E1,1.00055799E2,4.311724784545E0)); +#19830=DIRECTION('',(1.E0,0.E0,0.E0)); +#19831=DIRECTION('',(0.E0,1.E0,0.E0)); +#19832=AXIS2_PLACEMENT_3D('',#19829,#19830,#19831); +#19833=PLANE('',#19832); +#19834=ORIENTED_EDGE('',*,*,#12066,.F.); +#19836=ORIENTED_EDGE('',*,*,#19835,.T.); +#19837=ORIENTED_EDGE('',*,*,#18906,.T.); +#19838=ORIENTED_EDGE('',*,*,#19821,.F.); +#19839=EDGE_LOOP('',(#19834,#19836,#19837,#19838)); +#19840=FACE_OUTER_BOUND('',#19839,.F.); +#19842=CARTESIAN_POINT('',(9.6645004E1,1.00055799E2,4.311724784545E0)); +#19843=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19844=DIRECTION('',(1.E0,0.E0,0.E0)); +#19845=AXIS2_PLACEMENT_3D('',#19842,#19843,#19844); +#19846=PLANE('',#19845); +#19847=ORIENTED_EDGE('',*,*,#12064,.F.); +#19849=ORIENTED_EDGE('',*,*,#19848,.T.); +#19850=ORIENTED_EDGE('',*,*,#18908,.T.); +#19851=ORIENTED_EDGE('',*,*,#19835,.F.); +#19852=EDGE_LOOP('',(#19847,#19849,#19850,#19851)); +#19853=FACE_OUTER_BOUND('',#19852,.F.); +#19855=CARTESIAN_POINT('',(9.6645004E1,1.00807401E2,4.311724784545E0)); +#19856=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19858=AXIS2_PLACEMENT_3D('',#19855,#19856,#19857); +#19859=PLANE('',#19858); +#19860=ORIENTED_EDGE('',*,*,#12062,.F.); +#19861=ORIENTED_EDGE('',*,*,#19824,.T.); +#19862=ORIENTED_EDGE('',*,*,#18910,.T.); +#19863=ORIENTED_EDGE('',*,*,#19848,.F.); +#19864=EDGE_LOOP('',(#19860,#19861,#19862,#19863)); +#19865=FACE_OUTER_BOUND('',#19864,.F.); +#19867=CARTESIAN_POINT('',(9.5763199E1,9.84896E1,4.311724784545E0)); +#19868=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19869=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19870=AXIS2_PLACEMENT_3D('',#19867,#19868,#19869); +#19871=PLANE('',#19870); +#19872=ORIENTED_EDGE('',*,*,#12070,.F.); +#19874=ORIENTED_EDGE('',*,*,#19873,.T.); +#19875=ORIENTED_EDGE('',*,*,#18920,.T.); +#19877=ORIENTED_EDGE('',*,*,#19876,.F.); +#19878=EDGE_LOOP('',(#19872,#19874,#19875,#19877)); +#19879=FACE_OUTER_BOUND('',#19878,.F.); +#19881=CARTESIAN_POINT('',(9.6514801E1,9.84896E1,4.311724784545E0)); +#19882=DIRECTION('',(0.E0,1.E0,0.E0)); +#19883=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19884=AXIS2_PLACEMENT_3D('',#19881,#19882,#19883); +#19885=PLANE('',#19884); +#19886=ORIENTED_EDGE('',*,*,#12076,.F.); +#19888=ORIENTED_EDGE('',*,*,#19887,.T.); +#19889=ORIENTED_EDGE('',*,*,#18922,.T.); +#19890=ORIENTED_EDGE('',*,*,#19873,.F.); +#19891=EDGE_LOOP('',(#19886,#19888,#19889,#19890)); +#19892=FACE_OUTER_BOUND('',#19891,.F.); +#19894=CARTESIAN_POINT('',(9.6514801E1,9.6938E1,4.311724784545E0)); +#19895=DIRECTION('',(1.E0,0.E0,0.E0)); +#19896=DIRECTION('',(0.E0,1.E0,0.E0)); +#19897=AXIS2_PLACEMENT_3D('',#19894,#19895,#19896); +#19898=PLANE('',#19897); +#19899=ORIENTED_EDGE('',*,*,#12074,.F.); +#19901=ORIENTED_EDGE('',*,*,#19900,.T.); +#19902=ORIENTED_EDGE('',*,*,#18924,.T.); +#19903=ORIENTED_EDGE('',*,*,#19887,.F.); +#19904=EDGE_LOOP('',(#19899,#19901,#19902,#19903)); +#19905=FACE_OUTER_BOUND('',#19904,.F.); +#19907=CARTESIAN_POINT('',(9.5763199E1,9.6938E1,4.311724784545E0)); +#19908=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19909=DIRECTION('',(1.E0,0.E0,0.E0)); +#19910=AXIS2_PLACEMENT_3D('',#19907,#19908,#19909); +#19911=PLANE('',#19910); +#19912=ORIENTED_EDGE('',*,*,#12072,.F.); +#19913=ORIENTED_EDGE('',*,*,#19876,.T.); +#19914=ORIENTED_EDGE('',*,*,#18926,.T.); +#19915=ORIENTED_EDGE('',*,*,#19900,.F.); +#19916=EDGE_LOOP('',(#19912,#19913,#19914,#19915)); +#19917=FACE_OUTER_BOUND('',#19916,.F.); +#19919=CARTESIAN_POINT('',(8.1610792E1,5.3995198E1,4.311724784545E0)); +#19920=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19921=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19922=AXIS2_PLACEMENT_3D('',#19919,#19920,#19921); +#19923=PLANE('',#19922); +#19924=ORIENTED_EDGE('',*,*,#12080,.F.); +#19926=ORIENTED_EDGE('',*,*,#19925,.T.); +#19928=ORIENTED_EDGE('',*,*,#19927,.T.); +#19930=ORIENTED_EDGE('',*,*,#19929,.F.); +#19931=EDGE_LOOP('',(#19924,#19926,#19928,#19930)); +#19932=FACE_OUTER_BOUND('',#19931,.F.); +#19934=CARTESIAN_POINT('',(8.3162391E1,5.3995198E1,4.311724784545E0)); +#19935=DIRECTION('',(0.E0,1.E0,0.E0)); +#19936=DIRECTION('',(-1.E0,0.E0,0.E0)); +#19937=AXIS2_PLACEMENT_3D('',#19934,#19935,#19936); +#19938=PLANE('',#19937); +#19939=ORIENTED_EDGE('',*,*,#12086,.F.); +#19941=ORIENTED_EDGE('',*,*,#19940,.T.); +#19943=ORIENTED_EDGE('',*,*,#19942,.T.); +#19944=ORIENTED_EDGE('',*,*,#19925,.F.); +#19945=EDGE_LOOP('',(#19939,#19941,#19943,#19944)); +#19946=FACE_OUTER_BOUND('',#19945,.F.); +#19948=CARTESIAN_POINT('',(8.3162391E1,5.3243597E1,4.311724784545E0)); +#19949=DIRECTION('',(1.E0,0.E0,0.E0)); +#19950=DIRECTION('',(0.E0,1.E0,0.E0)); +#19951=AXIS2_PLACEMENT_3D('',#19948,#19949,#19950); +#19952=PLANE('',#19951); +#19953=ORIENTED_EDGE('',*,*,#12084,.F.); +#19955=ORIENTED_EDGE('',*,*,#19954,.T.); +#19957=ORIENTED_EDGE('',*,*,#19956,.T.); +#19958=ORIENTED_EDGE('',*,*,#19940,.F.); +#19959=EDGE_LOOP('',(#19953,#19955,#19957,#19958)); +#19960=FACE_OUTER_BOUND('',#19959,.F.); +#19962=CARTESIAN_POINT('',(8.1610792E1,5.3243597E1,4.311724784545E0)); +#19963=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19964=DIRECTION('',(1.E0,0.E0,0.E0)); +#19965=AXIS2_PLACEMENT_3D('',#19962,#19963,#19964); +#19966=PLANE('',#19965); +#19967=ORIENTED_EDGE('',*,*,#12082,.F.); +#19968=ORIENTED_EDGE('',*,*,#19929,.T.); +#19970=ORIENTED_EDGE('',*,*,#19969,.T.); +#19971=ORIENTED_EDGE('',*,*,#19954,.F.); +#19972=EDGE_LOOP('',(#19967,#19968,#19970,#19971)); +#19973=FACE_OUTER_BOUND('',#19972,.F.); +#19975=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#19976=DIRECTION('',(0.E0,0.E0,1.E0)); +#19977=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19978=AXIS2_PLACEMENT_3D('',#19975,#19976,#19977); +#19979=PLANE('',#19978); +#19980=ORIENTED_EDGE('',*,*,#19927,.F.); +#19981=ORIENTED_EDGE('',*,*,#19942,.F.); +#19982=ORIENTED_EDGE('',*,*,#19956,.F.); +#19983=ORIENTED_EDGE('',*,*,#19969,.F.); +#19984=EDGE_LOOP('',(#19980,#19981,#19982,#19983)); +#19985=FACE_OUTER_BOUND('',#19984,.F.); +#19987=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#19988=DIRECTION('',(0.E0,0.E0,1.E0)); +#19989=DIRECTION('',(0.E0,-1.E0,0.E0)); +#19990=AXIS2_PLACEMENT_3D('',#19987,#19988,#19989); +#19991=PLANE('',#19990); +#19993=ORIENTED_EDGE('',*,*,#19992,.F.); +#19995=ORIENTED_EDGE('',*,*,#19994,.F.); +#19997=ORIENTED_EDGE('',*,*,#19996,.F.); +#19999=ORIENTED_EDGE('',*,*,#19998,.F.); +#20000=EDGE_LOOP('',(#19993,#19995,#19997,#19999)); +#20001=FACE_OUTER_BOUND('',#20000,.F.); +#20003=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20004=DIRECTION('',(0.E0,0.E0,1.E0)); +#20005=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20006=AXIS2_PLACEMENT_3D('',#20003,#20004,#20005); +#20007=PLANE('',#20006); +#20009=ORIENTED_EDGE('',*,*,#20008,.F.); +#20011=ORIENTED_EDGE('',*,*,#20010,.F.); +#20013=ORIENTED_EDGE('',*,*,#20012,.F.); +#20015=ORIENTED_EDGE('',*,*,#20014,.F.); +#20016=EDGE_LOOP('',(#20009,#20011,#20013,#20015)); +#20017=FACE_OUTER_BOUND('',#20016,.F.); +#20019=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20020=DIRECTION('',(0.E0,0.E0,1.E0)); +#20021=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20022=AXIS2_PLACEMENT_3D('',#20019,#20020,#20021); +#20023=PLANE('',#20022); +#20025=ORIENTED_EDGE('',*,*,#20024,.F.); +#20027=ORIENTED_EDGE('',*,*,#20026,.F.); +#20029=ORIENTED_EDGE('',*,*,#20028,.F.); +#20031=ORIENTED_EDGE('',*,*,#20030,.F.); +#20032=EDGE_LOOP('',(#20025,#20027,#20029,#20031)); +#20033=FACE_OUTER_BOUND('',#20032,.F.); +#20035=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20036=DIRECTION('',(0.E0,0.E0,1.E0)); +#20037=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20038=AXIS2_PLACEMENT_3D('',#20035,#20036,#20037); +#20039=PLANE('',#20038); +#20041=ORIENTED_EDGE('',*,*,#20040,.F.); +#20043=ORIENTED_EDGE('',*,*,#20042,.F.); +#20045=ORIENTED_EDGE('',*,*,#20044,.F.); +#20047=ORIENTED_EDGE('',*,*,#20046,.F.); +#20048=EDGE_LOOP('',(#20041,#20043,#20045,#20047)); +#20049=FACE_OUTER_BOUND('',#20048,.F.); +#20051=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20052=DIRECTION('',(0.E0,0.E0,1.E0)); +#20053=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20054=AXIS2_PLACEMENT_3D('',#20051,#20052,#20053); +#20055=PLANE('',#20054); +#20057=ORIENTED_EDGE('',*,*,#20056,.F.); +#20059=ORIENTED_EDGE('',*,*,#20058,.F.); +#20061=ORIENTED_EDGE('',*,*,#20060,.F.); +#20063=ORIENTED_EDGE('',*,*,#20062,.F.); +#20064=EDGE_LOOP('',(#20057,#20059,#20061,#20063)); +#20065=FACE_OUTER_BOUND('',#20064,.F.); +#20067=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20068=DIRECTION('',(0.E0,0.E0,1.E0)); +#20069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20070=AXIS2_PLACEMENT_3D('',#20067,#20068,#20069); +#20071=PLANE('',#20070); +#20073=ORIENTED_EDGE('',*,*,#20072,.F.); +#20075=ORIENTED_EDGE('',*,*,#20074,.F.); +#20077=ORIENTED_EDGE('',*,*,#20076,.F.); +#20079=ORIENTED_EDGE('',*,*,#20078,.F.); +#20080=EDGE_LOOP('',(#20073,#20075,#20077,#20079)); +#20081=FACE_OUTER_BOUND('',#20080,.F.); +#20083=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20084=DIRECTION('',(0.E0,0.E0,1.E0)); +#20085=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20086=AXIS2_PLACEMENT_3D('',#20083,#20084,#20085); +#20087=PLANE('',#20086); +#20089=ORIENTED_EDGE('',*,*,#20088,.F.); +#20091=ORIENTED_EDGE('',*,*,#20090,.F.); +#20093=ORIENTED_EDGE('',*,*,#20092,.F.); +#20095=ORIENTED_EDGE('',*,*,#20094,.F.); +#20096=EDGE_LOOP('',(#20089,#20091,#20093,#20095)); +#20097=FACE_OUTER_BOUND('',#20096,.F.); +#20099=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20100=DIRECTION('',(0.E0,0.E0,1.E0)); +#20101=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20102=AXIS2_PLACEMENT_3D('',#20099,#20100,#20101); +#20103=PLANE('',#20102); +#20105=ORIENTED_EDGE('',*,*,#20104,.F.); +#20107=ORIENTED_EDGE('',*,*,#20106,.F.); +#20109=ORIENTED_EDGE('',*,*,#20108,.F.); +#20111=ORIENTED_EDGE('',*,*,#20110,.F.); +#20112=EDGE_LOOP('',(#20105,#20107,#20109,#20111)); +#20113=FACE_OUTER_BOUND('',#20112,.F.); +#20115=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20116=DIRECTION('',(0.E0,0.E0,1.E0)); +#20117=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20118=AXIS2_PLACEMENT_3D('',#20115,#20116,#20117); +#20119=PLANE('',#20118); +#20121=ORIENTED_EDGE('',*,*,#20120,.F.); +#20123=ORIENTED_EDGE('',*,*,#20122,.F.); +#20125=ORIENTED_EDGE('',*,*,#20124,.F.); +#20127=ORIENTED_EDGE('',*,*,#20126,.F.); +#20128=EDGE_LOOP('',(#20121,#20123,#20125,#20127)); +#20129=FACE_OUTER_BOUND('',#20128,.F.); +#20131=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20132=DIRECTION('',(0.E0,0.E0,1.E0)); +#20133=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20134=AXIS2_PLACEMENT_3D('',#20131,#20132,#20133); +#20135=PLANE('',#20134); +#20137=ORIENTED_EDGE('',*,*,#20136,.F.); +#20139=ORIENTED_EDGE('',*,*,#20138,.F.); +#20141=ORIENTED_EDGE('',*,*,#20140,.F.); +#20143=ORIENTED_EDGE('',*,*,#20142,.F.); +#20144=EDGE_LOOP('',(#20137,#20139,#20141,#20143)); +#20145=FACE_OUTER_BOUND('',#20144,.F.); +#20147=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20148=DIRECTION('',(0.E0,0.E0,1.E0)); +#20149=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20150=AXIS2_PLACEMENT_3D('',#20147,#20148,#20149); +#20151=PLANE('',#20150); +#20153=ORIENTED_EDGE('',*,*,#20152,.F.); +#20155=ORIENTED_EDGE('',*,*,#20154,.F.); +#20157=ORIENTED_EDGE('',*,*,#20156,.F.); +#20159=ORIENTED_EDGE('',*,*,#20158,.F.); +#20160=EDGE_LOOP('',(#20153,#20155,#20157,#20159)); +#20161=FACE_OUTER_BOUND('',#20160,.F.); +#20163=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20164=DIRECTION('',(0.E0,0.E0,1.E0)); +#20165=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20166=AXIS2_PLACEMENT_3D('',#20163,#20164,#20165); +#20167=PLANE('',#20166); +#20169=ORIENTED_EDGE('',*,*,#20168,.F.); +#20171=ORIENTED_EDGE('',*,*,#20170,.F.); +#20173=ORIENTED_EDGE('',*,*,#20172,.F.); +#20175=ORIENTED_EDGE('',*,*,#20174,.F.); +#20176=EDGE_LOOP('',(#20169,#20171,#20173,#20175)); +#20177=FACE_OUTER_BOUND('',#20176,.F.); +#20179=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20180=DIRECTION('',(0.E0,0.E0,1.E0)); +#20181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20182=AXIS2_PLACEMENT_3D('',#20179,#20180,#20181); +#20183=PLANE('',#20182); +#20185=ORIENTED_EDGE('',*,*,#20184,.F.); +#20187=ORIENTED_EDGE('',*,*,#20186,.F.); +#20189=ORIENTED_EDGE('',*,*,#20188,.F.); +#20191=ORIENTED_EDGE('',*,*,#20190,.F.); +#20192=EDGE_LOOP('',(#20185,#20187,#20189,#20191)); +#20193=FACE_OUTER_BOUND('',#20192,.F.); +#20195=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20196=DIRECTION('',(0.E0,0.E0,1.E0)); +#20197=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20198=AXIS2_PLACEMENT_3D('',#20195,#20196,#20197); +#20199=PLANE('',#20198); +#20201=ORIENTED_EDGE('',*,*,#20200,.F.); +#20203=ORIENTED_EDGE('',*,*,#20202,.F.); +#20205=ORIENTED_EDGE('',*,*,#20204,.F.); +#20207=ORIENTED_EDGE('',*,*,#20206,.F.); +#20208=EDGE_LOOP('',(#20201,#20203,#20205,#20207)); +#20209=FACE_OUTER_BOUND('',#20208,.F.); +#20211=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20212=DIRECTION('',(0.E0,0.E0,1.E0)); +#20213=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20214=AXIS2_PLACEMENT_3D('',#20211,#20212,#20213); +#20215=PLANE('',#20214); +#20217=ORIENTED_EDGE('',*,*,#20216,.F.); +#20219=ORIENTED_EDGE('',*,*,#20218,.F.); +#20221=ORIENTED_EDGE('',*,*,#20220,.F.); +#20223=ORIENTED_EDGE('',*,*,#20222,.F.); +#20224=EDGE_LOOP('',(#20217,#20219,#20221,#20223)); +#20225=FACE_OUTER_BOUND('',#20224,.F.); +#20227=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20228=DIRECTION('',(0.E0,0.E0,1.E0)); +#20229=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20230=AXIS2_PLACEMENT_3D('',#20227,#20228,#20229); +#20231=PLANE('',#20230); +#20233=ORIENTED_EDGE('',*,*,#20232,.F.); +#20235=ORIENTED_EDGE('',*,*,#20234,.F.); +#20237=ORIENTED_EDGE('',*,*,#20236,.F.); +#20239=ORIENTED_EDGE('',*,*,#20238,.F.); +#20240=EDGE_LOOP('',(#20233,#20235,#20237,#20239)); +#20241=FACE_OUTER_BOUND('',#20240,.F.); +#20243=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20244=DIRECTION('',(0.E0,0.E0,1.E0)); +#20245=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20246=AXIS2_PLACEMENT_3D('',#20243,#20244,#20245); +#20247=PLANE('',#20246); +#20249=ORIENTED_EDGE('',*,*,#20248,.F.); +#20251=ORIENTED_EDGE('',*,*,#20250,.F.); +#20253=ORIENTED_EDGE('',*,*,#20252,.F.); +#20255=ORIENTED_EDGE('',*,*,#20254,.F.); +#20256=EDGE_LOOP('',(#20249,#20251,#20253,#20255)); +#20257=FACE_OUTER_BOUND('',#20256,.F.); +#20259=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#20260=DIRECTION('',(0.E0,0.E0,1.E0)); +#20261=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20262=AXIS2_PLACEMENT_3D('',#20259,#20260,#20261); +#20263=PLANE('',#20262); +#20265=ORIENTED_EDGE('',*,*,#20264,.F.); +#20267=ORIENTED_EDGE('',*,*,#20266,.F.); +#20269=ORIENTED_EDGE('',*,*,#20268,.F.); +#20271=ORIENTED_EDGE('',*,*,#20270,.F.); +#20272=EDGE_LOOP('',(#20265,#20267,#20269,#20271)); +#20273=FACE_OUTER_BOUND('',#20272,.F.); +#20275=CARTESIAN_POINT('',(9.9596191E1,5.5798603E1,4.311724784545E0)); +#20276=DIRECTION('',(0.E0,1.E0,0.E0)); +#20277=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20278=AXIS2_PLACEMENT_3D('',#20275,#20276,#20277); +#20279=PLANE('',#20278); +#20280=ORIENTED_EDGE('',*,*,#12090,.F.); +#20282=ORIENTED_EDGE('',*,*,#20281,.T.); +#20283=ORIENTED_EDGE('',*,*,#19992,.T.); +#20285=ORIENTED_EDGE('',*,*,#20284,.F.); +#20286=EDGE_LOOP('',(#20280,#20282,#20283,#20285)); +#20287=FACE_OUTER_BOUND('',#20286,.F.); +#20289=CARTESIAN_POINT('',(9.9596191E1,5.5047002E1,4.311724784545E0)); +#20290=DIRECTION('',(1.E0,0.E0,0.E0)); +#20291=DIRECTION('',(0.E0,1.E0,0.E0)); +#20292=AXIS2_PLACEMENT_3D('',#20289,#20290,#20291); +#20293=PLANE('',#20292); +#20294=ORIENTED_EDGE('',*,*,#12096,.F.); +#20296=ORIENTED_EDGE('',*,*,#20295,.T.); +#20297=ORIENTED_EDGE('',*,*,#19994,.T.); +#20298=ORIENTED_EDGE('',*,*,#20281,.F.); +#20299=EDGE_LOOP('',(#20294,#20296,#20297,#20298)); +#20300=FACE_OUTER_BOUND('',#20299,.F.); +#20302=CARTESIAN_POINT('',(9.8044592E1,5.5047002E1,4.311724784545E0)); +#20303=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20304=DIRECTION('',(1.E0,0.E0,0.E0)); +#20305=AXIS2_PLACEMENT_3D('',#20302,#20303,#20304); +#20306=PLANE('',#20305); +#20307=ORIENTED_EDGE('',*,*,#12094,.F.); +#20309=ORIENTED_EDGE('',*,*,#20308,.T.); +#20310=ORIENTED_EDGE('',*,*,#19996,.T.); +#20311=ORIENTED_EDGE('',*,*,#20295,.F.); +#20312=EDGE_LOOP('',(#20307,#20309,#20310,#20311)); +#20313=FACE_OUTER_BOUND('',#20312,.F.); +#20315=CARTESIAN_POINT('',(9.8044592E1,5.5798603E1,4.311724784545E0)); +#20316=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20317=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20318=AXIS2_PLACEMENT_3D('',#20315,#20316,#20317); +#20319=PLANE('',#20318); +#20320=ORIENTED_EDGE('',*,*,#12092,.F.); +#20321=ORIENTED_EDGE('',*,*,#20284,.T.); +#20322=ORIENTED_EDGE('',*,*,#19998,.T.); +#20323=ORIENTED_EDGE('',*,*,#20308,.F.); +#20324=EDGE_LOOP('',(#20320,#20321,#20322,#20323)); +#20325=FACE_OUTER_BOUND('',#20324,.F.); +#20327=CARTESIAN_POINT('',(9.1789794E1,6.7679397E1,4.311724784545E0)); +#20328=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20329=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20330=AXIS2_PLACEMENT_3D('',#20327,#20328,#20329); +#20331=PLANE('',#20330); +#20332=ORIENTED_EDGE('',*,*,#12100,.F.); +#20334=ORIENTED_EDGE('',*,*,#20333,.T.); +#20335=ORIENTED_EDGE('',*,*,#20008,.T.); +#20337=ORIENTED_EDGE('',*,*,#20336,.F.); +#20338=EDGE_LOOP('',(#20332,#20334,#20335,#20337)); +#20339=FACE_OUTER_BOUND('',#20338,.F.); +#20341=CARTESIAN_POINT('',(9.2541395E1,6.7679397E1,4.311724784545E0)); +#20342=DIRECTION('',(0.E0,1.E0,0.E0)); +#20343=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20344=AXIS2_PLACEMENT_3D('',#20341,#20342,#20343); +#20345=PLANE('',#20344); +#20346=ORIENTED_EDGE('',*,*,#12106,.F.); +#20348=ORIENTED_EDGE('',*,*,#20347,.T.); +#20349=ORIENTED_EDGE('',*,*,#20010,.T.); +#20350=ORIENTED_EDGE('',*,*,#20333,.F.); +#20351=EDGE_LOOP('',(#20346,#20348,#20349,#20350)); +#20352=FACE_OUTER_BOUND('',#20351,.F.); +#20354=CARTESIAN_POINT('',(9.2541395E1,6.6127798E1,4.311724784545E0)); +#20355=DIRECTION('',(1.E0,0.E0,0.E0)); +#20356=DIRECTION('',(0.E0,1.E0,0.E0)); +#20357=AXIS2_PLACEMENT_3D('',#20354,#20355,#20356); +#20358=PLANE('',#20357); +#20359=ORIENTED_EDGE('',*,*,#12104,.F.); +#20361=ORIENTED_EDGE('',*,*,#20360,.T.); +#20362=ORIENTED_EDGE('',*,*,#20012,.T.); +#20363=ORIENTED_EDGE('',*,*,#20347,.F.); +#20364=EDGE_LOOP('',(#20359,#20361,#20362,#20363)); +#20365=FACE_OUTER_BOUND('',#20364,.F.); +#20367=CARTESIAN_POINT('',(9.1789794E1,6.6127798E1,4.311724784545E0)); +#20368=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20369=DIRECTION('',(1.E0,0.E0,0.E0)); +#20370=AXIS2_PLACEMENT_3D('',#20367,#20368,#20369); +#20371=PLANE('',#20370); +#20372=ORIENTED_EDGE('',*,*,#12102,.F.); +#20373=ORIENTED_EDGE('',*,*,#20336,.T.); +#20374=ORIENTED_EDGE('',*,*,#20014,.T.); +#20375=ORIENTED_EDGE('',*,*,#20360,.F.); +#20376=EDGE_LOOP('',(#20372,#20373,#20374,#20375)); +#20377=FACE_OUTER_BOUND('',#20376,.F.); +#20379=CARTESIAN_POINT('',(8.1712392E1,6.5933198E1,4.311724784545E0)); +#20380=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20381=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20382=AXIS2_PLACEMENT_3D('',#20379,#20380,#20381); +#20383=PLANE('',#20382); +#20384=ORIENTED_EDGE('',*,*,#12110,.F.); +#20386=ORIENTED_EDGE('',*,*,#20385,.T.); +#20387=ORIENTED_EDGE('',*,*,#20024,.T.); +#20389=ORIENTED_EDGE('',*,*,#20388,.F.); +#20390=EDGE_LOOP('',(#20384,#20386,#20387,#20389)); +#20391=FACE_OUTER_BOUND('',#20390,.F.); +#20393=CARTESIAN_POINT('',(8.3263991E1,6.5933198E1,4.311724784545E0)); +#20394=DIRECTION('',(0.E0,1.E0,0.E0)); +#20395=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20396=AXIS2_PLACEMENT_3D('',#20393,#20394,#20395); +#20397=PLANE('',#20396); +#20398=ORIENTED_EDGE('',*,*,#12116,.F.); +#20400=ORIENTED_EDGE('',*,*,#20399,.T.); +#20401=ORIENTED_EDGE('',*,*,#20026,.T.); +#20402=ORIENTED_EDGE('',*,*,#20385,.F.); +#20403=EDGE_LOOP('',(#20398,#20400,#20401,#20402)); +#20404=FACE_OUTER_BOUND('',#20403,.F.); +#20406=CARTESIAN_POINT('',(8.3263991E1,6.5181597E1,4.311724784545E0)); +#20407=DIRECTION('',(1.E0,0.E0,0.E0)); +#20408=DIRECTION('',(0.E0,1.E0,0.E0)); +#20409=AXIS2_PLACEMENT_3D('',#20406,#20407,#20408); +#20410=PLANE('',#20409); +#20411=ORIENTED_EDGE('',*,*,#12114,.F.); +#20413=ORIENTED_EDGE('',*,*,#20412,.T.); +#20414=ORIENTED_EDGE('',*,*,#20028,.T.); +#20415=ORIENTED_EDGE('',*,*,#20399,.F.); +#20416=EDGE_LOOP('',(#20411,#20413,#20414,#20415)); +#20417=FACE_OUTER_BOUND('',#20416,.F.); +#20419=CARTESIAN_POINT('',(8.1712392E1,6.5181597E1,4.311724784545E0)); +#20420=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20421=DIRECTION('',(1.E0,0.E0,0.E0)); +#20422=AXIS2_PLACEMENT_3D('',#20419,#20420,#20421); +#20423=PLANE('',#20422); +#20424=ORIENTED_EDGE('',*,*,#12112,.F.); +#20425=ORIENTED_EDGE('',*,*,#20388,.T.); +#20426=ORIENTED_EDGE('',*,*,#20030,.T.); +#20427=ORIENTED_EDGE('',*,*,#20412,.F.); +#20428=EDGE_LOOP('',(#20424,#20425,#20426,#20427)); +#20429=FACE_OUTER_BOUND('',#20428,.F.); +#20431=CARTESIAN_POINT('',(8.4787991E1,8.8640798E1,4.311724784545E0)); +#20432=DIRECTION('',(0.E0,1.E0,0.E0)); +#20433=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20434=AXIS2_PLACEMENT_3D('',#20431,#20432,#20433); +#20435=PLANE('',#20434); +#20436=ORIENTED_EDGE('',*,*,#12120,.F.); +#20438=ORIENTED_EDGE('',*,*,#20437,.T.); +#20439=ORIENTED_EDGE('',*,*,#20040,.T.); +#20441=ORIENTED_EDGE('',*,*,#20440,.F.); +#20442=EDGE_LOOP('',(#20436,#20438,#20439,#20441)); +#20443=FACE_OUTER_BOUND('',#20442,.F.); +#20445=CARTESIAN_POINT('',(8.4787991E1,8.7889197E1,4.311724784545E0)); +#20446=DIRECTION('',(1.E0,0.E0,0.E0)); +#20447=DIRECTION('',(0.E0,1.E0,0.E0)); +#20448=AXIS2_PLACEMENT_3D('',#20445,#20446,#20447); +#20449=PLANE('',#20448); +#20450=ORIENTED_EDGE('',*,*,#12126,.F.); +#20452=ORIENTED_EDGE('',*,*,#20451,.T.); +#20453=ORIENTED_EDGE('',*,*,#20042,.T.); +#20454=ORIENTED_EDGE('',*,*,#20437,.F.); +#20455=EDGE_LOOP('',(#20450,#20452,#20453,#20454)); +#20456=FACE_OUTER_BOUND('',#20455,.F.); +#20458=CARTESIAN_POINT('',(8.3236392E1,8.7889197E1,4.311724784545E0)); +#20459=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20460=DIRECTION('',(1.E0,0.E0,0.E0)); +#20461=AXIS2_PLACEMENT_3D('',#20458,#20459,#20460); +#20462=PLANE('',#20461); +#20463=ORIENTED_EDGE('',*,*,#12124,.F.); +#20465=ORIENTED_EDGE('',*,*,#20464,.T.); +#20466=ORIENTED_EDGE('',*,*,#20044,.T.); +#20467=ORIENTED_EDGE('',*,*,#20451,.F.); +#20468=EDGE_LOOP('',(#20463,#20465,#20466,#20467)); +#20469=FACE_OUTER_BOUND('',#20468,.F.); +#20471=CARTESIAN_POINT('',(8.3236392E1,8.8640798E1,4.311724784545E0)); +#20472=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20473=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20474=AXIS2_PLACEMENT_3D('',#20471,#20472,#20473); +#20475=PLANE('',#20474); +#20476=ORIENTED_EDGE('',*,*,#12122,.F.); +#20477=ORIENTED_EDGE('',*,*,#20440,.T.); +#20478=ORIENTED_EDGE('',*,*,#20046,.T.); +#20479=ORIENTED_EDGE('',*,*,#20464,.F.); +#20480=EDGE_LOOP('',(#20476,#20477,#20478,#20479)); +#20481=FACE_OUTER_BOUND('',#20480,.F.); +#20483=CARTESIAN_POINT('',(8.3236392E1,8.7497798E1,4.311724784545E0)); +#20484=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20485=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20486=AXIS2_PLACEMENT_3D('',#20483,#20484,#20485); +#20487=PLANE('',#20486); +#20488=ORIENTED_EDGE('',*,*,#12130,.F.); +#20490=ORIENTED_EDGE('',*,*,#20489,.T.); +#20491=ORIENTED_EDGE('',*,*,#20056,.T.); +#20493=ORIENTED_EDGE('',*,*,#20492,.F.); +#20494=EDGE_LOOP('',(#20488,#20490,#20491,#20493)); +#20495=FACE_OUTER_BOUND('',#20494,.F.); +#20497=CARTESIAN_POINT('',(8.4787991E1,8.7497798E1,4.311724784545E0)); +#20498=DIRECTION('',(0.E0,1.E0,0.E0)); +#20499=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20500=AXIS2_PLACEMENT_3D('',#20497,#20498,#20499); +#20501=PLANE('',#20500); +#20502=ORIENTED_EDGE('',*,*,#12136,.F.); +#20504=ORIENTED_EDGE('',*,*,#20503,.T.); +#20505=ORIENTED_EDGE('',*,*,#20058,.T.); +#20506=ORIENTED_EDGE('',*,*,#20489,.F.); +#20507=EDGE_LOOP('',(#20502,#20504,#20505,#20506)); +#20508=FACE_OUTER_BOUND('',#20507,.F.); +#20510=CARTESIAN_POINT('',(8.4787991E1,8.6746197E1,4.311724784545E0)); +#20511=DIRECTION('',(1.E0,0.E0,0.E0)); +#20512=DIRECTION('',(0.E0,1.E0,0.E0)); +#20513=AXIS2_PLACEMENT_3D('',#20510,#20511,#20512); +#20514=PLANE('',#20513); +#20515=ORIENTED_EDGE('',*,*,#12134,.F.); +#20517=ORIENTED_EDGE('',*,*,#20516,.T.); +#20518=ORIENTED_EDGE('',*,*,#20060,.T.); +#20519=ORIENTED_EDGE('',*,*,#20503,.F.); +#20520=EDGE_LOOP('',(#20515,#20517,#20518,#20519)); +#20521=FACE_OUTER_BOUND('',#20520,.F.); +#20523=CARTESIAN_POINT('',(8.3236392E1,8.6746197E1,4.311724784545E0)); +#20524=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20525=DIRECTION('',(1.E0,0.E0,0.E0)); +#20526=AXIS2_PLACEMENT_3D('',#20523,#20524,#20525); +#20527=PLANE('',#20526); +#20528=ORIENTED_EDGE('',*,*,#12132,.F.); +#20529=ORIENTED_EDGE('',*,*,#20492,.T.); +#20530=ORIENTED_EDGE('',*,*,#20062,.T.); +#20531=ORIENTED_EDGE('',*,*,#20516,.F.); +#20532=EDGE_LOOP('',(#20528,#20529,#20530,#20531)); +#20533=FACE_OUTER_BOUND('',#20532,.F.); +#20535=CARTESIAN_POINT('',(8.68056E1,9.1460203E1,4.311724784545E0)); +#20536=DIRECTION('',(0.E0,1.E0,0.E0)); +#20537=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20538=AXIS2_PLACEMENT_3D('',#20535,#20536,#20537); +#20539=PLANE('',#20538); +#20540=ORIENTED_EDGE('',*,*,#12140,.F.); +#20542=ORIENTED_EDGE('',*,*,#20541,.T.); +#20543=ORIENTED_EDGE('',*,*,#20072,.T.); +#20545=ORIENTED_EDGE('',*,*,#20544,.F.); +#20546=EDGE_LOOP('',(#20540,#20542,#20543,#20545)); +#20547=FACE_OUTER_BOUND('',#20546,.F.); +#20549=CARTESIAN_POINT('',(8.68056E1,9.0708602E1,4.311724784545E0)); +#20550=DIRECTION('',(1.E0,0.E0,0.E0)); +#20551=DIRECTION('',(0.E0,1.E0,0.E0)); +#20552=AXIS2_PLACEMENT_3D('',#20549,#20550,#20551); +#20553=PLANE('',#20552); +#20554=ORIENTED_EDGE('',*,*,#12146,.F.); +#20556=ORIENTED_EDGE('',*,*,#20555,.T.); +#20557=ORIENTED_EDGE('',*,*,#20074,.T.); +#20558=ORIENTED_EDGE('',*,*,#20541,.F.); +#20559=EDGE_LOOP('',(#20554,#20556,#20557,#20558)); +#20560=FACE_OUTER_BOUND('',#20559,.F.); +#20562=CARTESIAN_POINT('',(8.5254E1,9.0708602E1,4.311724784545E0)); +#20563=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20564=DIRECTION('',(1.E0,0.E0,0.E0)); +#20565=AXIS2_PLACEMENT_3D('',#20562,#20563,#20564); +#20566=PLANE('',#20565); +#20567=ORIENTED_EDGE('',*,*,#12144,.F.); +#20569=ORIENTED_EDGE('',*,*,#20568,.T.); +#20570=ORIENTED_EDGE('',*,*,#20076,.T.); +#20571=ORIENTED_EDGE('',*,*,#20555,.F.); +#20572=EDGE_LOOP('',(#20567,#20569,#20570,#20571)); +#20573=FACE_OUTER_BOUND('',#20572,.F.); +#20575=CARTESIAN_POINT('',(8.5254E1,9.1460203E1,4.311724784545E0)); +#20576=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20577=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20578=AXIS2_PLACEMENT_3D('',#20575,#20576,#20577); +#20579=PLANE('',#20578); +#20580=ORIENTED_EDGE('',*,*,#12142,.F.); +#20581=ORIENTED_EDGE('',*,*,#20544,.T.); +#20582=ORIENTED_EDGE('',*,*,#20078,.T.); +#20583=ORIENTED_EDGE('',*,*,#20568,.F.); +#20584=EDGE_LOOP('',(#20580,#20581,#20582,#20583)); +#20585=FACE_OUTER_BOUND('',#20584,.F.); +#20587=CARTESIAN_POINT('',(9.02346E1,8.4729203E1,4.311724784545E0)); +#20588=DIRECTION('',(0.E0,1.E0,0.E0)); +#20589=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20590=AXIS2_PLACEMENT_3D('',#20587,#20588,#20589); +#20591=PLANE('',#20590); +#20592=ORIENTED_EDGE('',*,*,#12150,.F.); +#20594=ORIENTED_EDGE('',*,*,#20593,.T.); +#20595=ORIENTED_EDGE('',*,*,#20088,.T.); +#20597=ORIENTED_EDGE('',*,*,#20596,.F.); +#20598=EDGE_LOOP('',(#20592,#20594,#20595,#20597)); +#20599=FACE_OUTER_BOUND('',#20598,.F.); +#20601=CARTESIAN_POINT('',(9.02346E1,8.3977602E1,4.311724784545E0)); +#20602=DIRECTION('',(1.E0,0.E0,0.E0)); +#20603=DIRECTION('',(0.E0,1.E0,0.E0)); +#20604=AXIS2_PLACEMENT_3D('',#20601,#20602,#20603); +#20605=PLANE('',#20604); +#20606=ORIENTED_EDGE('',*,*,#12156,.F.); +#20608=ORIENTED_EDGE('',*,*,#20607,.T.); +#20609=ORIENTED_EDGE('',*,*,#20090,.T.); +#20610=ORIENTED_EDGE('',*,*,#20593,.F.); +#20611=EDGE_LOOP('',(#20606,#20608,#20609,#20610)); +#20612=FACE_OUTER_BOUND('',#20611,.F.); +#20614=CARTESIAN_POINT('',(8.8683E1,8.3977602E1,4.311724784545E0)); +#20615=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20616=DIRECTION('',(1.E0,0.E0,0.E0)); +#20617=AXIS2_PLACEMENT_3D('',#20614,#20615,#20616); +#20618=PLANE('',#20617); +#20619=ORIENTED_EDGE('',*,*,#12154,.F.); +#20621=ORIENTED_EDGE('',*,*,#20620,.T.); +#20622=ORIENTED_EDGE('',*,*,#20092,.T.); +#20623=ORIENTED_EDGE('',*,*,#20607,.F.); +#20624=EDGE_LOOP('',(#20619,#20621,#20622,#20623)); +#20625=FACE_OUTER_BOUND('',#20624,.F.); +#20627=CARTESIAN_POINT('',(8.8683E1,8.4729203E1,4.311724784545E0)); +#20628=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20629=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20630=AXIS2_PLACEMENT_3D('',#20627,#20628,#20629); +#20631=PLANE('',#20630); +#20632=ORIENTED_EDGE('',*,*,#12152,.F.); +#20633=ORIENTED_EDGE('',*,*,#20596,.T.); +#20634=ORIENTED_EDGE('',*,*,#20094,.T.); +#20635=ORIENTED_EDGE('',*,*,#20620,.F.); +#20636=EDGE_LOOP('',(#20632,#20633,#20634,#20635)); +#20637=FACE_OUTER_BOUND('',#20636,.F.); +#20639=CARTESIAN_POINT('',(9.2493E1,8.1300203E1,4.311724784545E0)); +#20640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20641=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20642=AXIS2_PLACEMENT_3D('',#20639,#20640,#20641); +#20643=PLANE('',#20642); +#20644=ORIENTED_EDGE('',*,*,#12160,.F.); +#20646=ORIENTED_EDGE('',*,*,#20645,.T.); +#20647=ORIENTED_EDGE('',*,*,#20104,.T.); +#20649=ORIENTED_EDGE('',*,*,#20648,.F.); +#20650=EDGE_LOOP('',(#20644,#20646,#20647,#20649)); +#20651=FACE_OUTER_BOUND('',#20650,.F.); +#20653=CARTESIAN_POINT('',(9.40446E1,8.1300203E1,4.311724784545E0)); +#20654=DIRECTION('',(0.E0,1.E0,0.E0)); +#20655=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20656=AXIS2_PLACEMENT_3D('',#20653,#20654,#20655); +#20657=PLANE('',#20656); +#20658=ORIENTED_EDGE('',*,*,#12166,.F.); +#20660=ORIENTED_EDGE('',*,*,#20659,.T.); +#20661=ORIENTED_EDGE('',*,*,#20106,.T.); +#20662=ORIENTED_EDGE('',*,*,#20645,.F.); +#20663=EDGE_LOOP('',(#20658,#20660,#20661,#20662)); +#20664=FACE_OUTER_BOUND('',#20663,.F.); +#20666=CARTESIAN_POINT('',(9.40446E1,8.0548602E1,4.311724784545E0)); +#20667=DIRECTION('',(1.E0,0.E0,0.E0)); +#20668=DIRECTION('',(0.E0,1.E0,0.E0)); +#20669=AXIS2_PLACEMENT_3D('',#20666,#20667,#20668); +#20670=PLANE('',#20669); +#20671=ORIENTED_EDGE('',*,*,#12164,.F.); +#20673=ORIENTED_EDGE('',*,*,#20672,.T.); +#20674=ORIENTED_EDGE('',*,*,#20108,.T.); +#20675=ORIENTED_EDGE('',*,*,#20659,.F.); +#20676=EDGE_LOOP('',(#20671,#20673,#20674,#20675)); +#20677=FACE_OUTER_BOUND('',#20676,.F.); +#20679=CARTESIAN_POINT('',(9.2493E1,8.0548602E1,4.311724784545E0)); +#20680=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20681=DIRECTION('',(1.E0,0.E0,0.E0)); +#20682=AXIS2_PLACEMENT_3D('',#20679,#20680,#20681); +#20683=PLANE('',#20682); +#20684=ORIENTED_EDGE('',*,*,#12162,.F.); +#20685=ORIENTED_EDGE('',*,*,#20648,.T.); +#20686=ORIENTED_EDGE('',*,*,#20110,.T.); +#20687=ORIENTED_EDGE('',*,*,#20672,.F.); +#20688=EDGE_LOOP('',(#20684,#20685,#20686,#20687)); +#20689=FACE_OUTER_BOUND('',#20688,.F.); +#20691=CARTESIAN_POINT('',(9.2493E1,8.0157198E1,4.311724784545E0)); +#20692=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20693=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20694=AXIS2_PLACEMENT_3D('',#20691,#20692,#20693); +#20695=PLANE('',#20694); +#20696=ORIENTED_EDGE('',*,*,#12170,.F.); +#20698=ORIENTED_EDGE('',*,*,#20697,.T.); +#20699=ORIENTED_EDGE('',*,*,#20120,.T.); +#20701=ORIENTED_EDGE('',*,*,#20700,.F.); +#20702=EDGE_LOOP('',(#20696,#20698,#20699,#20701)); +#20703=FACE_OUTER_BOUND('',#20702,.F.); +#20705=CARTESIAN_POINT('',(9.40446E1,8.0157198E1,4.311724784545E0)); +#20706=DIRECTION('',(0.E0,1.E0,0.E0)); +#20707=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20708=AXIS2_PLACEMENT_3D('',#20705,#20706,#20707); +#20709=PLANE('',#20708); +#20710=ORIENTED_EDGE('',*,*,#12176,.F.); +#20712=ORIENTED_EDGE('',*,*,#20711,.T.); +#20713=ORIENTED_EDGE('',*,*,#20122,.T.); +#20714=ORIENTED_EDGE('',*,*,#20697,.F.); +#20715=EDGE_LOOP('',(#20710,#20712,#20713,#20714)); +#20716=FACE_OUTER_BOUND('',#20715,.F.); +#20718=CARTESIAN_POINT('',(9.40446E1,7.9405597E1,4.311724784545E0)); +#20719=DIRECTION('',(1.E0,0.E0,0.E0)); +#20720=DIRECTION('',(0.E0,1.E0,0.E0)); +#20721=AXIS2_PLACEMENT_3D('',#20718,#20719,#20720); +#20722=PLANE('',#20721); +#20723=ORIENTED_EDGE('',*,*,#12174,.F.); +#20725=ORIENTED_EDGE('',*,*,#20724,.T.); +#20726=ORIENTED_EDGE('',*,*,#20124,.T.); +#20727=ORIENTED_EDGE('',*,*,#20711,.F.); +#20728=EDGE_LOOP('',(#20723,#20725,#20726,#20727)); +#20729=FACE_OUTER_BOUND('',#20728,.F.); +#20731=CARTESIAN_POINT('',(9.2493E1,7.9405597E1,4.311724784545E0)); +#20732=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20733=DIRECTION('',(1.E0,0.E0,0.E0)); +#20734=AXIS2_PLACEMENT_3D('',#20731,#20732,#20733); +#20735=PLANE('',#20734); +#20736=ORIENTED_EDGE('',*,*,#12172,.F.); +#20737=ORIENTED_EDGE('',*,*,#20700,.T.); +#20738=ORIENTED_EDGE('',*,*,#20126,.T.); +#20739=ORIENTED_EDGE('',*,*,#20724,.F.); +#20740=EDGE_LOOP('',(#20736,#20737,#20738,#20739)); +#20741=FACE_OUTER_BOUND('',#20740,.F.); +#20743=CARTESIAN_POINT('',(9.8404802E1,7.67472E1,4.311724784545E0)); +#20744=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20745=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20746=AXIS2_PLACEMENT_3D('',#20743,#20744,#20745); +#20747=PLANE('',#20746); +#20748=ORIENTED_EDGE('',*,*,#12180,.F.); +#20750=ORIENTED_EDGE('',*,*,#20749,.T.); +#20751=ORIENTED_EDGE('',*,*,#20136,.T.); +#20753=ORIENTED_EDGE('',*,*,#20752,.F.); +#20754=EDGE_LOOP('',(#20748,#20750,#20751,#20753)); +#20755=FACE_OUTER_BOUND('',#20754,.F.); +#20757=CARTESIAN_POINT('',(9.9156403E1,7.67472E1,4.311724784545E0)); +#20758=DIRECTION('',(0.E0,1.E0,0.E0)); +#20759=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20760=AXIS2_PLACEMENT_3D('',#20757,#20758,#20759); +#20761=PLANE('',#20760); +#20762=ORIENTED_EDGE('',*,*,#12186,.F.); +#20764=ORIENTED_EDGE('',*,*,#20763,.T.); +#20765=ORIENTED_EDGE('',*,*,#20138,.T.); +#20766=ORIENTED_EDGE('',*,*,#20749,.F.); +#20767=EDGE_LOOP('',(#20762,#20764,#20765,#20766)); +#20768=FACE_OUTER_BOUND('',#20767,.F.); +#20770=CARTESIAN_POINT('',(9.9156403E1,7.51956E1,4.311724784545E0)); +#20771=DIRECTION('',(1.E0,0.E0,0.E0)); +#20772=DIRECTION('',(0.E0,1.E0,0.E0)); +#20773=AXIS2_PLACEMENT_3D('',#20770,#20771,#20772); +#20774=PLANE('',#20773); +#20775=ORIENTED_EDGE('',*,*,#12184,.F.); +#20777=ORIENTED_EDGE('',*,*,#20776,.T.); +#20778=ORIENTED_EDGE('',*,*,#20140,.T.); +#20779=ORIENTED_EDGE('',*,*,#20763,.F.); +#20780=EDGE_LOOP('',(#20775,#20777,#20778,#20779)); +#20781=FACE_OUTER_BOUND('',#20780,.F.); +#20783=CARTESIAN_POINT('',(9.8404802E1,7.51956E1,4.311724784545E0)); +#20784=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20785=DIRECTION('',(1.E0,0.E0,0.E0)); +#20786=AXIS2_PLACEMENT_3D('',#20783,#20784,#20785); +#20787=PLANE('',#20786); +#20788=ORIENTED_EDGE('',*,*,#12182,.F.); +#20789=ORIENTED_EDGE('',*,*,#20752,.T.); +#20790=ORIENTED_EDGE('',*,*,#20142,.T.); +#20791=ORIENTED_EDGE('',*,*,#20776,.F.); +#20792=EDGE_LOOP('',(#20788,#20789,#20790,#20791)); +#20793=FACE_OUTER_BOUND('',#20792,.F.); +#20795=CARTESIAN_POINT('',(9.8789795E1,8.86344E1,4.311724784545E0)); +#20796=DIRECTION('',(0.E0,1.E0,0.E0)); +#20797=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20798=AXIS2_PLACEMENT_3D('',#20795,#20796,#20797); +#20799=PLANE('',#20798); +#20800=ORIENTED_EDGE('',*,*,#12190,.F.); +#20802=ORIENTED_EDGE('',*,*,#20801,.T.); +#20803=ORIENTED_EDGE('',*,*,#20152,.T.); +#20805=ORIENTED_EDGE('',*,*,#20804,.F.); +#20806=EDGE_LOOP('',(#20800,#20802,#20803,#20805)); +#20807=FACE_OUTER_BOUND('',#20806,.F.); +#20809=CARTESIAN_POINT('',(9.8789795E1,8.70828E1,4.311724784545E0)); +#20810=DIRECTION('',(1.E0,0.E0,0.E0)); +#20811=DIRECTION('',(0.E0,1.E0,0.E0)); +#20812=AXIS2_PLACEMENT_3D('',#20809,#20810,#20811); +#20813=PLANE('',#20812); +#20814=ORIENTED_EDGE('',*,*,#12196,.F.); +#20816=ORIENTED_EDGE('',*,*,#20815,.T.); +#20817=ORIENTED_EDGE('',*,*,#20154,.T.); +#20818=ORIENTED_EDGE('',*,*,#20801,.F.); +#20819=EDGE_LOOP('',(#20814,#20816,#20817,#20818)); +#20820=FACE_OUTER_BOUND('',#20819,.F.); +#20822=CARTESIAN_POINT('',(9.8038194E1,8.70828E1,4.311724784545E0)); +#20823=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20824=DIRECTION('',(1.E0,0.E0,0.E0)); +#20825=AXIS2_PLACEMENT_3D('',#20822,#20823,#20824); +#20826=PLANE('',#20825); +#20827=ORIENTED_EDGE('',*,*,#12194,.F.); +#20829=ORIENTED_EDGE('',*,*,#20828,.T.); +#20830=ORIENTED_EDGE('',*,*,#20156,.T.); +#20831=ORIENTED_EDGE('',*,*,#20815,.F.); +#20832=EDGE_LOOP('',(#20827,#20829,#20830,#20831)); +#20833=FACE_OUTER_BOUND('',#20832,.F.); +#20835=CARTESIAN_POINT('',(9.8038194E1,8.86344E1,4.311724784545E0)); +#20836=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20837=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20838=AXIS2_PLACEMENT_3D('',#20835,#20836,#20837); +#20839=PLANE('',#20838); +#20840=ORIENTED_EDGE('',*,*,#12192,.F.); +#20841=ORIENTED_EDGE('',*,*,#20804,.T.); +#20842=ORIENTED_EDGE('',*,*,#20158,.T.); +#20843=ORIENTED_EDGE('',*,*,#20828,.F.); +#20844=EDGE_LOOP('',(#20840,#20841,#20842,#20843)); +#20845=FACE_OUTER_BOUND('',#20844,.F.); +#20847=CARTESIAN_POINT('',(1.02313991E2,9.0977598E1,4.311724784545E0)); +#20848=DIRECTION('',(0.E0,1.E0,0.E0)); +#20849=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20850=AXIS2_PLACEMENT_3D('',#20847,#20848,#20849); +#20851=PLANE('',#20850); +#20852=ORIENTED_EDGE('',*,*,#12200,.F.); +#20854=ORIENTED_EDGE('',*,*,#20853,.T.); +#20855=ORIENTED_EDGE('',*,*,#20168,.T.); +#20857=ORIENTED_EDGE('',*,*,#20856,.F.); +#20858=EDGE_LOOP('',(#20852,#20854,#20855,#20857)); +#20859=FACE_OUTER_BOUND('',#20858,.F.); +#20861=CARTESIAN_POINT('',(1.02313991E2,9.0225997E1,4.311724784545E0)); +#20862=DIRECTION('',(1.E0,0.E0,0.E0)); +#20863=DIRECTION('',(0.E0,1.E0,0.E0)); +#20864=AXIS2_PLACEMENT_3D('',#20861,#20862,#20863); +#20865=PLANE('',#20864); +#20866=ORIENTED_EDGE('',*,*,#12206,.F.); +#20868=ORIENTED_EDGE('',*,*,#20867,.T.); +#20869=ORIENTED_EDGE('',*,*,#20170,.T.); +#20870=ORIENTED_EDGE('',*,*,#20853,.F.); +#20871=EDGE_LOOP('',(#20866,#20868,#20869,#20870)); +#20872=FACE_OUTER_BOUND('',#20871,.F.); +#20874=CARTESIAN_POINT('',(1.00762392E2,9.0225997E1,4.311724784545E0)); +#20875=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20876=DIRECTION('',(1.E0,0.E0,0.E0)); +#20877=AXIS2_PLACEMENT_3D('',#20874,#20875,#20876); +#20878=PLANE('',#20877); +#20879=ORIENTED_EDGE('',*,*,#12204,.F.); +#20881=ORIENTED_EDGE('',*,*,#20880,.T.); +#20882=ORIENTED_EDGE('',*,*,#20172,.T.); +#20883=ORIENTED_EDGE('',*,*,#20867,.F.); +#20884=EDGE_LOOP('',(#20879,#20881,#20882,#20883)); +#20885=FACE_OUTER_BOUND('',#20884,.F.); +#20887=CARTESIAN_POINT('',(1.00762392E2,9.0977598E1,4.311724784545E0)); +#20888=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20889=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20890=AXIS2_PLACEMENT_3D('',#20887,#20888,#20889); +#20891=PLANE('',#20890); +#20892=ORIENTED_EDGE('',*,*,#12202,.F.); +#20893=ORIENTED_EDGE('',*,*,#20856,.T.); +#20894=ORIENTED_EDGE('',*,*,#20174,.T.); +#20895=ORIENTED_EDGE('',*,*,#20880,.F.); +#20896=EDGE_LOOP('',(#20892,#20893,#20894,#20895)); +#20897=FACE_OUTER_BOUND('',#20896,.F.); +#20899=CARTESIAN_POINT('',(1.02015595E2,9.72196E1,4.311724784545E0)); +#20900=DIRECTION('',(0.E0,1.E0,0.E0)); +#20901=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20902=AXIS2_PLACEMENT_3D('',#20899,#20900,#20901); +#20903=PLANE('',#20902); +#20904=ORIENTED_EDGE('',*,*,#12210,.F.); +#20906=ORIENTED_EDGE('',*,*,#20905,.T.); +#20907=ORIENTED_EDGE('',*,*,#20184,.T.); +#20909=ORIENTED_EDGE('',*,*,#20908,.F.); +#20910=EDGE_LOOP('',(#20904,#20906,#20907,#20909)); +#20911=FACE_OUTER_BOUND('',#20910,.F.); +#20913=CARTESIAN_POINT('',(1.02015595E2,9.5668E1,4.311724784545E0)); +#20914=DIRECTION('',(1.E0,0.E0,0.E0)); +#20915=DIRECTION('',(0.E0,1.E0,0.E0)); +#20916=AXIS2_PLACEMENT_3D('',#20913,#20914,#20915); +#20917=PLANE('',#20916); +#20918=ORIENTED_EDGE('',*,*,#12216,.F.); +#20920=ORIENTED_EDGE('',*,*,#20919,.T.); +#20921=ORIENTED_EDGE('',*,*,#20186,.T.); +#20922=ORIENTED_EDGE('',*,*,#20905,.F.); +#20923=EDGE_LOOP('',(#20918,#20920,#20921,#20922)); +#20924=FACE_OUTER_BOUND('',#20923,.F.); +#20926=CARTESIAN_POINT('',(1.01263994E2,9.5668E1,4.311724784545E0)); +#20927=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20928=DIRECTION('',(1.E0,0.E0,0.E0)); +#20929=AXIS2_PLACEMENT_3D('',#20926,#20927,#20928); +#20930=PLANE('',#20929); +#20931=ORIENTED_EDGE('',*,*,#12214,.F.); +#20933=ORIENTED_EDGE('',*,*,#20932,.T.); +#20934=ORIENTED_EDGE('',*,*,#20188,.T.); +#20935=ORIENTED_EDGE('',*,*,#20919,.F.); +#20936=EDGE_LOOP('',(#20931,#20933,#20934,#20935)); +#20937=FACE_OUTER_BOUND('',#20936,.F.); +#20939=CARTESIAN_POINT('',(1.01263994E2,9.72196E1,4.311724784545E0)); +#20940=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20941=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20942=AXIS2_PLACEMENT_3D('',#20939,#20940,#20941); +#20943=PLANE('',#20942); +#20944=ORIENTED_EDGE('',*,*,#12212,.F.); +#20945=ORIENTED_EDGE('',*,*,#20908,.T.); +#20946=ORIENTED_EDGE('',*,*,#20190,.T.); +#20947=ORIENTED_EDGE('',*,*,#20932,.F.); +#20948=EDGE_LOOP('',(#20944,#20945,#20946,#20947)); +#20949=FACE_OUTER_BOUND('',#20948,.F.); +#20951=CARTESIAN_POINT('',(1.00832798E2,9.72196E1,4.311724784545E0)); +#20952=DIRECTION('',(0.E0,1.E0,0.E0)); +#20953=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20954=AXIS2_PLACEMENT_3D('',#20951,#20952,#20953); +#20955=PLANE('',#20954); +#20956=ORIENTED_EDGE('',*,*,#12220,.F.); +#20958=ORIENTED_EDGE('',*,*,#20957,.T.); +#20959=ORIENTED_EDGE('',*,*,#20200,.T.); +#20961=ORIENTED_EDGE('',*,*,#20960,.F.); +#20962=EDGE_LOOP('',(#20956,#20958,#20959,#20961)); +#20963=FACE_OUTER_BOUND('',#20962,.F.); +#20965=CARTESIAN_POINT('',(1.00832798E2,9.5668E1,4.311724784545E0)); +#20966=DIRECTION('',(1.E0,0.E0,0.E0)); +#20967=DIRECTION('',(0.E0,1.E0,0.E0)); +#20968=AXIS2_PLACEMENT_3D('',#20965,#20966,#20967); +#20969=PLANE('',#20968); +#20970=ORIENTED_EDGE('',*,*,#12226,.F.); +#20972=ORIENTED_EDGE('',*,*,#20971,.T.); +#20973=ORIENTED_EDGE('',*,*,#20202,.T.); +#20974=ORIENTED_EDGE('',*,*,#20957,.F.); +#20975=EDGE_LOOP('',(#20970,#20972,#20973,#20974)); +#20976=FACE_OUTER_BOUND('',#20975,.F.); +#20978=CARTESIAN_POINT('',(1.00081197E2,9.5668E1,4.311724784545E0)); +#20979=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20980=DIRECTION('',(1.E0,0.E0,0.E0)); +#20981=AXIS2_PLACEMENT_3D('',#20978,#20979,#20980); +#20982=PLANE('',#20981); +#20983=ORIENTED_EDGE('',*,*,#12224,.F.); +#20985=ORIENTED_EDGE('',*,*,#20984,.T.); +#20986=ORIENTED_EDGE('',*,*,#20204,.T.); +#20987=ORIENTED_EDGE('',*,*,#20971,.F.); +#20988=EDGE_LOOP('',(#20983,#20985,#20986,#20987)); +#20989=FACE_OUTER_BOUND('',#20988,.F.); +#20991=CARTESIAN_POINT('',(1.00081197E2,9.72196E1,4.311724784545E0)); +#20992=DIRECTION('',(-1.E0,0.E0,0.E0)); +#20993=DIRECTION('',(0.E0,-1.E0,0.E0)); +#20994=AXIS2_PLACEMENT_3D('',#20991,#20992,#20993); +#20995=PLANE('',#20994); +#20996=ORIENTED_EDGE('',*,*,#12222,.F.); +#20997=ORIENTED_EDGE('',*,*,#20960,.T.); +#20998=ORIENTED_EDGE('',*,*,#20206,.T.); +#20999=ORIENTED_EDGE('',*,*,#20984,.F.); +#21000=EDGE_LOOP('',(#20996,#20997,#20998,#20999)); +#21001=FACE_OUTER_BOUND('',#21000,.F.); +#21003=CARTESIAN_POINT('',(9.9689798E1,9.72196E1,4.311724784545E0)); +#21004=DIRECTION('',(0.E0,1.E0,0.E0)); +#21005=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21006=AXIS2_PLACEMENT_3D('',#21003,#21004,#21005); +#21007=PLANE('',#21006); +#21008=ORIENTED_EDGE('',*,*,#12230,.F.); +#21010=ORIENTED_EDGE('',*,*,#21009,.T.); +#21011=ORIENTED_EDGE('',*,*,#20216,.T.); +#21013=ORIENTED_EDGE('',*,*,#21012,.F.); +#21014=EDGE_LOOP('',(#21008,#21010,#21011,#21013)); +#21015=FACE_OUTER_BOUND('',#21014,.F.); +#21017=CARTESIAN_POINT('',(9.9689798E1,9.5668E1,4.311724784545E0)); +#21018=DIRECTION('',(1.E0,0.E0,0.E0)); +#21019=DIRECTION('',(0.E0,1.E0,0.E0)); +#21020=AXIS2_PLACEMENT_3D('',#21017,#21018,#21019); +#21021=PLANE('',#21020); +#21022=ORIENTED_EDGE('',*,*,#12236,.F.); +#21024=ORIENTED_EDGE('',*,*,#21023,.T.); +#21025=ORIENTED_EDGE('',*,*,#20218,.T.); +#21026=ORIENTED_EDGE('',*,*,#21009,.F.); +#21027=EDGE_LOOP('',(#21022,#21024,#21025,#21026)); +#21028=FACE_OUTER_BOUND('',#21027,.F.); +#21030=CARTESIAN_POINT('',(9.8938197E1,9.5668E1,4.311724784545E0)); +#21031=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21032=DIRECTION('',(1.E0,0.E0,0.E0)); +#21033=AXIS2_PLACEMENT_3D('',#21030,#21031,#21032); +#21034=PLANE('',#21033); +#21035=ORIENTED_EDGE('',*,*,#12234,.F.); +#21037=ORIENTED_EDGE('',*,*,#21036,.T.); +#21038=ORIENTED_EDGE('',*,*,#20220,.T.); +#21039=ORIENTED_EDGE('',*,*,#21023,.F.); +#21040=EDGE_LOOP('',(#21035,#21037,#21038,#21039)); +#21041=FACE_OUTER_BOUND('',#21040,.F.); +#21043=CARTESIAN_POINT('',(9.8938197E1,9.72196E1,4.311724784545E0)); +#21044=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21045=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21046=AXIS2_PLACEMENT_3D('',#21043,#21044,#21045); +#21047=PLANE('',#21046); +#21048=ORIENTED_EDGE('',*,*,#12232,.F.); +#21049=ORIENTED_EDGE('',*,*,#21012,.T.); +#21050=ORIENTED_EDGE('',*,*,#20222,.T.); +#21051=ORIENTED_EDGE('',*,*,#21036,.F.); +#21052=EDGE_LOOP('',(#21048,#21049,#21050,#21051)); +#21053=FACE_OUTER_BOUND('',#21052,.F.); +#21055=CARTESIAN_POINT('',(9.73466E1,9.6540203E1,4.311724784545E0)); +#21056=DIRECTION('',(0.E0,1.E0,0.E0)); +#21057=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21058=AXIS2_PLACEMENT_3D('',#21055,#21056,#21057); +#21059=PLANE('',#21058); +#21060=ORIENTED_EDGE('',*,*,#12240,.F.); +#21062=ORIENTED_EDGE('',*,*,#21061,.T.); +#21063=ORIENTED_EDGE('',*,*,#20232,.T.); +#21065=ORIENTED_EDGE('',*,*,#21064,.F.); +#21066=EDGE_LOOP('',(#21060,#21062,#21063,#21065)); +#21067=FACE_OUTER_BOUND('',#21066,.F.); +#21069=CARTESIAN_POINT('',(9.73466E1,9.5788602E1,4.311724784545E0)); +#21070=DIRECTION('',(1.E0,0.E0,0.E0)); +#21071=DIRECTION('',(0.E0,1.E0,0.E0)); +#21072=AXIS2_PLACEMENT_3D('',#21069,#21070,#21071); +#21073=PLANE('',#21072); +#21074=ORIENTED_EDGE('',*,*,#12246,.F.); +#21076=ORIENTED_EDGE('',*,*,#21075,.T.); +#21077=ORIENTED_EDGE('',*,*,#20234,.T.); +#21078=ORIENTED_EDGE('',*,*,#21061,.F.); +#21079=EDGE_LOOP('',(#21074,#21076,#21077,#21078)); +#21080=FACE_OUTER_BOUND('',#21079,.F.); +#21082=CARTESIAN_POINT('',(9.5795E1,9.5788602E1,4.311724784545E0)); +#21083=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21084=DIRECTION('',(1.E0,0.E0,0.E0)); +#21085=AXIS2_PLACEMENT_3D('',#21082,#21083,#21084); +#21086=PLANE('',#21085); +#21087=ORIENTED_EDGE('',*,*,#12244,.F.); +#21089=ORIENTED_EDGE('',*,*,#21088,.T.); +#21090=ORIENTED_EDGE('',*,*,#20236,.T.); +#21091=ORIENTED_EDGE('',*,*,#21075,.F.); +#21092=EDGE_LOOP('',(#21087,#21089,#21090,#21091)); +#21093=FACE_OUTER_BOUND('',#21092,.F.); +#21095=CARTESIAN_POINT('',(9.5795E1,9.6540203E1,4.311724784545E0)); +#21096=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21097=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21098=AXIS2_PLACEMENT_3D('',#21095,#21096,#21097); +#21099=PLANE('',#21098); +#21100=ORIENTED_EDGE('',*,*,#12242,.F.); +#21101=ORIENTED_EDGE('',*,*,#21064,.T.); +#21102=ORIENTED_EDGE('',*,*,#20238,.T.); +#21103=ORIENTED_EDGE('',*,*,#21088,.F.); +#21104=EDGE_LOOP('',(#21100,#21101,#21102,#21103)); +#21105=FACE_OUTER_BOUND('',#21104,.F.); +#21107=CARTESIAN_POINT('',(9.3873198E1,1.004454E2,4.311724784545E0)); +#21108=DIRECTION('',(0.E0,1.E0,0.E0)); +#21109=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21110=AXIS2_PLACEMENT_3D('',#21107,#21108,#21109); +#21111=PLANE('',#21110); +#21112=ORIENTED_EDGE('',*,*,#12250,.F.); +#21114=ORIENTED_EDGE('',*,*,#21113,.T.); +#21115=ORIENTED_EDGE('',*,*,#20248,.T.); +#21117=ORIENTED_EDGE('',*,*,#21116,.F.); +#21118=EDGE_LOOP('',(#21112,#21114,#21115,#21117)); +#21119=FACE_OUTER_BOUND('',#21118,.F.); +#21121=CARTESIAN_POINT('',(9.3873198E1,9.88938E1,4.311724784545E0)); +#21122=DIRECTION('',(1.E0,0.E0,0.E0)); +#21123=DIRECTION('',(0.E0,1.E0,0.E0)); +#21124=AXIS2_PLACEMENT_3D('',#21121,#21122,#21123); +#21125=PLANE('',#21124); +#21126=ORIENTED_EDGE('',*,*,#12256,.F.); +#21128=ORIENTED_EDGE('',*,*,#21127,.T.); +#21129=ORIENTED_EDGE('',*,*,#20250,.T.); +#21130=ORIENTED_EDGE('',*,*,#21113,.F.); +#21131=EDGE_LOOP('',(#21126,#21128,#21129,#21130)); +#21132=FACE_OUTER_BOUND('',#21131,.F.); +#21134=CARTESIAN_POINT('',(9.3121597E1,9.88938E1,4.311724784545E0)); +#21135=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21136=DIRECTION('',(1.E0,0.E0,0.E0)); +#21137=AXIS2_PLACEMENT_3D('',#21134,#21135,#21136); +#21138=PLANE('',#21137); +#21139=ORIENTED_EDGE('',*,*,#12254,.F.); +#21141=ORIENTED_EDGE('',*,*,#21140,.T.); +#21142=ORIENTED_EDGE('',*,*,#20252,.T.); +#21143=ORIENTED_EDGE('',*,*,#21127,.F.); +#21144=EDGE_LOOP('',(#21139,#21141,#21142,#21143)); +#21145=FACE_OUTER_BOUND('',#21144,.F.); +#21147=CARTESIAN_POINT('',(9.3121597E1,1.004454E2,4.311724784545E0)); +#21148=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21149=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21150=AXIS2_PLACEMENT_3D('',#21147,#21148,#21149); +#21151=PLANE('',#21150); +#21152=ORIENTED_EDGE('',*,*,#12252,.F.); +#21153=ORIENTED_EDGE('',*,*,#21116,.T.); +#21154=ORIENTED_EDGE('',*,*,#20254,.T.); +#21155=ORIENTED_EDGE('',*,*,#21140,.F.); +#21156=EDGE_LOOP('',(#21152,#21153,#21154,#21155)); +#21157=FACE_OUTER_BOUND('',#21156,.F.); +#21159=CARTESIAN_POINT('',(9.73466E1,9.9639003E1,4.311724784545E0)); +#21160=DIRECTION('',(0.E0,1.E0,0.E0)); +#21161=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21162=AXIS2_PLACEMENT_3D('',#21159,#21160,#21161); +#21163=PLANE('',#21162); +#21164=ORIENTED_EDGE('',*,*,#12260,.F.); +#21166=ORIENTED_EDGE('',*,*,#21165,.T.); +#21167=ORIENTED_EDGE('',*,*,#20264,.T.); +#21169=ORIENTED_EDGE('',*,*,#21168,.F.); +#21170=EDGE_LOOP('',(#21164,#21166,#21167,#21169)); +#21171=FACE_OUTER_BOUND('',#21170,.F.); +#21173=CARTESIAN_POINT('',(9.73466E1,9.88938E1,4.311724784545E0)); +#21174=DIRECTION('',(1.E0,0.E0,0.E0)); +#21175=DIRECTION('',(0.E0,1.E0,0.E0)); +#21176=AXIS2_PLACEMENT_3D('',#21173,#21174,#21175); +#21177=PLANE('',#21176); +#21178=ORIENTED_EDGE('',*,*,#12266,.F.); +#21180=ORIENTED_EDGE('',*,*,#21179,.T.); +#21181=ORIENTED_EDGE('',*,*,#20266,.T.); +#21182=ORIENTED_EDGE('',*,*,#21165,.F.); +#21183=EDGE_LOOP('',(#21178,#21180,#21181,#21182)); +#21184=FACE_OUTER_BOUND('',#21183,.F.); +#21186=CARTESIAN_POINT('',(9.5795E1,9.88938E1,4.311724784545E0)); +#21187=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21188=DIRECTION('',(1.E0,0.E0,0.E0)); +#21189=AXIS2_PLACEMENT_3D('',#21186,#21187,#21188); +#21190=PLANE('',#21189); +#21191=ORIENTED_EDGE('',*,*,#12264,.F.); +#21193=ORIENTED_EDGE('',*,*,#21192,.T.); +#21194=ORIENTED_EDGE('',*,*,#20268,.T.); +#21195=ORIENTED_EDGE('',*,*,#21179,.F.); +#21196=EDGE_LOOP('',(#21191,#21193,#21194,#21195)); +#21197=FACE_OUTER_BOUND('',#21196,.F.); +#21199=CARTESIAN_POINT('',(9.5795E1,9.9639003E1,4.311724784545E0)); +#21200=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21201=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21202=AXIS2_PLACEMENT_3D('',#21199,#21200,#21201); +#21203=PLANE('',#21202); +#21204=ORIENTED_EDGE('',*,*,#12262,.F.); +#21205=ORIENTED_EDGE('',*,*,#21168,.T.); +#21206=ORIENTED_EDGE('',*,*,#20270,.T.); +#21207=ORIENTED_EDGE('',*,*,#21192,.F.); +#21208=EDGE_LOOP('',(#21204,#21205,#21206,#21207)); +#21209=FACE_OUTER_BOUND('',#21208,.F.); +#21211=CARTESIAN_POINT('',(8.552659E1,7.0807801E1,4.311724784545E0)); +#21212=DIRECTION('',(1.E0,0.E0,0.E0)); +#21213=DIRECTION('',(0.E0,1.E0,0.E0)); +#21214=AXIS2_PLACEMENT_3D('',#21211,#21212,#21213); +#21215=PLANE('',#21214); +#21216=ORIENTED_EDGE('',*,*,#12270,.F.); +#21218=ORIENTED_EDGE('',*,*,#21217,.T.); +#21220=ORIENTED_EDGE('',*,*,#21219,.T.); +#21222=ORIENTED_EDGE('',*,*,#21221,.F.); +#21223=EDGE_LOOP('',(#21216,#21218,#21220,#21222)); +#21224=FACE_OUTER_BOUND('',#21223,.F.); +#21226=CARTESIAN_POINT('',(8.2853388E1,7.0807801E1,4.311724784545E0)); +#21227=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21228=DIRECTION('',(1.E0,0.E0,0.E0)); +#21229=AXIS2_PLACEMENT_3D('',#21226,#21227,#21228); +#21230=PLANE('',#21229); +#21231=ORIENTED_EDGE('',*,*,#12276,.F.); +#21233=ORIENTED_EDGE('',*,*,#21232,.T.); +#21235=ORIENTED_EDGE('',*,*,#21234,.T.); +#21236=ORIENTED_EDGE('',*,*,#21217,.F.); +#21237=EDGE_LOOP('',(#21231,#21233,#21235,#21236)); +#21238=FACE_OUTER_BOUND('',#21237,.F.); +#21240=CARTESIAN_POINT('',(8.2853388E1,7.1990999E1,4.311724784545E0)); +#21241=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21242=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21243=AXIS2_PLACEMENT_3D('',#21240,#21241,#21242); +#21244=PLANE('',#21243); +#21245=ORIENTED_EDGE('',*,*,#12274,.F.); +#21247=ORIENTED_EDGE('',*,*,#21246,.T.); +#21249=ORIENTED_EDGE('',*,*,#21248,.T.); +#21250=ORIENTED_EDGE('',*,*,#21232,.F.); +#21251=EDGE_LOOP('',(#21245,#21247,#21249,#21250)); +#21252=FACE_OUTER_BOUND('',#21251,.F.); +#21254=CARTESIAN_POINT('',(8.552659E1,7.1990999E1,4.311724784545E0)); +#21255=DIRECTION('',(0.E0,1.E0,0.E0)); +#21256=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21257=AXIS2_PLACEMENT_3D('',#21254,#21255,#21256); +#21258=PLANE('',#21257); +#21259=ORIENTED_EDGE('',*,*,#12272,.F.); +#21260=ORIENTED_EDGE('',*,*,#21221,.T.); +#21262=ORIENTED_EDGE('',*,*,#21261,.T.); +#21263=ORIENTED_EDGE('',*,*,#21246,.F.); +#21264=EDGE_LOOP('',(#21259,#21260,#21262,#21263)); +#21265=FACE_OUTER_BOUND('',#21264,.F.); +#21267=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#21268=DIRECTION('',(0.E0,0.E0,1.E0)); +#21269=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21270=AXIS2_PLACEMENT_3D('',#21267,#21268,#21269); +#21271=PLANE('',#21270); +#21272=ORIENTED_EDGE('',*,*,#21219,.F.); +#21273=ORIENTED_EDGE('',*,*,#21234,.F.); +#21274=ORIENTED_EDGE('',*,*,#21248,.F.); +#21275=ORIENTED_EDGE('',*,*,#21261,.F.); +#21276=EDGE_LOOP('',(#21272,#21273,#21274,#21275)); +#21277=FACE_OUTER_BOUND('',#21276,.F.); +#21279=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#21280=DIRECTION('',(0.E0,0.E0,1.E0)); +#21281=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21282=AXIS2_PLACEMENT_3D('',#21279,#21280,#21281); +#21283=PLANE('',#21282); +#21285=ORIENTED_EDGE('',*,*,#21284,.F.); +#21287=ORIENTED_EDGE('',*,*,#21286,.F.); +#21289=ORIENTED_EDGE('',*,*,#21288,.F.); +#21291=ORIENTED_EDGE('',*,*,#21290,.F.); +#21292=EDGE_LOOP('',(#21285,#21287,#21289,#21291)); +#21293=FACE_OUTER_BOUND('',#21292,.F.); +#21295=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#21296=DIRECTION('',(0.E0,0.E0,1.E0)); +#21297=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21298=AXIS2_PLACEMENT_3D('',#21295,#21296,#21297); +#21299=PLANE('',#21298); +#21301=ORIENTED_EDGE('',*,*,#21300,.F.); +#21303=ORIENTED_EDGE('',*,*,#21302,.F.); +#21305=ORIENTED_EDGE('',*,*,#21304,.F.); +#21307=ORIENTED_EDGE('',*,*,#21306,.F.); +#21308=EDGE_LOOP('',(#21301,#21303,#21305,#21307)); +#21309=FACE_OUTER_BOUND('',#21308,.F.); +#21311=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#21312=DIRECTION('',(0.E0,0.E0,1.E0)); +#21313=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21314=AXIS2_PLACEMENT_3D('',#21311,#21312,#21313); +#21315=PLANE('',#21314); +#21317=ORIENTED_EDGE('',*,*,#21316,.F.); +#21319=ORIENTED_EDGE('',*,*,#21318,.F.); +#21321=ORIENTED_EDGE('',*,*,#21320,.F.); +#21323=ORIENTED_EDGE('',*,*,#21322,.F.); +#21324=EDGE_LOOP('',(#21317,#21319,#21321,#21323)); +#21325=FACE_OUTER_BOUND('',#21324,.F.); +#21327=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#21328=DIRECTION('',(0.E0,0.E0,1.E0)); +#21329=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21330=AXIS2_PLACEMENT_3D('',#21327,#21328,#21329); +#21331=PLANE('',#21330); +#21333=ORIENTED_EDGE('',*,*,#21332,.F.); +#21335=ORIENTED_EDGE('',*,*,#21334,.F.); +#21337=ORIENTED_EDGE('',*,*,#21336,.F.); +#21339=ORIENTED_EDGE('',*,*,#21338,.F.); +#21340=EDGE_LOOP('',(#21333,#21335,#21337,#21339)); +#21341=FACE_OUTER_BOUND('',#21340,.F.); +#21343=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#21344=DIRECTION('',(0.E0,0.E0,1.E0)); +#21345=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21346=AXIS2_PLACEMENT_3D('',#21343,#21344,#21345); +#21347=PLANE('',#21346); +#21349=ORIENTED_EDGE('',*,*,#21348,.F.); +#21351=ORIENTED_EDGE('',*,*,#21350,.F.); +#21353=ORIENTED_EDGE('',*,*,#21352,.F.); +#21355=ORIENTED_EDGE('',*,*,#21354,.F.); +#21356=EDGE_LOOP('',(#21349,#21351,#21353,#21355)); +#21357=FACE_OUTER_BOUND('',#21356,.F.); +#21359=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#21360=DIRECTION('',(0.E0,0.E0,1.E0)); +#21361=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21362=AXIS2_PLACEMENT_3D('',#21359,#21360,#21361); +#21363=PLANE('',#21362); +#21365=ORIENTED_EDGE('',*,*,#21364,.F.); +#21367=ORIENTED_EDGE('',*,*,#21366,.F.); +#21369=ORIENTED_EDGE('',*,*,#21368,.F.); +#21371=ORIENTED_EDGE('',*,*,#21370,.F.); +#21372=EDGE_LOOP('',(#21365,#21367,#21369,#21371)); +#21373=FACE_OUTER_BOUND('',#21372,.F.); +#21375=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#21376=DIRECTION('',(0.E0,0.E0,1.E0)); +#21377=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21378=AXIS2_PLACEMENT_3D('',#21375,#21376,#21377); +#21379=PLANE('',#21378); +#21381=ORIENTED_EDGE('',*,*,#21380,.F.); +#21383=ORIENTED_EDGE('',*,*,#21382,.F.); +#21385=ORIENTED_EDGE('',*,*,#21384,.F.); +#21387=ORIENTED_EDGE('',*,*,#21386,.F.); +#21388=EDGE_LOOP('',(#21381,#21383,#21385,#21387)); +#21389=FACE_OUTER_BOUND('',#21388,.F.); +#21391=CARTESIAN_POINT('',(8.6697193E1,5.7591401E1,4.311724784545E0)); +#21392=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21393=DIRECTION('',(1.E0,0.E0,0.E0)); +#21394=AXIS2_PLACEMENT_3D('',#21391,#21392,#21393); +#21395=PLANE('',#21394); +#21396=ORIENTED_EDGE('',*,*,#12280,.F.); +#21398=ORIENTED_EDGE('',*,*,#21397,.T.); +#21399=ORIENTED_EDGE('',*,*,#21284,.T.); +#21401=ORIENTED_EDGE('',*,*,#21400,.F.); +#21402=EDGE_LOOP('',(#21396,#21398,#21399,#21401)); +#21403=FACE_OUTER_BOUND('',#21402,.F.); +#21405=CARTESIAN_POINT('',(8.6697193E1,6.0264604E1,4.311724784545E0)); +#21406=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21407=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21408=AXIS2_PLACEMENT_3D('',#21405,#21406,#21407); +#21409=PLANE('',#21408); +#21410=ORIENTED_EDGE('',*,*,#12286,.F.); +#21412=ORIENTED_EDGE('',*,*,#21411,.T.); +#21413=ORIENTED_EDGE('',*,*,#21286,.T.); +#21414=ORIENTED_EDGE('',*,*,#21397,.F.); +#21415=EDGE_LOOP('',(#21410,#21412,#21413,#21414)); +#21416=FACE_OUTER_BOUND('',#21415,.F.); +#21418=CARTESIAN_POINT('',(8.7880391E1,6.0264604E1,4.311724784545E0)); +#21419=DIRECTION('',(0.E0,1.E0,0.E0)); +#21420=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21421=AXIS2_PLACEMENT_3D('',#21418,#21419,#21420); +#21422=PLANE('',#21421); +#21423=ORIENTED_EDGE('',*,*,#12284,.F.); +#21425=ORIENTED_EDGE('',*,*,#21424,.T.); +#21426=ORIENTED_EDGE('',*,*,#21288,.T.); +#21427=ORIENTED_EDGE('',*,*,#21411,.F.); +#21428=EDGE_LOOP('',(#21423,#21425,#21426,#21427)); +#21429=FACE_OUTER_BOUND('',#21428,.F.); +#21431=CARTESIAN_POINT('',(8.7880391E1,5.7591401E1,4.311724784545E0)); +#21432=DIRECTION('',(1.E0,0.E0,0.E0)); +#21433=DIRECTION('',(0.E0,1.E0,0.E0)); +#21434=AXIS2_PLACEMENT_3D('',#21431,#21432,#21433); +#21435=PLANE('',#21434); +#21436=ORIENTED_EDGE('',*,*,#12282,.F.); +#21437=ORIENTED_EDGE('',*,*,#21400,.T.); +#21438=ORIENTED_EDGE('',*,*,#21290,.T.); +#21439=ORIENTED_EDGE('',*,*,#21424,.F.); +#21440=EDGE_LOOP('',(#21436,#21437,#21438,#21439)); +#21441=FACE_OUTER_BOUND('',#21440,.F.); +#21443=CARTESIAN_POINT('',(9.3417591E1,6.2112601E1,4.311724784545E0)); +#21444=DIRECTION('',(1.E0,0.E0,0.E0)); +#21445=DIRECTION('',(0.E0,1.E0,0.E0)); +#21446=AXIS2_PLACEMENT_3D('',#21443,#21444,#21445); +#21447=PLANE('',#21446); +#21448=ORIENTED_EDGE('',*,*,#12290,.F.); +#21450=ORIENTED_EDGE('',*,*,#21449,.T.); +#21451=ORIENTED_EDGE('',*,*,#21300,.T.); +#21453=ORIENTED_EDGE('',*,*,#21452,.F.); +#21454=EDGE_LOOP('',(#21448,#21450,#21451,#21453)); +#21455=FACE_OUTER_BOUND('',#21454,.F.); +#21457=CARTESIAN_POINT('',(9.2234393E1,6.2112601E1,4.311724784545E0)); +#21458=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21459=DIRECTION('',(1.E0,0.E0,0.E0)); +#21460=AXIS2_PLACEMENT_3D('',#21457,#21458,#21459); +#21461=PLANE('',#21460); +#21462=ORIENTED_EDGE('',*,*,#12296,.F.); +#21464=ORIENTED_EDGE('',*,*,#21463,.T.); +#21465=ORIENTED_EDGE('',*,*,#21302,.T.); +#21466=ORIENTED_EDGE('',*,*,#21449,.F.); +#21467=EDGE_LOOP('',(#21462,#21464,#21465,#21466)); +#21468=FACE_OUTER_BOUND('',#21467,.F.); +#21470=CARTESIAN_POINT('',(9.2234393E1,6.4785804E1,4.311724784545E0)); +#21471=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21472=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21473=AXIS2_PLACEMENT_3D('',#21470,#21471,#21472); +#21474=PLANE('',#21473); +#21475=ORIENTED_EDGE('',*,*,#12294,.F.); +#21477=ORIENTED_EDGE('',*,*,#21476,.T.); +#21478=ORIENTED_EDGE('',*,*,#21304,.T.); +#21479=ORIENTED_EDGE('',*,*,#21463,.F.); +#21480=EDGE_LOOP('',(#21475,#21477,#21478,#21479)); +#21481=FACE_OUTER_BOUND('',#21480,.F.); +#21483=CARTESIAN_POINT('',(9.3417591E1,6.4785804E1,4.311724784545E0)); +#21484=DIRECTION('',(0.E0,1.E0,0.E0)); +#21485=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21486=AXIS2_PLACEMENT_3D('',#21483,#21484,#21485); +#21487=PLANE('',#21486); +#21488=ORIENTED_EDGE('',*,*,#12292,.F.); +#21489=ORIENTED_EDGE('',*,*,#21452,.T.); +#21490=ORIENTED_EDGE('',*,*,#21306,.T.); +#21491=ORIENTED_EDGE('',*,*,#21476,.F.); +#21492=EDGE_LOOP('',(#21488,#21489,#21490,#21491)); +#21493=FACE_OUTER_BOUND('',#21492,.F.); +#21495=CARTESIAN_POINT('',(9.4992391E1,6.4785804E1,4.311724784545E0)); +#21496=DIRECTION('',(0.E0,1.E0,0.E0)); +#21497=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21498=AXIS2_PLACEMENT_3D('',#21495,#21496,#21497); +#21499=PLANE('',#21498); +#21500=ORIENTED_EDGE('',*,*,#12300,.F.); +#21502=ORIENTED_EDGE('',*,*,#21501,.T.); +#21503=ORIENTED_EDGE('',*,*,#21316,.T.); +#21505=ORIENTED_EDGE('',*,*,#21504,.F.); +#21506=EDGE_LOOP('',(#21500,#21502,#21503,#21505)); +#21507=FACE_OUTER_BOUND('',#21506,.F.); +#21509=CARTESIAN_POINT('',(9.4992391E1,6.2112601E1,4.311724784545E0)); +#21510=DIRECTION('',(1.E0,0.E0,0.E0)); +#21511=DIRECTION('',(0.E0,1.E0,0.E0)); +#21512=AXIS2_PLACEMENT_3D('',#21509,#21510,#21511); +#21513=PLANE('',#21512); +#21514=ORIENTED_EDGE('',*,*,#12306,.F.); +#21516=ORIENTED_EDGE('',*,*,#21515,.T.); +#21517=ORIENTED_EDGE('',*,*,#21318,.T.); +#21518=ORIENTED_EDGE('',*,*,#21501,.F.); +#21519=EDGE_LOOP('',(#21514,#21516,#21517,#21518)); +#21520=FACE_OUTER_BOUND('',#21519,.F.); +#21522=CARTESIAN_POINT('',(9.3809193E1,6.2112601E1,4.311724784545E0)); +#21523=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21524=DIRECTION('',(1.E0,0.E0,0.E0)); +#21525=AXIS2_PLACEMENT_3D('',#21522,#21523,#21524); +#21526=PLANE('',#21525); +#21527=ORIENTED_EDGE('',*,*,#12304,.F.); +#21529=ORIENTED_EDGE('',*,*,#21528,.T.); +#21530=ORIENTED_EDGE('',*,*,#21320,.T.); +#21531=ORIENTED_EDGE('',*,*,#21515,.F.); +#21532=EDGE_LOOP('',(#21527,#21529,#21530,#21531)); +#21533=FACE_OUTER_BOUND('',#21532,.F.); +#21535=CARTESIAN_POINT('',(9.3809193E1,6.4785804E1,4.311724784545E0)); +#21536=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21537=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21538=AXIS2_PLACEMENT_3D('',#21535,#21536,#21537); +#21539=PLANE('',#21538); +#21540=ORIENTED_EDGE('',*,*,#12302,.F.); +#21541=ORIENTED_EDGE('',*,*,#21504,.T.); +#21542=ORIENTED_EDGE('',*,*,#21322,.T.); +#21543=ORIENTED_EDGE('',*,*,#21528,.F.); +#21544=EDGE_LOOP('',(#21540,#21541,#21542,#21543)); +#21545=FACE_OUTER_BOUND('',#21544,.F.); +#21547=CARTESIAN_POINT('',(1.01604796E2,6.1627799E1,4.311724784545E0)); +#21548=DIRECTION('',(0.E0,1.E0,0.E0)); +#21549=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21550=AXIS2_PLACEMENT_3D('',#21547,#21548,#21549); +#21551=PLANE('',#21550); +#21552=ORIENTED_EDGE('',*,*,#12310,.F.); +#21554=ORIENTED_EDGE('',*,*,#21553,.T.); +#21555=ORIENTED_EDGE('',*,*,#21332,.T.); +#21557=ORIENTED_EDGE('',*,*,#21556,.F.); +#21558=EDGE_LOOP('',(#21552,#21554,#21555,#21557)); +#21559=FACE_OUTER_BOUND('',#21558,.F.); +#21561=CARTESIAN_POINT('',(1.01604796E2,6.0444601E1,4.311724784545E0)); +#21562=DIRECTION('',(1.E0,0.E0,0.E0)); +#21563=DIRECTION('',(0.E0,1.E0,0.E0)); +#21564=AXIS2_PLACEMENT_3D('',#21561,#21562,#21563); +#21565=PLANE('',#21564); +#21566=ORIENTED_EDGE('',*,*,#12316,.F.); +#21568=ORIENTED_EDGE('',*,*,#21567,.T.); +#21569=ORIENTED_EDGE('',*,*,#21334,.T.); +#21570=ORIENTED_EDGE('',*,*,#21553,.F.); +#21571=EDGE_LOOP('',(#21566,#21568,#21569,#21570)); +#21572=FACE_OUTER_BOUND('',#21571,.F.); +#21574=CARTESIAN_POINT('',(9.8931593E1,6.0444601E1,4.311724784545E0)); +#21575=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21576=DIRECTION('',(1.E0,0.E0,0.E0)); +#21577=AXIS2_PLACEMENT_3D('',#21574,#21575,#21576); +#21578=PLANE('',#21577); +#21579=ORIENTED_EDGE('',*,*,#12314,.F.); +#21581=ORIENTED_EDGE('',*,*,#21580,.T.); +#21582=ORIENTED_EDGE('',*,*,#21336,.T.); +#21583=ORIENTED_EDGE('',*,*,#21567,.F.); +#21584=EDGE_LOOP('',(#21579,#21581,#21582,#21583)); +#21585=FACE_OUTER_BOUND('',#21584,.F.); +#21587=CARTESIAN_POINT('',(9.8931593E1,6.1627799E1,4.311724784545E0)); +#21588=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21589=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21590=AXIS2_PLACEMENT_3D('',#21587,#21588,#21589); +#21591=PLANE('',#21590); +#21592=ORIENTED_EDGE('',*,*,#12312,.F.); +#21593=ORIENTED_EDGE('',*,*,#21556,.T.); +#21594=ORIENTED_EDGE('',*,*,#21338,.T.); +#21595=ORIENTED_EDGE('',*,*,#21580,.F.); +#21596=EDGE_LOOP('',(#21592,#21593,#21594,#21595)); +#21597=FACE_OUTER_BOUND('',#21596,.F.); +#21599=CARTESIAN_POINT('',(9.8150396E1,6.1272199E1,4.311724784545E0)); +#21600=DIRECTION('',(0.E0,1.E0,0.E0)); +#21601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21602=AXIS2_PLACEMENT_3D('',#21599,#21600,#21601); +#21603=PLANE('',#21602); +#21604=ORIENTED_EDGE('',*,*,#12320,.F.); +#21606=ORIENTED_EDGE('',*,*,#21605,.T.); +#21607=ORIENTED_EDGE('',*,*,#21348,.T.); +#21609=ORIENTED_EDGE('',*,*,#21608,.F.); +#21610=EDGE_LOOP('',(#21604,#21606,#21607,#21609)); +#21611=FACE_OUTER_BOUND('',#21610,.F.); +#21613=CARTESIAN_POINT('',(9.8150396E1,6.0089001E1,4.311724784545E0)); +#21614=DIRECTION('',(1.E0,0.E0,0.E0)); +#21615=DIRECTION('',(0.E0,1.E0,0.E0)); +#21616=AXIS2_PLACEMENT_3D('',#21613,#21614,#21615); +#21617=PLANE('',#21616); +#21618=ORIENTED_EDGE('',*,*,#12326,.F.); +#21620=ORIENTED_EDGE('',*,*,#21619,.T.); +#21621=ORIENTED_EDGE('',*,*,#21350,.T.); +#21622=ORIENTED_EDGE('',*,*,#21605,.F.); +#21623=EDGE_LOOP('',(#21618,#21620,#21621,#21622)); +#21624=FACE_OUTER_BOUND('',#21623,.F.); +#21626=CARTESIAN_POINT('',(9.5477193E1,6.0089001E1,4.311724784545E0)); +#21627=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21628=DIRECTION('',(1.E0,0.E0,0.E0)); +#21629=AXIS2_PLACEMENT_3D('',#21626,#21627,#21628); +#21630=PLANE('',#21629); +#21631=ORIENTED_EDGE('',*,*,#12324,.F.); +#21633=ORIENTED_EDGE('',*,*,#21632,.T.); +#21634=ORIENTED_EDGE('',*,*,#21352,.T.); +#21635=ORIENTED_EDGE('',*,*,#21619,.F.); +#21636=EDGE_LOOP('',(#21631,#21633,#21634,#21635)); +#21637=FACE_OUTER_BOUND('',#21636,.F.); +#21639=CARTESIAN_POINT('',(9.5477193E1,6.1272199E1,4.311724784545E0)); +#21640=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21641=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21642=AXIS2_PLACEMENT_3D('',#21639,#21640,#21641); +#21643=PLANE('',#21642); +#21644=ORIENTED_EDGE('',*,*,#12322,.F.); +#21645=ORIENTED_EDGE('',*,*,#21608,.T.); +#21646=ORIENTED_EDGE('',*,*,#21354,.T.); +#21647=ORIENTED_EDGE('',*,*,#21632,.F.); +#21648=EDGE_LOOP('',(#21644,#21645,#21646,#21647)); +#21649=FACE_OUTER_BOUND('',#21648,.F.); +#21651=CARTESIAN_POINT('',(9.5527993E1,5.9697399E1,4.311724784545E0)); +#21652=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21653=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21654=AXIS2_PLACEMENT_3D('',#21651,#21652,#21653); +#21655=PLANE('',#21654); +#21656=ORIENTED_EDGE('',*,*,#12330,.F.); +#21658=ORIENTED_EDGE('',*,*,#21657,.T.); +#21659=ORIENTED_EDGE('',*,*,#21364,.T.); +#21661=ORIENTED_EDGE('',*,*,#21660,.F.); +#21662=EDGE_LOOP('',(#21656,#21658,#21659,#21661)); +#21663=FACE_OUTER_BOUND('',#21662,.F.); +#21665=CARTESIAN_POINT('',(9.8201196E1,5.9697399E1,4.311724784545E0)); +#21666=DIRECTION('',(0.E0,1.E0,0.E0)); +#21667=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21668=AXIS2_PLACEMENT_3D('',#21665,#21666,#21667); +#21669=PLANE('',#21668); +#21670=ORIENTED_EDGE('',*,*,#12336,.F.); +#21672=ORIENTED_EDGE('',*,*,#21671,.T.); +#21673=ORIENTED_EDGE('',*,*,#21366,.T.); +#21674=ORIENTED_EDGE('',*,*,#21657,.F.); +#21675=EDGE_LOOP('',(#21670,#21672,#21673,#21674)); +#21676=FACE_OUTER_BOUND('',#21675,.F.); +#21678=CARTESIAN_POINT('',(9.8201196E1,5.8514201E1,4.311724784545E0)); +#21679=DIRECTION('',(1.E0,0.E0,0.E0)); +#21680=DIRECTION('',(0.E0,1.E0,0.E0)); +#21681=AXIS2_PLACEMENT_3D('',#21678,#21679,#21680); +#21682=PLANE('',#21681); +#21683=ORIENTED_EDGE('',*,*,#12334,.F.); +#21685=ORIENTED_EDGE('',*,*,#21684,.T.); +#21686=ORIENTED_EDGE('',*,*,#21368,.T.); +#21687=ORIENTED_EDGE('',*,*,#21671,.F.); +#21688=EDGE_LOOP('',(#21683,#21685,#21686,#21687)); +#21689=FACE_OUTER_BOUND('',#21688,.F.); +#21691=CARTESIAN_POINT('',(9.5527993E1,5.8514201E1,4.311724784545E0)); +#21692=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21693=DIRECTION('',(1.E0,0.E0,0.E0)); +#21694=AXIS2_PLACEMENT_3D('',#21691,#21692,#21693); +#21695=PLANE('',#21694); +#21696=ORIENTED_EDGE('',*,*,#12332,.F.); +#21697=ORIENTED_EDGE('',*,*,#21660,.T.); +#21698=ORIENTED_EDGE('',*,*,#21370,.T.); +#21699=ORIENTED_EDGE('',*,*,#21684,.F.); +#21700=EDGE_LOOP('',(#21696,#21697,#21698,#21699)); +#21701=FACE_OUTER_BOUND('',#21700,.F.); +#21703=CARTESIAN_POINT('',(9.2691999E1,1.01209404E2,4.311724784545E0)); +#21704=DIRECTION('',(0.E0,1.E0,0.E0)); +#21705=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21706=AXIS2_PLACEMENT_3D('',#21703,#21704,#21705); +#21707=PLANE('',#21706); +#21708=ORIENTED_EDGE('',*,*,#12340,.F.); +#21710=ORIENTED_EDGE('',*,*,#21709,.T.); +#21711=ORIENTED_EDGE('',*,*,#21380,.T.); +#21713=ORIENTED_EDGE('',*,*,#21712,.F.); +#21714=EDGE_LOOP('',(#21708,#21710,#21711,#21713)); +#21715=FACE_OUTER_BOUND('',#21714,.F.); +#21717=CARTESIAN_POINT('',(9.2691999E1,9.8536201E1,4.311724784545E0)); +#21718=DIRECTION('',(1.E0,0.E0,0.E0)); +#21719=DIRECTION('',(0.E0,1.E0,0.E0)); +#21720=AXIS2_PLACEMENT_3D('',#21717,#21718,#21719); +#21721=PLANE('',#21720); +#21722=ORIENTED_EDGE('',*,*,#12346,.F.); +#21724=ORIENTED_EDGE('',*,*,#21723,.T.); +#21725=ORIENTED_EDGE('',*,*,#21382,.T.); +#21726=ORIENTED_EDGE('',*,*,#21709,.F.); +#21727=EDGE_LOOP('',(#21722,#21724,#21725,#21726)); +#21728=FACE_OUTER_BOUND('',#21727,.F.); +#21730=CARTESIAN_POINT('',(9.1508801E1,9.8536201E1,4.311724784545E0)); +#21731=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21732=DIRECTION('',(1.E0,0.E0,0.E0)); +#21733=AXIS2_PLACEMENT_3D('',#21730,#21731,#21732); +#21734=PLANE('',#21733); +#21735=ORIENTED_EDGE('',*,*,#12344,.F.); +#21737=ORIENTED_EDGE('',*,*,#21736,.T.); +#21738=ORIENTED_EDGE('',*,*,#21384,.T.); +#21739=ORIENTED_EDGE('',*,*,#21723,.F.); +#21740=EDGE_LOOP('',(#21735,#21737,#21738,#21739)); +#21741=FACE_OUTER_BOUND('',#21740,.F.); +#21743=CARTESIAN_POINT('',(9.1508801E1,1.01209404E2,4.311724784545E0)); +#21744=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21745=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21746=AXIS2_PLACEMENT_3D('',#21743,#21744,#21745); +#21747=PLANE('',#21746); +#21748=ORIENTED_EDGE('',*,*,#12342,.F.); +#21749=ORIENTED_EDGE('',*,*,#21712,.T.); +#21750=ORIENTED_EDGE('',*,*,#21386,.T.); +#21751=ORIENTED_EDGE('',*,*,#21736,.F.); +#21752=EDGE_LOOP('',(#21748,#21749,#21750,#21751)); +#21753=FACE_OUTER_BOUND('',#21752,.F.); +#21755=CARTESIAN_POINT('',(9.11082E1,1.01495202E2,4.311724784545E0)); +#21756=DIRECTION('',(0.E0,1.E0,0.E0)); +#21757=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21758=AXIS2_PLACEMENT_3D('',#21755,#21756,#21757); +#21759=PLANE('',#21758); +#21760=ORIENTED_EDGE('',*,*,#12350,.F.); +#21762=ORIENTED_EDGE('',*,*,#21761,.T.); +#21764=ORIENTED_EDGE('',*,*,#21763,.T.); +#21766=ORIENTED_EDGE('',*,*,#21765,.F.); +#21767=EDGE_LOOP('',(#21760,#21762,#21764,#21766)); +#21768=FACE_OUTER_BOUND('',#21767,.F.); +#21770=CARTESIAN_POINT('',(9.11082E1,9.8352003E1,4.311724784545E0)); +#21771=DIRECTION('',(1.E0,0.E0,0.E0)); +#21772=DIRECTION('',(0.E0,1.E0,0.E0)); +#21773=AXIS2_PLACEMENT_3D('',#21770,#21771,#21772); +#21774=PLANE('',#21773); +#21775=ORIENTED_EDGE('',*,*,#12356,.F.); +#21777=ORIENTED_EDGE('',*,*,#21776,.T.); +#21779=ORIENTED_EDGE('',*,*,#21778,.T.); +#21780=ORIENTED_EDGE('',*,*,#21761,.F.); +#21781=EDGE_LOOP('',(#21775,#21777,#21779,#21780)); +#21782=FACE_OUTER_BOUND('',#21781,.F.); +#21784=CARTESIAN_POINT('',(8.9435E1,9.8352003E1,4.311724784545E0)); +#21785=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21786=DIRECTION('',(1.E0,0.E0,0.E0)); +#21787=AXIS2_PLACEMENT_3D('',#21784,#21785,#21786); +#21788=PLANE('',#21787); +#21789=ORIENTED_EDGE('',*,*,#12354,.F.); +#21791=ORIENTED_EDGE('',*,*,#21790,.T.); +#21793=ORIENTED_EDGE('',*,*,#21792,.T.); +#21794=ORIENTED_EDGE('',*,*,#21776,.F.); +#21795=EDGE_LOOP('',(#21789,#21791,#21793,#21794)); +#21796=FACE_OUTER_BOUND('',#21795,.F.); +#21798=CARTESIAN_POINT('',(8.9435E1,1.01495202E2,4.311724784545E0)); +#21799=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21800=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21801=AXIS2_PLACEMENT_3D('',#21798,#21799,#21800); +#21802=PLANE('',#21801); +#21803=ORIENTED_EDGE('',*,*,#12352,.F.); +#21804=ORIENTED_EDGE('',*,*,#21765,.T.); +#21806=ORIENTED_EDGE('',*,*,#21805,.T.); +#21807=ORIENTED_EDGE('',*,*,#21790,.F.); +#21808=EDGE_LOOP('',(#21803,#21804,#21806,#21807)); +#21809=FACE_OUTER_BOUND('',#21808,.F.); +#21811=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#21812=DIRECTION('',(0.E0,0.E0,1.E0)); +#21813=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21814=AXIS2_PLACEMENT_3D('',#21811,#21812,#21813); +#21815=PLANE('',#21814); +#21816=ORIENTED_EDGE('',*,*,#21763,.F.); +#21817=ORIENTED_EDGE('',*,*,#21778,.F.); +#21818=ORIENTED_EDGE('',*,*,#21792,.F.); +#21819=ORIENTED_EDGE('',*,*,#21805,.F.); +#21820=EDGE_LOOP('',(#21816,#21817,#21818,#21819)); +#21821=FACE_OUTER_BOUND('',#21820,.F.); +#21823=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#21824=DIRECTION('',(0.E0,0.E0,1.E0)); +#21825=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21826=AXIS2_PLACEMENT_3D('',#21823,#21824,#21825); +#21827=PLANE('',#21826); +#21829=ORIENTED_EDGE('',*,*,#21828,.F.); +#21831=ORIENTED_EDGE('',*,*,#21830,.F.); +#21833=ORIENTED_EDGE('',*,*,#21832,.F.); +#21835=ORIENTED_EDGE('',*,*,#21834,.F.); +#21836=EDGE_LOOP('',(#21829,#21831,#21833,#21835)); +#21837=FACE_OUTER_BOUND('',#21836,.F.); +#21839=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#21840=DIRECTION('',(0.E0,0.E0,1.E0)); +#21841=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21842=AXIS2_PLACEMENT_3D('',#21839,#21840,#21841); +#21843=PLANE('',#21842); +#21845=ORIENTED_EDGE('',*,*,#21844,.F.); +#21847=ORIENTED_EDGE('',*,*,#21846,.F.); +#21849=ORIENTED_EDGE('',*,*,#21848,.F.); +#21851=ORIENTED_EDGE('',*,*,#21850,.F.); +#21852=EDGE_LOOP('',(#21845,#21847,#21849,#21851)); +#21853=FACE_OUTER_BOUND('',#21852,.F.); +#21855=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#21856=DIRECTION('',(0.E0,0.E0,1.E0)); +#21857=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21858=AXIS2_PLACEMENT_3D('',#21855,#21856,#21857); +#21859=PLANE('',#21858); +#21861=ORIENTED_EDGE('',*,*,#21860,.F.); +#21863=ORIENTED_EDGE('',*,*,#21862,.F.); +#21865=ORIENTED_EDGE('',*,*,#21864,.F.); +#21867=ORIENTED_EDGE('',*,*,#21866,.F.); +#21868=EDGE_LOOP('',(#21861,#21863,#21865,#21867)); +#21869=FACE_OUTER_BOUND('',#21868,.F.); +#21871=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#21872=DIRECTION('',(0.E0,0.E0,1.E0)); +#21873=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21874=AXIS2_PLACEMENT_3D('',#21871,#21872,#21873); +#21875=PLANE('',#21874); +#21877=ORIENTED_EDGE('',*,*,#21876,.F.); +#21879=ORIENTED_EDGE('',*,*,#21878,.F.); +#21881=ORIENTED_EDGE('',*,*,#21880,.F.); +#21883=ORIENTED_EDGE('',*,*,#21882,.F.); +#21884=EDGE_LOOP('',(#21877,#21879,#21881,#21883)); +#21885=FACE_OUTER_BOUND('',#21884,.F.); +#21887=CARTESIAN_POINT('',(8.90508E1,1.01520602E2,4.311724784545E0)); +#21888=DIRECTION('',(0.E0,1.E0,0.E0)); +#21889=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21890=AXIS2_PLACEMENT_3D('',#21887,#21888,#21889); +#21891=PLANE('',#21890); +#21892=ORIENTED_EDGE('',*,*,#12360,.F.); +#21894=ORIENTED_EDGE('',*,*,#21893,.T.); +#21895=ORIENTED_EDGE('',*,*,#21828,.T.); +#21897=ORIENTED_EDGE('',*,*,#21896,.F.); +#21898=EDGE_LOOP('',(#21892,#21894,#21895,#21897)); +#21899=FACE_OUTER_BOUND('',#21898,.F.); +#21901=CARTESIAN_POINT('',(8.90508E1,9.8377403E1,4.311724784545E0)); +#21902=DIRECTION('',(1.E0,0.E0,0.E0)); +#21903=DIRECTION('',(0.E0,1.E0,0.E0)); +#21904=AXIS2_PLACEMENT_3D('',#21901,#21902,#21903); +#21905=PLANE('',#21904); +#21906=ORIENTED_EDGE('',*,*,#12366,.F.); +#21908=ORIENTED_EDGE('',*,*,#21907,.T.); +#21909=ORIENTED_EDGE('',*,*,#21830,.T.); +#21910=ORIENTED_EDGE('',*,*,#21893,.F.); +#21911=EDGE_LOOP('',(#21906,#21908,#21909,#21910)); +#21912=FACE_OUTER_BOUND('',#21911,.F.); +#21914=CARTESIAN_POINT('',(8.73776E1,9.8377403E1,4.311724784545E0)); +#21915=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21916=DIRECTION('',(1.E0,0.E0,0.E0)); +#21917=AXIS2_PLACEMENT_3D('',#21914,#21915,#21916); +#21918=PLANE('',#21917); +#21919=ORIENTED_EDGE('',*,*,#12364,.F.); +#21921=ORIENTED_EDGE('',*,*,#21920,.T.); +#21922=ORIENTED_EDGE('',*,*,#21832,.T.); +#21923=ORIENTED_EDGE('',*,*,#21907,.F.); +#21924=EDGE_LOOP('',(#21919,#21921,#21922,#21923)); +#21925=FACE_OUTER_BOUND('',#21924,.F.); +#21927=CARTESIAN_POINT('',(8.73776E1,1.01520602E2,4.311724784545E0)); +#21928=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21929=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21930=AXIS2_PLACEMENT_3D('',#21927,#21928,#21929); +#21931=PLANE('',#21930); +#21932=ORIENTED_EDGE('',*,*,#12362,.F.); +#21933=ORIENTED_EDGE('',*,*,#21896,.T.); +#21934=ORIENTED_EDGE('',*,*,#21834,.T.); +#21935=ORIENTED_EDGE('',*,*,#21920,.F.); +#21936=EDGE_LOOP('',(#21932,#21933,#21934,#21935)); +#21937=FACE_OUTER_BOUND('',#21936,.F.); +#21939=CARTESIAN_POINT('',(8.5634589E1,6.8734E1,4.311724784545E0)); +#21940=DIRECTION('',(1.E0,0.E0,0.E0)); +#21941=DIRECTION('',(0.E0,1.E0,0.E0)); +#21942=AXIS2_PLACEMENT_3D('',#21939,#21940,#21941); +#21943=PLANE('',#21942); +#21944=ORIENTED_EDGE('',*,*,#12370,.F.); +#21946=ORIENTED_EDGE('',*,*,#21945,.T.); +#21947=ORIENTED_EDGE('',*,*,#21844,.T.); +#21949=ORIENTED_EDGE('',*,*,#21948,.F.); +#21950=EDGE_LOOP('',(#21944,#21946,#21947,#21949)); +#21951=FACE_OUTER_BOUND('',#21950,.F.); +#21953=CARTESIAN_POINT('',(8.2491389E1,6.8734E1,4.311724784545E0)); +#21954=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21955=DIRECTION('',(1.E0,0.E0,0.E0)); +#21956=AXIS2_PLACEMENT_3D('',#21953,#21954,#21955); +#21957=PLANE('',#21956); +#21958=ORIENTED_EDGE('',*,*,#12376,.F.); +#21960=ORIENTED_EDGE('',*,*,#21959,.T.); +#21961=ORIENTED_EDGE('',*,*,#21846,.T.); +#21962=ORIENTED_EDGE('',*,*,#21945,.F.); +#21963=EDGE_LOOP('',(#21958,#21960,#21961,#21962)); +#21964=FACE_OUTER_BOUND('',#21963,.F.); +#21966=CARTESIAN_POINT('',(8.2491389E1,7.04072E1,4.311724784545E0)); +#21967=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21968=DIRECTION('',(0.E0,-1.E0,0.E0)); +#21969=AXIS2_PLACEMENT_3D('',#21966,#21967,#21968); +#21970=PLANE('',#21969); +#21971=ORIENTED_EDGE('',*,*,#12374,.F.); +#21973=ORIENTED_EDGE('',*,*,#21972,.T.); +#21974=ORIENTED_EDGE('',*,*,#21848,.T.); +#21975=ORIENTED_EDGE('',*,*,#21959,.F.); +#21976=EDGE_LOOP('',(#21971,#21973,#21974,#21975)); +#21977=FACE_OUTER_BOUND('',#21976,.F.); +#21979=CARTESIAN_POINT('',(8.5634589E1,7.04072E1,4.311724784545E0)); +#21980=DIRECTION('',(0.E0,1.E0,0.E0)); +#21981=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21982=AXIS2_PLACEMENT_3D('',#21979,#21980,#21981); +#21983=PLANE('',#21982); +#21984=ORIENTED_EDGE('',*,*,#12372,.F.); +#21985=ORIENTED_EDGE('',*,*,#21948,.T.); +#21986=ORIENTED_EDGE('',*,*,#21850,.T.); +#21987=ORIENTED_EDGE('',*,*,#21972,.F.); +#21988=EDGE_LOOP('',(#21984,#21985,#21986,#21987)); +#21989=FACE_OUTER_BOUND('',#21988,.F.); +#21991=CARTESIAN_POINT('',(8.6296591E1,6.0398002E1,4.311724784545E0)); +#21992=DIRECTION('',(0.E0,1.E0,0.E0)); +#21993=DIRECTION('',(-1.E0,0.E0,0.E0)); +#21994=AXIS2_PLACEMENT_3D('',#21991,#21992,#21993); +#21995=PLANE('',#21994); +#21996=ORIENTED_EDGE('',*,*,#12380,.F.); +#21998=ORIENTED_EDGE('',*,*,#21997,.T.); +#21999=ORIENTED_EDGE('',*,*,#21860,.T.); +#22001=ORIENTED_EDGE('',*,*,#22000,.F.); +#22002=EDGE_LOOP('',(#21996,#21998,#21999,#22001)); +#22003=FACE_OUTER_BOUND('',#22002,.F.); +#22005=CARTESIAN_POINT('',(8.6296591E1,5.7254803E1,4.311724784545E0)); +#22006=DIRECTION('',(1.E0,0.E0,0.E0)); +#22007=DIRECTION('',(0.E0,1.E0,0.E0)); +#22008=AXIS2_PLACEMENT_3D('',#22005,#22006,#22007); +#22009=PLANE('',#22008); +#22010=ORIENTED_EDGE('',*,*,#12386,.F.); +#22012=ORIENTED_EDGE('',*,*,#22011,.T.); +#22013=ORIENTED_EDGE('',*,*,#21862,.T.); +#22014=ORIENTED_EDGE('',*,*,#21997,.F.); +#22015=EDGE_LOOP('',(#22010,#22012,#22013,#22014)); +#22016=FACE_OUTER_BOUND('',#22015,.F.); +#22018=CARTESIAN_POINT('',(8.4623392E1,5.7254803E1,4.311724784545E0)); +#22019=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22020=DIRECTION('',(1.E0,0.E0,0.E0)); +#22021=AXIS2_PLACEMENT_3D('',#22018,#22019,#22020); +#22022=PLANE('',#22021); +#22023=ORIENTED_EDGE('',*,*,#12384,.F.); +#22025=ORIENTED_EDGE('',*,*,#22024,.T.); +#22026=ORIENTED_EDGE('',*,*,#21864,.T.); +#22027=ORIENTED_EDGE('',*,*,#22011,.F.); +#22028=EDGE_LOOP('',(#22023,#22025,#22026,#22027)); +#22029=FACE_OUTER_BOUND('',#22028,.F.); +#22031=CARTESIAN_POINT('',(8.4623392E1,6.0398002E1,4.311724784545E0)); +#22032=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22033=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22034=AXIS2_PLACEMENT_3D('',#22031,#22032,#22033); +#22035=PLANE('',#22034); +#22036=ORIENTED_EDGE('',*,*,#12382,.F.); +#22037=ORIENTED_EDGE('',*,*,#22000,.T.); +#22038=ORIENTED_EDGE('',*,*,#21866,.T.); +#22039=ORIENTED_EDGE('',*,*,#22024,.F.); +#22040=EDGE_LOOP('',(#22036,#22037,#22038,#22039)); +#22041=FACE_OUTER_BOUND('',#22040,.F.); +#22043=CARTESIAN_POINT('',(1.01738194E2,6.0044E1,4.311724784545E0)); +#22044=DIRECTION('',(0.E0,1.E0,0.E0)); +#22045=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22046=AXIS2_PLACEMENT_3D('',#22043,#22044,#22045); +#22047=PLANE('',#22046); +#22048=ORIENTED_EDGE('',*,*,#12390,.F.); +#22050=ORIENTED_EDGE('',*,*,#22049,.T.); +#22051=ORIENTED_EDGE('',*,*,#21876,.T.); +#22053=ORIENTED_EDGE('',*,*,#22052,.F.); +#22054=EDGE_LOOP('',(#22048,#22050,#22051,#22053)); +#22055=FACE_OUTER_BOUND('',#22054,.F.); +#22057=CARTESIAN_POINT('',(1.01738194E2,5.83708E1,4.311724784545E0)); +#22058=DIRECTION('',(1.E0,0.E0,0.E0)); +#22059=DIRECTION('',(0.E0,1.E0,0.E0)); +#22060=AXIS2_PLACEMENT_3D('',#22057,#22058,#22059); +#22061=PLANE('',#22060); +#22062=ORIENTED_EDGE('',*,*,#12396,.F.); +#22064=ORIENTED_EDGE('',*,*,#22063,.T.); +#22065=ORIENTED_EDGE('',*,*,#21878,.T.); +#22066=ORIENTED_EDGE('',*,*,#22049,.F.); +#22067=EDGE_LOOP('',(#22062,#22064,#22065,#22066)); +#22068=FACE_OUTER_BOUND('',#22067,.F.); +#22070=CARTESIAN_POINT('',(9.8594995E1,5.83708E1,4.311724784545E0)); +#22071=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22072=DIRECTION('',(1.E0,0.E0,0.E0)); +#22073=AXIS2_PLACEMENT_3D('',#22070,#22071,#22072); +#22074=PLANE('',#22073); +#22075=ORIENTED_EDGE('',*,*,#12394,.F.); +#22077=ORIENTED_EDGE('',*,*,#22076,.T.); +#22078=ORIENTED_EDGE('',*,*,#21880,.T.); +#22079=ORIENTED_EDGE('',*,*,#22063,.F.); +#22080=EDGE_LOOP('',(#22075,#22077,#22078,#22079)); +#22081=FACE_OUTER_BOUND('',#22080,.F.); +#22083=CARTESIAN_POINT('',(9.8594995E1,6.0044E1,4.311724784545E0)); +#22084=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22085=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22086=AXIS2_PLACEMENT_3D('',#22083,#22084,#22085); +#22087=PLANE('',#22086); +#22088=ORIENTED_EDGE('',*,*,#12392,.F.); +#22089=ORIENTED_EDGE('',*,*,#22052,.T.); +#22090=ORIENTED_EDGE('',*,*,#21882,.T.); +#22091=ORIENTED_EDGE('',*,*,#22076,.F.); +#22092=EDGE_LOOP('',(#22088,#22089,#22090,#22091)); +#22093=FACE_OUTER_BOUND('',#22092,.F.); +#22095=CARTESIAN_POINT('',(8.5559191E1,6.8336996E1,4.311724784545E0)); +#22096=DIRECTION('',(0.E0,1.E0,0.E0)); +#22097=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22098=AXIS2_PLACEMENT_3D('',#22095,#22096,#22097); +#22099=PLANE('',#22098); +#22100=ORIENTED_EDGE('',*,*,#12400,.F.); +#22102=ORIENTED_EDGE('',*,*,#22101,.T.); +#22104=ORIENTED_EDGE('',*,*,#22103,.T.); +#22106=ORIENTED_EDGE('',*,*,#22105,.F.); +#22107=EDGE_LOOP('',(#22100,#22102,#22104,#22106)); +#22108=FACE_OUTER_BOUND('',#22107,.F.); +#22110=CARTESIAN_POINT('',(8.5559191E1,6.6333799E1,4.311724784545E0)); +#22111=DIRECTION('',(1.E0,0.E0,0.E0)); +#22112=DIRECTION('',(0.E0,1.E0,0.E0)); +#22113=AXIS2_PLACEMENT_3D('',#22110,#22111,#22112); +#22114=PLANE('',#22113); +#22115=ORIENTED_EDGE('',*,*,#12406,.F.); +#22117=ORIENTED_EDGE('',*,*,#22116,.T.); +#22119=ORIENTED_EDGE('',*,*,#22118,.T.); +#22120=ORIENTED_EDGE('',*,*,#22101,.F.); +#22121=EDGE_LOOP('',(#22115,#22117,#22119,#22120)); +#22122=FACE_OUTER_BOUND('',#22121,.F.); +#22124=CARTESIAN_POINT('',(8.1245992E1,6.6333799E1,4.311724784545E0)); +#22125=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22126=DIRECTION('',(1.E0,0.E0,0.E0)); +#22127=AXIS2_PLACEMENT_3D('',#22124,#22125,#22126); +#22128=PLANE('',#22127); +#22129=ORIENTED_EDGE('',*,*,#12404,.F.); +#22131=ORIENTED_EDGE('',*,*,#22130,.T.); +#22133=ORIENTED_EDGE('',*,*,#22132,.T.); +#22134=ORIENTED_EDGE('',*,*,#22116,.F.); +#22135=EDGE_LOOP('',(#22129,#22131,#22133,#22134)); +#22136=FACE_OUTER_BOUND('',#22135,.F.); +#22138=CARTESIAN_POINT('',(8.1245992E1,6.8336996E1,4.311724784545E0)); +#22139=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22140=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22141=AXIS2_PLACEMENT_3D('',#22138,#22139,#22140); +#22142=PLANE('',#22141); +#22143=ORIENTED_EDGE('',*,*,#12402,.F.); +#22144=ORIENTED_EDGE('',*,*,#22105,.T.); +#22146=ORIENTED_EDGE('',*,*,#22145,.T.); +#22147=ORIENTED_EDGE('',*,*,#22130,.F.); +#22148=EDGE_LOOP('',(#22143,#22144,#22146,#22147)); +#22149=FACE_OUTER_BOUND('',#22148,.F.); +#22151=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); +#22152=DIRECTION('',(0.E0,0.E0,1.E0)); +#22153=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22154=AXIS2_PLACEMENT_3D('',#22151,#22152,#22153); +#22155=PLANE('',#22154); +#22156=ORIENTED_EDGE('',*,*,#22103,.F.); +#22157=ORIENTED_EDGE('',*,*,#22118,.F.); +#22158=ORIENTED_EDGE('',*,*,#22132,.F.); +#22159=ORIENTED_EDGE('',*,*,#22145,.F.); +#22160=EDGE_LOOP('',(#22156,#22157,#22158,#22159)); +#22161=FACE_OUTER_BOUND('',#22160,.F.); +#22163=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); +#22164=DIRECTION('',(0.E0,0.E0,1.E0)); +#22165=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22166=AXIS2_PLACEMENT_3D('',#22163,#22164,#22165); +#22167=PLANE('',#22166); +#22169=ORIENTED_EDGE('',*,*,#22168,.F.); +#22171=ORIENTED_EDGE('',*,*,#22170,.F.); +#22173=ORIENTED_EDGE('',*,*,#22172,.F.); +#22175=ORIENTED_EDGE('',*,*,#22174,.F.); +#22176=EDGE_LOOP('',(#22169,#22171,#22173,#22175)); +#22177=FACE_OUTER_BOUND('',#22176,.F.); +#22179=CARTESIAN_POINT('',(8.2197791E1,6.05258E1,4.311724784545E0)); +#22180=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22181=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22182=AXIS2_PLACEMENT_3D('',#22179,#22180,#22181); +#22183=PLANE('',#22182); +#22184=ORIENTED_EDGE('',*,*,#12410,.F.); +#22186=ORIENTED_EDGE('',*,*,#22185,.T.); +#22187=ORIENTED_EDGE('',*,*,#22168,.T.); +#22189=ORIENTED_EDGE('',*,*,#22188,.F.); +#22190=EDGE_LOOP('',(#22184,#22186,#22187,#22189)); +#22191=FACE_OUTER_BOUND('',#22190,.F.); +#22193=CARTESIAN_POINT('',(8.4200987E1,6.05258E1,4.311724784545E0)); +#22194=DIRECTION('',(0.E0,1.E0,0.E0)); +#22195=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22196=AXIS2_PLACEMENT_3D('',#22193,#22194,#22195); +#22197=PLANE('',#22196); +#22198=ORIENTED_EDGE('',*,*,#12416,.F.); +#22200=ORIENTED_EDGE('',*,*,#22199,.T.); +#22201=ORIENTED_EDGE('',*,*,#22170,.T.); +#22202=ORIENTED_EDGE('',*,*,#22185,.F.); +#22203=EDGE_LOOP('',(#22198,#22200,#22201,#22202)); +#22204=FACE_OUTER_BOUND('',#22203,.F.); +#22206=CARTESIAN_POINT('',(8.4200987E1,5.62126E1,4.311724784545E0)); +#22207=DIRECTION('',(1.E0,0.E0,0.E0)); +#22208=DIRECTION('',(0.E0,1.E0,0.E0)); +#22209=AXIS2_PLACEMENT_3D('',#22206,#22207,#22208); +#22210=PLANE('',#22209); +#22211=ORIENTED_EDGE('',*,*,#12414,.F.); +#22213=ORIENTED_EDGE('',*,*,#22212,.T.); +#22214=ORIENTED_EDGE('',*,*,#22172,.T.); +#22215=ORIENTED_EDGE('',*,*,#22199,.F.); +#22216=EDGE_LOOP('',(#22211,#22213,#22214,#22215)); +#22217=FACE_OUTER_BOUND('',#22216,.F.); +#22219=CARTESIAN_POINT('',(8.2197791E1,5.62126E1,4.311724784545E0)); +#22220=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22221=DIRECTION('',(1.E0,0.E0,0.E0)); +#22222=AXIS2_PLACEMENT_3D('',#22219,#22220,#22221); +#22223=PLANE('',#22222); +#22224=ORIENTED_EDGE('',*,*,#12412,.F.); +#22225=ORIENTED_EDGE('',*,*,#22188,.T.); +#22226=ORIENTED_EDGE('',*,*,#22174,.T.); +#22227=ORIENTED_EDGE('',*,*,#22212,.F.); +#22228=EDGE_LOOP('',(#22224,#22225,#22226,#22227)); +#22229=FACE_OUTER_BOUND('',#22228,.F.); +#22231=CARTESIAN_POINT('',(1.0294379E2,6.9650399E1,4.311724784545E0)); +#22232=DIRECTION('',(0.E0,1.E0,0.E0)); +#22233=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22234=AXIS2_PLACEMENT_3D('',#22231,#22232,#22233); +#22235=PLANE('',#22234); +#22236=ORIENTED_EDGE('',*,*,#12420,.F.); +#22238=ORIENTED_EDGE('',*,*,#22237,.T.); +#22240=ORIENTED_EDGE('',*,*,#22239,.T.); +#22242=ORIENTED_EDGE('',*,*,#22241,.F.); +#22243=EDGE_LOOP('',(#22236,#22238,#22240,#22242)); +#22244=FACE_OUTER_BOUND('',#22243,.F.); +#22246=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.311724784545E0)); +#22247=DIRECTION('',(1.E0,0.E0,0.E0)); +#22248=DIRECTION('',(0.E0,1.E0,0.E0)); +#22249=AXIS2_PLACEMENT_3D('',#22246,#22247,#22248); +#22250=PLANE('',#22249); +#22251=ORIENTED_EDGE('',*,*,#12434,.F.); +#22253=ORIENTED_EDGE('',*,*,#22252,.T.); +#22255=ORIENTED_EDGE('',*,*,#22254,.T.); +#22257=ORIENTED_EDGE('',*,*,#22256,.F.); +#22258=EDGE_LOOP('',(#22251,#22253,#22255,#22257)); +#22259=FACE_OUTER_BOUND('',#22258,.F.); +#22261=CARTESIAN_POINT('',(1.0294379E2,6.6087201E1,4.311724784545E0)); +#22262=DIRECTION('',(1.E0,0.E0,0.E0)); +#22263=DIRECTION('',(0.E0,1.E0,0.E0)); +#22264=AXIS2_PLACEMENT_3D('',#22261,#22262,#22263); +#22265=PLANE('',#22264); +#22266=ORIENTED_EDGE('',*,*,#12442,.F.); +#22268=ORIENTED_EDGE('',*,*,#22267,.T.); +#22270=ORIENTED_EDGE('',*,*,#22269,.T.); +#22271=ORIENTED_EDGE('',*,*,#22237,.F.); +#22272=EDGE_LOOP('',(#22266,#22268,#22270,#22271)); +#22273=FACE_OUTER_BOUND('',#22272,.F.); +#22275=CARTESIAN_POINT('',(1.01910594E2,6.6087201E1,4.311724784545E0)); +#22276=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22277=DIRECTION('',(1.E0,0.E0,0.E0)); +#22278=AXIS2_PLACEMENT_3D('',#22275,#22276,#22277); +#22279=PLANE('',#22278); +#22280=ORIENTED_EDGE('',*,*,#12432,.F.); +#22282=ORIENTED_EDGE('',*,*,#22281,.T.); +#22284=ORIENTED_EDGE('',*,*,#22283,.T.); +#22285=ORIENTED_EDGE('',*,*,#22252,.F.); +#22286=EDGE_LOOP('',(#22280,#22282,#22284,#22285)); +#22287=FACE_OUTER_BOUND('',#22286,.F.); +#22289=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.311724784545E0)); +#22290=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22291=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22292=AXIS2_PLACEMENT_3D('',#22289,#22290,#22291); +#22293=PLANE('',#22292); +#22294=ORIENTED_EDGE('',*,*,#12422,.F.); +#22295=ORIENTED_EDGE('',*,*,#22241,.T.); +#22297=ORIENTED_EDGE('',*,*,#22296,.T.); +#22299=ORIENTED_EDGE('',*,*,#22298,.F.); +#22300=EDGE_LOOP('',(#22294,#22295,#22297,#22299)); +#22301=FACE_OUTER_BOUND('',#22300,.F.); +#22303=CARTESIAN_POINT('',(1.01910594E2,6.9650399E1,4.311724784545E0)); +#22304=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22305=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22306=AXIS2_PLACEMENT_3D('',#22303,#22304,#22305); +#22307=PLANE('',#22306); +#22308=ORIENTED_EDGE('',*,*,#12430,.F.); +#22310=ORIENTED_EDGE('',*,*,#22309,.T.); +#22312=ORIENTED_EDGE('',*,*,#22311,.T.); +#22313=ORIENTED_EDGE('',*,*,#22281,.F.); +#22314=EDGE_LOOP('',(#22308,#22310,#22312,#22313)); +#22315=FACE_OUTER_BOUND('',#22314,.F.); +#22317=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22318=DIRECTION('',(0.E0,0.E0,1.E0)); +#22319=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22320=AXIS2_PLACEMENT_3D('',#22317,#22318,#22319); +#22321=PLANE('',#22320); +#22323=ORIENTED_EDGE('',*,*,#22322,.F.); +#22324=ORIENTED_EDGE('',*,*,#22296,.F.); +#22325=ORIENTED_EDGE('',*,*,#22239,.F.); +#22326=ORIENTED_EDGE('',*,*,#22269,.F.); +#22327=EDGE_LOOP('',(#22323,#22324,#22325,#22326)); +#22328=FACE_OUTER_BOUND('',#22327,.F.); +#22330=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22331=DIRECTION('',(0.E0,0.E0,1.E0)); +#22332=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22333=AXIS2_PLACEMENT_3D('',#22330,#22331,#22332); +#22334=PLANE('',#22333); +#22336=ORIENTED_EDGE('',*,*,#22335,.T.); +#22337=ORIENTED_EDGE('',*,*,#22254,.F.); +#22338=ORIENTED_EDGE('',*,*,#22283,.F.); +#22339=ORIENTED_EDGE('',*,*,#22311,.F.); +#22340=EDGE_LOOP('',(#22336,#22337,#22338,#22339)); +#22341=FACE_OUTER_BOUND('',#22340,.F.); +#22343=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22344=DIRECTION('',(0.E0,0.E0,1.E0)); +#22345=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22346=AXIS2_PLACEMENT_3D('',#22343,#22344,#22345); +#22347=PLANE('',#22346); +#22349=ORIENTED_EDGE('',*,*,#22348,.T.); +#22351=ORIENTED_EDGE('',*,*,#22350,.F.); +#22353=ORIENTED_EDGE('',*,*,#22352,.F.); +#22355=ORIENTED_EDGE('',*,*,#22354,.F.); +#22356=EDGE_LOOP('',(#22349,#22351,#22353,#22355)); +#22357=FACE_OUTER_BOUND('',#22356,.F.); +#22359=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22360=DIRECTION('',(0.E0,0.E0,1.E0)); +#22361=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22362=AXIS2_PLACEMENT_3D('',#22359,#22360,#22361); +#22363=PLANE('',#22362); +#22365=ORIENTED_EDGE('',*,*,#22364,.F.); +#22367=ORIENTED_EDGE('',*,*,#22366,.F.); +#22369=ORIENTED_EDGE('',*,*,#22368,.F.); +#22371=ORIENTED_EDGE('',*,*,#22370,.F.); +#22372=EDGE_LOOP('',(#22365,#22367,#22369,#22371)); +#22373=FACE_OUTER_BOUND('',#22372,.F.); +#22375=CARTESIAN_POINT('',(1.03052189E2,6.8718801E1,4.311724784545E0)); +#22376=DIRECTION('',(0.E0,1.E0,0.E0)); +#22377=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22378=AXIS2_PLACEMENT_3D('',#22375,#22376,#22377); +#22379=PLANE('',#22378); +#22380=ORIENTED_EDGE('',*,*,#22322,.T.); +#22381=ORIENTED_EDGE('',*,*,#22267,.F.); +#22382=ORIENTED_EDGE('',*,*,#12440,.F.); +#22384=ORIENTED_EDGE('',*,*,#22383,.T.); +#22386=ORIENTED_EDGE('',*,*,#22385,.T.); +#22388=ORIENTED_EDGE('',*,*,#22387,.F.); +#22389=ORIENTED_EDGE('',*,*,#12424,.F.); +#22390=ORIENTED_EDGE('',*,*,#22298,.T.); +#22391=EDGE_LOOP('',(#22380,#22381,#22382,#22384,#22386,#22388,#22389,#22390)); +#22392=FACE_OUTER_BOUND('',#22391,.F.); +#22394=CARTESIAN_POINT('',(1.03052189E2,6.7018799E1,4.311724784545E0)); +#22395=DIRECTION('',(1.E0,0.E0,0.E0)); +#22396=DIRECTION('',(0.E0,1.E0,0.E0)); +#22397=AXIS2_PLACEMENT_3D('',#22394,#22395,#22396); +#22398=PLANE('',#22397); +#22399=ORIENTED_EDGE('',*,*,#12438,.F.); +#22401=ORIENTED_EDGE('',*,*,#22400,.T.); +#22403=ORIENTED_EDGE('',*,*,#22402,.T.); +#22404=ORIENTED_EDGE('',*,*,#22383,.F.); +#22405=EDGE_LOOP('',(#22399,#22401,#22403,#22404)); +#22406=FACE_OUTER_BOUND('',#22405,.F.); +#22408=CARTESIAN_POINT('',(1.01802194E2,6.7018799E1,4.311724784545E0)); +#22409=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22410=DIRECTION('',(1.E0,0.E0,0.E0)); +#22411=AXIS2_PLACEMENT_3D('',#22408,#22409,#22410); +#22412=PLANE('',#22411); +#22413=ORIENTED_EDGE('',*,*,#22335,.F.); +#22414=ORIENTED_EDGE('',*,*,#22309,.F.); +#22415=ORIENTED_EDGE('',*,*,#12428,.F.); +#22417=ORIENTED_EDGE('',*,*,#22416,.T.); +#22419=ORIENTED_EDGE('',*,*,#22418,.T.); +#22420=ORIENTED_EDGE('',*,*,#22400,.F.); +#22421=ORIENTED_EDGE('',*,*,#12436,.F.); +#22422=ORIENTED_EDGE('',*,*,#22256,.T.); +#22423=EDGE_LOOP('',(#22413,#22414,#22415,#22417,#22419,#22420,#22421,#22422)); +#22424=FACE_OUTER_BOUND('',#22423,.F.); +#22426=CARTESIAN_POINT('',(1.01802194E2,6.8718801E1,4.311724784545E0)); +#22427=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22428=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22429=AXIS2_PLACEMENT_3D('',#22426,#22427,#22428); +#22430=PLANE('',#22429); +#22431=ORIENTED_EDGE('',*,*,#12426,.F.); +#22432=ORIENTED_EDGE('',*,*,#22387,.T.); +#22434=ORIENTED_EDGE('',*,*,#22433,.T.); +#22435=ORIENTED_EDGE('',*,*,#22416,.F.); +#22436=EDGE_LOOP('',(#22431,#22432,#22434,#22435)); +#22437=FACE_OUTER_BOUND('',#22436,.F.); +#22439=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#22440=DIRECTION('',(0.E0,0.E0,1.E0)); +#22441=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22442=AXIS2_PLACEMENT_3D('',#22439,#22440,#22441); +#22443=PLANE('',#22442); +#22444=ORIENTED_EDGE('',*,*,#22385,.F.); +#22445=ORIENTED_EDGE('',*,*,#22402,.F.); +#22446=ORIENTED_EDGE('',*,*,#22418,.F.); +#22447=ORIENTED_EDGE('',*,*,#22433,.F.); +#22448=EDGE_LOOP('',(#22444,#22445,#22446,#22447)); +#22449=FACE_OUTER_BOUND('',#22448,.F.); +#22451=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#22452=DIRECTION('',(0.E0,0.E0,1.E0)); +#22453=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22454=AXIS2_PLACEMENT_3D('',#22451,#22452,#22453); +#22455=PLANE('',#22454); +#22457=ORIENTED_EDGE('',*,*,#22456,.F.); +#22459=ORIENTED_EDGE('',*,*,#22458,.F.); +#22461=ORIENTED_EDGE('',*,*,#22460,.F.); +#22463=ORIENTED_EDGE('',*,*,#22462,.F.); +#22464=EDGE_LOOP('',(#22457,#22459,#22461,#22463)); +#22465=FACE_OUTER_BOUND('',#22464,.F.); +#22467=CARTESIAN_POINT('',(1.01477389E2,6.8718801E1,4.311724784545E0)); +#22468=DIRECTION('',(0.E0,1.E0,0.E0)); +#22469=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22470=AXIS2_PLACEMENT_3D('',#22467,#22468,#22469); +#22471=PLANE('',#22470); +#22472=ORIENTED_EDGE('',*,*,#22348,.F.); +#22474=ORIENTED_EDGE('',*,*,#22473,.F.); +#22475=ORIENTED_EDGE('',*,*,#12452,.F.); +#22477=ORIENTED_EDGE('',*,*,#22476,.T.); +#22478=ORIENTED_EDGE('',*,*,#22456,.T.); +#22480=ORIENTED_EDGE('',*,*,#22479,.F.); +#22481=ORIENTED_EDGE('',*,*,#12460,.F.); +#22483=ORIENTED_EDGE('',*,*,#22482,.T.); +#22484=EDGE_LOOP('',(#22472,#22474,#22475,#22477,#22478,#22480,#22481,#22483)); +#22485=FACE_OUTER_BOUND('',#22484,.F.); +#22487=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.311724784545E0)); +#22488=DIRECTION('',(1.E0,0.E0,0.E0)); +#22489=DIRECTION('',(0.E0,1.E0,0.E0)); +#22490=AXIS2_PLACEMENT_3D('',#22487,#22488,#22489); +#22491=PLANE('',#22490); +#22492=ORIENTED_EDGE('',*,*,#12446,.F.); +#22494=ORIENTED_EDGE('',*,*,#22493,.T.); +#22495=ORIENTED_EDGE('',*,*,#22366,.T.); +#22497=ORIENTED_EDGE('',*,*,#22496,.F.); +#22498=EDGE_LOOP('',(#22492,#22494,#22495,#22497)); +#22499=FACE_OUTER_BOUND('',#22498,.F.); +#22501=CARTESIAN_POINT('',(1.01368995E2,6.6087201E1,4.311724784545E0)); +#22502=DIRECTION('',(1.E0,0.E0,0.E0)); +#22503=DIRECTION('',(0.E0,1.E0,0.E0)); +#22504=AXIS2_PLACEMENT_3D('',#22501,#22502,#22503); +#22505=PLANE('',#22504); +#22506=ORIENTED_EDGE('',*,*,#12454,.F.); +#22507=ORIENTED_EDGE('',*,*,#22473,.T.); +#22508=ORIENTED_EDGE('',*,*,#22354,.T.); +#22510=ORIENTED_EDGE('',*,*,#22509,.F.); +#22511=EDGE_LOOP('',(#22506,#22507,#22508,#22510)); +#22512=FACE_OUTER_BOUND('',#22511,.F.); +#22514=CARTESIAN_POINT('',(1.00335789E2,6.6087201E1,4.311724784545E0)); +#22515=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22516=DIRECTION('',(1.E0,0.E0,0.E0)); +#22517=AXIS2_PLACEMENT_3D('',#22514,#22515,#22516); +#22518=PLANE('',#22517); +#22519=ORIENTED_EDGE('',*,*,#12468,.F.); +#22521=ORIENTED_EDGE('',*,*,#22520,.T.); +#22522=ORIENTED_EDGE('',*,*,#22368,.T.); +#22523=ORIENTED_EDGE('',*,*,#22493,.F.); +#22524=EDGE_LOOP('',(#22519,#22521,#22522,#22523)); +#22525=FACE_OUTER_BOUND('',#22524,.F.); +#22527=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.311724784545E0)); +#22528=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22529=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22530=AXIS2_PLACEMENT_3D('',#22527,#22528,#22529); +#22531=PLANE('',#22530); +#22532=ORIENTED_EDGE('',*,*,#12458,.F.); +#22534=ORIENTED_EDGE('',*,*,#22533,.T.); +#22535=ORIENTED_EDGE('',*,*,#22350,.T.); +#22536=ORIENTED_EDGE('',*,*,#22482,.F.); +#22537=EDGE_LOOP('',(#22532,#22534,#22535,#22536)); +#22538=FACE_OUTER_BOUND('',#22537,.F.); +#22540=CARTESIAN_POINT('',(1.00335789E2,6.9650399E1,4.311724784545E0)); +#22541=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22542=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22543=AXIS2_PLACEMENT_3D('',#22540,#22541,#22542); +#22544=PLANE('',#22543); +#22545=ORIENTED_EDGE('',*,*,#12466,.F.); +#22547=ORIENTED_EDGE('',*,*,#22546,.T.); +#22548=ORIENTED_EDGE('',*,*,#22370,.T.); +#22549=ORIENTED_EDGE('',*,*,#22520,.F.); +#22550=EDGE_LOOP('',(#22545,#22547,#22548,#22549)); +#22551=FACE_OUTER_BOUND('',#22550,.F.); +#22553=CARTESIAN_POINT('',(1.01368995E2,6.9650399E1,4.311724784545E0)); +#22554=DIRECTION('',(0.E0,1.E0,0.E0)); +#22555=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22556=AXIS2_PLACEMENT_3D('',#22553,#22554,#22555); +#22557=PLANE('',#22556); +#22558=ORIENTED_EDGE('',*,*,#12456,.F.); +#22559=ORIENTED_EDGE('',*,*,#22509,.T.); +#22560=ORIENTED_EDGE('',*,*,#22352,.T.); +#22561=ORIENTED_EDGE('',*,*,#22533,.F.); +#22562=EDGE_LOOP('',(#22558,#22559,#22560,#22561)); +#22563=FACE_OUTER_BOUND('',#22562,.F.); +#22565=CARTESIAN_POINT('',(1.00227394E2,6.7018799E1,4.311724784545E0)); +#22566=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22567=DIRECTION('',(1.E0,0.E0,0.E0)); +#22568=AXIS2_PLACEMENT_3D('',#22565,#22566,#22567); +#22569=PLANE('',#22568); +#22570=ORIENTED_EDGE('',*,*,#22364,.T.); +#22571=ORIENTED_EDGE('',*,*,#22546,.F.); +#22572=ORIENTED_EDGE('',*,*,#12464,.F.); +#22574=ORIENTED_EDGE('',*,*,#22573,.T.); +#22575=ORIENTED_EDGE('',*,*,#22460,.T.); +#22577=ORIENTED_EDGE('',*,*,#22576,.F.); +#22578=ORIENTED_EDGE('',*,*,#12448,.F.); +#22579=ORIENTED_EDGE('',*,*,#22496,.T.); +#22580=EDGE_LOOP('',(#22570,#22571,#22572,#22574,#22575,#22577,#22578,#22579)); +#22581=FACE_OUTER_BOUND('',#22580,.F.); +#22583=CARTESIAN_POINT('',(1.00227394E2,6.8718801E1,4.311724784545E0)); +#22584=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22585=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22586=AXIS2_PLACEMENT_3D('',#22583,#22584,#22585); +#22587=PLANE('',#22586); +#22588=ORIENTED_EDGE('',*,*,#12462,.F.); +#22589=ORIENTED_EDGE('',*,*,#22479,.T.); +#22590=ORIENTED_EDGE('',*,*,#22462,.T.); +#22591=ORIENTED_EDGE('',*,*,#22573,.F.); +#22592=EDGE_LOOP('',(#22588,#22589,#22590,#22591)); +#22593=FACE_OUTER_BOUND('',#22592,.F.); +#22595=CARTESIAN_POINT('',(1.01477389E2,6.7018799E1,4.311724784545E0)); +#22596=DIRECTION('',(1.E0,0.E0,0.E0)); +#22597=DIRECTION('',(0.E0,1.E0,0.E0)); +#22598=AXIS2_PLACEMENT_3D('',#22595,#22596,#22597); +#22599=PLANE('',#22598); +#22600=ORIENTED_EDGE('',*,*,#12450,.F.); +#22601=ORIENTED_EDGE('',*,*,#22576,.T.); +#22602=ORIENTED_EDGE('',*,*,#22458,.T.); +#22603=ORIENTED_EDGE('',*,*,#22476,.F.); +#22604=EDGE_LOOP('',(#22600,#22601,#22602,#22603)); +#22605=FACE_OUTER_BOUND('',#22604,.F.); +#22607=CARTESIAN_POINT('',(9.7365792E1,6.8158411E1,4.311724784545E0)); +#22608=DIRECTION('',(1.E0,0.E0,0.E0)); +#22609=DIRECTION('',(0.E0,1.E0,0.E0)); +#22610=AXIS2_PLACEMENT_3D('',#22607,#22608,#22609); +#22611=PLANE('',#22610); +#22612=ORIENTED_EDGE('',*,*,#12472,.F.); +#22614=ORIENTED_EDGE('',*,*,#22613,.T.); +#22616=ORIENTED_EDGE('',*,*,#22615,.T.); +#22618=ORIENTED_EDGE('',*,*,#22617,.F.); +#22619=EDGE_LOOP('',(#22612,#22614,#22616,#22618)); +#22620=FACE_OUTER_BOUND('',#22619,.F.); +#22622=CARTESIAN_POINT('',(9.6515791E1,6.8158411E1,4.311724784545E0)); +#22623=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22624=DIRECTION('',(1.E0,0.E0,0.E0)); +#22625=AXIS2_PLACEMENT_3D('',#22622,#22623,#22624); +#22626=PLANE('',#22625); +#22627=ORIENTED_EDGE('',*,*,#12502,.F.); +#22629=ORIENTED_EDGE('',*,*,#22628,.T.); +#22631=ORIENTED_EDGE('',*,*,#22630,.T.); +#22632=ORIENTED_EDGE('',*,*,#22613,.F.); +#22633=EDGE_LOOP('',(#22627,#22629,#22631,#22632)); +#22634=FACE_OUTER_BOUND('',#22633,.F.); +#22636=CARTESIAN_POINT('',(9.6515791E1,6.6188989E1,4.311724784545E0)); +#22637=DIRECTION('',(1.E0,0.E0,0.E0)); +#22638=DIRECTION('',(0.E0,1.E0,0.E0)); +#22639=AXIS2_PLACEMENT_3D('',#22636,#22637,#22638); +#22640=PLANE('',#22639); +#22642=ORIENTED_EDGE('',*,*,#22641,.F.); +#22644=ORIENTED_EDGE('',*,*,#22643,.F.); +#22645=ORIENTED_EDGE('',*,*,#12492,.F.); +#22647=ORIENTED_EDGE('',*,*,#22646,.T.); +#22649=ORIENTED_EDGE('',*,*,#22648,.T.); +#22651=ORIENTED_EDGE('',*,*,#22650,.F.); +#22652=ORIENTED_EDGE('',*,*,#12476,.F.); +#22654=ORIENTED_EDGE('',*,*,#22653,.T.); +#22656=ORIENTED_EDGE('',*,*,#22655,.F.); +#22657=ORIENTED_EDGE('',*,*,#22628,.F.); +#22658=ORIENTED_EDGE('',*,*,#12500,.F.); +#22660=ORIENTED_EDGE('',*,*,#22659,.T.); +#22661=EDGE_LOOP('',(#22642,#22644,#22645,#22647,#22649,#22651,#22652,#22654, +#22656,#22657,#22658,#22660)); +#22662=FACE_OUTER_BOUND('',#22661,.F.); +#22664=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22665=DIRECTION('',(0.E0,0.E0,1.E0)); +#22666=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22667=AXIS2_PLACEMENT_3D('',#22664,#22665,#22666); +#22668=PLANE('',#22667); +#22670=ORIENTED_EDGE('',*,*,#22669,.T.); +#22672=ORIENTED_EDGE('',*,*,#22671,.F.); +#22674=ORIENTED_EDGE('',*,*,#22673,.F.); +#22676=ORIENTED_EDGE('',*,*,#22675,.F.); +#22677=EDGE_LOOP('',(#22670,#22672,#22674,#22676)); +#22678=FACE_OUTER_BOUND('',#22677,.F.); +#22680=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22681=DIRECTION('',(0.E0,0.E0,1.E0)); +#22682=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22683=AXIS2_PLACEMENT_3D('',#22680,#22681,#22682); +#22684=PLANE('',#22683); +#22686=ORIENTED_EDGE('',*,*,#22685,.T.); +#22688=ORIENTED_EDGE('',*,*,#22687,.F.); +#22690=ORIENTED_EDGE('',*,*,#22689,.F.); +#22692=ORIENTED_EDGE('',*,*,#22691,.F.); +#22693=EDGE_LOOP('',(#22686,#22688,#22690,#22692)); +#22694=FACE_OUTER_BOUND('',#22693,.F.); +#22696=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22697=DIRECTION('',(0.E0,0.E0,1.E0)); +#22698=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22699=AXIS2_PLACEMENT_3D('',#22696,#22697,#22698); +#22700=PLANE('',#22699); +#22702=ORIENTED_EDGE('',*,*,#22701,.F.); +#22704=ORIENTED_EDGE('',*,*,#22703,.F.); +#22706=ORIENTED_EDGE('',*,*,#22705,.F.); +#22708=ORIENTED_EDGE('',*,*,#22707,.F.); +#22709=EDGE_LOOP('',(#22702,#22704,#22706,#22708)); +#22710=FACE_OUTER_BOUND('',#22709,.F.); +#22712=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22713=DIRECTION('',(0.E0,0.E0,1.E0)); +#22714=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22715=AXIS2_PLACEMENT_3D('',#22712,#22713,#22714); +#22716=PLANE('',#22715); +#22718=ORIENTED_EDGE('',*,*,#22717,.T.); +#22720=ORIENTED_EDGE('',*,*,#22719,.F.); +#22722=ORIENTED_EDGE('',*,*,#22721,.F.); +#22724=ORIENTED_EDGE('',*,*,#22723,.F.); +#22725=EDGE_LOOP('',(#22718,#22720,#22722,#22724)); +#22726=FACE_OUTER_BOUND('',#22725,.F.); +#22728=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22729=DIRECTION('',(0.E0,0.E0,1.E0)); +#22730=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22731=AXIS2_PLACEMENT_3D('',#22728,#22729,#22730); +#22732=PLANE('',#22731); +#22734=ORIENTED_EDGE('',*,*,#22733,.F.); +#22736=ORIENTED_EDGE('',*,*,#22735,.F.); +#22738=ORIENTED_EDGE('',*,*,#22737,.F.); +#22740=ORIENTED_EDGE('',*,*,#22739,.F.); +#22741=EDGE_LOOP('',(#22734,#22736,#22738,#22740)); +#22742=FACE_OUTER_BOUND('',#22741,.F.); +#22744=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22745=DIRECTION('',(0.E0,0.E0,1.E0)); +#22746=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22747=AXIS2_PLACEMENT_3D('',#22744,#22745,#22746); +#22748=PLANE('',#22747); +#22750=ORIENTED_EDGE('',*,*,#22749,.F.); +#22752=ORIENTED_EDGE('',*,*,#22751,.F.); +#22754=ORIENTED_EDGE('',*,*,#22753,.F.); +#22756=ORIENTED_EDGE('',*,*,#22755,.F.); +#22757=EDGE_LOOP('',(#22750,#22752,#22754,#22756)); +#22758=FACE_OUTER_BOUND('',#22757,.F.); +#22760=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22761=DIRECTION('',(0.E0,0.E0,1.E0)); +#22762=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22763=AXIS2_PLACEMENT_3D('',#22760,#22761,#22762); +#22764=PLANE('',#22763); +#22766=ORIENTED_EDGE('',*,*,#22765,.T.); +#22768=ORIENTED_EDGE('',*,*,#22767,.F.); +#22770=ORIENTED_EDGE('',*,*,#22769,.F.); +#22772=ORIENTED_EDGE('',*,*,#22771,.F.); +#22773=EDGE_LOOP('',(#22766,#22768,#22770,#22772)); +#22774=FACE_OUTER_BOUND('',#22773,.F.); +#22776=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22777=DIRECTION('',(0.E0,0.E0,1.E0)); +#22778=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22779=AXIS2_PLACEMENT_3D('',#22776,#22777,#22778); +#22780=PLANE('',#22779); +#22781=ORIENTED_EDGE('',*,*,#22641,.T.); +#22783=ORIENTED_EDGE('',*,*,#22782,.F.); +#22785=ORIENTED_EDGE('',*,*,#22784,.F.); +#22787=ORIENTED_EDGE('',*,*,#22786,.F.); +#22788=EDGE_LOOP('',(#22781,#22783,#22785,#22787)); +#22789=FACE_OUTER_BOUND('',#22788,.F.); +#22791=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22792=DIRECTION('',(0.E0,0.E0,1.E0)); +#22793=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22794=AXIS2_PLACEMENT_3D('',#22791,#22792,#22793); +#22795=PLANE('',#22794); +#22796=ORIENTED_EDGE('',*,*,#22655,.T.); +#22798=ORIENTED_EDGE('',*,*,#22797,.F.); +#22799=ORIENTED_EDGE('',*,*,#22615,.F.); +#22800=ORIENTED_EDGE('',*,*,#22630,.F.); +#22801=EDGE_LOOP('',(#22796,#22798,#22799,#22800)); +#22802=FACE_OUTER_BOUND('',#22801,.F.); +#22804=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22805=DIRECTION('',(0.E0,0.E0,1.E0)); +#22806=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22807=AXIS2_PLACEMENT_3D('',#22804,#22805,#22806); +#22808=PLANE('',#22807); +#22810=ORIENTED_EDGE('',*,*,#22809,.F.); +#22812=ORIENTED_EDGE('',*,*,#22811,.F.); +#22814=ORIENTED_EDGE('',*,*,#22813,.F.); +#22816=ORIENTED_EDGE('',*,*,#22815,.F.); +#22817=EDGE_LOOP('',(#22810,#22812,#22814,#22816)); +#22818=FACE_OUTER_BOUND('',#22817,.F.); +#22820=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22821=DIRECTION('',(0.E0,0.E0,1.E0)); +#22822=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22823=AXIS2_PLACEMENT_3D('',#22820,#22821,#22822); +#22824=PLANE('',#22823); +#22826=ORIENTED_EDGE('',*,*,#22825,.T.); +#22828=ORIENTED_EDGE('',*,*,#22827,.F.); +#22830=ORIENTED_EDGE('',*,*,#22829,.F.); +#22832=ORIENTED_EDGE('',*,*,#22831,.F.); +#22833=EDGE_LOOP('',(#22826,#22828,#22830,#22832)); +#22834=FACE_OUTER_BOUND('',#22833,.F.); +#22836=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#22837=DIRECTION('',(0.E0,0.E0,1.E0)); +#22838=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22839=AXIS2_PLACEMENT_3D('',#22836,#22837,#22838); +#22840=PLANE('',#22839); +#22842=ORIENTED_EDGE('',*,*,#22841,.T.); +#22844=ORIENTED_EDGE('',*,*,#22843,.F.); +#22846=ORIENTED_EDGE('',*,*,#22845,.F.); +#22848=ORIENTED_EDGE('',*,*,#22847,.F.); +#22849=EDGE_LOOP('',(#22842,#22844,#22846,#22848)); +#22850=FACE_OUTER_BOUND('',#22849,.F.); +#22852=CARTESIAN_POINT('',(8.9650992E1,6.4119811E1,4.311724784545E0)); +#22853=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22854=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22855=AXIS2_PLACEMENT_3D('',#22852,#22853,#22854); +#22856=PLANE('',#22855); +#22857=ORIENTED_EDGE('',*,*,#22669,.F.); +#22859=ORIENTED_EDGE('',*,*,#22858,.F.); +#22860=ORIENTED_EDGE('',*,*,#12580,.F.); +#22862=ORIENTED_EDGE('',*,*,#22861,.T.); +#22864=ORIENTED_EDGE('',*,*,#22863,.T.); +#22866=ORIENTED_EDGE('',*,*,#22865,.F.); +#22867=ORIENTED_EDGE('',*,*,#12596,.F.); +#22869=ORIENTED_EDGE('',*,*,#22868,.T.); +#22870=ORIENTED_EDGE('',*,*,#22685,.F.); +#22872=ORIENTED_EDGE('',*,*,#22871,.F.); +#22873=ORIENTED_EDGE('',*,*,#12588,.F.); +#22875=ORIENTED_EDGE('',*,*,#22874,.T.); +#22876=EDGE_LOOP('',(#22857,#22859,#22860,#22862,#22864,#22866,#22867,#22869, +#22870,#22872,#22873,#22875)); +#22877=FACE_OUTER_BOUND('',#22876,.F.); +#22879=CARTESIAN_POINT('',(8.9650992E1,6.4018211E1,4.311724784545E0)); +#22880=DIRECTION('',(0.E0,1.E0,0.E0)); +#22881=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22882=AXIS2_PLACEMENT_3D('',#22879,#22880,#22881); +#22883=PLANE('',#22882); +#22884=ORIENTED_EDGE('',*,*,#12582,.F.); +#22885=ORIENTED_EDGE('',*,*,#22858,.T.); +#22886=ORIENTED_EDGE('',*,*,#22675,.T.); +#22888=ORIENTED_EDGE('',*,*,#22887,.F.); +#22889=EDGE_LOOP('',(#22884,#22885,#22886,#22888)); +#22890=FACE_OUTER_BOUND('',#22889,.F.); +#22892=CARTESIAN_POINT('',(8.8736592E1,6.4018211E1,4.311724784545E0)); +#22893=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22894=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22895=AXIS2_PLACEMENT_3D('',#22892,#22893,#22894); +#22896=PLANE('',#22895); +#22897=ORIENTED_EDGE('',*,*,#12584,.F.); +#22898=ORIENTED_EDGE('',*,*,#22887,.T.); +#22899=ORIENTED_EDGE('',*,*,#22673,.T.); +#22901=ORIENTED_EDGE('',*,*,#22900,.F.); +#22902=EDGE_LOOP('',(#22897,#22898,#22899,#22901)); +#22903=FACE_OUTER_BOUND('',#22902,.F.); +#22905=CARTESIAN_POINT('',(8.8736592E1,6.3103811E1,4.311724784545E0)); +#22906=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22907=DIRECTION('',(1.E0,0.E0,0.E0)); +#22908=AXIS2_PLACEMENT_3D('',#22905,#22906,#22907); +#22909=PLANE('',#22908); +#22910=ORIENTED_EDGE('',*,*,#12586,.F.); +#22911=ORIENTED_EDGE('',*,*,#22900,.T.); +#22912=ORIENTED_EDGE('',*,*,#22671,.T.); +#22913=ORIENTED_EDGE('',*,*,#22874,.F.); +#22914=EDGE_LOOP('',(#22910,#22911,#22912,#22913)); +#22915=FACE_OUTER_BOUND('',#22914,.F.); +#22917=CARTESIAN_POINT('',(9.0850992E1,6.4119811E1,4.311724784545E0)); +#22918=DIRECTION('',(0.E0,1.E0,0.E0)); +#22919=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22920=AXIS2_PLACEMENT_3D('',#22917,#22918,#22919); +#22921=PLANE('',#22920); +#22922=ORIENTED_EDGE('',*,*,#12578,.F.); +#22924=ORIENTED_EDGE('',*,*,#22923,.T.); +#22926=ORIENTED_EDGE('',*,*,#22925,.T.); +#22927=ORIENTED_EDGE('',*,*,#22861,.F.); +#22928=EDGE_LOOP('',(#22922,#22924,#22926,#22927)); +#22929=FACE_OUTER_BOUND('',#22928,.F.); +#22931=CARTESIAN_POINT('',(9.0850992E1,6.1102189E1,4.311724784545E0)); +#22932=DIRECTION('',(1.E0,0.E0,0.E0)); +#22933=DIRECTION('',(0.E0,1.E0,0.E0)); +#22934=AXIS2_PLACEMENT_3D('',#22931,#22932,#22933); +#22935=PLANE('',#22934); +#22936=ORIENTED_EDGE('',*,*,#22701,.T.); +#22938=ORIENTED_EDGE('',*,*,#22937,.F.); +#22939=ORIENTED_EDGE('',*,*,#12600,.F.); +#22941=ORIENTED_EDGE('',*,*,#22940,.T.); +#22943=ORIENTED_EDGE('',*,*,#22942,.T.); +#22944=ORIENTED_EDGE('',*,*,#22923,.F.); +#22945=ORIENTED_EDGE('',*,*,#12576,.F.); +#22947=ORIENTED_EDGE('',*,*,#22946,.T.); +#22948=EDGE_LOOP('',(#22936,#22938,#22939,#22941,#22943,#22944,#22945,#22947)); +#22949=FACE_OUTER_BOUND('',#22948,.F.); +#22951=CARTESIAN_POINT('',(9.0850992E1,6.21538E1,4.311724784545E0)); +#22952=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22953=DIRECTION('',(1.E0,0.E0,0.E0)); +#22954=AXIS2_PLACEMENT_3D('',#22951,#22952,#22953); +#22955=PLANE('',#22954); +#22956=ORIENTED_EDGE('',*,*,#12602,.F.); +#22957=ORIENTED_EDGE('',*,*,#22937,.T.); +#22958=ORIENTED_EDGE('',*,*,#22707,.T.); +#22960=ORIENTED_EDGE('',*,*,#22959,.F.); +#22961=EDGE_LOOP('',(#22956,#22957,#22958,#22960)); +#22962=FACE_OUTER_BOUND('',#22961,.F.); +#22964=CARTESIAN_POINT('',(9.1775791E1,6.21538E1,4.311724784545E0)); +#22965=DIRECTION('',(1.E0,0.E0,0.E0)); +#22966=DIRECTION('',(0.E0,1.E0,0.E0)); +#22967=AXIS2_PLACEMENT_3D('',#22964,#22965,#22966); +#22968=PLANE('',#22967); +#22969=ORIENTED_EDGE('',*,*,#12604,.F.); +#22970=ORIENTED_EDGE('',*,*,#22959,.T.); +#22971=ORIENTED_EDGE('',*,*,#22705,.T.); +#22973=ORIENTED_EDGE('',*,*,#22972,.F.); +#22974=EDGE_LOOP('',(#22969,#22970,#22971,#22973)); +#22975=FACE_OUTER_BOUND('',#22974,.F.); +#22977=CARTESIAN_POINT('',(9.1775791E1,6.30682E1,4.311724784545E0)); +#22978=DIRECTION('',(0.E0,1.E0,0.E0)); +#22979=DIRECTION('',(-1.E0,0.E0,0.E0)); +#22980=AXIS2_PLACEMENT_3D('',#22977,#22978,#22979); +#22981=PLANE('',#22980); +#22982=ORIENTED_EDGE('',*,*,#12574,.F.); +#22983=ORIENTED_EDGE('',*,*,#22972,.T.); +#22984=ORIENTED_EDGE('',*,*,#22703,.T.); +#22985=ORIENTED_EDGE('',*,*,#22946,.F.); +#22986=EDGE_LOOP('',(#22982,#22983,#22984,#22985)); +#22987=FACE_OUTER_BOUND('',#22986,.F.); +#22989=CARTESIAN_POINT('',(8.9650992E1,6.1102189E1,4.311724784545E0)); +#22990=DIRECTION('',(0.E0,-1.E0,0.E0)); +#22991=DIRECTION('',(1.E0,0.E0,0.E0)); +#22992=AXIS2_PLACEMENT_3D('',#22989,#22990,#22991); +#22993=PLANE('',#22992); +#22994=ORIENTED_EDGE('',*,*,#12598,.F.); +#22995=ORIENTED_EDGE('',*,*,#22865,.T.); +#22997=ORIENTED_EDGE('',*,*,#22996,.T.); +#22998=ORIENTED_EDGE('',*,*,#22940,.F.); +#22999=EDGE_LOOP('',(#22994,#22995,#22997,#22998)); +#23000=FACE_OUTER_BOUND('',#22999,.F.); +#23002=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#23003=DIRECTION('',(0.E0,0.E0,1.E0)); +#23004=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23005=AXIS2_PLACEMENT_3D('',#23002,#23003,#23004); +#23006=PLANE('',#23005); +#23007=ORIENTED_EDGE('',*,*,#22863,.F.); +#23008=ORIENTED_EDGE('',*,*,#22925,.F.); +#23009=ORIENTED_EDGE('',*,*,#22942,.F.); +#23010=ORIENTED_EDGE('',*,*,#22996,.F.); +#23011=EDGE_LOOP('',(#23007,#23008,#23009,#23010)); +#23012=FACE_OUTER_BOUND('',#23011,.F.); +#23014=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#23015=DIRECTION('',(0.E0,0.E0,1.E0)); +#23016=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23017=AXIS2_PLACEMENT_3D('',#23014,#23015,#23016); +#23018=PLANE('',#23017); +#23020=ORIENTED_EDGE('',*,*,#23019,.F.); +#23022=ORIENTED_EDGE('',*,*,#23021,.F.); +#23024=ORIENTED_EDGE('',*,*,#23023,.F.); +#23026=ORIENTED_EDGE('',*,*,#23025,.F.); +#23027=EDGE_LOOP('',(#23020,#23022,#23024,#23026)); +#23028=FACE_OUTER_BOUND('',#23027,.F.); +#23030=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#23031=DIRECTION('',(0.E0,0.E0,1.E0)); +#23032=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23033=AXIS2_PLACEMENT_3D('',#23030,#23031,#23032); +#23034=PLANE('',#23033); +#23036=ORIENTED_EDGE('',*,*,#23035,.F.); +#23037=ORIENTED_EDGE('',*,*,#22648,.F.); +#23039=ORIENTED_EDGE('',*,*,#23038,.F.); +#23041=ORIENTED_EDGE('',*,*,#23040,.F.); +#23042=EDGE_LOOP('',(#23036,#23037,#23039,#23041)); +#23043=FACE_OUTER_BOUND('',#23042,.F.); +#23045=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#23046=DIRECTION('',(0.E0,0.E0,1.E0)); +#23047=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23048=AXIS2_PLACEMENT_3D('',#23045,#23046,#23047); +#23049=PLANE('',#23048); +#23051=ORIENTED_EDGE('',*,*,#23050,.F.); +#23053=ORIENTED_EDGE('',*,*,#23052,.F.); +#23055=ORIENTED_EDGE('',*,*,#23054,.F.); +#23057=ORIENTED_EDGE('',*,*,#23056,.F.); +#23058=EDGE_LOOP('',(#23051,#23053,#23055,#23057)); +#23059=FACE_OUTER_BOUND('',#23058,.F.); +#23061=CARTESIAN_POINT('',(8.9966002E1,6.58114E1,4.311724784545E0)); +#23062=DIRECTION('',(1.E0,0.E0,0.E0)); +#23063=DIRECTION('',(0.E0,1.E0,0.E0)); +#23064=AXIS2_PLACEMENT_3D('',#23061,#23062,#23063); +#23065=PLANE('',#23064); +#23066=ORIENTED_EDGE('',*,*,#12566,.F.); +#23068=ORIENTED_EDGE('',*,*,#23067,.T.); +#23069=ORIENTED_EDGE('',*,*,#23019,.T.); +#23071=ORIENTED_EDGE('',*,*,#23070,.F.); +#23072=EDGE_LOOP('',(#23066,#23068,#23069,#23071)); +#23073=FACE_OUTER_BOUND('',#23072,.F.); +#23075=CARTESIAN_POINT('',(8.6948381E1,6.58114E1,4.311724784545E0)); +#23076=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23077=DIRECTION('',(1.E0,0.E0,0.E0)); +#23078=AXIS2_PLACEMENT_3D('',#23075,#23076,#23077); +#23079=PLANE('',#23078); +#23080=ORIENTED_EDGE('',*,*,#22733,.T.); +#23082=ORIENTED_EDGE('',*,*,#23081,.F.); +#23083=ORIENTED_EDGE('',*,*,#12556,.F.); +#23085=ORIENTED_EDGE('',*,*,#23084,.T.); +#23086=ORIENTED_EDGE('',*,*,#22749,.T.); +#23088=ORIENTED_EDGE('',*,*,#23087,.F.); +#23089=ORIENTED_EDGE('',*,*,#12548,.F.); +#23091=ORIENTED_EDGE('',*,*,#23090,.T.); +#23092=ORIENTED_EDGE('',*,*,#23021,.T.); +#23093=ORIENTED_EDGE('',*,*,#23067,.F.); +#23094=ORIENTED_EDGE('',*,*,#12564,.F.); +#23096=ORIENTED_EDGE('',*,*,#23095,.T.); +#23097=EDGE_LOOP('',(#23080,#23082,#23083,#23085,#23086,#23088,#23089,#23091, +#23092,#23093,#23094,#23096)); +#23098=FACE_OUTER_BOUND('',#23097,.F.); +#23100=CARTESIAN_POINT('',(8.8950002E1,6.58114E1,4.311724784545E0)); +#23101=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23102=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23103=AXIS2_PLACEMENT_3D('',#23100,#23101,#23102); +#23104=PLANE('',#23103); +#23105=ORIENTED_EDGE('',*,*,#12558,.F.); +#23106=ORIENTED_EDGE('',*,*,#23081,.T.); +#23107=ORIENTED_EDGE('',*,*,#22739,.T.); +#23109=ORIENTED_EDGE('',*,*,#23108,.F.); +#23110=EDGE_LOOP('',(#23105,#23106,#23107,#23109)); +#23111=FACE_OUTER_BOUND('',#23110,.F.); +#23113=CARTESIAN_POINT('',(8.8950002E1,6.4897E1,4.311724784545E0)); +#23114=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23115=DIRECTION('',(1.E0,0.E0,0.E0)); +#23116=AXIS2_PLACEMENT_3D('',#23113,#23114,#23115); +#23117=PLANE('',#23116); +#23118=ORIENTED_EDGE('',*,*,#12560,.F.); +#23119=ORIENTED_EDGE('',*,*,#23108,.T.); +#23120=ORIENTED_EDGE('',*,*,#22737,.T.); +#23122=ORIENTED_EDGE('',*,*,#23121,.F.); +#23123=EDGE_LOOP('',(#23118,#23119,#23120,#23122)); +#23124=FACE_OUTER_BOUND('',#23123,.F.); +#23126=CARTESIAN_POINT('',(8.9864402E1,6.4897E1,4.311724784545E0)); +#23127=DIRECTION('',(1.E0,0.E0,0.E0)); +#23128=DIRECTION('',(0.E0,1.E0,0.E0)); +#23129=AXIS2_PLACEMENT_3D('',#23126,#23127,#23128); +#23130=PLANE('',#23129); +#23131=ORIENTED_EDGE('',*,*,#12562,.F.); +#23132=ORIENTED_EDGE('',*,*,#23121,.T.); +#23133=ORIENTED_EDGE('',*,*,#22735,.T.); +#23134=ORIENTED_EDGE('',*,*,#23095,.F.); +#23135=EDGE_LOOP('',(#23131,#23132,#23133,#23134)); +#23136=FACE_OUTER_BOUND('',#23135,.F.); +#23138=CARTESIAN_POINT('',(8.7964381E1,6.4897E1,4.311724784545E0)); +#23139=DIRECTION('',(1.E0,0.E0,0.E0)); +#23140=DIRECTION('',(0.E0,1.E0,0.E0)); +#23141=AXIS2_PLACEMENT_3D('',#23138,#23139,#23140); +#23142=PLANE('',#23141); +#23143=ORIENTED_EDGE('',*,*,#12554,.F.); +#23145=ORIENTED_EDGE('',*,*,#23144,.T.); +#23146=ORIENTED_EDGE('',*,*,#22751,.T.); +#23147=ORIENTED_EDGE('',*,*,#23084,.F.); +#23148=EDGE_LOOP('',(#23143,#23145,#23146,#23147)); +#23149=FACE_OUTER_BOUND('',#23148,.F.); +#23151=CARTESIAN_POINT('',(8.7049981E1,6.4897E1,4.311724784545E0)); +#23152=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23153=DIRECTION('',(1.E0,0.E0,0.E0)); +#23154=AXIS2_PLACEMENT_3D('',#23151,#23152,#23153); +#23155=PLANE('',#23154); +#23156=ORIENTED_EDGE('',*,*,#12552,.F.); +#23158=ORIENTED_EDGE('',*,*,#23157,.T.); +#23159=ORIENTED_EDGE('',*,*,#22753,.T.); +#23160=ORIENTED_EDGE('',*,*,#23144,.F.); +#23161=EDGE_LOOP('',(#23156,#23158,#23159,#23160)); +#23162=FACE_OUTER_BOUND('',#23161,.F.); +#23164=CARTESIAN_POINT('',(8.7049981E1,6.58114E1,4.311724784545E0)); +#23165=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23166=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23167=AXIS2_PLACEMENT_3D('',#23164,#23165,#23166); +#23168=PLANE('',#23167); +#23169=ORIENTED_EDGE('',*,*,#12550,.F.); +#23170=ORIENTED_EDGE('',*,*,#23087,.T.); +#23171=ORIENTED_EDGE('',*,*,#22755,.T.); +#23172=ORIENTED_EDGE('',*,*,#23157,.F.); +#23173=EDGE_LOOP('',(#23169,#23170,#23171,#23172)); +#23174=FACE_OUTER_BOUND('',#23173,.F.); +#23176=CARTESIAN_POINT('',(8.6948381E1,6.70114E1,4.311724784545E0)); +#23177=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23178=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23179=AXIS2_PLACEMENT_3D('',#23176,#23177,#23178); +#23180=PLANE('',#23179); +#23181=ORIENTED_EDGE('',*,*,#12546,.F.); +#23183=ORIENTED_EDGE('',*,*,#23182,.T.); +#23184=ORIENTED_EDGE('',*,*,#23023,.T.); +#23185=ORIENTED_EDGE('',*,*,#23090,.F.); +#23186=EDGE_LOOP('',(#23181,#23183,#23184,#23185)); +#23187=FACE_OUTER_BOUND('',#23186,.F.); +#23189=CARTESIAN_POINT('',(8.9966002E1,6.70114E1,4.311724784545E0)); +#23190=DIRECTION('',(0.E0,1.E0,0.E0)); +#23191=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23192=AXIS2_PLACEMENT_3D('',#23189,#23190,#23191); +#23193=PLANE('',#23192); +#23194=ORIENTED_EDGE('',*,*,#22717,.F.); +#23196=ORIENTED_EDGE('',*,*,#23195,.F.); +#23197=ORIENTED_EDGE('',*,*,#12568,.F.); +#23198=ORIENTED_EDGE('',*,*,#23070,.T.); +#23199=ORIENTED_EDGE('',*,*,#23025,.T.); +#23200=ORIENTED_EDGE('',*,*,#23182,.F.); +#23201=ORIENTED_EDGE('',*,*,#12544,.F.); +#23203=ORIENTED_EDGE('',*,*,#23202,.T.); +#23204=EDGE_LOOP('',(#23194,#23196,#23197,#23198,#23199,#23200,#23201,#23203)); +#23205=FACE_OUTER_BOUND('',#23204,.F.); +#23207=CARTESIAN_POINT('',(8.8914392E1,6.70114E1,4.311724784545E0)); +#23208=DIRECTION('',(1.E0,0.E0,0.E0)); +#23209=DIRECTION('',(0.E0,1.E0,0.E0)); +#23210=AXIS2_PLACEMENT_3D('',#23207,#23208,#23209); +#23211=PLANE('',#23210); +#23212=ORIENTED_EDGE('',*,*,#12570,.F.); +#23213=ORIENTED_EDGE('',*,*,#23195,.T.); +#23214=ORIENTED_EDGE('',*,*,#22723,.T.); +#23216=ORIENTED_EDGE('',*,*,#23215,.F.); +#23217=EDGE_LOOP('',(#23212,#23213,#23214,#23216)); +#23218=FACE_OUTER_BOUND('',#23217,.F.); +#23220=CARTESIAN_POINT('',(8.8914392E1,6.7936199E1,4.311724784545E0)); +#23221=DIRECTION('',(0.E0,1.E0,0.E0)); +#23222=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23223=AXIS2_PLACEMENT_3D('',#23220,#23221,#23222); +#23224=PLANE('',#23223); +#23225=ORIENTED_EDGE('',*,*,#12540,.F.); +#23226=ORIENTED_EDGE('',*,*,#23215,.T.); +#23227=ORIENTED_EDGE('',*,*,#22721,.T.); +#23229=ORIENTED_EDGE('',*,*,#23228,.F.); +#23230=EDGE_LOOP('',(#23225,#23226,#23227,#23229)); +#23231=FACE_OUTER_BOUND('',#23230,.F.); +#23233=CARTESIAN_POINT('',(8.7999992E1,6.7936199E1,4.311724784545E0)); +#23234=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23235=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23236=AXIS2_PLACEMENT_3D('',#23233,#23234,#23235); +#23237=PLANE('',#23236); +#23238=ORIENTED_EDGE('',*,*,#12542,.F.); +#23239=ORIENTED_EDGE('',*,*,#23228,.T.); +#23240=ORIENTED_EDGE('',*,*,#22719,.T.); +#23241=ORIENTED_EDGE('',*,*,#23202,.F.); +#23242=EDGE_LOOP('',(#23238,#23239,#23240,#23241)); +#23243=FACE_OUTER_BOUND('',#23242,.F.); +#23245=CARTESIAN_POINT('',(9.6515791E1,6.9174411E1,4.311724784545E0)); +#23246=DIRECTION('',(0.E0,1.E0,0.E0)); +#23247=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23248=AXIS2_PLACEMENT_3D('',#23245,#23246,#23247); +#23249=PLANE('',#23248); +#23250=ORIENTED_EDGE('',*,*,#12478,.F.); +#23251=ORIENTED_EDGE('',*,*,#22650,.T.); +#23252=ORIENTED_EDGE('',*,*,#23035,.T.); +#23254=ORIENTED_EDGE('',*,*,#23253,.F.); +#23255=EDGE_LOOP('',(#23250,#23251,#23252,#23254)); +#23256=FACE_OUTER_BOUND('',#23255,.F.); +#23258=CARTESIAN_POINT('',(9.5315791E1,6.9174411E1,4.311724784545E0)); +#23259=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23260=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23261=AXIS2_PLACEMENT_3D('',#23258,#23259,#23260); +#23262=PLANE('',#23261); +#23263=ORIENTED_EDGE('',*,*,#22765,.F.); +#23265=ORIENTED_EDGE('',*,*,#23264,.F.); +#23266=ORIENTED_EDGE('',*,*,#12480,.F.); +#23267=ORIENTED_EDGE('',*,*,#23253,.T.); +#23268=ORIENTED_EDGE('',*,*,#23040,.T.); +#23270=ORIENTED_EDGE('',*,*,#23269,.F.); +#23271=ORIENTED_EDGE('',*,*,#12488,.F.); +#23273=ORIENTED_EDGE('',*,*,#23272,.T.); +#23274=EDGE_LOOP('',(#23263,#23265,#23266,#23267,#23268,#23270,#23271,#23273)); +#23275=FACE_OUTER_BOUND('',#23274,.F.); +#23277=CARTESIAN_POINT('',(9.5315791E1,6.80906E1,4.311724784545E0)); +#23278=DIRECTION('',(0.E0,1.E0,0.E0)); +#23279=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23280=AXIS2_PLACEMENT_3D('',#23277,#23278,#23279); +#23281=PLANE('',#23280); +#23282=ORIENTED_EDGE('',*,*,#12482,.F.); +#23283=ORIENTED_EDGE('',*,*,#23264,.T.); +#23284=ORIENTED_EDGE('',*,*,#22771,.T.); +#23286=ORIENTED_EDGE('',*,*,#23285,.F.); +#23287=EDGE_LOOP('',(#23282,#23283,#23284,#23286)); +#23288=FACE_OUTER_BOUND('',#23287,.F.); +#23290=CARTESIAN_POINT('',(9.4408793E1,6.80906E1,4.311724784545E0)); +#23291=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23292=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23293=AXIS2_PLACEMENT_3D('',#23290,#23291,#23292); +#23294=PLANE('',#23293); +#23295=ORIENTED_EDGE('',*,*,#12484,.F.); +#23296=ORIENTED_EDGE('',*,*,#23285,.T.); +#23297=ORIENTED_EDGE('',*,*,#22769,.T.); +#23299=ORIENTED_EDGE('',*,*,#23298,.F.); +#23300=EDGE_LOOP('',(#23295,#23296,#23297,#23299)); +#23301=FACE_OUTER_BOUND('',#23300,.F.); +#23303=CARTESIAN_POINT('',(9.4408793E1,6.72406E1,4.311724784545E0)); +#23304=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23305=DIRECTION('',(1.E0,0.E0,0.E0)); +#23306=AXIS2_PLACEMENT_3D('',#23303,#23304,#23305); +#23307=PLANE('',#23306); +#23308=ORIENTED_EDGE('',*,*,#12486,.F.); +#23309=ORIENTED_EDGE('',*,*,#23298,.T.); +#23310=ORIENTED_EDGE('',*,*,#22767,.T.); +#23311=ORIENTED_EDGE('',*,*,#23272,.F.); +#23312=EDGE_LOOP('',(#23308,#23309,#23310,#23311)); +#23313=FACE_OUTER_BOUND('',#23312,.F.); +#23315=CARTESIAN_POINT('',(9.5315791E1,6.6188989E1,4.311724784545E0)); +#23316=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23317=DIRECTION('',(1.E0,0.E0,0.E0)); +#23318=AXIS2_PLACEMENT_3D('',#23315,#23316,#23317); +#23319=PLANE('',#23318); +#23320=ORIENTED_EDGE('',*,*,#12490,.F.); +#23321=ORIENTED_EDGE('',*,*,#23269,.T.); +#23322=ORIENTED_EDGE('',*,*,#23038,.T.); +#23323=ORIENTED_EDGE('',*,*,#22646,.F.); +#23324=EDGE_LOOP('',(#23320,#23321,#23322,#23323)); +#23325=FACE_OUTER_BOUND('',#23324,.F.); +#23327=CARTESIAN_POINT('',(9.0641592E1,7.1511211E1,4.311724784545E0)); +#23328=DIRECTION('',(0.E0,1.E0,0.E0)); +#23329=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23330=AXIS2_PLACEMENT_3D('',#23327,#23328,#23329); +#23331=PLANE('',#23330); +#23332=ORIENTED_EDGE('',*,*,#12510,.F.); +#23334=ORIENTED_EDGE('',*,*,#23333,.T.); +#23335=ORIENTED_EDGE('',*,*,#23050,.T.); +#23337=ORIENTED_EDGE('',*,*,#23336,.F.); +#23338=EDGE_LOOP('',(#23332,#23334,#23335,#23337)); +#23339=FACE_OUTER_BOUND('',#23338,.F.); +#23341=CARTESIAN_POINT('',(9.0641592E1,6.8493589E1,4.311724784545E0)); +#23342=DIRECTION('',(1.E0,0.E0,0.E0)); +#23343=DIRECTION('',(0.E0,1.E0,0.E0)); +#23344=AXIS2_PLACEMENT_3D('',#23341,#23342,#23343); +#23345=PLANE('',#23344); +#23346=ORIENTED_EDGE('',*,*,#22825,.F.); +#23348=ORIENTED_EDGE('',*,*,#23347,.F.); +#23349=ORIENTED_EDGE('',*,*,#12524,.F.); +#23351=ORIENTED_EDGE('',*,*,#23350,.T.); +#23352=ORIENTED_EDGE('',*,*,#23052,.T.); +#23353=ORIENTED_EDGE('',*,*,#23333,.F.); +#23354=ORIENTED_EDGE('',*,*,#12508,.F.); +#23356=ORIENTED_EDGE('',*,*,#23355,.T.); +#23357=ORIENTED_EDGE('',*,*,#22841,.F.); +#23359=ORIENTED_EDGE('',*,*,#23358,.F.); +#23360=ORIENTED_EDGE('',*,*,#12532,.F.); +#23362=ORIENTED_EDGE('',*,*,#23361,.T.); +#23363=EDGE_LOOP('',(#23346,#23348,#23349,#23351,#23352,#23353,#23354,#23356, +#23357,#23359,#23360,#23362)); +#23364=FACE_OUTER_BOUND('',#23363,.F.); +#23366=CARTESIAN_POINT('',(9.0641592E1,6.8595189E1,4.311724784545E0)); +#23367=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23368=DIRECTION('',(1.E0,0.E0,0.E0)); +#23369=AXIS2_PLACEMENT_3D('',#23366,#23367,#23368); +#23370=PLANE('',#23369); +#23371=ORIENTED_EDGE('',*,*,#12526,.F.); +#23372=ORIENTED_EDGE('',*,*,#23347,.T.); +#23373=ORIENTED_EDGE('',*,*,#22831,.T.); +#23375=ORIENTED_EDGE('',*,*,#23374,.F.); +#23376=EDGE_LOOP('',(#23371,#23372,#23373,#23375)); +#23377=FACE_OUTER_BOUND('',#23376,.F.); +#23379=CARTESIAN_POINT('',(9.1555992E1,6.8595189E1,4.311724784545E0)); +#23380=DIRECTION('',(1.E0,0.E0,0.E0)); +#23381=DIRECTION('',(0.E0,1.E0,0.E0)); +#23382=AXIS2_PLACEMENT_3D('',#23379,#23380,#23381); +#23383=PLANE('',#23382); +#23384=ORIENTED_EDGE('',*,*,#12528,.F.); +#23385=ORIENTED_EDGE('',*,*,#23374,.T.); +#23386=ORIENTED_EDGE('',*,*,#22829,.T.); +#23388=ORIENTED_EDGE('',*,*,#23387,.F.); +#23389=EDGE_LOOP('',(#23384,#23385,#23386,#23388)); +#23390=FACE_OUTER_BOUND('',#23389,.F.); +#23392=CARTESIAN_POINT('',(9.1555992E1,6.9509589E1,4.311724784545E0)); +#23393=DIRECTION('',(0.E0,1.E0,0.E0)); +#23394=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23395=AXIS2_PLACEMENT_3D('',#23392,#23393,#23394); +#23396=PLANE('',#23395); +#23397=ORIENTED_EDGE('',*,*,#12530,.F.); +#23398=ORIENTED_EDGE('',*,*,#23387,.T.); +#23399=ORIENTED_EDGE('',*,*,#22827,.T.); +#23400=ORIENTED_EDGE('',*,*,#23361,.F.); +#23401=EDGE_LOOP('',(#23397,#23398,#23399,#23400)); +#23402=FACE_OUTER_BOUND('',#23401,.F.); +#23404=CARTESIAN_POINT('',(8.9441592E1,6.8493589E1,4.311724784545E0)); +#23405=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23406=DIRECTION('',(1.E0,0.E0,0.E0)); +#23407=AXIS2_PLACEMENT_3D('',#23404,#23405,#23406); +#23408=PLANE('',#23407); +#23409=ORIENTED_EDGE('',*,*,#12522,.F.); +#23411=ORIENTED_EDGE('',*,*,#23410,.T.); +#23412=ORIENTED_EDGE('',*,*,#23054,.T.); +#23413=ORIENTED_EDGE('',*,*,#23350,.F.); +#23414=EDGE_LOOP('',(#23409,#23411,#23412,#23413)); +#23415=FACE_OUTER_BOUND('',#23414,.F.); +#23417=CARTESIAN_POINT('',(8.9441592E1,7.1511211E1,4.311724784545E0)); +#23418=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23419=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23420=AXIS2_PLACEMENT_3D('',#23417,#23418,#23419); +#23421=PLANE('',#23420); +#23422=ORIENTED_EDGE('',*,*,#22809,.T.); +#23424=ORIENTED_EDGE('',*,*,#23423,.F.); +#23425=ORIENTED_EDGE('',*,*,#12512,.F.); +#23426=ORIENTED_EDGE('',*,*,#23336,.T.); +#23427=ORIENTED_EDGE('',*,*,#23056,.T.); +#23428=ORIENTED_EDGE('',*,*,#23410,.F.); +#23429=ORIENTED_EDGE('',*,*,#12520,.F.); +#23431=ORIENTED_EDGE('',*,*,#23430,.T.); +#23432=EDGE_LOOP('',(#23422,#23424,#23425,#23426,#23427,#23428,#23429,#23431)); +#23433=FACE_OUTER_BOUND('',#23432,.F.); +#23435=CARTESIAN_POINT('',(8.9441592E1,7.04596E1,4.311724784545E0)); +#23436=DIRECTION('',(0.E0,1.E0,0.E0)); +#23437=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23438=AXIS2_PLACEMENT_3D('',#23435,#23436,#23437); +#23439=PLANE('',#23438); +#23440=ORIENTED_EDGE('',*,*,#12514,.F.); +#23441=ORIENTED_EDGE('',*,*,#23423,.T.); +#23442=ORIENTED_EDGE('',*,*,#22815,.T.); +#23444=ORIENTED_EDGE('',*,*,#23443,.F.); +#23445=EDGE_LOOP('',(#23440,#23441,#23442,#23444)); +#23446=FACE_OUTER_BOUND('',#23445,.F.); +#23448=CARTESIAN_POINT('',(8.8516793E1,7.04596E1,4.311724784545E0)); +#23449=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23450=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23451=AXIS2_PLACEMENT_3D('',#23448,#23449,#23450); +#23452=PLANE('',#23451); +#23453=ORIENTED_EDGE('',*,*,#12516,.F.); +#23454=ORIENTED_EDGE('',*,*,#23443,.T.); +#23455=ORIENTED_EDGE('',*,*,#22813,.T.); +#23457=ORIENTED_EDGE('',*,*,#23456,.F.); +#23458=EDGE_LOOP('',(#23453,#23454,#23455,#23457)); +#23459=FACE_OUTER_BOUND('',#23458,.F.); +#23461=CARTESIAN_POINT('',(8.8516793E1,6.95452E1,4.311724784545E0)); +#23462=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23463=DIRECTION('',(1.E0,0.E0,0.E0)); +#23464=AXIS2_PLACEMENT_3D('',#23461,#23462,#23463); +#23465=PLANE('',#23464); +#23466=ORIENTED_EDGE('',*,*,#12518,.F.); +#23467=ORIENTED_EDGE('',*,*,#23456,.T.); +#23468=ORIENTED_EDGE('',*,*,#22811,.T.); +#23469=ORIENTED_EDGE('',*,*,#23430,.F.); +#23470=EDGE_LOOP('',(#23466,#23467,#23468,#23469)); +#23471=FACE_OUTER_BOUND('',#23470,.F.); +#23473=CARTESIAN_POINT('',(9.1555992E1,7.1409611E1,4.311724784545E0)); +#23474=DIRECTION('',(0.E0,1.E0,0.E0)); +#23475=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23476=AXIS2_PLACEMENT_3D('',#23473,#23474,#23475); +#23477=PLANE('',#23476); +#23478=ORIENTED_EDGE('',*,*,#12506,.F.); +#23480=ORIENTED_EDGE('',*,*,#23479,.T.); +#23481=ORIENTED_EDGE('',*,*,#22843,.T.); +#23482=ORIENTED_EDGE('',*,*,#23355,.F.); +#23483=EDGE_LOOP('',(#23478,#23480,#23481,#23482)); +#23484=FACE_OUTER_BOUND('',#23483,.F.); +#23486=CARTESIAN_POINT('',(9.1555992E1,7.0495211E1,4.311724784545E0)); +#23487=DIRECTION('',(1.E0,0.E0,0.E0)); +#23488=DIRECTION('',(0.E0,1.E0,0.E0)); +#23489=AXIS2_PLACEMENT_3D('',#23486,#23487,#23488); +#23490=PLANE('',#23489); +#23491=ORIENTED_EDGE('',*,*,#12536,.F.); +#23493=ORIENTED_EDGE('',*,*,#23492,.T.); +#23494=ORIENTED_EDGE('',*,*,#22845,.T.); +#23495=ORIENTED_EDGE('',*,*,#23479,.F.); +#23496=EDGE_LOOP('',(#23491,#23493,#23494,#23495)); +#23497=FACE_OUTER_BOUND('',#23496,.F.); +#23499=CARTESIAN_POINT('',(9.0641592E1,7.0495211E1,4.311724784545E0)); +#23500=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23501=DIRECTION('',(1.E0,0.E0,0.E0)); +#23502=AXIS2_PLACEMENT_3D('',#23499,#23500,#23501); +#23503=PLANE('',#23502); +#23504=ORIENTED_EDGE('',*,*,#12534,.F.); +#23505=ORIENTED_EDGE('',*,*,#23358,.T.); +#23506=ORIENTED_EDGE('',*,*,#22847,.T.); +#23507=ORIENTED_EDGE('',*,*,#23492,.F.); +#23508=EDGE_LOOP('',(#23504,#23505,#23506,#23507)); +#23509=FACE_OUTER_BOUND('',#23508,.F.); +#23511=CARTESIAN_POINT('',(8.8736592E1,6.1203789E1,4.311724784545E0)); +#23512=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23513=DIRECTION('',(1.E0,0.E0,0.E0)); +#23514=AXIS2_PLACEMENT_3D('',#23511,#23512,#23513); +#23515=PLANE('',#23514); +#23516=ORIENTED_EDGE('',*,*,#12594,.F.); +#23518=ORIENTED_EDGE('',*,*,#23517,.T.); +#23519=ORIENTED_EDGE('',*,*,#22687,.T.); +#23520=ORIENTED_EDGE('',*,*,#22868,.F.); +#23521=EDGE_LOOP('',(#23516,#23518,#23519,#23520)); +#23522=FACE_OUTER_BOUND('',#23521,.F.); +#23524=CARTESIAN_POINT('',(8.8736592E1,6.2118189E1,4.311724784545E0)); +#23525=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23526=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23527=AXIS2_PLACEMENT_3D('',#23524,#23525,#23526); +#23528=PLANE('',#23527); +#23529=ORIENTED_EDGE('',*,*,#12592,.F.); +#23531=ORIENTED_EDGE('',*,*,#23530,.T.); +#23532=ORIENTED_EDGE('',*,*,#22689,.T.); +#23533=ORIENTED_EDGE('',*,*,#23517,.F.); +#23534=EDGE_LOOP('',(#23529,#23531,#23532,#23533)); +#23535=FACE_OUTER_BOUND('',#23534,.F.); +#23537=CARTESIAN_POINT('',(8.9650992E1,6.2118189E1,4.311724784545E0)); +#23538=DIRECTION('',(0.E0,1.E0,0.E0)); +#23539=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23540=AXIS2_PLACEMENT_3D('',#23537,#23538,#23539); +#23541=PLANE('',#23540); +#23542=ORIENTED_EDGE('',*,*,#12590,.F.); +#23543=ORIENTED_EDGE('',*,*,#22871,.T.); +#23544=ORIENTED_EDGE('',*,*,#22691,.T.); +#23545=ORIENTED_EDGE('',*,*,#23530,.F.); +#23546=EDGE_LOOP('',(#23542,#23543,#23544,#23545)); +#23547=FACE_OUTER_BOUND('',#23546,.F.); +#23549=CARTESIAN_POINT('',(9.7365792E1,6.714059E1,4.311724784545E0)); +#23550=DIRECTION('',(0.E0,1.E0,0.E0)); +#23551=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23552=AXIS2_PLACEMENT_3D('',#23549,#23550,#23551); +#23553=PLANE('',#23552); +#23554=ORIENTED_EDGE('',*,*,#12498,.F.); +#23556=ORIENTED_EDGE('',*,*,#23555,.T.); +#23557=ORIENTED_EDGE('',*,*,#22782,.T.); +#23558=ORIENTED_EDGE('',*,*,#22659,.F.); +#23559=EDGE_LOOP('',(#23554,#23556,#23557,#23558)); +#23560=FACE_OUTER_BOUND('',#23559,.F.); +#23562=CARTESIAN_POINT('',(9.7365792E1,6.6290589E1,4.311724784545E0)); +#23563=DIRECTION('',(1.E0,0.E0,0.E0)); +#23564=DIRECTION('',(0.E0,1.E0,0.E0)); +#23565=AXIS2_PLACEMENT_3D('',#23562,#23563,#23564); +#23566=PLANE('',#23565); +#23567=ORIENTED_EDGE('',*,*,#12496,.F.); +#23569=ORIENTED_EDGE('',*,*,#23568,.T.); +#23570=ORIENTED_EDGE('',*,*,#22784,.T.); +#23571=ORIENTED_EDGE('',*,*,#23555,.F.); +#23572=EDGE_LOOP('',(#23567,#23569,#23570,#23571)); +#23573=FACE_OUTER_BOUND('',#23572,.F.); +#23575=CARTESIAN_POINT('',(9.6515791E1,6.6290589E1,4.311724784545E0)); +#23576=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23577=DIRECTION('',(1.E0,0.E0,0.E0)); +#23578=AXIS2_PLACEMENT_3D('',#23575,#23576,#23577); +#23579=PLANE('',#23578); +#23580=ORIENTED_EDGE('',*,*,#12494,.F.); +#23581=ORIENTED_EDGE('',*,*,#22643,.T.); +#23582=ORIENTED_EDGE('',*,*,#22786,.T.); +#23583=ORIENTED_EDGE('',*,*,#23568,.F.); +#23584=EDGE_LOOP('',(#23580,#23581,#23582,#23583)); +#23585=FACE_OUTER_BOUND('',#23584,.F.); +#23587=CARTESIAN_POINT('',(9.7365792E1,6.9072811E1,4.311724784545E0)); +#23588=DIRECTION('',(0.E0,1.E0,0.E0)); +#23589=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23590=AXIS2_PLACEMENT_3D('',#23587,#23588,#23589); +#23591=PLANE('',#23590); +#23592=ORIENTED_EDGE('',*,*,#12474,.F.); +#23593=ORIENTED_EDGE('',*,*,#22617,.T.); +#23594=ORIENTED_EDGE('',*,*,#22797,.T.); +#23595=ORIENTED_EDGE('',*,*,#22653,.F.); +#23596=EDGE_LOOP('',(#23592,#23593,#23594,#23595)); +#23597=FACE_OUTER_BOUND('',#23596,.F.); +#23599=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.311724784545E0)); +#23600=DIRECTION('',(0.E0,1.E0,0.E0)); +#23601=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23602=AXIS2_PLACEMENT_3D('',#23599,#23600,#23601); +#23603=PLANE('',#23602); +#23604=ORIENTED_EDGE('',*,*,#12608,.F.); +#23606=ORIENTED_EDGE('',*,*,#23605,.T.); +#23608=ORIENTED_EDGE('',*,*,#23607,.T.); +#23610=ORIENTED_EDGE('',*,*,#23609,.F.); +#23611=EDGE_LOOP('',(#23604,#23606,#23608,#23610)); +#23612=FACE_OUTER_BOUND('',#23611,.F.); +#23614=CARTESIAN_POINT('',(9.3245589E1,6.0466199E1,4.311724784545E0)); +#23615=DIRECTION('',(0.E0,1.E0,0.E0)); +#23616=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23617=AXIS2_PLACEMENT_3D('',#23614,#23615,#23616); +#23618=PLANE('',#23617); +#23619=ORIENTED_EDGE('',*,*,#12616,.F.); +#23621=ORIENTED_EDGE('',*,*,#23620,.T.); +#23623=ORIENTED_EDGE('',*,*,#23622,.T.); +#23625=ORIENTED_EDGE('',*,*,#23624,.F.); +#23626=EDGE_LOOP('',(#23619,#23621,#23623,#23625)); +#23627=FACE_OUTER_BOUND('',#23626,.F.); +#23629=CARTESIAN_POINT('',(9.3245589E1,5.9066201E1,4.311724784545E0)); +#23630=DIRECTION('',(1.E0,0.E0,0.E0)); +#23631=DIRECTION('',(0.E0,1.E0,0.E0)); +#23632=AXIS2_PLACEMENT_3D('',#23629,#23630,#23631); +#23633=PLANE('',#23632); +#23634=ORIENTED_EDGE('',*,*,#12630,.F.); +#23636=ORIENTED_EDGE('',*,*,#23635,.T.); +#23638=ORIENTED_EDGE('',*,*,#23637,.T.); +#23639=ORIENTED_EDGE('',*,*,#23605,.F.); +#23640=EDGE_LOOP('',(#23634,#23636,#23638,#23639)); +#23641=FACE_OUTER_BOUND('',#23640,.F.); +#23643=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.311724784545E0)); +#23644=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23645=DIRECTION('',(1.E0,0.E0,0.E0)); +#23646=AXIS2_PLACEMENT_3D('',#23643,#23644,#23645); +#23647=PLANE('',#23646); +#23648=ORIENTED_EDGE('',*,*,#12620,.F.); +#23650=ORIENTED_EDGE('',*,*,#23649,.T.); +#23652=ORIENTED_EDGE('',*,*,#23651,.T.); +#23654=ORIENTED_EDGE('',*,*,#23653,.F.); +#23655=EDGE_LOOP('',(#23648,#23650,#23652,#23654)); +#23656=FACE_OUTER_BOUND('',#23655,.F.); +#23658=CARTESIAN_POINT('',(8.8545594E1,5.9066201E1,4.311724784545E0)); +#23659=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23660=DIRECTION('',(1.E0,0.E0,0.E0)); +#23661=AXIS2_PLACEMENT_3D('',#23658,#23659,#23660); +#23662=PLANE('',#23661); +#23663=ORIENTED_EDGE('',*,*,#12628,.F.); +#23665=ORIENTED_EDGE('',*,*,#23664,.T.); +#23667=ORIENTED_EDGE('',*,*,#23666,.T.); +#23668=ORIENTED_EDGE('',*,*,#23635,.F.); +#23669=EDGE_LOOP('',(#23663,#23665,#23667,#23668)); +#23670=FACE_OUTER_BOUND('',#23669,.F.); +#23672=CARTESIAN_POINT('',(8.8545594E1,6.0466199E1,4.311724784545E0)); +#23673=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23674=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23675=AXIS2_PLACEMENT_3D('',#23672,#23673,#23674); +#23676=PLANE('',#23675); +#23677=ORIENTED_EDGE('',*,*,#12618,.F.); +#23678=ORIENTED_EDGE('',*,*,#23624,.T.); +#23680=ORIENTED_EDGE('',*,*,#23679,.T.); +#23681=ORIENTED_EDGE('',*,*,#23649,.F.); +#23682=EDGE_LOOP('',(#23677,#23678,#23680,#23681)); +#23683=FACE_OUTER_BOUND('',#23682,.F.); +#23685=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#23686=DIRECTION('',(0.E0,0.E0,1.E0)); +#23687=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23688=AXIS2_PLACEMENT_3D('',#23685,#23686,#23687); +#23689=PLANE('',#23688); +#23691=ORIENTED_EDGE('',*,*,#23690,.T.); +#23692=ORIENTED_EDGE('',*,*,#23651,.F.); +#23693=ORIENTED_EDGE('',*,*,#23679,.F.); +#23694=ORIENTED_EDGE('',*,*,#23622,.F.); +#23695=EDGE_LOOP('',(#23691,#23692,#23693,#23694)); +#23696=FACE_OUTER_BOUND('',#23695,.F.); +#23698=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#23699=DIRECTION('',(0.E0,0.E0,1.E0)); +#23700=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23701=AXIS2_PLACEMENT_3D('',#23698,#23699,#23700); +#23702=PLANE('',#23701); +#23704=ORIENTED_EDGE('',*,*,#23703,.F.); +#23705=ORIENTED_EDGE('',*,*,#23607,.F.); +#23706=ORIENTED_EDGE('',*,*,#23637,.F.); +#23707=ORIENTED_EDGE('',*,*,#23666,.F.); +#23708=EDGE_LOOP('',(#23704,#23705,#23706,#23707)); +#23709=FACE_OUTER_BOUND('',#23708,.F.); +#23711=CARTESIAN_POINT('',(8.9495592E1,6.0666201E1,4.311724784545E0)); +#23712=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23713=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23714=AXIS2_PLACEMENT_3D('',#23711,#23712,#23713); +#23715=PLANE('',#23714); +#23716=ORIENTED_EDGE('',*,*,#23690,.F.); +#23717=ORIENTED_EDGE('',*,*,#23620,.F.); +#23718=ORIENTED_EDGE('',*,*,#12614,.F.); +#23720=ORIENTED_EDGE('',*,*,#23719,.T.); +#23722=ORIENTED_EDGE('',*,*,#23721,.T.); +#23724=ORIENTED_EDGE('',*,*,#23723,.F.); +#23725=ORIENTED_EDGE('',*,*,#12622,.F.); +#23726=ORIENTED_EDGE('',*,*,#23653,.T.); +#23727=EDGE_LOOP('',(#23716,#23717,#23718,#23720,#23722,#23724,#23725,#23726)); +#23728=FACE_OUTER_BOUND('',#23727,.F.); +#23730=CARTESIAN_POINT('',(9.2295591E1,6.0666201E1,4.311724784545E0)); +#23731=DIRECTION('',(0.E0,1.E0,0.E0)); +#23732=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23733=AXIS2_PLACEMENT_3D('',#23730,#23731,#23732); +#23734=PLANE('',#23733); +#23735=ORIENTED_EDGE('',*,*,#12612,.F.); +#23737=ORIENTED_EDGE('',*,*,#23736,.T.); +#23739=ORIENTED_EDGE('',*,*,#23738,.T.); +#23740=ORIENTED_EDGE('',*,*,#23719,.F.); +#23741=EDGE_LOOP('',(#23735,#23737,#23739,#23740)); +#23742=FACE_OUTER_BOUND('',#23741,.F.); +#23744=CARTESIAN_POINT('',(9.2295591E1,5.8866199E1,4.311724784545E0)); +#23745=DIRECTION('',(1.E0,0.E0,0.E0)); +#23746=DIRECTION('',(0.E0,1.E0,0.E0)); +#23747=AXIS2_PLACEMENT_3D('',#23744,#23745,#23746); +#23748=PLANE('',#23747); +#23749=ORIENTED_EDGE('',*,*,#23703,.T.); +#23750=ORIENTED_EDGE('',*,*,#23664,.F.); +#23751=ORIENTED_EDGE('',*,*,#12626,.F.); +#23753=ORIENTED_EDGE('',*,*,#23752,.T.); +#23755=ORIENTED_EDGE('',*,*,#23754,.T.); +#23756=ORIENTED_EDGE('',*,*,#23736,.F.); +#23757=ORIENTED_EDGE('',*,*,#12610,.F.); +#23758=ORIENTED_EDGE('',*,*,#23609,.T.); +#23759=EDGE_LOOP('',(#23749,#23750,#23751,#23753,#23755,#23756,#23757,#23758)); +#23760=FACE_OUTER_BOUND('',#23759,.F.); +#23762=CARTESIAN_POINT('',(8.9495592E1,5.8866199E1,4.311724784545E0)); +#23763=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23764=DIRECTION('',(1.E0,0.E0,0.E0)); +#23765=AXIS2_PLACEMENT_3D('',#23762,#23763,#23764); +#23766=PLANE('',#23765); +#23767=ORIENTED_EDGE('',*,*,#12624,.F.); +#23768=ORIENTED_EDGE('',*,*,#23723,.T.); +#23770=ORIENTED_EDGE('',*,*,#23769,.T.); +#23771=ORIENTED_EDGE('',*,*,#23752,.F.); +#23772=EDGE_LOOP('',(#23767,#23768,#23770,#23771)); +#23773=FACE_OUTER_BOUND('',#23772,.F.); +#23775=CARTESIAN_POINT('',(0.E0,0.E0,5.511724784545E0)); +#23776=DIRECTION('',(0.E0,0.E0,1.E0)); +#23777=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23778=AXIS2_PLACEMENT_3D('',#23775,#23776,#23777); +#23779=PLANE('',#23778); +#23780=ORIENTED_EDGE('',*,*,#23738,.F.); +#23781=ORIENTED_EDGE('',*,*,#23754,.F.); +#23782=ORIENTED_EDGE('',*,*,#23769,.F.); +#23783=ORIENTED_EDGE('',*,*,#23721,.F.); +#23784=EDGE_LOOP('',(#23780,#23781,#23782,#23783)); +#23785=FACE_OUTER_BOUND('',#23784,.F.); +#23787=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.311724784545E0)); +#23788=DIRECTION('',(0.E0,1.E0,0.E0)); +#23789=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23790=AXIS2_PLACEMENT_3D('',#23787,#23788,#23789); +#23791=PLANE('',#23790); +#23792=ORIENTED_EDGE('',*,*,#12634,.F.); +#23794=ORIENTED_EDGE('',*,*,#23793,.T.); +#23796=ORIENTED_EDGE('',*,*,#23795,.T.); +#23798=ORIENTED_EDGE('',*,*,#23797,.F.); +#23799=EDGE_LOOP('',(#23792,#23794,#23796,#23798)); +#23800=FACE_OUTER_BOUND('',#23799,.F.); +#23802=CARTESIAN_POINT('',(9.9449588E1,6.4622997E1,4.311724784545E0)); +#23803=DIRECTION('',(0.E0,1.E0,0.E0)); +#23804=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23805=AXIS2_PLACEMENT_3D('',#23802,#23803,#23804); +#23806=PLANE('',#23805); +#23807=ORIENTED_EDGE('',*,*,#12642,.F.); +#23809=ORIENTED_EDGE('',*,*,#23808,.T.); +#23811=ORIENTED_EDGE('',*,*,#23810,.T.); +#23813=ORIENTED_EDGE('',*,*,#23812,.F.); +#23814=EDGE_LOOP('',(#23807,#23809,#23811,#23813)); +#23815=FACE_OUTER_BOUND('',#23814,.F.); +#23817=CARTESIAN_POINT('',(9.9449588E1,6.4022999E1,4.311724784545E0)); +#23818=DIRECTION('',(1.E0,0.E0,0.E0)); +#23819=DIRECTION('',(0.E0,1.E0,0.E0)); +#23820=AXIS2_PLACEMENT_3D('',#23817,#23818,#23819); +#23821=PLANE('',#23820); +#23822=ORIENTED_EDGE('',*,*,#12680,.F.); +#23824=ORIENTED_EDGE('',*,*,#23823,.T.); +#23826=ORIENTED_EDGE('',*,*,#23825,.T.); +#23827=ORIENTED_EDGE('',*,*,#23793,.F.); +#23828=EDGE_LOOP('',(#23822,#23824,#23826,#23827)); +#23829=FACE_OUTER_BOUND('',#23828,.F.); +#23831=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.311724784545E0)); +#23832=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23833=DIRECTION('',(1.E0,0.E0,0.E0)); +#23834=AXIS2_PLACEMENT_3D('',#23831,#23832,#23833); +#23835=PLANE('',#23834); +#23836=ORIENTED_EDGE('',*,*,#12646,.F.); +#23838=ORIENTED_EDGE('',*,*,#23837,.T.); +#23840=ORIENTED_EDGE('',*,*,#23839,.T.); +#23842=ORIENTED_EDGE('',*,*,#23841,.F.); +#23843=EDGE_LOOP('',(#23836,#23838,#23840,#23842)); +#23844=FACE_OUTER_BOUND('',#23843,.F.); +#23846=CARTESIAN_POINT('',(9.554959E1,6.4022999E1,4.311724784545E0)); +#23847=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23848=DIRECTION('',(1.E0,0.E0,0.E0)); +#23849=AXIS2_PLACEMENT_3D('',#23846,#23847,#23848); +#23850=PLANE('',#23849); +#23851=ORIENTED_EDGE('',*,*,#12678,.F.); +#23853=ORIENTED_EDGE('',*,*,#23852,.T.); +#23855=ORIENTED_EDGE('',*,*,#23854,.T.); +#23856=ORIENTED_EDGE('',*,*,#23823,.F.); +#23857=EDGE_LOOP('',(#23851,#23853,#23855,#23856)); +#23858=FACE_OUTER_BOUND('',#23857,.F.); +#23860=CARTESIAN_POINT('',(9.554959E1,6.4622997E1,4.311724784545E0)); +#23861=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23862=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23863=AXIS2_PLACEMENT_3D('',#23860,#23861,#23862); +#23864=PLANE('',#23863); +#23865=ORIENTED_EDGE('',*,*,#12644,.F.); +#23866=ORIENTED_EDGE('',*,*,#23812,.T.); +#23868=ORIENTED_EDGE('',*,*,#23867,.T.); +#23869=ORIENTED_EDGE('',*,*,#23837,.F.); +#23870=EDGE_LOOP('',(#23865,#23866,#23868,#23869)); +#23871=FACE_OUTER_BOUND('',#23870,.F.); +#23873=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#23874=DIRECTION('',(0.E0,0.E0,1.E0)); +#23875=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23876=AXIS2_PLACEMENT_3D('',#23873,#23874,#23875); +#23877=PLANE('',#23876); +#23879=ORIENTED_EDGE('',*,*,#23878,.T.); +#23880=ORIENTED_EDGE('',*,*,#23839,.F.); +#23881=ORIENTED_EDGE('',*,*,#23867,.F.); +#23882=ORIENTED_EDGE('',*,*,#23810,.F.); +#23883=EDGE_LOOP('',(#23879,#23880,#23881,#23882)); +#23884=FACE_OUTER_BOUND('',#23883,.F.); +#23886=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#23887=DIRECTION('',(0.E0,0.E0,1.E0)); +#23888=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23889=AXIS2_PLACEMENT_3D('',#23886,#23887,#23888); +#23890=PLANE('',#23889); +#23892=ORIENTED_EDGE('',*,*,#23891,.T.); +#23894=ORIENTED_EDGE('',*,*,#23893,.F.); +#23896=ORIENTED_EDGE('',*,*,#23895,.F.); +#23898=ORIENTED_EDGE('',*,*,#23897,.F.); +#23899=EDGE_LOOP('',(#23892,#23894,#23896,#23898)); +#23900=FACE_OUTER_BOUND('',#23899,.F.); +#23902=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#23903=DIRECTION('',(0.E0,0.E0,1.E0)); +#23904=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23905=AXIS2_PLACEMENT_3D('',#23902,#23903,#23904); +#23906=PLANE('',#23905); +#23908=ORIENTED_EDGE('',*,*,#23907,.T.); +#23910=ORIENTED_EDGE('',*,*,#23909,.F.); +#23912=ORIENTED_EDGE('',*,*,#23911,.F.); +#23914=ORIENTED_EDGE('',*,*,#23913,.F.); +#23915=EDGE_LOOP('',(#23908,#23910,#23912,#23914)); +#23916=FACE_OUTER_BOUND('',#23915,.F.); +#23918=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#23919=DIRECTION('',(0.E0,0.E0,1.E0)); +#23920=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23921=AXIS2_PLACEMENT_3D('',#23918,#23919,#23920); +#23922=PLANE('',#23921); +#23924=ORIENTED_EDGE('',*,*,#23923,.F.); +#23925=ORIENTED_EDGE('',*,*,#23795,.F.); +#23926=ORIENTED_EDGE('',*,*,#23825,.F.); +#23927=ORIENTED_EDGE('',*,*,#23854,.F.); +#23928=EDGE_LOOP('',(#23924,#23925,#23926,#23927)); +#23929=FACE_OUTER_BOUND('',#23928,.F.); +#23931=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#23932=DIRECTION('',(0.E0,0.E0,1.E0)); +#23933=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23934=AXIS2_PLACEMENT_3D('',#23931,#23932,#23933); +#23935=PLANE('',#23934); +#23937=ORIENTED_EDGE('',*,*,#23936,.F.); +#23939=ORIENTED_EDGE('',*,*,#23938,.F.); +#23941=ORIENTED_EDGE('',*,*,#23940,.F.); +#23943=ORIENTED_EDGE('',*,*,#23942,.F.); +#23944=EDGE_LOOP('',(#23937,#23939,#23941,#23943)); +#23945=FACE_OUTER_BOUND('',#23944,.F.); +#23947=CARTESIAN_POINT('',(9.6599588E1,6.4922997E1,4.311724784545E0)); +#23948=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23949=DIRECTION('',(0.E0,-1.E0,0.E0)); +#23950=AXIS2_PLACEMENT_3D('',#23947,#23948,#23949); +#23951=PLANE('',#23950); +#23952=ORIENTED_EDGE('',*,*,#23878,.F.); +#23953=ORIENTED_EDGE('',*,*,#23808,.F.); +#23954=ORIENTED_EDGE('',*,*,#12640,.F.); +#23956=ORIENTED_EDGE('',*,*,#23955,.T.); +#23958=ORIENTED_EDGE('',*,*,#23957,.T.); +#23960=ORIENTED_EDGE('',*,*,#23959,.F.); +#23961=ORIENTED_EDGE('',*,*,#12664,.F.); +#23963=ORIENTED_EDGE('',*,*,#23962,.T.); +#23964=ORIENTED_EDGE('',*,*,#23907,.F.); +#23966=ORIENTED_EDGE('',*,*,#23965,.F.); +#23967=ORIENTED_EDGE('',*,*,#12656,.F.); +#23969=ORIENTED_EDGE('',*,*,#23968,.T.); +#23970=ORIENTED_EDGE('',*,*,#23891,.F.); +#23972=ORIENTED_EDGE('',*,*,#23971,.F.); +#23973=ORIENTED_EDGE('',*,*,#12648,.F.); +#23974=ORIENTED_EDGE('',*,*,#23841,.T.); +#23975=EDGE_LOOP('',(#23952,#23953,#23954,#23956,#23958,#23960,#23961,#23963, +#23964,#23966,#23967,#23969,#23970,#23972,#23973,#23974)); +#23976=FACE_OUTER_BOUND('',#23975,.F.); +#23978=CARTESIAN_POINT('',(9.839959E1,6.4922997E1,4.311724784545E0)); +#23979=DIRECTION('',(0.E0,1.E0,0.E0)); +#23980=DIRECTION('',(-1.E0,0.E0,0.E0)); +#23981=AXIS2_PLACEMENT_3D('',#23978,#23979,#23980); +#23982=PLANE('',#23981); +#23983=ORIENTED_EDGE('',*,*,#12638,.F.); +#23985=ORIENTED_EDGE('',*,*,#23984,.T.); +#23987=ORIENTED_EDGE('',*,*,#23986,.T.); +#23988=ORIENTED_EDGE('',*,*,#23955,.F.); +#23989=EDGE_LOOP('',(#23983,#23985,#23987,#23988)); +#23990=FACE_OUTER_BOUND('',#23989,.F.); +#23992=CARTESIAN_POINT('',(9.839959E1,6.1822998E1,4.311724784545E0)); +#23993=DIRECTION('',(1.E0,0.E0,0.E0)); +#23994=DIRECTION('',(0.E0,1.E0,0.E0)); +#23995=AXIS2_PLACEMENT_3D('',#23992,#23993,#23994); +#23996=PLANE('',#23995); +#23997=ORIENTED_EDGE('',*,*,#23923,.T.); +#23998=ORIENTED_EDGE('',*,*,#23852,.F.); +#23999=ORIENTED_EDGE('',*,*,#12676,.F.); +#24001=ORIENTED_EDGE('',*,*,#24000,.T.); +#24002=ORIENTED_EDGE('',*,*,#23936,.T.); +#24004=ORIENTED_EDGE('',*,*,#24003,.F.); +#24005=ORIENTED_EDGE('',*,*,#12668,.F.); +#24007=ORIENTED_EDGE('',*,*,#24006,.T.); +#24009=ORIENTED_EDGE('',*,*,#24008,.T.); +#24010=ORIENTED_EDGE('',*,*,#23984,.F.); +#24011=ORIENTED_EDGE('',*,*,#12636,.F.); +#24012=ORIENTED_EDGE('',*,*,#23797,.T.); +#24013=EDGE_LOOP('',(#23997,#23998,#23999,#24001,#24002,#24004,#24005,#24007, +#24009,#24010,#24011,#24012)); +#24014=FACE_OUTER_BOUND('',#24013,.F.); +#24016=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.311724784545E0)); +#24017=DIRECTION('',(0.E0,1.E0,0.E0)); +#24018=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24019=AXIS2_PLACEMENT_3D('',#24016,#24017,#24018); +#24020=PLANE('',#24019); +#24021=ORIENTED_EDGE('',*,*,#12674,.F.); +#24023=ORIENTED_EDGE('',*,*,#24022,.T.); +#24024=ORIENTED_EDGE('',*,*,#23938,.T.); +#24025=ORIENTED_EDGE('',*,*,#24000,.F.); +#24026=EDGE_LOOP('',(#24021,#24023,#24024,#24025)); +#24027=FACE_OUTER_BOUND('',#24026,.F.); +#24029=CARTESIAN_POINT('',(9.9449588E1,6.2722999E1,4.311724784545E0)); +#24030=DIRECTION('',(0.E0,1.E0,0.E0)); +#24031=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24032=AXIS2_PLACEMENT_3D('',#24029,#24030,#24031); +#24033=PLANE('',#24032); +#24034=ORIENTED_EDGE('',*,*,#12658,.F.); +#24035=ORIENTED_EDGE('',*,*,#23965,.T.); +#24036=ORIENTED_EDGE('',*,*,#23913,.T.); +#24038=ORIENTED_EDGE('',*,*,#24037,.F.); +#24039=EDGE_LOOP('',(#24034,#24035,#24036,#24038)); +#24040=FACE_OUTER_BOUND('',#24039,.F.); +#24042=CARTESIAN_POINT('',(9.9449588E1,6.2123E1,4.311724784545E0)); +#24043=DIRECTION('',(1.E0,0.E0,0.E0)); +#24044=DIRECTION('',(0.E0,1.E0,0.E0)); +#24045=AXIS2_PLACEMENT_3D('',#24042,#24043,#24044); +#24046=PLANE('',#24045); +#24047=ORIENTED_EDGE('',*,*,#12672,.F.); +#24049=ORIENTED_EDGE('',*,*,#24048,.T.); +#24050=ORIENTED_EDGE('',*,*,#23940,.T.); +#24051=ORIENTED_EDGE('',*,*,#24022,.F.); +#24052=EDGE_LOOP('',(#24047,#24049,#24050,#24051)); +#24053=FACE_OUTER_BOUND('',#24052,.F.); +#24055=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.311724784545E0)); +#24056=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24057=DIRECTION('',(1.E0,0.E0,0.E0)); +#24058=AXIS2_PLACEMENT_3D('',#24055,#24056,#24057); +#24059=PLANE('',#24058); +#24060=ORIENTED_EDGE('',*,*,#12662,.F.); +#24062=ORIENTED_EDGE('',*,*,#24061,.T.); +#24063=ORIENTED_EDGE('',*,*,#23909,.T.); +#24064=ORIENTED_EDGE('',*,*,#23962,.F.); +#24065=EDGE_LOOP('',(#24060,#24062,#24063,#24064)); +#24066=FACE_OUTER_BOUND('',#24065,.F.); +#24068=CARTESIAN_POINT('',(9.554959E1,6.2123E1,4.311724784545E0)); +#24069=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24070=DIRECTION('',(1.E0,0.E0,0.E0)); +#24071=AXIS2_PLACEMENT_3D('',#24068,#24069,#24070); +#24072=PLANE('',#24071); +#24073=ORIENTED_EDGE('',*,*,#12670,.F.); +#24074=ORIENTED_EDGE('',*,*,#24003,.T.); +#24075=ORIENTED_EDGE('',*,*,#23942,.T.); +#24076=ORIENTED_EDGE('',*,*,#24048,.F.); +#24077=EDGE_LOOP('',(#24073,#24074,#24075,#24076)); +#24078=FACE_OUTER_BOUND('',#24077,.F.); +#24080=CARTESIAN_POINT('',(9.554959E1,6.2722999E1,4.311724784545E0)); +#24081=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24082=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24083=AXIS2_PLACEMENT_3D('',#24080,#24081,#24082); +#24084=PLANE('',#24083); +#24085=ORIENTED_EDGE('',*,*,#12660,.F.); +#24086=ORIENTED_EDGE('',*,*,#24037,.T.); +#24087=ORIENTED_EDGE('',*,*,#23911,.T.); +#24088=ORIENTED_EDGE('',*,*,#24061,.F.); +#24089=EDGE_LOOP('',(#24085,#24086,#24087,#24088)); +#24090=FACE_OUTER_BOUND('',#24089,.F.); +#24092=CARTESIAN_POINT('',(9.6599588E1,6.1822998E1,4.311724784545E0)); +#24093=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24094=DIRECTION('',(1.E0,0.E0,0.E0)); +#24095=AXIS2_PLACEMENT_3D('',#24092,#24093,#24094); +#24096=PLANE('',#24095); +#24097=ORIENTED_EDGE('',*,*,#12666,.F.); +#24098=ORIENTED_EDGE('',*,*,#23959,.T.); +#24100=ORIENTED_EDGE('',*,*,#24099,.T.); +#24101=ORIENTED_EDGE('',*,*,#24006,.F.); +#24102=EDGE_LOOP('',(#24097,#24098,#24100,#24101)); +#24103=FACE_OUTER_BOUND('',#24102,.F.); +#24105=CARTESIAN_POINT('',(0.E0,0.E0,5.811724784545E0)); +#24106=DIRECTION('',(0.E0,0.E0,1.E0)); +#24107=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24108=AXIS2_PLACEMENT_3D('',#24105,#24106,#24107); +#24109=PLANE('',#24108); +#24110=ORIENTED_EDGE('',*,*,#23986,.F.); +#24111=ORIENTED_EDGE('',*,*,#24008,.F.); +#24112=ORIENTED_EDGE('',*,*,#24099,.F.); +#24113=ORIENTED_EDGE('',*,*,#23957,.F.); +#24114=EDGE_LOOP('',(#24110,#24111,#24112,#24113)); +#24115=FACE_OUTER_BOUND('',#24114,.F.); +#24117=CARTESIAN_POINT('',(9.554959E1,6.3072998E1,4.311724784545E0)); +#24118=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24119=DIRECTION('',(1.E0,0.E0,0.E0)); +#24120=AXIS2_PLACEMENT_3D('',#24117,#24118,#24119); +#24121=PLANE('',#24120); +#24122=ORIENTED_EDGE('',*,*,#12654,.F.); +#24124=ORIENTED_EDGE('',*,*,#24123,.T.); +#24125=ORIENTED_EDGE('',*,*,#23893,.T.); +#24126=ORIENTED_EDGE('',*,*,#23968,.F.); +#24127=EDGE_LOOP('',(#24122,#24124,#24125,#24126)); +#24128=FACE_OUTER_BOUND('',#24127,.F.); +#24130=CARTESIAN_POINT('',(9.554959E1,6.3672997E1,4.311724784545E0)); +#24131=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24132=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24133=AXIS2_PLACEMENT_3D('',#24130,#24131,#24132); +#24134=PLANE('',#24133); +#24135=ORIENTED_EDGE('',*,*,#12652,.F.); +#24137=ORIENTED_EDGE('',*,*,#24136,.T.); +#24138=ORIENTED_EDGE('',*,*,#23895,.T.); +#24139=ORIENTED_EDGE('',*,*,#24123,.F.); +#24140=EDGE_LOOP('',(#24135,#24137,#24138,#24139)); +#24141=FACE_OUTER_BOUND('',#24140,.F.); +#24143=CARTESIAN_POINT('',(9.6649588E1,6.3672997E1,4.311724784545E0)); +#24144=DIRECTION('',(0.E0,1.E0,0.E0)); +#24145=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24146=AXIS2_PLACEMENT_3D('',#24143,#24144,#24145); +#24147=PLANE('',#24146); +#24148=ORIENTED_EDGE('',*,*,#12650,.F.); +#24149=ORIENTED_EDGE('',*,*,#23971,.T.); +#24150=ORIENTED_EDGE('',*,*,#23897,.T.); +#24151=ORIENTED_EDGE('',*,*,#24136,.F.); +#24152=EDGE_LOOP('',(#24148,#24149,#24150,#24151)); +#24153=FACE_OUTER_BOUND('',#24152,.F.); +#24155=CARTESIAN_POINT('',(9.7513394E1,5.8110001E1,4.311724784545E0)); +#24156=DIRECTION('',(0.E0,1.E0,0.E0)); +#24157=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24158=AXIS2_PLACEMENT_3D('',#24155,#24156,#24157); +#24159=PLANE('',#24158); +#24160=ORIENTED_EDGE('',*,*,#12684,.F.); +#24162=ORIENTED_EDGE('',*,*,#24161,.T.); +#24164=ORIENTED_EDGE('',*,*,#24163,.T.); +#24166=ORIENTED_EDGE('',*,*,#24165,.F.); +#24167=EDGE_LOOP('',(#24160,#24162,#24164,#24166)); +#24168=FACE_OUTER_BOUND('',#24167,.F.); +#24170=CARTESIAN_POINT('',(9.7513394E1,5.7358399E1,4.311724784545E0)); +#24171=DIRECTION('',(1.E0,0.E0,0.E0)); +#24172=DIRECTION('',(0.E0,1.E0,0.E0)); +#24173=AXIS2_PLACEMENT_3D('',#24170,#24171,#24172); +#24174=PLANE('',#24173); +#24175=ORIENTED_EDGE('',*,*,#12690,.F.); +#24177=ORIENTED_EDGE('',*,*,#24176,.T.); +#24179=ORIENTED_EDGE('',*,*,#24178,.T.); +#24180=ORIENTED_EDGE('',*,*,#24161,.F.); +#24181=EDGE_LOOP('',(#24175,#24177,#24179,#24180)); +#24182=FACE_OUTER_BOUND('',#24181,.F.); +#24184=CARTESIAN_POINT('',(9.5961794E1,5.7358399E1,4.311724784545E0)); +#24185=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24186=DIRECTION('',(1.E0,0.E0,0.E0)); +#24187=AXIS2_PLACEMENT_3D('',#24184,#24185,#24186); +#24188=PLANE('',#24187); +#24189=ORIENTED_EDGE('',*,*,#12688,.F.); +#24191=ORIENTED_EDGE('',*,*,#24190,.T.); +#24193=ORIENTED_EDGE('',*,*,#24192,.T.); +#24194=ORIENTED_EDGE('',*,*,#24176,.F.); +#24195=EDGE_LOOP('',(#24189,#24191,#24193,#24194)); +#24196=FACE_OUTER_BOUND('',#24195,.F.); +#24198=CARTESIAN_POINT('',(9.5961794E1,5.8110001E1,4.311724784545E0)); +#24199=DIRECTION('',(-1.E0,1.890742003774E-14,0.E0)); +#24200=DIRECTION('',(-1.890742003774E-14,-1.E0,0.E0)); +#24201=AXIS2_PLACEMENT_3D('',#24198,#24199,#24200); +#24202=PLANE('',#24201); +#24203=ORIENTED_EDGE('',*,*,#12686,.F.); +#24204=ORIENTED_EDGE('',*,*,#24165,.T.); +#24206=ORIENTED_EDGE('',*,*,#24205,.T.); +#24207=ORIENTED_EDGE('',*,*,#24190,.F.); +#24208=EDGE_LOOP('',(#24203,#24204,#24206,#24207)); +#24209=FACE_OUTER_BOUND('',#24208,.F.); +#24211=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#24212=DIRECTION('',(0.E0,0.E0,1.E0)); +#24213=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24214=AXIS2_PLACEMENT_3D('',#24211,#24212,#24213); +#24215=PLANE('',#24214); +#24216=ORIENTED_EDGE('',*,*,#24163,.F.); +#24217=ORIENTED_EDGE('',*,*,#24178,.F.); +#24218=ORIENTED_EDGE('',*,*,#24192,.F.); +#24219=ORIENTED_EDGE('',*,*,#24205,.F.); +#24220=EDGE_LOOP('',(#24216,#24217,#24218,#24219)); +#24221=FACE_OUTER_BOUND('',#24220,.F.); +#24223=CARTESIAN_POINT('',(9.5093988E1,6.1204401E1,4.311724784545E0)); +#24224=DIRECTION('',(0.E0,1.E0,0.E0)); +#24225=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24226=AXIS2_PLACEMENT_3D('',#24223,#24224,#24225); +#24227=PLANE('',#24226); +#24228=ORIENTED_EDGE('',*,*,#12694,.F.); +#24230=ORIENTED_EDGE('',*,*,#24229,.T.); +#24232=ORIENTED_EDGE('',*,*,#24231,.T.); +#24234=ORIENTED_EDGE('',*,*,#24233,.F.); +#24235=EDGE_LOOP('',(#24228,#24230,#24232,#24234)); +#24236=FACE_OUTER_BOUND('',#24235,.F.); +#24238=CARTESIAN_POINT('',(9.5093988E1,5.8531199E1,4.311724784545E0)); +#24239=DIRECTION('',(1.E0,0.E0,0.E0)); +#24240=DIRECTION('',(0.E0,1.E0,0.E0)); +#24241=AXIS2_PLACEMENT_3D('',#24238,#24239,#24240); +#24242=PLANE('',#24241); +#24243=ORIENTED_EDGE('',*,*,#12700,.F.); +#24245=ORIENTED_EDGE('',*,*,#24244,.T.); +#24247=ORIENTED_EDGE('',*,*,#24246,.T.); +#24248=ORIENTED_EDGE('',*,*,#24229,.F.); +#24249=EDGE_LOOP('',(#24243,#24245,#24247,#24248)); +#24250=FACE_OUTER_BOUND('',#24249,.F.); +#24252=CARTESIAN_POINT('',(9.391079E1,5.8531199E1,4.311724784545E0)); +#24253=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24254=DIRECTION('',(1.E0,0.E0,0.E0)); +#24255=AXIS2_PLACEMENT_3D('',#24252,#24253,#24254); +#24256=PLANE('',#24255); +#24257=ORIENTED_EDGE('',*,*,#12698,.F.); +#24259=ORIENTED_EDGE('',*,*,#24258,.T.); +#24261=ORIENTED_EDGE('',*,*,#24260,.T.); +#24262=ORIENTED_EDGE('',*,*,#24244,.F.); +#24263=EDGE_LOOP('',(#24257,#24259,#24261,#24262)); +#24264=FACE_OUTER_BOUND('',#24263,.F.); +#24266=CARTESIAN_POINT('',(9.391079E1,6.1204401E1,4.311724784545E0)); +#24267=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24268=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24269=AXIS2_PLACEMENT_3D('',#24266,#24267,#24268); +#24270=PLANE('',#24269); +#24271=ORIENTED_EDGE('',*,*,#12696,.F.); +#24272=ORIENTED_EDGE('',*,*,#24233,.T.); +#24274=ORIENTED_EDGE('',*,*,#24273,.T.); +#24275=ORIENTED_EDGE('',*,*,#24258,.F.); +#24276=EDGE_LOOP('',(#24271,#24272,#24274,#24275)); +#24277=FACE_OUTER_BOUND('',#24276,.F.); +#24279=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#24280=DIRECTION('',(0.E0,0.E0,1.E0)); +#24281=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24282=AXIS2_PLACEMENT_3D('',#24279,#24280,#24281); +#24283=PLANE('',#24282); +#24284=ORIENTED_EDGE('',*,*,#24231,.F.); +#24285=ORIENTED_EDGE('',*,*,#24246,.F.); +#24286=ORIENTED_EDGE('',*,*,#24260,.F.); +#24287=ORIENTED_EDGE('',*,*,#24273,.F.); +#24288=EDGE_LOOP('',(#24284,#24285,#24286,#24287)); +#24289=FACE_OUTER_BOUND('',#24288,.F.); +#24291=CARTESIAN_POINT('',(8.5338392E1,6.4722197E1,4.311724784545E0)); +#24292=DIRECTION('',(0.E0,1.E0,0.E0)); +#24293=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24294=AXIS2_PLACEMENT_3D('',#24291,#24292,#24293); +#24295=PLANE('',#24294); +#24296=ORIENTED_EDGE('',*,*,#12704,.F.); +#24298=ORIENTED_EDGE('',*,*,#24297,.T.); +#24300=ORIENTED_EDGE('',*,*,#24299,.T.); +#24302=ORIENTED_EDGE('',*,*,#24301,.F.); +#24303=EDGE_LOOP('',(#24296,#24298,#24300,#24302)); +#24304=FACE_OUTER_BOUND('',#24303,.F.); +#24306=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.311724784545E0)); +#24307=DIRECTION('',(1.E0,0.E0,0.E0)); +#24308=DIRECTION('',(0.E0,1.E0,0.E0)); +#24309=AXIS2_PLACEMENT_3D('',#24306,#24307,#24308); +#24310=PLANE('',#24309); +#24311=ORIENTED_EDGE('',*,*,#12742,.F.); +#24313=ORIENTED_EDGE('',*,*,#24312,.T.); +#24315=ORIENTED_EDGE('',*,*,#24314,.T.); +#24317=ORIENTED_EDGE('',*,*,#24316,.F.); +#24318=EDGE_LOOP('',(#24311,#24313,#24315,#24317)); +#24319=FACE_OUTER_BOUND('',#24318,.F.); +#24321=CARTESIAN_POINT('',(8.5338392E1,6.1922198E1,4.311724784545E0)); +#24322=DIRECTION('',(1.E0,0.E0,0.E0)); +#24323=DIRECTION('',(0.E0,1.E0,0.E0)); +#24324=AXIS2_PLACEMENT_3D('',#24321,#24322,#24323); +#24325=PLANE('',#24324); +#24326=ORIENTED_EDGE('',*,*,#12750,.F.); +#24328=ORIENTED_EDGE('',*,*,#24327,.T.); +#24330=ORIENTED_EDGE('',*,*,#24329,.T.); +#24331=ORIENTED_EDGE('',*,*,#24297,.F.); +#24332=EDGE_LOOP('',(#24326,#24328,#24330,#24331)); +#24333=FACE_OUTER_BOUND('',#24332,.F.); +#24335=CARTESIAN_POINT('',(8.4738393E1,6.1922198E1,4.311724784545E0)); +#24336=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24337=DIRECTION('',(1.E0,0.E0,0.E0)); +#24338=AXIS2_PLACEMENT_3D('',#24335,#24336,#24337); +#24339=PLANE('',#24338); +#24340=ORIENTED_EDGE('',*,*,#12740,.F.); +#24342=ORIENTED_EDGE('',*,*,#24341,.T.); +#24344=ORIENTED_EDGE('',*,*,#24343,.T.); +#24345=ORIENTED_EDGE('',*,*,#24312,.F.); +#24346=EDGE_LOOP('',(#24340,#24342,#24344,#24345)); +#24347=FACE_OUTER_BOUND('',#24346,.F.); +#24349=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.311724784545E0)); +#24350=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24351=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24352=AXIS2_PLACEMENT_3D('',#24349,#24350,#24351); +#24353=PLANE('',#24352); +#24354=ORIENTED_EDGE('',*,*,#12706,.F.); +#24355=ORIENTED_EDGE('',*,*,#24301,.T.); +#24357=ORIENTED_EDGE('',*,*,#24356,.T.); +#24359=ORIENTED_EDGE('',*,*,#24358,.F.); +#24360=EDGE_LOOP('',(#24354,#24355,#24357,#24359)); +#24361=FACE_OUTER_BOUND('',#24360,.F.); +#24363=CARTESIAN_POINT('',(8.4738393E1,6.4722197E1,4.311724784545E0)); +#24364=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24365=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24366=AXIS2_PLACEMENT_3D('',#24363,#24364,#24365); +#24367=PLANE('',#24366); +#24368=ORIENTED_EDGE('',*,*,#12738,.F.); +#24370=ORIENTED_EDGE('',*,*,#24369,.T.); +#24372=ORIENTED_EDGE('',*,*,#24371,.T.); +#24373=ORIENTED_EDGE('',*,*,#24341,.F.); +#24374=EDGE_LOOP('',(#24368,#24370,#24372,#24373)); +#24375=FACE_OUTER_BOUND('',#24374,.F.); +#24377=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#24378=DIRECTION('',(0.E0,0.E0,1.E0)); +#24379=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24380=AXIS2_PLACEMENT_3D('',#24377,#24378,#24379); +#24381=PLANE('',#24380); +#24383=ORIENTED_EDGE('',*,*,#24382,.F.); +#24385=ORIENTED_EDGE('',*,*,#24384,.F.); +#24387=ORIENTED_EDGE('',*,*,#24386,.F.); +#24389=ORIENTED_EDGE('',*,*,#24388,.F.); +#24390=EDGE_LOOP('',(#24383,#24385,#24387,#24389)); +#24391=FACE_OUTER_BOUND('',#24390,.F.); +#24393=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#24394=DIRECTION('',(0.E0,0.E0,1.E0)); +#24395=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24396=AXIS2_PLACEMENT_3D('',#24393,#24394,#24395); +#24397=PLANE('',#24396); +#24399=ORIENTED_EDGE('',*,*,#24398,.F.); +#24400=ORIENTED_EDGE('',*,*,#24356,.F.); +#24401=ORIENTED_EDGE('',*,*,#24299,.F.); +#24402=ORIENTED_EDGE('',*,*,#24329,.F.); +#24403=EDGE_LOOP('',(#24399,#24400,#24401,#24402)); +#24404=FACE_OUTER_BOUND('',#24403,.F.); +#24406=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#24407=DIRECTION('',(0.E0,0.E0,1.E0)); +#24408=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24409=AXIS2_PLACEMENT_3D('',#24406,#24407,#24408); +#24410=PLANE('',#24409); +#24412=ORIENTED_EDGE('',*,*,#24411,.T.); +#24414=ORIENTED_EDGE('',*,*,#24413,.F.); +#24416=ORIENTED_EDGE('',*,*,#24415,.F.); +#24418=ORIENTED_EDGE('',*,*,#24417,.F.); +#24419=EDGE_LOOP('',(#24412,#24414,#24416,#24418)); +#24420=FACE_OUTER_BOUND('',#24419,.F.); +#24422=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#24423=DIRECTION('',(0.E0,0.E0,1.E0)); +#24424=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24425=AXIS2_PLACEMENT_3D('',#24422,#24423,#24424); +#24426=PLANE('',#24425); +#24428=ORIENTED_EDGE('',*,*,#24427,.T.); +#24430=ORIENTED_EDGE('',*,*,#24429,.F.); +#24432=ORIENTED_EDGE('',*,*,#24431,.F.); +#24434=ORIENTED_EDGE('',*,*,#24433,.F.); +#24435=EDGE_LOOP('',(#24428,#24430,#24432,#24434)); +#24436=FACE_OUTER_BOUND('',#24435,.F.); +#24438=CARTESIAN_POINT('',(0.E0,0.E0,4.811724784545E0)); +#24439=DIRECTION('',(0.E0,0.E0,1.E0)); +#24440=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24441=AXIS2_PLACEMENT_3D('',#24438,#24439,#24440); +#24442=PLANE('',#24441); +#24444=ORIENTED_EDGE('',*,*,#24443,.T.); +#24445=ORIENTED_EDGE('',*,*,#24314,.F.); +#24446=ORIENTED_EDGE('',*,*,#24343,.F.); +#24447=ORIENTED_EDGE('',*,*,#24371,.F.); +#24448=EDGE_LOOP('',(#24444,#24445,#24446,#24447)); +#24449=FACE_OUTER_BOUND('',#24448,.F.); +#24451=CARTESIAN_POINT('',(8.5638394E1,6.4222198E1,4.311724784545E0)); +#24452=DIRECTION('',(0.E0,1.E0,0.E0)); +#24453=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24454=AXIS2_PLACEMENT_3D('',#24451,#24452,#24453); +#24455=PLANE('',#24454); +#24456=ORIENTED_EDGE('',*,*,#24382,.T.); +#24458=ORIENTED_EDGE('',*,*,#24457,.F.); +#24459=ORIENTED_EDGE('',*,*,#12708,.F.); +#24460=ORIENTED_EDGE('',*,*,#24358,.T.); +#24461=ORIENTED_EDGE('',*,*,#24398,.T.); +#24462=ORIENTED_EDGE('',*,*,#24327,.F.); +#24463=ORIENTED_EDGE('',*,*,#12748,.F.); +#24465=ORIENTED_EDGE('',*,*,#24464,.T.); +#24467=ORIENTED_EDGE('',*,*,#24466,.T.); +#24469=ORIENTED_EDGE('',*,*,#24468,.F.); +#24470=ORIENTED_EDGE('',*,*,#12716,.F.); +#24472=ORIENTED_EDGE('',*,*,#24471,.T.); +#24473=EDGE_LOOP('',(#24456,#24458,#24459,#24460,#24461,#24462,#24463,#24465, +#24467,#24469,#24470,#24472)); +#24474=FACE_OUTER_BOUND('',#24473,.F.); +#24476=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.311724784545E0)); +#24477=DIRECTION('',(1.E0,0.E0,0.E0)); +#24478=DIRECTION('',(0.E0,1.E0,0.E0)); +#24479=AXIS2_PLACEMENT_3D('',#24476,#24477,#24478); +#24480=PLANE('',#24479); +#24481=ORIENTED_EDGE('',*,*,#12726,.F.); +#24483=ORIENTED_EDGE('',*,*,#24482,.T.); +#24484=ORIENTED_EDGE('',*,*,#24413,.T.); +#24486=ORIENTED_EDGE('',*,*,#24485,.F.); +#24487=EDGE_LOOP('',(#24481,#24483,#24484,#24486)); +#24488=FACE_OUTER_BOUND('',#24487,.F.); +#24490=CARTESIAN_POINT('',(8.3438393E1,6.1922198E1,4.311724784545E0)); +#24491=DIRECTION('',(1.E0,0.E0,0.E0)); +#24492=DIRECTION('',(0.E0,1.E0,0.E0)); +#24493=AXIS2_PLACEMENT_3D('',#24490,#24491,#24492); +#24494=PLANE('',#24493); +#24495=ORIENTED_EDGE('',*,*,#12710,.F.); +#24496=ORIENTED_EDGE('',*,*,#24457,.T.); +#24497=ORIENTED_EDGE('',*,*,#24388,.T.); +#24499=ORIENTED_EDGE('',*,*,#24498,.F.); +#24500=EDGE_LOOP('',(#24495,#24496,#24497,#24499)); +#24501=FACE_OUTER_BOUND('',#24500,.F.); +#24503=CARTESIAN_POINT('',(8.2838394E1,6.1922198E1,4.311724784545E0)); +#24504=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24505=DIRECTION('',(1.E0,0.E0,0.E0)); +#24506=AXIS2_PLACEMENT_3D('',#24503,#24504,#24505); +#24507=PLANE('',#24506); +#24508=ORIENTED_EDGE('',*,*,#12724,.F.); +#24510=ORIENTED_EDGE('',*,*,#24509,.T.); +#24511=ORIENTED_EDGE('',*,*,#24415,.T.); +#24512=ORIENTED_EDGE('',*,*,#24482,.F.); +#24513=EDGE_LOOP('',(#24508,#24510,#24511,#24512)); +#24514=FACE_OUTER_BOUND('',#24513,.F.); +#24516=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.311724784545E0)); +#24517=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24518=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24519=AXIS2_PLACEMENT_3D('',#24516,#24517,#24518); +#24520=PLANE('',#24519); +#24521=ORIENTED_EDGE('',*,*,#12714,.F.); +#24523=ORIENTED_EDGE('',*,*,#24522,.T.); +#24524=ORIENTED_EDGE('',*,*,#24384,.T.); +#24525=ORIENTED_EDGE('',*,*,#24471,.F.); +#24526=EDGE_LOOP('',(#24521,#24523,#24524,#24525)); +#24527=FACE_OUTER_BOUND('',#24526,.F.); +#24529=CARTESIAN_POINT('',(8.2838394E1,6.4722197E1,4.311724784545E0)); +#24530=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24531=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24532=AXIS2_PLACEMENT_3D('',#24529,#24530,#24531); +#24533=PLANE('',#24532); +#24534=ORIENTED_EDGE('',*,*,#12722,.F.); +#24536=ORIENTED_EDGE('',*,*,#24535,.T.); +#24537=ORIENTED_EDGE('',*,*,#24417,.T.); +#24538=ORIENTED_EDGE('',*,*,#24509,.F.); +#24539=EDGE_LOOP('',(#24534,#24536,#24537,#24538)); +#24540=FACE_OUTER_BOUND('',#24539,.F.); +#24542=CARTESIAN_POINT('',(8.3438393E1,6.4722197E1,4.311724784545E0)); +#24543=DIRECTION('',(0.E0,1.E0,0.E0)); +#24544=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24545=AXIS2_PLACEMENT_3D('',#24542,#24543,#24544); +#24546=PLANE('',#24545); +#24547=ORIENTED_EDGE('',*,*,#12712,.F.); +#24548=ORIENTED_EDGE('',*,*,#24498,.T.); +#24549=ORIENTED_EDGE('',*,*,#24386,.T.); +#24550=ORIENTED_EDGE('',*,*,#24522,.F.); +#24551=EDGE_LOOP('',(#24547,#24548,#24549,#24550)); +#24552=FACE_OUTER_BOUND('',#24551,.F.); +#24554=CARTESIAN_POINT('',(8.2538395E1,6.2422197E1,4.311724784545E0)); +#24555=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24556=DIRECTION('',(1.E0,0.E0,0.E0)); +#24557=AXIS2_PLACEMENT_3D('',#24554,#24555,#24556); +#24558=PLANE('',#24557); +#24559=ORIENTED_EDGE('',*,*,#24411,.F.); +#24560=ORIENTED_EDGE('',*,*,#24535,.F.); +#24561=ORIENTED_EDGE('',*,*,#12720,.F.); +#24563=ORIENTED_EDGE('',*,*,#24562,.T.); +#24565=ORIENTED_EDGE('',*,*,#24564,.T.); +#24567=ORIENTED_EDGE('',*,*,#24566,.F.); +#24568=ORIENTED_EDGE('',*,*,#12744,.F.); +#24569=ORIENTED_EDGE('',*,*,#24316,.T.); +#24570=ORIENTED_EDGE('',*,*,#24443,.F.); +#24571=ORIENTED_EDGE('',*,*,#24369,.F.); +#24572=ORIENTED_EDGE('',*,*,#12736,.F.); +#24574=ORIENTED_EDGE('',*,*,#24573,.T.); +#24575=ORIENTED_EDGE('',*,*,#24427,.F.); +#24577=ORIENTED_EDGE('',*,*,#24576,.F.); +#24578=ORIENTED_EDGE('',*,*,#12728,.F.); +#24579=ORIENTED_EDGE('',*,*,#24485,.T.); +#24580=EDGE_LOOP('',(#24559,#24560,#24561,#24563,#24565,#24567,#24568,#24569, +#24570,#24571,#24572,#24574,#24575,#24577,#24578,#24579)); +#24581=FACE_OUTER_BOUND('',#24580,.F.); +#24583=CARTESIAN_POINT('',(8.2538395E1,6.4222198E1,4.311724784545E0)); +#24584=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24585=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24586=AXIS2_PLACEMENT_3D('',#24583,#24584,#24585); +#24587=PLANE('',#24586); +#24588=ORIENTED_EDGE('',*,*,#12718,.F.); +#24589=ORIENTED_EDGE('',*,*,#24468,.T.); +#24591=ORIENTED_EDGE('',*,*,#24590,.T.); +#24592=ORIENTED_EDGE('',*,*,#24562,.F.); +#24593=EDGE_LOOP('',(#24588,#24589,#24591,#24592)); +#24594=FACE_OUTER_BOUND('',#24593,.F.); +#24596=CARTESIAN_POINT('',(0.E0,0.E0,5.111724784545E0)); +#24597=DIRECTION('',(0.E0,0.E0,1.E0)); +#24598=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24599=AXIS2_PLACEMENT_3D('',#24596,#24597,#24598); +#24600=PLANE('',#24599); +#24601=ORIENTED_EDGE('',*,*,#24466,.F.); +#24603=ORIENTED_EDGE('',*,*,#24602,.F.); +#24604=ORIENTED_EDGE('',*,*,#24564,.F.); +#24605=ORIENTED_EDGE('',*,*,#24590,.F.); +#24606=EDGE_LOOP('',(#24601,#24603,#24604,#24605)); +#24607=FACE_OUTER_BOUND('',#24606,.F.); +#24609=CARTESIAN_POINT('',(8.5638394E1,6.2422197E1,4.311724784545E0)); +#24610=DIRECTION('',(1.E0,0.E0,0.E0)); +#24611=DIRECTION('',(0.E0,1.E0,0.E0)); +#24612=AXIS2_PLACEMENT_3D('',#24609,#24610,#24611); +#24613=PLANE('',#24612); +#24614=ORIENTED_EDGE('',*,*,#12746,.F.); +#24615=ORIENTED_EDGE('',*,*,#24566,.T.); +#24616=ORIENTED_EDGE('',*,*,#24602,.T.); +#24617=ORIENTED_EDGE('',*,*,#24464,.F.); +#24618=EDGE_LOOP('',(#24614,#24615,#24616,#24617)); +#24619=FACE_OUTER_BOUND('',#24618,.F.); +#24621=CARTESIAN_POINT('',(8.4388394E1,6.1922198E1,4.311724784545E0)); +#24622=DIRECTION('',(1.E0,0.E0,0.E0)); +#24623=DIRECTION('',(0.E0,1.E0,0.E0)); +#24624=AXIS2_PLACEMENT_3D('',#24621,#24622,#24623); +#24625=PLANE('',#24624); +#24626=ORIENTED_EDGE('',*,*,#12734,.F.); +#24628=ORIENTED_EDGE('',*,*,#24627,.T.); +#24629=ORIENTED_EDGE('',*,*,#24429,.T.); +#24630=ORIENTED_EDGE('',*,*,#24573,.F.); +#24631=EDGE_LOOP('',(#24626,#24628,#24629,#24630)); +#24632=FACE_OUTER_BOUND('',#24631,.F.); +#24634=CARTESIAN_POINT('',(8.3788395E1,6.1922198E1,4.311724784545E0)); +#24635=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24636=DIRECTION('',(1.E0,0.E0,0.E0)); +#24637=AXIS2_PLACEMENT_3D('',#24634,#24635,#24636); +#24638=PLANE('',#24637); +#24639=ORIENTED_EDGE('',*,*,#12732,.F.); +#24641=ORIENTED_EDGE('',*,*,#24640,.T.); +#24642=ORIENTED_EDGE('',*,*,#24431,.T.); +#24643=ORIENTED_EDGE('',*,*,#24627,.F.); +#24644=EDGE_LOOP('',(#24639,#24641,#24642,#24643)); +#24645=FACE_OUTER_BOUND('',#24644,.F.); +#24647=CARTESIAN_POINT('',(8.3788395E1,6.2422197E1,4.311724784545E0)); +#24648=DIRECTION('',(-1.E0,0.E0,0.E0)); +#24649=DIRECTION('',(0.E0,-1.E0,0.E0)); +#24650=AXIS2_PLACEMENT_3D('',#24647,#24648,#24649); +#24651=PLANE('',#24650); +#24652=ORIENTED_EDGE('',*,*,#12730,.F.); +#24653=ORIENTED_EDGE('',*,*,#24576,.T.); +#24654=ORIENTED_EDGE('',*,*,#24433,.T.); +#24655=ORIENTED_EDGE('',*,*,#24640,.F.); +#24656=EDGE_LOOP('',(#24652,#24653,#24654,#24655)); +#24657=FACE_OUTER_BOUND('',#24656,.F.); +#24659=CLOSED_SHELL('',(#12898,#12920,#13168,#13189,#13201,#13215,#13227,#13241, +#13253,#13267,#13279,#13293,#13305,#13319,#13331,#13345,#13357,#13371,#13383, +#13397,#13409,#13423,#13435,#13449,#13461,#13475,#13487,#13501,#13513,#13527, +#13539,#13553,#13565,#13579,#13591,#13605,#13617,#13631,#13643,#13657,#13669, +#13683,#13695,#13709,#13721,#13735,#13747,#13761,#13773,#13787,#13799,#13813, +#13825,#13839,#13851,#13866,#13880,#13894,#13922,#13945,#13974,#13986,#14000, +#14021,#14034,#14047,#14060,#14073,#14085,#14098,#14110,#14124,#14138,#14151, +#14163,#14175,#14190,#14206,#14219,#14231,#14246,#14259,#14284,#14301,#14316, +#14332,#14352,#14371,#14391,#14411,#14431,#14450,#14473,#14487,#14525,#14539, +#14552,#14564,#14576,#14591,#14605,#14619,#14633,#14647,#14661,#14675,#14688, +#14704,#14719,#14744,#14770,#14785,#14800,#14816,#14831,#14846,#14860,#14874, +#14887,#14901,#14914,#14927,#14939,#14953,#14966,#14980,#14993,#15006,#15018, +#15031,#15044,#15056,#15070,#15083,#15096,#15108,#15123,#15137,#15179,#15194, +#15209,#15224,#15237,#15250,#15263,#15275,#15288,#15301,#15313,#15326,#15339, +#15351,#15363,#15377,#15417,#15430,#15442,#15457,#15472,#15486,#15500,#15514, +#15528,#15554,#15567,#15580,#15593,#15605,#15618,#15630,#15645,#15659,#15673, +#15708,#15725,#15742,#15756,#15769,#15781,#15801,#15817,#15832,#15849,#15868, +#15882,#15896,#15910,#15926,#15944,#15957,#15970,#15982,#15994,#16007,#16022, +#16034,#16047,#16060,#16072,#16087,#16119,#16134,#16148,#16162,#16175,#16187, +#16200,#16212,#16224,#16236,#16253,#16265,#16291,#16303,#16318,#16330,#16342, +#16357,#16411,#16425,#16478,#16490,#16505,#16520,#16535,#16550,#16565,#16580, +#16595,#16610,#16631,#16652,#16667,#16680,#16695,#16710,#16725,#16739,#16754, +#16769,#16784,#16803,#16823,#16835,#16848,#16860,#16876,#16892,#16908,#16922, +#16938,#16954,#16970,#16984,#17002,#17021,#17034,#17051,#17070,#17082,#17094, +#17106,#17118,#17132,#17150,#17169,#17182,#17199,#17218,#17230,#17242,#17254, +#17266,#17280,#17298,#17317,#17330,#17347,#17366,#17378,#17390,#17402,#17414, +#17426,#17438,#17450,#17465,#17479,#17493,#17513,#17529,#17545,#17557,#17573, +#17594,#17607,#17620,#17632,#17651,#17664,#17677,#17689,#17702,#17720,#17733, +#17746,#17758,#17773,#17788,#17802,#17816,#17830,#17844,#17857,#17870,#17889, +#17903,#17921,#17934,#17946,#17961,#17975,#17989,#18002,#18014,#18029,#18050, +#18064,#18080,#18096,#18109,#18121,#18141,#18154,#18167,#18179,#18201,#18215, +#18233,#18246,#18259,#18271,#18287,#18300,#18316,#18328,#18343,#18356,#18372, +#18386,#18398,#18413,#18427,#18441,#18454,#18466,#18494,#18520,#18533,#18546, +#18558,#18573,#18587,#18601,#18614,#18626,#18642,#18658,#18674,#18690,#18706, +#18722,#18738,#18754,#18770,#18786,#18802,#18818,#18834,#18850,#18866,#18882, +#18898,#18914,#18930,#18944,#18957,#18970,#18982,#18996,#19009,#19022,#19034, +#19048,#19061,#19074,#19086,#19100,#19113,#19126,#19138,#19152,#19165,#19178, +#19190,#19204,#19217,#19230,#19242,#19256,#19269,#19282,#19294,#19308,#19321, +#19334,#19346,#19360,#19373,#19386,#19398,#19412,#19425,#19438,#19450,#19464, +#19477,#19490,#19502,#19516,#19529,#19542,#19554,#19568,#19581,#19594,#19606, +#19620,#19633,#19646,#19658,#19672,#19685,#19698,#19710,#19724,#19737,#19750, +#19762,#19776,#19789,#19802,#19814,#19828,#19841,#19854,#19866,#19880,#19893, +#19906,#19918,#19933,#19947,#19961,#19974,#19986,#20002,#20018,#20034,#20050, +#20066,#20082,#20098,#20114,#20130,#20146,#20162,#20178,#20194,#20210,#20226, +#20242,#20258,#20274,#20288,#20301,#20314,#20326,#20340,#20353,#20366,#20378, +#20392,#20405,#20418,#20430,#20444,#20457,#20470,#20482,#20496,#20509,#20522, +#20534,#20548,#20561,#20574,#20586,#20600,#20613,#20626,#20638,#20652,#20665, +#20678,#20690,#20704,#20717,#20730,#20742,#20756,#20769,#20782,#20794,#20808, +#20821,#20834,#20846,#20860,#20873,#20886,#20898,#20912,#20925,#20938,#20950, +#20964,#20977,#20990,#21002,#21016,#21029,#21042,#21054,#21068,#21081,#21094, +#21106,#21120,#21133,#21146,#21158,#21172,#21185,#21198,#21210,#21225,#21239, +#21253,#21266,#21278,#21294,#21310,#21326,#21342,#21358,#21374,#21390,#21404, +#21417,#21430,#21442,#21456,#21469,#21482,#21494,#21508,#21521,#21534,#21546, +#21560,#21573,#21586,#21598,#21612,#21625,#21638,#21650,#21664,#21677,#21690, +#21702,#21716,#21729,#21742,#21754,#21769,#21783,#21797,#21810,#21822,#21838, +#21854,#21870,#21886,#21900,#21913,#21926,#21938,#21952,#21965,#21978,#21990, +#22004,#22017,#22030,#22042,#22056,#22069,#22082,#22094,#22109,#22123,#22137, +#22150,#22162,#22178,#22192,#22205,#22218,#22230,#22245,#22260,#22274,#22288, +#22302,#22316,#22329,#22342,#22358,#22374,#22393,#22407,#22425,#22438,#22450, +#22466,#22486,#22500,#22513,#22526,#22539,#22552,#22564,#22582,#22594,#22606, +#22621,#22635,#22663,#22679,#22695,#22711,#22727,#22743,#22759,#22775,#22790, +#22803,#22819,#22835,#22851,#22878,#22891,#22904,#22916,#22930,#22950,#22963, +#22976,#22988,#23001,#23013,#23029,#23044,#23060,#23074,#23099,#23112,#23125, +#23137,#23150,#23163,#23175,#23188,#23206,#23219,#23232,#23244,#23257,#23276, +#23289,#23302,#23314,#23326,#23340,#23365,#23378,#23391,#23403,#23416,#23434, +#23447,#23460,#23472,#23485,#23498,#23510,#23523,#23536,#23548,#23561,#23574, +#23586,#23598,#23613,#23628,#23642,#23657,#23671,#23684,#23697,#23710,#23729, +#23743,#23761,#23774,#23786,#23801,#23816,#23830,#23845,#23859,#23872,#23885, +#23901,#23917,#23930,#23946,#23977,#23991,#24015,#24028,#24041,#24054,#24067, +#24079,#24091,#24104,#24116,#24129,#24142,#24154,#24169,#24183,#24197,#24210, +#24222,#24237,#24251,#24265,#24278,#24290,#24305,#24320,#24334,#24348,#24362, +#24376,#24392,#24405,#24421,#24437,#24450,#24475,#24489,#24502,#24515,#24528, +#24541,#24553,#24582,#24595,#24608,#24620,#24633,#24646,#24658)); +#24660=MANIFOLD_SOLID_BREP('',#24659); +#24663=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), +#24662); +#24664=(CONVERSION_BASED_UNIT('DEGREE',#24663)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); +#24666=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(6.776647268201E-3),#24661, +'distance_accuracy_value', +'Maximum model space distance between geometric entities at asserted connectivities'); +#24669=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#12905, +#12927,#13175,#14339,#14359,#14378,#14398,#14418,#14438,#14457,#18478,#18506), +#24667); +#24670=APPLICATION_CONTEXT('automotive_design'); +#24671=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2001,#24670); +#24672=PRODUCT_DEFINITION_CONTEXT('part definition',#24670,'design'); +#24673=PRODUCT_CONTEXT('',#24670,'mechanical'); +#24674=PRODUCT('T_DISPLAY_S3','T_DISPLAY_S3','NOT SPECIFIED',(#24673)); +#24675=PRODUCT_DEFINITION_FORMATION('18','LAST_VERSION',#24674); +#24683=DERIVED_UNIT_ELEMENT(#24682,2.E0); +#24684=DERIVED_UNIT((#24683)); +#24685=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +4.558886187269E3),#24684); +#24690=DERIVED_UNIT_ELEMENT(#24689,3.E0); +#24691=DERIVED_UNIT((#24690)); +#24692=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +2.537335090419E3),#24691); +#24696=CARTESIAN_POINT('centre point',(9.292220410729E1,7.640045316291E1, +3.721943130045E0)); +#24701=DERIVED_UNIT_ELEMENT(#24700,2.E0); +#24702=DERIVED_UNIT((#24701)); +#24703=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( +4.558886187269E3),#24702); +#24708=DERIVED_UNIT_ELEMENT(#24707,3.E0); +#24709=DERIVED_UNIT((#24708)); +#24710=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( +2.537335090419E3),#24709); +#24714=CARTESIAN_POINT('centre point',(9.292220410729E1,7.640045316291E1, +3.721943130045E0)); +#24719=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#24674)); +#1=DRAUGHTING_PRE_DEFINED_COLOUR('blue'); +#2=COLOUR_RGB('',0.E0,3.1E-2,3.4E-1); +#3=COLOUR_RGB('',0.E0,2.5E-1,5.E-1); +#4=COLOUR_RGB('',0.E0,8.08E-1,8.2E-1); +#5=DRAUGHTING_PRE_DEFINED_COLOUR('green'); +#6=COLOUR_RGB('',0.E0,1.E0,4.98E-1); +#7=DRAUGHTING_PRE_DEFINED_COLOUR('cyan'); +#8=COLOUR_RGB('',1.1E-2,1.2E-2,1.E0); +#9=COLOUR_RGB('',1.9E-2,6.37E-1,6.37E-1); +#10=COLOUR_RGB('',7.8E-2,7.8E-2,7.8E-2); +#11=COLOUR_RGB('',9.8E-2,9.8E-2,4.39E-1); +#12=COLOUR_RGB('',1.1E-1,1.1E-1,1.1E-1); +#13=COLOUR_RGB('',1.7E-1,1.7E-1,1.8E-1); +#14=COLOUR_RGB('',1.84E-1,3.1E-1,3.1E-1); +#15=COLOUR_RGB('',2.E-1,2.E-1,2.E-1); +#16=COLOUR_RGB('',2.12E-1,5.14E-1,4.16E-1); +#17=COLOUR_RGB('',2.45E-1,5.18E-1,9.48E-1); +#18=COLOUR_RGB('',2.52E-1,2.52E-1,2.52E-1); +#19=COLOUR_RGB('',2.75E-1,5.1E-1,7.06E-1); +#20=COLOUR_RGB('',2.8E-1,2.8E-1,2.8E-1); +#21=COLOUR_RGB('',3.36E-1,2.55E-1,1.9E-2); +#22=COLOUR_RGB('',3.44E-1,3.63E-1,3.74E-1); +#23=COLOUR_RGB('',3.75E-1,3.8E-1,4.22E-1); +#24=COLOUR_RGB('',3.92E-1,1.2E-2,1.2E-2); +#25=COLOUR_RGB('',3.92E-1,5.84E-1,9.29E-1); +#26=COLOUR_RGB('',4.E-1,1.3E-1,0.E0); +#27=COLOUR_RGB('',4.1E-1,0.E0,2.2E-1); +#28=COLOUR_RGB('',4.16E-1,3.53E-1,8.04E-1); +#29=COLOUR_RGB('',4.2E-1,4.2E-1,4.2E-1); +#30=COLOUR_RGB('',4.26E-1,4.26E-1,4.26E-1); +#31=COLOUR_RGB('',5.E-1,0.E0,0.E0); +#32=COLOUR_RGB('',5.E-1,0.E0,5.E-1); +#33=COLOUR_RGB('',4.99E-1,4.96E-1,5.52E-1); +#34=COLOUR_RGB('',5.E-1,5.E-1,0.E0); +#35=COLOUR_RGB('',5.019607843137E-1,5.019607843137E-1,5.019607843137E-1); +#36=COLOUR_RGB('',5.29E-1,8.08E-1,9.22E-1); +#37=COLOUR_RGB('',5.71E-1,6.1E-1,6.33E-1); +#38=COLOUR_RGB('',5.8E-1,0.E0,8.27E-1); +#39=COLOUR_RGB('',5.96E-1,5.96E-1,5.96E-1); +#40=COLOUR_RGB('',6.000000238419E-1,6.000000238419E-1,0.E0); +#41=COLOUR_RGB('',6.1E-1,0.E0,6.E-2); +#42=COLOUR_RGB('',6.35294E-1,6.86275E-1,7.4902E-1); +#43=COLOUR_RGB('',6.4E-1,6.5E-1,6.5E-1); +#44=COLOUR_RGB('',6.59E-1,1.5E-2,2.9E-2); +#45=COLOUR_RGB('',6.87E-1,6.83E-1,7.59E-1); +#46=COLOUR_RGB('',7.5E-1,7.2E-1,0.E0); +#47=COLOUR_RGB('',7.529411764706E-1,7.529411764706E-1,7.529411764706E-1); +#48=COLOUR_RGB('',8.07E-1,7.65E-1,5.8E-2); +#49=COLOUR_RGB('',8.24E-1,4.12E-1,1.18E-1); +#50=COLOUR_RGB('',8.4E-1,8.4E-1,8.5E-1); +#51=COLOUR_RGB('',8.55E-1,4.39E-1,8.39E-1); +#52=COLOUR_RGB('',8.6E-1,4.7E-1,1.6E-1); +#53=COLOUR_RGB('',8.784E-1,9.49E-1,1.E0); +#54=COLOUR_RGB('',9.6E-1,9.6E-1,9.6E-1); +#55=COLOUR_RGB('',9.8E-1,6.27E-1,0.E0); +#56=DRAUGHTING_PRE_DEFINED_COLOUR('red'); +#57=DRAUGHTING_PRE_DEFINED_COLOUR('magenta'); +#58=COLOUR_RGB('',1.E0,7.8E-2,5.76E-1); +#59=COLOUR_RGB('',1.E0,7.53E-1,7.96E-1); +#60=COLOUR_RGB('',1.E0,7.7E-1,6.E-2); +#61=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); +#62=COLOUR_RGB('',1.E0,1.E0,9.49E-1); +#63=DRAUGHTING_PRE_DEFINED_COLOUR('white'); +#68=CIRCLE('',#67,1.E0); +#77=CIRCLE('',#76,1.E0); +#86=CIRCLE('',#85,1.E0); +#95=CIRCLE('',#94,1.E0); +#104=CIRCLE('',#103,9.748495E-1); +#109=CIRCLE('',#108,9.748495E-1); +#114=CIRCLE('',#113,9.41174E-1); +#119=CIRCLE('',#118,9.41174E-1); +#2108=CIRCLE('',#2107,7.01599E-1); +#2113=CIRCLE('',#2112,7.01599E-1); +#2118=CIRCLE('',#2117,7.01599E-1); +#2123=CIRCLE('',#2122,7.01599E-1); +#2128=CIRCLE('',#2127,7.01599E-1); +#2133=CIRCLE('',#2132,7.01599E-1); +#2138=CIRCLE('',#2137,7.01599E-1); +#2143=CIRCLE('',#2142,7.01599E-1); +#2148=CIRCLE('',#2147,7.01599E-1); +#2153=CIRCLE('',#2152,7.01599E-1); +#2158=CIRCLE('',#2157,7.01599E-1); +#2163=CIRCLE('',#2162,7.01599E-1); +#2168=CIRCLE('',#2167,8.01599E-1); +#2173=CIRCLE('',#2172,8.01599E-1); +#2178=CIRCLE('',#2177,8.01599E-1); +#2183=CIRCLE('',#2182,8.01599E-1); +#2188=CIRCLE('',#2187,8.01599E-1); +#2193=CIRCLE('',#2192,8.01599E-1); +#2198=CIRCLE('',#2197,8.01599E-1); +#2203=CIRCLE('',#2202,8.01599E-1); +#2208=CIRCLE('',#2207,8.01599E-1); +#2213=CIRCLE('',#2212,8.01599E-1); +#2218=CIRCLE('',#2217,8.01599E-1); +#2223=CIRCLE('',#2222,8.01599E-1); +#2228=CIRCLE('',#2227,8.01599E-1); +#2233=CIRCLE('',#2232,8.01599E-1); +#2238=CIRCLE('',#2237,7.01599E-1); +#2243=CIRCLE('',#2242,7.01599E-1); +#2248=CIRCLE('',#2247,7.01599E-1); +#2253=CIRCLE('',#2252,7.01599E-1); +#2258=CIRCLE('',#2257,7.01599E-1); +#2263=CIRCLE('',#2262,7.01599E-1); +#2268=CIRCLE('',#2267,7.01599E-1); +#2273=CIRCLE('',#2272,7.01599E-1); +#2278=CIRCLE('',#2277,7.01599E-1); +#2283=CIRCLE('',#2282,7.01599E-1); +#2288=CIRCLE('',#2287,7.01599E-1); +#2293=CIRCLE('',#2292,7.01599E-1); +#2298=CIRCLE('',#2297,7.01599E-1); +#2303=CIRCLE('',#2302,7.01599E-1); +#2308=CIRCLE('',#2307,7.01599E-1); +#2313=CIRCLE('',#2312,7.01599E-1); +#2318=CIRCLE('',#2317,7.01599E-1); +#2323=CIRCLE('',#2322,7.01599E-1); +#2328=CIRCLE('',#2327,7.01599E-1); +#2333=CIRCLE('',#2332,7.01599E-1); +#2338=CIRCLE('',#2337,7.01599E-1); +#2343=CIRCLE('',#2342,7.01599E-1); +#2348=CIRCLE('',#2347,9.748495E-1); +#2353=CIRCLE('',#2352,9.748495E-1); +#2358=CIRCLE('',#2357,9.41174E-1); +#2363=CIRCLE('',#2362,9.41174E-1); +#2368=CIRCLE('',#2367,7.01599E-1); +#2373=CIRCLE('',#2372,7.01599E-1); +#2378=CIRCLE('',#2377,7.01599E-1); +#2383=CIRCLE('',#2382,7.01599E-1); +#2388=CIRCLE('',#2387,7.01599E-1); +#2393=CIRCLE('',#2392,7.01599E-1); +#2398=CIRCLE('',#2397,7.01599E-1); +#2403=CIRCLE('',#2402,7.01599E-1); +#2408=CIRCLE('',#2407,7.01599E-1); +#2413=CIRCLE('',#2412,7.01599E-1); +#2418=CIRCLE('',#2417,7.01599E-1); +#2423=CIRCLE('',#2422,7.01599E-1); +#2428=CIRCLE('',#2427,8.01599E-1); +#2433=CIRCLE('',#2432,8.01599E-1); +#2438=CIRCLE('',#2437,8.01599E-1); +#2443=CIRCLE('',#2442,8.01599E-1); +#2448=CIRCLE('',#2447,8.01599E-1); +#2453=CIRCLE('',#2452,8.01599E-1); +#2458=CIRCLE('',#2457,8.01599E-1); +#2463=CIRCLE('',#2462,8.01599E-1); +#2468=CIRCLE('',#2467,8.01599E-1); +#2473=CIRCLE('',#2472,8.01599E-1); +#2478=CIRCLE('',#2477,8.01599E-1); +#2483=CIRCLE('',#2482,8.01599E-1); +#2488=CIRCLE('',#2487,8.01599E-1); +#2493=CIRCLE('',#2492,8.01599E-1); +#2498=CIRCLE('',#2497,7.01599E-1); +#2503=CIRCLE('',#2502,7.01599E-1); +#2508=CIRCLE('',#2507,7.01599E-1); +#2513=CIRCLE('',#2512,7.01599E-1); +#2518=CIRCLE('',#2517,7.01599E-1); +#2523=CIRCLE('',#2522,7.01599E-1); +#2528=CIRCLE('',#2527,7.01599E-1); +#2533=CIRCLE('',#2532,7.01599E-1); +#2538=CIRCLE('',#2537,7.01599E-1); +#2543=CIRCLE('',#2542,7.01599E-1); +#2548=CIRCLE('',#2547,7.01599E-1); +#2553=CIRCLE('',#2552,7.01599E-1); +#2558=CIRCLE('',#2557,7.01599E-1); +#2563=CIRCLE('',#2562,7.01599E-1); +#2568=CIRCLE('',#2567,7.01599E-1); +#2573=CIRCLE('',#2572,7.01599E-1); +#2578=CIRCLE('',#2577,7.01599E-1); +#2583=CIRCLE('',#2582,7.01599E-1); +#2588=CIRCLE('',#2587,7.01599E-1); +#2593=CIRCLE('',#2592,7.01599E-1); +#2598=CIRCLE('',#2597,7.01599E-1); +#2603=CIRCLE('',#2602,7.01599E-1); +#2676=CIRCLE('',#2675,1.E0); +#2685=CIRCLE('',#2684,1.E0); +#2694=CIRCLE('',#2693,1.E0); +#2703=CIRCLE('',#2702,1.E0); +#3192=CIRCLE('',#3191,1.3E0); +#3201=CIRCLE('',#3200,1.3E0); +#3206=CIRCLE('',#3205,1.3E0); +#3211=CIRCLE('',#3210,1.3E0); +#3220=CIRCLE('',#3219,1.3E0); +#3225=CIRCLE('',#3224,1.3E0); +#3278=CIRCLE('',#3277,1.3E0); +#3283=CIRCLE('',#3282,1.3E0); +#3304=CIRCLE('',#3303,1.3E0); +#3325=CIRCLE('',#3324,1.3E0); +#3342=CIRCLE('',#3341,1.E0); +#3363=CIRCLE('',#3362,1.E0); +#3384=CIRCLE('',#3383,1.E0); +#3405=CIRCLE('',#3404,1.E0); +#3422=CIRCLE('',#3421,1.E0); +#3427=CIRCLE('',#3426,1.E0); +#3436=CIRCLE('',#3435,1.E0); +#3441=CIRCLE('',#3440,1.E0); +#3454=CIRCLE('',#3453,1.05E0); +#3463=CIRCLE('',#3462,1.05E0); +#3472=CIRCLE('',#3471,1.05E0); +#3481=CIRCLE('',#3480,1.05E0); +#3490=CIRCLE('',#3489,1.05E0); +#3499=CIRCLE('',#3498,3.E-1); +#3508=CIRCLE('',#3507,7.5E-1); +#3517=CIRCLE('',#3516,7.5E-1); +#3526=CIRCLE('',#3525,7.5E-1); +#3535=CIRCLE('',#3534,7.5E-1); +#3540=CIRCLE('',#3539,3.E-1); +#3545=CIRCLE('',#3544,3.E-1); +#3550=CIRCLE('',#3549,3.E-1); +#3555=CIRCLE('',#3554,3.E-1); +#3560=CIRCLE('',#3559,1.05E0); +#3577=CIRCLE('',#3576,1.05E0); +#3594=CIRCLE('',#3593,3.E-1); +#3607=CIRCLE('',#3606,1.05E0); +#3616=CIRCLE('',#3615,3.E-1); +#3621=CIRCLE('',#3620,3.E-1); +#4250=CIRCLE('',#4249,6.01599E-1); +#4255=CIRCLE('',#4254,6.01599E-1); +#4340=CIRCLE('',#4339,6.01599E-1); +#4345=CIRCLE('',#4344,6.01599E-1); +#4378=CIRCLE('',#4377,5.516E-1); +#4383=CIRCLE('',#4382,5.516E-1); +#4416=CIRCLE('',#4415,5.516005E-1); +#4421=CIRCLE('',#4420,5.516005E-1); +#5582=CIRCLE('',#5581,1.E0); +#5591=CIRCLE('',#5590,1.E0); +#5596=CIRCLE('',#5595,1.E0); +#5605=CIRCLE('',#5604,1.E0); +#5610=CIRCLE('',#5609,1.E0); +#5615=CIRCLE('',#5614,8.E-1); +#5620=CIRCLE('',#5619,8.E-1); +#5625=CIRCLE('',#5624,2.5E-1); +#5630=CIRCLE('',#5629,2.5E-1); +#5643=CIRCLE('',#5642,8.E-1); +#5648=CIRCLE('',#5647,8.E-1); +#5653=CIRCLE('',#5652,2.5E-1); +#5658=CIRCLE('',#5657,2.5E-1); +#11526=EDGE_CURVE('',#8712,#8714,#68,.T.); +#11528=EDGE_CURVE('',#8722,#8712,#72,.T.); +#11530=EDGE_CURVE('',#8720,#8722,#77,.T.); +#11532=EDGE_CURVE('',#8730,#8720,#81,.T.); +#11534=EDGE_CURVE('',#8728,#8730,#86,.T.); +#11536=EDGE_CURVE('',#8738,#8728,#90,.T.); +#11538=EDGE_CURVE('',#8736,#8738,#95,.T.); +#11540=EDGE_CURVE('',#8714,#8736,#99,.T.); +#11544=EDGE_CURVE('',#8741,#8742,#104,.T.); +#11546=EDGE_CURVE('',#8742,#8741,#109,.T.); +#11550=EDGE_CURVE('',#8745,#8746,#114,.T.); +#11552=EDGE_CURVE('',#8746,#8745,#119,.T.); +#11556=EDGE_CURVE('',#9197,#9198,#123,.T.); +#11558=EDGE_CURVE('',#9198,#9200,#127,.T.); +#11560=EDGE_CURVE('',#9200,#9210,#131,.T.); +#11562=EDGE_CURVE('',#9210,#9205,#135,.T.); +#11564=EDGE_CURVE('',#9205,#9206,#139,.T.); +#11566=EDGE_CURVE('',#9206,#9208,#143,.T.); +#11568=EDGE_CURVE('',#9208,#9218,#147,.T.); +#11570=EDGE_CURVE('',#9218,#9213,#151,.T.); +#11572=EDGE_CURVE('',#9213,#9214,#155,.T.); +#11574=EDGE_CURVE('',#9214,#9216,#159,.T.); +#11576=EDGE_CURVE('',#9216,#9226,#163,.T.); +#11578=EDGE_CURVE('',#9226,#9221,#167,.T.); +#11580=EDGE_CURVE('',#9221,#9222,#171,.T.); +#11582=EDGE_CURVE('',#9222,#9224,#175,.T.); +#11584=EDGE_CURVE('',#9224,#9173,#179,.T.); +#11586=EDGE_CURVE('',#9173,#9174,#183,.T.); +#11588=EDGE_CURVE('',#9174,#9176,#187,.T.); +#11590=EDGE_CURVE('',#9176,#9178,#191,.T.); +#11592=EDGE_CURVE('',#9178,#9202,#195,.T.); +#11594=EDGE_CURVE('',#9202,#9197,#199,.T.); +#11598=EDGE_CURVE('',#9321,#9322,#203,.T.); +#11600=EDGE_CURVE('',#9322,#9305,#207,.T.); +#11602=EDGE_CURVE('',#9305,#9306,#211,.T.); +#11604=EDGE_CURVE('',#9306,#9308,#215,.T.); +#11606=EDGE_CURVE('',#9308,#9310,#219,.T.); +#11608=EDGE_CURVE('',#9310,#9293,#223,.T.); +#11610=EDGE_CURVE('',#9293,#9294,#227,.T.); +#11612=EDGE_CURVE('',#9294,#9296,#231,.T.); +#11614=EDGE_CURVE('',#9296,#9298,#235,.T.); +#11616=EDGE_CURVE('',#9298,#9300,#239,.T.); +#11618=EDGE_CURVE('',#9300,#9302,#243,.T.); +#11620=EDGE_CURVE('',#9302,#9333,#247,.T.); +#11622=EDGE_CURVE('',#9333,#9334,#251,.T.); +#11624=EDGE_CURVE('',#9334,#9329,#255,.T.); +#11626=EDGE_CURVE('',#9329,#9330,#259,.T.); +#11628=EDGE_CURVE('',#9330,#9325,#263,.T.); +#11630=EDGE_CURVE('',#9325,#9326,#267,.T.); +#11632=EDGE_CURVE('',#9326,#9321,#271,.T.); +#11636=EDGE_CURVE('',#9446,#9440,#4250,.T.); +#11638=EDGE_CURVE('',#9446,#9410,#275,.T.); +#11640=EDGE_CURVE('',#9410,#9456,#279,.T.); +#11642=EDGE_CURVE('',#9458,#9456,#4383,.T.); +#11644=EDGE_CURVE('',#9458,#9460,#283,.T.); +#11646=EDGE_CURVE('',#9462,#9460,#4421,.T.); +#11648=EDGE_CURVE('',#9462,#9408,#287,.T.); +#11650=EDGE_CURVE('',#9408,#9432,#291,.T.); +#11652=EDGE_CURVE('',#9442,#9432,#4340,.T.); +#11654=EDGE_CURVE('',#9442,#9440,#295,.T.); +#11658=EDGE_CURVE('',#9473,#9474,#299,.T.); +#11660=EDGE_CURVE('',#9474,#9476,#303,.T.); +#11662=EDGE_CURVE('',#9476,#9478,#307,.T.); +#11664=EDGE_CURVE('',#9478,#9473,#311,.T.); +#11668=EDGE_CURVE('',#9545,#9542,#4773,.T.); +#11670=EDGE_CURVE('',#9545,#9546,#315,.T.); +#11672=EDGE_CURVE('',#9541,#9546,#4649,.T.); +#11674=EDGE_CURVE('',#9541,#9542,#319,.T.); +#11678=EDGE_CURVE('',#9549,#9530,#4957,.T.); +#11680=EDGE_CURVE('',#9549,#9550,#323,.T.); +#11682=EDGE_CURVE('',#9529,#9550,#4933,.T.); +#11684=EDGE_CURVE('',#9529,#9530,#327,.T.); +#11688=EDGE_CURVE('',#9553,#9534,#5033,.T.); +#11690=EDGE_CURVE('',#9553,#9554,#331,.T.); +#11692=EDGE_CURVE('',#9533,#9554,#5009,.T.); +#11694=EDGE_CURVE('',#9533,#9534,#335,.T.); +#11698=EDGE_CURVE('',#9557,#9538,#5101,.T.); +#11700=EDGE_CURVE('',#9557,#9558,#339,.T.); +#11702=EDGE_CURVE('',#9537,#9558,#5077,.T.); +#11704=EDGE_CURVE('',#9537,#9538,#343,.T.); +#11708=EDGE_CURVE('',#9561,#9514,#4661,.T.); +#11710=EDGE_CURVE('',#9561,#9562,#347,.T.); +#11712=EDGE_CURVE('',#9513,#9562,#4785,.T.); +#11714=EDGE_CURVE('',#9513,#9514,#351,.T.); +#11718=EDGE_CURVE('',#9565,#9518,#4945,.T.); +#11720=EDGE_CURVE('',#9565,#9566,#355,.T.); +#11722=EDGE_CURVE('',#9517,#9566,#4977,.T.); +#11724=EDGE_CURVE('',#9517,#9518,#359,.T.); +#11728=EDGE_CURVE('',#9569,#9522,#5021,.T.); +#11730=EDGE_CURVE('',#9569,#9570,#363,.T.); +#11732=EDGE_CURVE('',#9521,#9570,#5045,.T.); +#11734=EDGE_CURVE('',#9521,#9522,#367,.T.); +#11738=EDGE_CURVE('',#9573,#9526,#5089,.T.); +#11740=EDGE_CURVE('',#9573,#9574,#371,.T.); +#11742=EDGE_CURVE('',#9525,#9574,#5121,.T.); +#11744=EDGE_CURVE('',#9525,#9526,#375,.T.); +#11748=EDGE_CURVE('',#9769,#9770,#379,.T.); +#11750=EDGE_CURVE('',#9770,#9750,#383,.T.); +#11752=EDGE_CURVE('',#9750,#9797,#387,.T.); +#11754=EDGE_CURVE('',#9797,#9798,#391,.T.); +#11756=EDGE_CURVE('',#9798,#9793,#395,.T.); +#11758=EDGE_CURVE('',#9793,#9794,#399,.T.); +#11760=EDGE_CURVE('',#9794,#9744,#403,.T.); +#11762=EDGE_CURVE('',#9744,#9789,#407,.T.); +#11764=EDGE_CURVE('',#9789,#9790,#411,.T.); +#11766=EDGE_CURVE('',#9790,#9785,#415,.T.); +#11768=EDGE_CURVE('',#9785,#9786,#419,.T.); +#11770=EDGE_CURVE('',#9786,#9746,#423,.T.); +#11772=EDGE_CURVE('',#9746,#9781,#427,.T.); +#11774=EDGE_CURVE('',#9781,#9782,#431,.T.); +#11776=EDGE_CURVE('',#9782,#9777,#435,.T.); +#11778=EDGE_CURVE('',#9777,#9778,#439,.T.); +#11780=EDGE_CURVE('',#9778,#9748,#443,.T.); +#11782=EDGE_CURVE('',#9748,#9773,#447,.T.); +#11784=EDGE_CURVE('',#9773,#9774,#451,.T.); +#11786=EDGE_CURVE('',#9774,#9769,#455,.T.); +#11790=EDGE_CURVE('',#9841,#9842,#459,.T.); +#11792=EDGE_CURVE('',#9842,#9844,#463,.T.); +#11794=EDGE_CURVE('',#9844,#9825,#467,.T.); +#11796=EDGE_CURVE('',#9825,#9826,#471,.T.); +#11798=EDGE_CURVE('',#9826,#9847,#475,.T.); +#11800=EDGE_CURVE('',#9847,#9848,#479,.T.); +#11802=EDGE_CURVE('',#9848,#9850,#483,.T.); +#11804=EDGE_CURVE('',#9850,#9852,#487,.T.); +#11806=EDGE_CURVE('',#9852,#9829,#491,.T.); +#11808=EDGE_CURVE('',#9829,#9830,#495,.T.); +#11810=EDGE_CURVE('',#9830,#9854,#499,.T.); +#11812=EDGE_CURVE('',#9854,#9841,#503,.T.); +#11816=EDGE_CURVE('',#9873,#9874,#507,.T.); +#11818=EDGE_CURVE('',#9874,#9876,#511,.T.); +#11820=EDGE_CURVE('',#9876,#9878,#515,.T.); +#11822=EDGE_CURVE('',#9878,#9873,#519,.T.); +#11826=EDGE_CURVE('',#9919,#9920,#523,.T.); +#11828=EDGE_CURVE('',#9920,#9922,#527,.T.); +#11830=EDGE_CURVE('',#9922,#9924,#531,.T.); +#11832=EDGE_CURVE('',#9924,#9892,#535,.T.); +#11834=EDGE_CURVE('',#9892,#9940,#539,.T.); +#11836=EDGE_CURVE('',#9940,#9935,#543,.T.); +#11838=EDGE_CURVE('',#9935,#9936,#547,.T.); +#11840=EDGE_CURVE('',#9936,#9938,#551,.T.); +#11842=EDGE_CURVE('',#9938,#9894,#555,.T.); +#11844=EDGE_CURVE('',#9894,#9927,#559,.T.); +#11846=EDGE_CURVE('',#9927,#9928,#563,.T.); +#11848=EDGE_CURVE('',#9928,#9930,#567,.T.); +#11850=EDGE_CURVE('',#9930,#9932,#571,.T.); +#11852=EDGE_CURVE('',#9932,#9889,#575,.T.); +#11854=EDGE_CURVE('',#9889,#9890,#579,.T.); +#11856=EDGE_CURVE('',#9890,#9919,#583,.T.); +#11860=EDGE_CURVE('',#9987,#9988,#587,.T.); +#11862=EDGE_CURVE('',#9988,#9990,#591,.T.); +#11864=EDGE_CURVE('',#9990,#9992,#595,.T.); +#11866=EDGE_CURVE('',#9992,#9987,#599,.T.); +#11870=EDGE_CURVE('',#9995,#9996,#603,.T.); +#11872=EDGE_CURVE('',#9996,#9998,#607,.T.); +#11874=EDGE_CURVE('',#9998,#10000,#611,.T.); +#11876=EDGE_CURVE('',#10000,#9995,#615,.T.); +#11880=EDGE_CURVE('',#10163,#10164,#619,.T.); +#11882=EDGE_CURVE('',#10164,#10166,#623,.T.); +#11884=EDGE_CURVE('',#10166,#10168,#627,.T.); +#11886=EDGE_CURVE('',#10168,#10163,#631,.T.); +#11890=EDGE_CURVE('',#10171,#10172,#635,.T.); +#11892=EDGE_CURVE('',#10172,#10174,#639,.T.); +#11894=EDGE_CURVE('',#10174,#10176,#643,.T.); +#11896=EDGE_CURVE('',#10176,#10171,#647,.T.); +#11900=EDGE_CURVE('',#10179,#10180,#651,.T.); +#11902=EDGE_CURVE('',#10180,#10182,#655,.T.); +#11904=EDGE_CURVE('',#10182,#10184,#659,.T.); +#11906=EDGE_CURVE('',#10184,#10179,#663,.T.); +#11910=EDGE_CURVE('',#10187,#10188,#667,.T.); +#11912=EDGE_CURVE('',#10188,#10190,#671,.T.); +#11914=EDGE_CURVE('',#10190,#10192,#675,.T.); +#11916=EDGE_CURVE('',#10192,#10187,#679,.T.); +#11920=EDGE_CURVE('',#10195,#10196,#683,.T.); +#11922=EDGE_CURVE('',#10196,#10198,#687,.T.); +#11924=EDGE_CURVE('',#10198,#10200,#691,.T.); +#11926=EDGE_CURVE('',#10200,#10195,#695,.T.); +#11930=EDGE_CURVE('',#10203,#10204,#699,.T.); +#11932=EDGE_CURVE('',#10204,#10206,#703,.T.); +#11934=EDGE_CURVE('',#10206,#10208,#707,.T.); +#11936=EDGE_CURVE('',#10208,#10203,#711,.T.); +#11940=EDGE_CURVE('',#10211,#10212,#715,.T.); +#11942=EDGE_CURVE('',#10212,#10214,#719,.T.); +#11944=EDGE_CURVE('',#10214,#10216,#723,.T.); +#11946=EDGE_CURVE('',#10216,#10211,#727,.T.); +#11950=EDGE_CURVE('',#10219,#10220,#731,.T.); +#11952=EDGE_CURVE('',#10220,#10222,#735,.T.); +#11954=EDGE_CURVE('',#10222,#10224,#739,.T.); +#11956=EDGE_CURVE('',#10224,#10219,#743,.T.); +#11960=EDGE_CURVE('',#10227,#10228,#747,.T.); +#11962=EDGE_CURVE('',#10228,#10230,#751,.T.); +#11964=EDGE_CURVE('',#10230,#10232,#755,.T.); +#11966=EDGE_CURVE('',#10232,#10227,#759,.T.); +#11970=EDGE_CURVE('',#10235,#10236,#763,.T.); +#11972=EDGE_CURVE('',#10236,#10238,#767,.T.); +#11974=EDGE_CURVE('',#10238,#10240,#771,.T.); +#11976=EDGE_CURVE('',#10240,#10235,#775,.T.); +#11980=EDGE_CURVE('',#10243,#10244,#779,.T.); +#11982=EDGE_CURVE('',#10244,#10246,#783,.T.); +#11984=EDGE_CURVE('',#10246,#10248,#787,.T.); +#11986=EDGE_CURVE('',#10248,#10243,#791,.T.); +#11990=EDGE_CURVE('',#10251,#10252,#795,.T.); +#11992=EDGE_CURVE('',#10252,#10254,#799,.T.); +#11994=EDGE_CURVE('',#10254,#10256,#803,.T.); +#11996=EDGE_CURVE('',#10256,#10251,#807,.T.); +#12000=EDGE_CURVE('',#10259,#10260,#811,.T.); +#12002=EDGE_CURVE('',#10260,#10262,#815,.T.); +#12004=EDGE_CURVE('',#10262,#10264,#819,.T.); +#12006=EDGE_CURVE('',#10264,#10259,#823,.T.); +#12010=EDGE_CURVE('',#10267,#10268,#827,.T.); +#12012=EDGE_CURVE('',#10268,#10270,#831,.T.); +#12014=EDGE_CURVE('',#10270,#10272,#835,.T.); +#12016=EDGE_CURVE('',#10272,#10267,#839,.T.); +#12020=EDGE_CURVE('',#10275,#10276,#843,.T.); +#12022=EDGE_CURVE('',#10276,#10278,#847,.T.); +#12024=EDGE_CURVE('',#10278,#10280,#851,.T.); +#12026=EDGE_CURVE('',#10280,#10275,#855,.T.); +#12030=EDGE_CURVE('',#10283,#10284,#859,.T.); +#12032=EDGE_CURVE('',#10284,#10286,#863,.T.); +#12034=EDGE_CURVE('',#10286,#10288,#867,.T.); +#12036=EDGE_CURVE('',#10288,#10283,#871,.T.); +#12040=EDGE_CURVE('',#10291,#10292,#875,.T.); +#12042=EDGE_CURVE('',#10292,#10294,#879,.T.); +#12044=EDGE_CURVE('',#10294,#10296,#883,.T.); +#12046=EDGE_CURVE('',#10296,#10291,#887,.T.); +#12050=EDGE_CURVE('',#10299,#10300,#891,.T.); +#12052=EDGE_CURVE('',#10300,#10302,#895,.T.); +#12054=EDGE_CURVE('',#10302,#10304,#899,.T.); +#12056=EDGE_CURVE('',#10304,#10299,#903,.T.); +#12060=EDGE_CURVE('',#10307,#10308,#907,.T.); +#12062=EDGE_CURVE('',#10308,#10310,#911,.T.); +#12064=EDGE_CURVE('',#10310,#10312,#915,.T.); +#12066=EDGE_CURVE('',#10312,#10307,#919,.T.); +#12070=EDGE_CURVE('',#10315,#10316,#923,.T.); +#12072=EDGE_CURVE('',#10316,#10318,#927,.T.); +#12074=EDGE_CURVE('',#10318,#10320,#931,.T.); +#12076=EDGE_CURVE('',#10320,#10315,#935,.T.); +#12080=EDGE_CURVE('',#10467,#10468,#939,.T.); +#12082=EDGE_CURVE('',#10468,#10470,#943,.T.); +#12084=EDGE_CURVE('',#10470,#10472,#947,.T.); +#12086=EDGE_CURVE('',#10472,#10467,#951,.T.); +#12090=EDGE_CURVE('',#10475,#10476,#955,.T.); +#12092=EDGE_CURVE('',#10476,#10478,#959,.T.); +#12094=EDGE_CURVE('',#10478,#10480,#963,.T.); +#12096=EDGE_CURVE('',#10480,#10475,#967,.T.); +#12100=EDGE_CURVE('',#10483,#10484,#971,.T.); +#12102=EDGE_CURVE('',#10484,#10486,#975,.T.); +#12104=EDGE_CURVE('',#10486,#10488,#979,.T.); +#12106=EDGE_CURVE('',#10488,#10483,#983,.T.); +#12110=EDGE_CURVE('',#10491,#10492,#987,.T.); +#12112=EDGE_CURVE('',#10492,#10494,#991,.T.); +#12114=EDGE_CURVE('',#10494,#10496,#995,.T.); +#12116=EDGE_CURVE('',#10496,#10491,#999,.T.); +#12120=EDGE_CURVE('',#10499,#10500,#1003,.T.); +#12122=EDGE_CURVE('',#10500,#10502,#1007,.T.); +#12124=EDGE_CURVE('',#10502,#10504,#1011,.T.); +#12126=EDGE_CURVE('',#10504,#10499,#1015,.T.); +#12130=EDGE_CURVE('',#10507,#10508,#1019,.T.); +#12132=EDGE_CURVE('',#10508,#10510,#1023,.T.); +#12134=EDGE_CURVE('',#10510,#10512,#1027,.T.); +#12136=EDGE_CURVE('',#10512,#10507,#1031,.T.); +#12140=EDGE_CURVE('',#10515,#10516,#1035,.T.); +#12142=EDGE_CURVE('',#10516,#10518,#1039,.T.); +#12144=EDGE_CURVE('',#10518,#10520,#1043,.T.); +#12146=EDGE_CURVE('',#10520,#10515,#1047,.T.); +#12150=EDGE_CURVE('',#10523,#10524,#1051,.T.); +#12152=EDGE_CURVE('',#10524,#10526,#1055,.T.); +#12154=EDGE_CURVE('',#10526,#10528,#1059,.T.); +#12156=EDGE_CURVE('',#10528,#10523,#1063,.T.); +#12160=EDGE_CURVE('',#10531,#10532,#1067,.T.); +#12162=EDGE_CURVE('',#10532,#10534,#1071,.T.); +#12164=EDGE_CURVE('',#10534,#10536,#1075,.T.); +#12166=EDGE_CURVE('',#10536,#10531,#1079,.T.); +#12170=EDGE_CURVE('',#10539,#10540,#1083,.T.); +#12172=EDGE_CURVE('',#10540,#10542,#1087,.T.); +#12174=EDGE_CURVE('',#10542,#10544,#1091,.T.); +#12176=EDGE_CURVE('',#10544,#10539,#1095,.T.); +#12180=EDGE_CURVE('',#10547,#10548,#1099,.T.); +#12182=EDGE_CURVE('',#10548,#10550,#1103,.T.); +#12184=EDGE_CURVE('',#10550,#10552,#1107,.T.); +#12186=EDGE_CURVE('',#10552,#10547,#1111,.T.); +#12190=EDGE_CURVE('',#10555,#10556,#1115,.T.); +#12192=EDGE_CURVE('',#10556,#10558,#1119,.T.); +#12194=EDGE_CURVE('',#10558,#10560,#1123,.T.); +#12196=EDGE_CURVE('',#10560,#10555,#1127,.T.); +#12200=EDGE_CURVE('',#10563,#10564,#1131,.T.); +#12202=EDGE_CURVE('',#10564,#10566,#1135,.T.); +#12204=EDGE_CURVE('',#10566,#10568,#1139,.T.); +#12206=EDGE_CURVE('',#10568,#10563,#1143,.T.); +#12210=EDGE_CURVE('',#10571,#10572,#1147,.T.); +#12212=EDGE_CURVE('',#10572,#10574,#1151,.T.); +#12214=EDGE_CURVE('',#10574,#10576,#1155,.T.); +#12216=EDGE_CURVE('',#10576,#10571,#1159,.T.); +#12220=EDGE_CURVE('',#10579,#10580,#1163,.T.); +#12222=EDGE_CURVE('',#10580,#10582,#1167,.T.); +#12224=EDGE_CURVE('',#10582,#10584,#1171,.T.); +#12226=EDGE_CURVE('',#10584,#10579,#1175,.T.); +#12230=EDGE_CURVE('',#10587,#10588,#1179,.T.); +#12232=EDGE_CURVE('',#10588,#10590,#1183,.T.); +#12234=EDGE_CURVE('',#10590,#10592,#1187,.T.); +#12236=EDGE_CURVE('',#10592,#10587,#1191,.T.); +#12240=EDGE_CURVE('',#10595,#10596,#1195,.T.); +#12242=EDGE_CURVE('',#10596,#10598,#1199,.T.); +#12244=EDGE_CURVE('',#10598,#10600,#1203,.T.); +#12246=EDGE_CURVE('',#10600,#10595,#1207,.T.); +#12250=EDGE_CURVE('',#10603,#10604,#1211,.T.); +#12252=EDGE_CURVE('',#10604,#10606,#1215,.T.); +#12254=EDGE_CURVE('',#10606,#10608,#1219,.T.); +#12256=EDGE_CURVE('',#10608,#10603,#1223,.T.); +#12260=EDGE_CURVE('',#10619,#10620,#1227,.T.); +#12262=EDGE_CURVE('',#10620,#10622,#1231,.T.); +#12264=EDGE_CURVE('',#10622,#10624,#1235,.T.); +#12266=EDGE_CURVE('',#10624,#10619,#1239,.T.); +#12270=EDGE_CURVE('',#10691,#10692,#1243,.T.); +#12272=EDGE_CURVE('',#10692,#10694,#1247,.T.); +#12274=EDGE_CURVE('',#10694,#10696,#1251,.T.); +#12276=EDGE_CURVE('',#10696,#10691,#1255,.T.); +#12280=EDGE_CURVE('',#10699,#10700,#1259,.T.); +#12282=EDGE_CURVE('',#10700,#10702,#1263,.T.); +#12284=EDGE_CURVE('',#10702,#10704,#1267,.T.); +#12286=EDGE_CURVE('',#10704,#10699,#1271,.T.); +#12290=EDGE_CURVE('',#10707,#10708,#1275,.T.); +#12292=EDGE_CURVE('',#10708,#10710,#1279,.T.); +#12294=EDGE_CURVE('',#10710,#10712,#1283,.T.); +#12296=EDGE_CURVE('',#10712,#10707,#1287,.T.); +#12300=EDGE_CURVE('',#10715,#10716,#1291,.T.); +#12302=EDGE_CURVE('',#10716,#10718,#1295,.T.); +#12304=EDGE_CURVE('',#10718,#10720,#1299,.T.); +#12306=EDGE_CURVE('',#10720,#10715,#1303,.T.); +#12310=EDGE_CURVE('',#10723,#10724,#1307,.T.); +#12312=EDGE_CURVE('',#10724,#10726,#1311,.T.); +#12314=EDGE_CURVE('',#10726,#10728,#1315,.T.); +#12316=EDGE_CURVE('',#10728,#10723,#1319,.T.); +#12320=EDGE_CURVE('',#10731,#10732,#1323,.T.); +#12322=EDGE_CURVE('',#10732,#10734,#1327,.T.); +#12324=EDGE_CURVE('',#10734,#10736,#1331,.T.); +#12326=EDGE_CURVE('',#10736,#10731,#1335,.T.); +#12330=EDGE_CURVE('',#10739,#10740,#1339,.T.); +#12332=EDGE_CURVE('',#10740,#10742,#1343,.T.); +#12334=EDGE_CURVE('',#10742,#10744,#1347,.T.); +#12336=EDGE_CURVE('',#10744,#10739,#1351,.T.); +#12340=EDGE_CURVE('',#10747,#10748,#1355,.T.); +#12342=EDGE_CURVE('',#10748,#10750,#1359,.T.); +#12344=EDGE_CURVE('',#10750,#10752,#1363,.T.); +#12346=EDGE_CURVE('',#10752,#10747,#1367,.T.); +#12350=EDGE_CURVE('',#10795,#10796,#1371,.T.); +#12352=EDGE_CURVE('',#10796,#10798,#1375,.T.); +#12354=EDGE_CURVE('',#10798,#10800,#1379,.T.); +#12356=EDGE_CURVE('',#10800,#10795,#1383,.T.); +#12360=EDGE_CURVE('',#10803,#10804,#1387,.T.); +#12362=EDGE_CURVE('',#10804,#10806,#1391,.T.); +#12364=EDGE_CURVE('',#10806,#10808,#1395,.T.); +#12366=EDGE_CURVE('',#10808,#10803,#1399,.T.); +#12370=EDGE_CURVE('',#10811,#10812,#1403,.T.); +#12372=EDGE_CURVE('',#10812,#10814,#1407,.T.); +#12374=EDGE_CURVE('',#10814,#10816,#1411,.T.); +#12376=EDGE_CURVE('',#10816,#10811,#1415,.T.); +#12380=EDGE_CURVE('',#10819,#10820,#1419,.T.); +#12382=EDGE_CURVE('',#10820,#10822,#1423,.T.); +#12384=EDGE_CURVE('',#10822,#10824,#1427,.T.); +#12386=EDGE_CURVE('',#10824,#10819,#1431,.T.); +#12390=EDGE_CURVE('',#10827,#10828,#1435,.T.); +#12392=EDGE_CURVE('',#10828,#10830,#1439,.T.); +#12394=EDGE_CURVE('',#10830,#10832,#1443,.T.); +#12396=EDGE_CURVE('',#10832,#10827,#1447,.T.); +#12400=EDGE_CURVE('',#10851,#10852,#1451,.T.); +#12402=EDGE_CURVE('',#10852,#10854,#1455,.T.); +#12404=EDGE_CURVE('',#10854,#10856,#1459,.T.); +#12406=EDGE_CURVE('',#10856,#10851,#1463,.T.); +#12410=EDGE_CURVE('',#10859,#10860,#1467,.T.); +#12412=EDGE_CURVE('',#10860,#10862,#1471,.T.); +#12414=EDGE_CURVE('',#10862,#10864,#1475,.T.); +#12416=EDGE_CURVE('',#10864,#10859,#1479,.T.); +#12420=EDGE_CURVE('',#10915,#10916,#1483,.T.); +#12422=EDGE_CURVE('',#10916,#10918,#1487,.T.); +#12424=EDGE_CURVE('',#10918,#10883,#1491,.T.); +#12426=EDGE_CURVE('',#10883,#10884,#1495,.T.); +#12428=EDGE_CURVE('',#10884,#10921,#1499,.T.); +#12430=EDGE_CURVE('',#10921,#10922,#1503,.T.); +#12432=EDGE_CURVE('',#10922,#10924,#1507,.T.); +#12434=EDGE_CURVE('',#10924,#10926,#1511,.T.); +#12436=EDGE_CURVE('',#10926,#10887,#1515,.T.); +#12438=EDGE_CURVE('',#10887,#10888,#1519,.T.); +#12440=EDGE_CURVE('',#10888,#10928,#1523,.T.); +#12442=EDGE_CURVE('',#10928,#10915,#1527,.T.); +#12446=EDGE_CURVE('',#10931,#10932,#1531,.T.); +#12448=EDGE_CURVE('',#10932,#10895,#1535,.T.); +#12450=EDGE_CURVE('',#10895,#10896,#1539,.T.); +#12452=EDGE_CURVE('',#10896,#10935,#1543,.T.); +#12454=EDGE_CURVE('',#10935,#10936,#1547,.T.); +#12456=EDGE_CURVE('',#10936,#10938,#1551,.T.); +#12458=EDGE_CURVE('',#10938,#10940,#1555,.T.); +#12460=EDGE_CURVE('',#10940,#10891,#1559,.T.); +#12462=EDGE_CURVE('',#10891,#10892,#1563,.T.); +#12464=EDGE_CURVE('',#10892,#10943,#1567,.T.); +#12466=EDGE_CURVE('',#10943,#10944,#1571,.T.); +#12468=EDGE_CURVE('',#10944,#10931,#1575,.T.); +#12472=EDGE_CURVE('',#11075,#11076,#1579,.T.); +#12474=EDGE_CURVE('',#11076,#11078,#1583,.T.); +#12476=EDGE_CURVE('',#11078,#11011,#1587,.T.); +#12478=EDGE_CURVE('',#11011,#11012,#1591,.T.); +#12480=EDGE_CURVE('',#11012,#11096,#1595,.T.); +#12482=EDGE_CURVE('',#11096,#11091,#1599,.T.); +#12484=EDGE_CURVE('',#11091,#11092,#1603,.T.); +#12486=EDGE_CURVE('',#11092,#11094,#1607,.T.); +#12488=EDGE_CURVE('',#11094,#11015,#1611,.T.); +#12490=EDGE_CURVE('',#11015,#11016,#1615,.T.); +#12492=EDGE_CURVE('',#11016,#11087,#1619,.T.); +#12494=EDGE_CURVE('',#11087,#11088,#1623,.T.); +#12496=EDGE_CURVE('',#11088,#11083,#1627,.T.); +#12498=EDGE_CURVE('',#11083,#11084,#1631,.T.); +#12500=EDGE_CURVE('',#11084,#11080,#1635,.T.); +#12502=EDGE_CURVE('',#11080,#11075,#1639,.T.); +#12506=EDGE_CURVE('',#11099,#11100,#1643,.T.); +#12508=EDGE_CURVE('',#11100,#11019,#1647,.T.); +#12510=EDGE_CURVE('',#11019,#11020,#1651,.T.); +#12512=EDGE_CURVE('',#11020,#11120,#1655,.T.); +#12514=EDGE_CURVE('',#11120,#11115,#1659,.T.); +#12516=EDGE_CURVE('',#11115,#11116,#1663,.T.); +#12518=EDGE_CURVE('',#11116,#11118,#1667,.T.); +#12520=EDGE_CURVE('',#11118,#11023,#1671,.T.); +#12522=EDGE_CURVE('',#11023,#11024,#1675,.T.); +#12524=EDGE_CURVE('',#11024,#11111,#1679,.T.); +#12526=EDGE_CURVE('',#11111,#11112,#1683,.T.); +#12528=EDGE_CURVE('',#11112,#11107,#1687,.T.); +#12530=EDGE_CURVE('',#11107,#11108,#1691,.T.); +#12532=EDGE_CURVE('',#11108,#11103,#1695,.T.); +#12534=EDGE_CURVE('',#11103,#11104,#1699,.T.); +#12536=EDGE_CURVE('',#11104,#11099,#1703,.T.); +#12540=EDGE_CURVE('',#11123,#11124,#1707,.T.); +#12542=EDGE_CURVE('',#11124,#11126,#1711,.T.); +#12544=EDGE_CURVE('',#11126,#11007,#1715,.T.); +#12546=EDGE_CURVE('',#11007,#11008,#1719,.T.); +#12548=EDGE_CURVE('',#11008,#11143,#1723,.T.); +#12550=EDGE_CURVE('',#11143,#11144,#1727,.T.); +#12552=EDGE_CURVE('',#11144,#11139,#1731,.T.); +#12554=EDGE_CURVE('',#11139,#11140,#1735,.T.); +#12556=EDGE_CURVE('',#11140,#11135,#1739,.T.); +#12558=EDGE_CURVE('',#11135,#11136,#1743,.T.); +#12560=EDGE_CURVE('',#11136,#11131,#1747,.T.); +#12562=EDGE_CURVE('',#11131,#11132,#1751,.T.); +#12564=EDGE_CURVE('',#11132,#11003,#1755,.T.); +#12566=EDGE_CURVE('',#11003,#11004,#1759,.T.); +#12568=EDGE_CURVE('',#11004,#11128,#1763,.T.); +#12570=EDGE_CURVE('',#11128,#11123,#1767,.T.); +#12574=EDGE_CURVE('',#11147,#11148,#1771,.T.); +#12576=EDGE_CURVE('',#11148,#10999,#1775,.T.); +#12578=EDGE_CURVE('',#10999,#11000,#1779,.T.); +#12580=EDGE_CURVE('',#11000,#11160,#1783,.T.); +#12582=EDGE_CURVE('',#11160,#11155,#1787,.T.); +#12584=EDGE_CURVE('',#11155,#11156,#1791,.T.); +#12586=EDGE_CURVE('',#11156,#11158,#1795,.T.); +#12588=EDGE_CURVE('',#11158,#11168,#1799,.T.); +#12590=EDGE_CURVE('',#11168,#11163,#1803,.T.); +#12592=EDGE_CURVE('',#11163,#11164,#1807,.T.); +#12594=EDGE_CURVE('',#11164,#11166,#1811,.T.); +#12596=EDGE_CURVE('',#11166,#10995,#1815,.T.); +#12598=EDGE_CURVE('',#10995,#10996,#1819,.T.); +#12600=EDGE_CURVE('',#10996,#11151,#1823,.T.); +#12602=EDGE_CURVE('',#11151,#11152,#1827,.T.); +#12604=EDGE_CURVE('',#11152,#11147,#1831,.T.); +#12608=EDGE_CURVE('',#11243,#11244,#1835,.T.); +#12610=EDGE_CURVE('',#11244,#11227,#1839,.T.); +#12612=EDGE_CURVE('',#11227,#11228,#1843,.T.); +#12614=EDGE_CURVE('',#11228,#11247,#1847,.T.); +#12616=EDGE_CURVE('',#11247,#11248,#1851,.T.); +#12618=EDGE_CURVE('',#11248,#11250,#1855,.T.); +#12620=EDGE_CURVE('',#11250,#11252,#1859,.T.); +#12622=EDGE_CURVE('',#11252,#11231,#1863,.T.); +#12624=EDGE_CURVE('',#11231,#11232,#1867,.T.); +#12626=EDGE_CURVE('',#11232,#11255,#1871,.T.); +#12628=EDGE_CURVE('',#11255,#11256,#1875,.T.); +#12630=EDGE_CURVE('',#11256,#11243,#1879,.T.); +#12634=EDGE_CURVE('',#11303,#11304,#1883,.T.); +#12636=EDGE_CURVE('',#11304,#11275,#1887,.T.); +#12638=EDGE_CURVE('',#11275,#11276,#1891,.T.); +#12640=EDGE_CURVE('',#11276,#11307,#1895,.T.); +#12642=EDGE_CURVE('',#11307,#11308,#1899,.T.); +#12644=EDGE_CURVE('',#11308,#11310,#1903,.T.); +#12646=EDGE_CURVE('',#11310,#11312,#1907,.T.); +#12648=EDGE_CURVE('',#11312,#11324,#1911,.T.); +#12650=EDGE_CURVE('',#11324,#11319,#1915,.T.); +#12652=EDGE_CURVE('',#11319,#11320,#1919,.T.); +#12654=EDGE_CURVE('',#11320,#11322,#1923,.T.); +#12656=EDGE_CURVE('',#11322,#11340,#1927,.T.); +#12658=EDGE_CURVE('',#11340,#11327,#1931,.T.); +#12660=EDGE_CURVE('',#11327,#11328,#1935,.T.); +#12662=EDGE_CURVE('',#11328,#11330,#1939,.T.); +#12664=EDGE_CURVE('',#11330,#11279,#1943,.T.); +#12666=EDGE_CURVE('',#11279,#11280,#1947,.T.); +#12668=EDGE_CURVE('',#11280,#11333,#1951,.T.); +#12670=EDGE_CURVE('',#11333,#11334,#1955,.T.); +#12672=EDGE_CURVE('',#11334,#11336,#1959,.T.); +#12674=EDGE_CURVE('',#11336,#11338,#1963,.T.); +#12676=EDGE_CURVE('',#11338,#11315,#1967,.T.); +#12678=EDGE_CURVE('',#11315,#11316,#1971,.T.); +#12680=EDGE_CURVE('',#11316,#11303,#1975,.T.); +#12684=EDGE_CURVE('',#11371,#11372,#1979,.T.); +#12686=EDGE_CURVE('',#11372,#11374,#1983,.T.); +#12688=EDGE_CURVE('',#11374,#11376,#1987,.T.); +#12690=EDGE_CURVE('',#11376,#11371,#1991,.T.); +#12694=EDGE_CURVE('',#11387,#11388,#1995,.T.); +#12696=EDGE_CURVE('',#11388,#11390,#1999,.T.); +#12698=EDGE_CURVE('',#11390,#11392,#2003,.T.); +#12700=EDGE_CURVE('',#11392,#11387,#2007,.T.); +#12704=EDGE_CURVE('',#11431,#11432,#2011,.T.); +#12706=EDGE_CURVE('',#11432,#11434,#2015,.T.); +#12708=EDGE_CURVE('',#11434,#11468,#2019,.T.); +#12710=EDGE_CURVE('',#11468,#11455,#2023,.T.); +#12712=EDGE_CURVE('',#11455,#11456,#2027,.T.); +#12714=EDGE_CURVE('',#11456,#11458,#2031,.T.); +#12716=EDGE_CURVE('',#11458,#11403,#2035,.T.); +#12718=EDGE_CURVE('',#11403,#11404,#2039,.T.); +#12720=EDGE_CURVE('',#11404,#11461,#2043,.T.); +#12722=EDGE_CURVE('',#11461,#11462,#2047,.T.); +#12724=EDGE_CURVE('',#11462,#11464,#2051,.T.); +#12726=EDGE_CURVE('',#11464,#11466,#2055,.T.); +#12728=EDGE_CURVE('',#11466,#11451,#2059,.T.); +#12730=EDGE_CURVE('',#11451,#11452,#2063,.T.); +#12732=EDGE_CURVE('',#11452,#11447,#2067,.T.); +#12734=EDGE_CURVE('',#11447,#11448,#2071,.T.); +#12736=EDGE_CURVE('',#11448,#11437,#2075,.T.); +#12738=EDGE_CURVE('',#11437,#11438,#2079,.T.); +#12740=EDGE_CURVE('',#11438,#11440,#2083,.T.); +#12742=EDGE_CURVE('',#11440,#11442,#2087,.T.); +#12744=EDGE_CURVE('',#11442,#11407,#2091,.T.); +#12746=EDGE_CURVE('',#11407,#11408,#2095,.T.); +#12748=EDGE_CURVE('',#11408,#11444,#2099,.T.); +#12750=EDGE_CURVE('',#11444,#11431,#2103,.T.); +#12754=EDGE_CURVE('',#8757,#8758,#2108,.T.); +#12756=EDGE_CURVE('',#8758,#8757,#2113,.T.); +#12760=EDGE_CURVE('',#8761,#8762,#2118,.T.); +#12762=EDGE_CURVE('',#8762,#8761,#2123,.T.); +#12766=EDGE_CURVE('',#8765,#8766,#2128,.T.); +#12768=EDGE_CURVE('',#8766,#8765,#2133,.T.); +#12772=EDGE_CURVE('',#8769,#8770,#2138,.T.); +#12774=EDGE_CURVE('',#8770,#8769,#2143,.T.); +#12778=EDGE_CURVE('',#8773,#8774,#2148,.T.); +#12780=EDGE_CURVE('',#8774,#8773,#2153,.T.); +#12784=EDGE_CURVE('',#8777,#8778,#2158,.T.); +#12786=EDGE_CURVE('',#8778,#8777,#2163,.T.); +#12790=EDGE_CURVE('',#8781,#8782,#2168,.T.); +#12792=EDGE_CURVE('',#8782,#8781,#2173,.T.); +#12796=EDGE_CURVE('',#8785,#8786,#2178,.T.); +#12798=EDGE_CURVE('',#8786,#8785,#2183,.T.); +#12802=EDGE_CURVE('',#8789,#8790,#2188,.T.); +#12804=EDGE_CURVE('',#8790,#8789,#2193,.T.); +#12808=EDGE_CURVE('',#8793,#8794,#2198,.T.); +#12810=EDGE_CURVE('',#8794,#8793,#2203,.T.); +#12814=EDGE_CURVE('',#8797,#8798,#2208,.T.); +#12816=EDGE_CURVE('',#8798,#8797,#2213,.T.); +#12820=EDGE_CURVE('',#8801,#8802,#2218,.T.); +#12822=EDGE_CURVE('',#8802,#8801,#2223,.T.); +#12826=EDGE_CURVE('',#8853,#8854,#2228,.T.); +#12828=EDGE_CURVE('',#8854,#8853,#2233,.T.); +#12832=EDGE_CURVE('',#8857,#8858,#2238,.T.); +#12834=EDGE_CURVE('',#8858,#8857,#2243,.T.); +#12838=EDGE_CURVE('',#8861,#8862,#2248,.T.); +#12840=EDGE_CURVE('',#8862,#8861,#2253,.T.); +#12844=EDGE_CURVE('',#8865,#8866,#2258,.T.); +#12846=EDGE_CURVE('',#8866,#8865,#2263,.T.); +#12850=EDGE_CURVE('',#8869,#8870,#2268,.T.); +#12852=EDGE_CURVE('',#8870,#8869,#2273,.T.); +#12856=EDGE_CURVE('',#8873,#8874,#2278,.T.); +#12858=EDGE_CURVE('',#8874,#8873,#2283,.T.); +#12862=EDGE_CURVE('',#8877,#8878,#2288,.T.); +#12864=EDGE_CURVE('',#8878,#8877,#2293,.T.); +#12868=EDGE_CURVE('',#8881,#8882,#2298,.T.); +#12870=EDGE_CURVE('',#8882,#8881,#2303,.T.); +#12874=EDGE_CURVE('',#8885,#8886,#2308,.T.); +#12876=EDGE_CURVE('',#8886,#8885,#2313,.T.); +#12880=EDGE_CURVE('',#8889,#8890,#2318,.T.); +#12882=EDGE_CURVE('',#8890,#8889,#2323,.T.); +#12886=EDGE_CURVE('',#8893,#8894,#2328,.T.); +#12888=EDGE_CURVE('',#8894,#8893,#2333,.T.); +#12892=EDGE_CURVE('',#8897,#8898,#2338,.T.); +#12894=EDGE_CURVE('',#8898,#8897,#2343,.T.); +#12898=ADVANCED_FACE('',(#11543,#11549,#11555,#11597,#11635,#11657,#11667, +#11677,#11687,#11697,#11707,#11717,#11727,#11737,#11747,#11789,#11815,#11825, +#11859,#11869,#11879,#11889,#11899,#11909,#11919,#11929,#11939,#11949,#11959, +#11969,#11979,#11989,#11999,#12009,#12019,#12029,#12039,#12049,#12059,#12069, +#12079,#12089,#12099,#12109,#12119,#12129,#12139,#12149,#12159,#12169,#12179, +#12189,#12199,#12209,#12219,#12229,#12239,#12249,#12259,#12269,#12279,#12289, +#12299,#12309,#12319,#12329,#12339,#12349,#12359,#12369,#12379,#12389,#12399, +#12409,#12419,#12445,#12471,#12505,#12539,#12573,#12607,#12633,#12683,#12693, +#12703,#12753,#12759,#12765,#12771,#12777,#12783,#12789,#12795,#12801,#12807, +#12813,#12819,#12825,#12831,#12837,#12843,#12849,#12855,#12861,#12867,#12873, +#12879,#12885,#12891,#12897),#11525,.T.); +#12911=EDGE_CURVE('',#8709,#8710,#2694,.T.); +#12913=EDGE_CURVE('',#8712,#8710,#3269,.T.); +#12916=EDGE_CURVE('',#8714,#8709,#3253,.T.); +#12920=ADVANCED_FACE('',(#12919),#12910,.T.); +#12933=EDGE_CURVE('',#9065,#9066,#2655,.T.); +#12935=EDGE_CURVE('',#9066,#9068,#2659,.T.); +#12937=EDGE_CURVE('',#9068,#9070,#2663,.T.); +#12939=EDGE_CURVE('',#9058,#9070,#2667,.T.); +#12941=EDGE_CURVE('',#9058,#8726,#2671,.T.); +#12943=EDGE_CURVE('',#8725,#8726,#2676,.T.); +#12945=EDGE_CURVE('',#8725,#8718,#2680,.T.); +#12947=EDGE_CURVE('',#8717,#8718,#2685,.T.); +#12949=EDGE_CURVE('',#8717,#8710,#2689,.T.); +#12952=EDGE_CURVE('',#8709,#8734,#2698,.T.); +#12954=EDGE_CURVE('',#8733,#8734,#2703,.T.); +#12956=EDGE_CURVE('',#8733,#9057,#2707,.T.); +#12958=EDGE_CURVE('',#9073,#9057,#2711,.T.); +#12960=EDGE_CURVE('',#9073,#9074,#2715,.T.); +#12962=EDGE_CURVE('',#9074,#9065,#2719,.T.); +#12966=EDGE_CURVE('',#8753,#8754,#2358,.T.); +#12968=EDGE_CURVE('',#8754,#8753,#2363,.T.); +#12972=EDGE_CURVE('',#8805,#8806,#2368,.T.); +#12974=EDGE_CURVE('',#8806,#8805,#2373,.T.); +#12978=EDGE_CURVE('',#8809,#8810,#2378,.T.); +#12980=EDGE_CURVE('',#8810,#8809,#2383,.T.); +#12984=EDGE_CURVE('',#8813,#8814,#2388,.T.); +#12986=EDGE_CURVE('',#8814,#8813,#2393,.T.); +#12990=EDGE_CURVE('',#8817,#8818,#2398,.T.); +#12992=EDGE_CURVE('',#8818,#8817,#2403,.T.); +#12996=EDGE_CURVE('',#8821,#8822,#2408,.T.); +#12998=EDGE_CURVE('',#8822,#8821,#2413,.T.); +#13002=EDGE_CURVE('',#8825,#8826,#2418,.T.); +#13004=EDGE_CURVE('',#8826,#8825,#2423,.T.); +#13008=EDGE_CURVE('',#8829,#8830,#2428,.T.); +#13010=EDGE_CURVE('',#8830,#8829,#2433,.T.); +#13014=EDGE_CURVE('',#8833,#8834,#2438,.T.); +#13016=EDGE_CURVE('',#8834,#8833,#2443,.T.); +#13020=EDGE_CURVE('',#8837,#8838,#2448,.T.); +#13022=EDGE_CURVE('',#8838,#8837,#2453,.T.); +#13026=EDGE_CURVE('',#8841,#8842,#2458,.T.); +#13028=EDGE_CURVE('',#8842,#8841,#2463,.T.); +#13032=EDGE_CURVE('',#8845,#8846,#2468,.T.); +#13034=EDGE_CURVE('',#8846,#8845,#2473,.T.); +#13038=EDGE_CURVE('',#8849,#8850,#2478,.T.); +#13040=EDGE_CURVE('',#8850,#8849,#2483,.T.); +#13044=EDGE_CURVE('',#8901,#8902,#2488,.T.); +#13046=EDGE_CURVE('',#8902,#8901,#2493,.T.); +#13050=EDGE_CURVE('',#8905,#8906,#2498,.T.); +#13052=EDGE_CURVE('',#8906,#8905,#2503,.T.); +#13056=EDGE_CURVE('',#8909,#8910,#2508,.T.); +#13058=EDGE_CURVE('',#8910,#8909,#2513,.T.); +#13062=EDGE_CURVE('',#8913,#8914,#2518,.T.); +#13064=EDGE_CURVE('',#8914,#8913,#2523,.T.); +#13068=EDGE_CURVE('',#8917,#8918,#2528,.T.); +#13070=EDGE_CURVE('',#8918,#8917,#2533,.T.); +#13074=EDGE_CURVE('',#8921,#8922,#2538,.T.); +#13076=EDGE_CURVE('',#8922,#8921,#2543,.T.); +#13080=EDGE_CURVE('',#8925,#8926,#2548,.T.); +#13082=EDGE_CURVE('',#8926,#8925,#2553,.T.); +#13086=EDGE_CURVE('',#8929,#8930,#2558,.T.); +#13088=EDGE_CURVE('',#8930,#8929,#2563,.T.); +#13092=EDGE_CURVE('',#8933,#8934,#2568,.T.); +#13094=EDGE_CURVE('',#8934,#8933,#2573,.T.); +#13098=EDGE_CURVE('',#8937,#8938,#2578,.T.); +#13100=EDGE_CURVE('',#8938,#8937,#2583,.T.); +#13104=EDGE_CURVE('',#8941,#8942,#2588,.T.); +#13106=EDGE_CURVE('',#8942,#8941,#2593,.T.); +#13110=EDGE_CURVE('',#8945,#8946,#2598,.T.); +#13112=EDGE_CURVE('',#8946,#8945,#2603,.T.); +#13116=EDGE_CURVE('',#8973,#8974,#2607,.T.); +#13118=EDGE_CURVE('',#8974,#8961,#2611,.T.); +#13120=EDGE_CURVE('',#8961,#8962,#2615,.T.); +#13122=EDGE_CURVE('',#8962,#8986,#2619,.T.); +#13124=EDGE_CURVE('',#8986,#8981,#2623,.T.); +#13126=EDGE_CURVE('',#8981,#8982,#2627,.T.); +#13128=EDGE_CURVE('',#8982,#8984,#2631,.T.); +#13130=EDGE_CURVE('',#8984,#8957,#2635,.T.); +#13132=EDGE_CURVE('',#8957,#8958,#2639,.T.); +#13134=EDGE_CURVE('',#8958,#8977,#2643,.T.); +#13136=EDGE_CURVE('',#8977,#8978,#2647,.T.); +#13138=EDGE_CURVE('',#8978,#8973,#2651,.T.); +#13142=EDGE_CURVE('',#8749,#8750,#2348,.T.); +#13144=EDGE_CURVE('',#8750,#8749,#2353,.T.); +#13148=EDGE_CURVE('',#9085,#9086,#2723,.T.); +#13150=EDGE_CURVE('',#9086,#9088,#2727,.T.); +#13152=EDGE_CURVE('',#9088,#9090,#2731,.T.); +#13154=EDGE_CURVE('',#9090,#9085,#2735,.T.); +#13158=EDGE_CURVE('',#9109,#9110,#2739,.T.); +#13160=EDGE_CURVE('',#9110,#9112,#2743,.T.); +#13162=EDGE_CURVE('',#9112,#9114,#2747,.T.); +#13164=EDGE_CURVE('',#9114,#9109,#2751,.T.); +#13168=ADVANCED_FACE('',(#12965,#12971,#12977,#12983,#12989,#12995,#13001, +#13007,#13013,#13019,#13025,#13031,#13037,#13043,#13049,#13055,#13061,#13067, +#13073,#13079,#13085,#13091,#13097,#13103,#13109,#13115,#13141,#13147,#13157, +#13167),#12932,.F.); +#13182=EDGE_CURVE('',#8741,#8749,#2755,.T.); +#13185=EDGE_CURVE('',#8742,#8750,#2759,.T.); +#13189=ADVANCED_FACE('',(#13188),#13180,.F.); +#13201=ADVANCED_FACE('',(#13200),#13194,.F.); +#13208=EDGE_CURVE('',#8745,#8753,#2763,.T.); +#13211=EDGE_CURVE('',#8746,#8754,#2767,.T.); +#13215=ADVANCED_FACE('',(#13214),#13206,.F.); +#13227=ADVANCED_FACE('',(#13226),#13220,.F.); +#13234=EDGE_CURVE('',#8806,#8758,#2775,.T.); +#13237=EDGE_CURVE('',#8805,#8757,#2771,.T.); +#13241=ADVANCED_FACE('',(#13240),#13232,.F.); +#13253=ADVANCED_FACE('',(#13252),#13246,.F.); +#13260=EDGE_CURVE('',#8810,#8762,#2783,.T.); +#13263=EDGE_CURVE('',#8809,#8761,#2779,.T.); +#13267=ADVANCED_FACE('',(#13266),#13258,.F.); +#13279=ADVANCED_FACE('',(#13278),#13272,.F.); +#13286=EDGE_CURVE('',#8814,#8766,#2791,.T.); +#13289=EDGE_CURVE('',#8813,#8765,#2787,.T.); +#13293=ADVANCED_FACE('',(#13292),#13284,.F.); +#13305=ADVANCED_FACE('',(#13304),#13298,.F.); +#13312=EDGE_CURVE('',#8818,#8770,#2799,.T.); +#13315=EDGE_CURVE('',#8817,#8769,#2795,.T.); +#13319=ADVANCED_FACE('',(#13318),#13310,.F.); +#13331=ADVANCED_FACE('',(#13330),#13324,.F.); +#13338=EDGE_CURVE('',#8822,#8774,#2807,.T.); +#13341=EDGE_CURVE('',#8821,#8773,#2803,.T.); +#13345=ADVANCED_FACE('',(#13344),#13336,.F.); +#13357=ADVANCED_FACE('',(#13356),#13350,.F.); +#13364=EDGE_CURVE('',#8826,#8778,#2815,.T.); +#13367=EDGE_CURVE('',#8825,#8777,#2811,.T.); +#13371=ADVANCED_FACE('',(#13370),#13362,.F.); +#13383=ADVANCED_FACE('',(#13382),#13376,.F.); +#13390=EDGE_CURVE('',#8830,#8782,#2823,.T.); +#13393=EDGE_CURVE('',#8829,#8781,#2819,.T.); +#13397=ADVANCED_FACE('',(#13396),#13388,.F.); +#13409=ADVANCED_FACE('',(#13408),#13402,.F.); +#13416=EDGE_CURVE('',#8834,#8786,#2831,.T.); +#13419=EDGE_CURVE('',#8833,#8785,#2827,.T.); +#13423=ADVANCED_FACE('',(#13422),#13414,.F.); +#13435=ADVANCED_FACE('',(#13434),#13428,.F.); +#13442=EDGE_CURVE('',#8838,#8790,#2839,.T.); +#13445=EDGE_CURVE('',#8837,#8789,#2835,.T.); +#13449=ADVANCED_FACE('',(#13448),#13440,.F.); +#13461=ADVANCED_FACE('',(#13460),#13454,.F.); +#13468=EDGE_CURVE('',#8842,#8794,#2847,.T.); +#13471=EDGE_CURVE('',#8841,#8793,#2843,.T.); +#13475=ADVANCED_FACE('',(#13474),#13466,.F.); +#13487=ADVANCED_FACE('',(#13486),#13480,.F.); +#13494=EDGE_CURVE('',#8846,#8798,#2855,.T.); +#13497=EDGE_CURVE('',#8845,#8797,#2851,.T.); +#13501=ADVANCED_FACE('',(#13500),#13492,.F.); +#13513=ADVANCED_FACE('',(#13512),#13506,.F.); +#13520=EDGE_CURVE('',#8850,#8802,#2863,.T.); +#13523=EDGE_CURVE('',#8849,#8801,#2859,.T.); +#13527=ADVANCED_FACE('',(#13526),#13518,.F.); +#13539=ADVANCED_FACE('',(#13538),#13532,.F.); +#13546=EDGE_CURVE('',#8902,#8854,#2871,.T.); +#13549=EDGE_CURVE('',#8901,#8853,#2867,.T.); +#13553=ADVANCED_FACE('',(#13552),#13544,.F.); +#13565=ADVANCED_FACE('',(#13564),#13558,.F.); +#13572=EDGE_CURVE('',#8906,#8858,#2879,.T.); +#13575=EDGE_CURVE('',#8905,#8857,#2875,.T.); +#13579=ADVANCED_FACE('',(#13578),#13570,.F.); +#13591=ADVANCED_FACE('',(#13590),#13584,.F.); +#13598=EDGE_CURVE('',#8910,#8862,#2887,.T.); +#13601=EDGE_CURVE('',#8909,#8861,#2883,.T.); +#13605=ADVANCED_FACE('',(#13604),#13596,.F.); +#13617=ADVANCED_FACE('',(#13616),#13610,.F.); +#13624=EDGE_CURVE('',#8914,#8866,#2895,.T.); +#13627=EDGE_CURVE('',#8913,#8865,#2891,.T.); +#13631=ADVANCED_FACE('',(#13630),#13622,.F.); +#13643=ADVANCED_FACE('',(#13642),#13636,.F.); +#13650=EDGE_CURVE('',#8918,#8870,#2903,.T.); +#13653=EDGE_CURVE('',#8917,#8869,#2899,.T.); +#13657=ADVANCED_FACE('',(#13656),#13648,.F.); +#13669=ADVANCED_FACE('',(#13668),#13662,.F.); +#13676=EDGE_CURVE('',#8922,#8874,#2911,.T.); +#13679=EDGE_CURVE('',#8921,#8873,#2907,.T.); +#13683=ADVANCED_FACE('',(#13682),#13674,.F.); +#13695=ADVANCED_FACE('',(#13694),#13688,.F.); +#13702=EDGE_CURVE('',#8926,#8878,#2919,.T.); +#13705=EDGE_CURVE('',#8925,#8877,#2915,.T.); +#13709=ADVANCED_FACE('',(#13708),#13700,.F.); +#13721=ADVANCED_FACE('',(#13720),#13714,.F.); +#13728=EDGE_CURVE('',#8930,#8882,#2927,.T.); +#13731=EDGE_CURVE('',#8929,#8881,#2923,.T.); +#13735=ADVANCED_FACE('',(#13734),#13726,.F.); +#13747=ADVANCED_FACE('',(#13746),#13740,.F.); +#13754=EDGE_CURVE('',#8934,#8886,#2935,.T.); +#13757=EDGE_CURVE('',#8933,#8885,#2931,.T.); +#13761=ADVANCED_FACE('',(#13760),#13752,.F.); +#13773=ADVANCED_FACE('',(#13772),#13766,.F.); +#13780=EDGE_CURVE('',#8938,#8890,#2943,.T.); +#13783=EDGE_CURVE('',#8937,#8889,#2939,.T.); +#13787=ADVANCED_FACE('',(#13786),#13778,.F.); +#13799=ADVANCED_FACE('',(#13798),#13792,.F.); +#13806=EDGE_CURVE('',#8942,#8894,#2951,.T.); +#13809=EDGE_CURVE('',#8941,#8893,#2947,.T.); +#13813=ADVANCED_FACE('',(#13812),#13804,.F.); +#13825=ADVANCED_FACE('',(#13824),#13818,.F.); +#13832=EDGE_CURVE('',#8946,#8898,#2959,.T.); +#13835=EDGE_CURVE('',#8945,#8897,#2955,.T.); +#13839=ADVANCED_FACE('',(#13838),#13830,.F.); +#13851=ADVANCED_FACE('',(#13850),#13844,.F.); +#13858=EDGE_CURVE('',#8973,#8966,#2963,.T.); +#13860=EDGE_CURVE('',#8966,#8994,#3143,.T.); +#13862=EDGE_CURVE('',#8974,#8994,#2987,.T.); +#13866=ADVANCED_FACE('',(#13865),#13856,.T.); +#13873=EDGE_CURVE('',#8978,#8965,#2967,.T.); +#13875=EDGE_CURVE('',#8965,#8966,#3147,.T.); +#13880=ADVANCED_FACE('',(#13879),#13871,.T.); +#13887=EDGE_CURVE('',#8977,#8993,#2995,.T.); +#13889=EDGE_CURVE('',#8993,#8965,#3151,.T.); +#13894=ADVANCED_FACE('',(#13893),#13885,.T.); +#13900=EDGE_CURVE('',#11514,#11518,#2971,.T.); +#13902=EDGE_CURVE('',#11518,#11520,#2975,.T.); +#13904=EDGE_CURVE('',#11516,#11520,#2979,.T.); +#13906=EDGE_CURVE('',#11516,#8952,#2983,.T.); +#13908=EDGE_CURVE('',#8952,#8954,#3111,.T.); +#13910=EDGE_CURVE('',#8961,#8954,#3119,.T.); +#13914=EDGE_CURVE('',#8993,#8994,#2991,.T.); +#13918=EDGE_CURVE('',#8958,#11514,#2999,.T.); +#13922=ADVANCED_FACE('',(#13921),#13899,.T.); +#13928=EDGE_CURVE('',#11507,#11508,#3023,.T.); +#13930=EDGE_CURVE('',#11508,#11495,#3003,.T.); +#13932=EDGE_CURVE('',#11495,#11496,#3007,.T.); +#13934=EDGE_CURVE('',#11496,#11491,#3011,.T.); +#13936=EDGE_CURVE('',#11491,#11492,#3015,.T.); +#13938=EDGE_CURVE('',#11492,#11518,#3019,.T.); +#13941=EDGE_CURVE('',#11507,#11514,#3051,.T.); +#13945=ADVANCED_FACE('',(#13944),#13927,.F.); +#13952=EDGE_CURVE('',#8957,#11507,#3055,.T.); +#13955=EDGE_CURVE('',#8984,#8989,#3027,.T.); +#13957=EDGE_CURVE('',#8989,#8990,#3031,.T.); +#13959=EDGE_CURVE('',#8986,#8990,#3035,.T.); +#13962=EDGE_CURVE('',#8962,#8949,#3039,.T.); +#13964=EDGE_CURVE('',#8949,#8950,#3103,.T.); +#13966=EDGE_CURVE('',#11511,#8950,#3063,.T.); +#13968=EDGE_CURVE('',#11511,#11512,#3043,.T.); +#13970=EDGE_CURVE('',#11508,#11512,#3047,.T.); +#13974=ADVANCED_FACE('',(#13973),#13950,.T.); +#13986=ADVANCED_FACE('',(#13985),#13979,.T.); +#13992=EDGE_CURVE('',#11511,#11516,#3059,.T.); +#13995=EDGE_CURVE('',#8950,#8952,#3115,.T.); +#14000=ADVANCED_FACE('',(#13999),#13991,.T.); +#14009=EDGE_CURVE('',#11500,#11520,#3067,.T.); +#14011=EDGE_CURVE('',#11499,#11500,#3071,.T.); +#14013=EDGE_CURVE('',#11504,#11499,#3075,.T.); +#14015=EDGE_CURVE('',#11503,#11504,#3079,.T.); +#14017=EDGE_CURVE('',#11512,#11503,#3083,.T.); +#14021=ADVANCED_FACE('',(#14020),#14005,.T.); +#14029=EDGE_CURVE('',#11495,#11503,#3091,.T.); +#14034=ADVANCED_FACE('',(#14033),#14026,.T.); +#14042=EDGE_CURVE('',#11492,#11500,#3087,.T.); +#14047=ADVANCED_FACE('',(#14046),#14039,.T.); +#14056=EDGE_CURVE('',#11496,#11504,#3095,.T.); +#14060=ADVANCED_FACE('',(#14059),#14052,.T.); +#14069=EDGE_CURVE('',#11491,#11499,#3099,.T.); +#14073=ADVANCED_FACE('',(#14072),#14065,.T.); +#14085=ADVANCED_FACE('',(#14084),#14078,.T.); +#14092=EDGE_CURVE('',#8954,#8949,#3107,.T.); +#14098=ADVANCED_FACE('',(#14097),#14090,.T.); +#14110=ADVANCED_FACE('',(#14109),#14103,.T.); +#14117=EDGE_CURVE('',#8982,#8970,#3123,.T.); +#14119=EDGE_CURVE('',#8970,#8989,#3131,.T.); +#14124=ADVANCED_FACE('',(#14123),#14115,.T.); +#14131=EDGE_CURVE('',#8981,#8969,#3127,.T.); +#14133=EDGE_CURVE('',#8969,#8970,#3135,.T.); +#14138=ADVANCED_FACE('',(#14137),#14129,.T.); +#14146=EDGE_CURVE('',#8990,#8969,#3139,.T.); +#14151=ADVANCED_FACE('',(#14150),#14143,.T.); +#14163=ADVANCED_FACE('',(#14162),#14156,.T.); +#14175=ADVANCED_FACE('',(#14174),#14168,.T.); +#14182=EDGE_CURVE('',#9065,#9061,#3155,.T.); +#14184=EDGE_CURVE('',#9061,#9062,#3175,.T.); +#14186=EDGE_CURVE('',#9066,#9062,#3167,.T.); +#14190=ADVANCED_FACE('',(#14189),#14180,.T.); +#14197=EDGE_CURVE('',#9074,#9082,#3159,.T.); +#14199=EDGE_CURVE('',#9082,#9078,#3187,.T.); +#14201=EDGE_CURVE('',#9078,#9061,#3179,.T.); +#14206=ADVANCED_FACE('',(#14205),#14195,.T.); +#14213=EDGE_CURVE('',#9068,#9080,#3163,.T.); +#14215=EDGE_CURVE('',#9070,#9080,#3278,.T.); +#14219=ADVANCED_FACE('',(#14218),#14211,.T.); +#14226=EDGE_CURVE('',#9073,#9082,#3220,.T.); +#14231=ADVANCED_FACE('',(#14230),#14224,.T.); +#14239=EDGE_CURVE('',#9062,#9077,#3171,.T.); +#14241=EDGE_CURVE('',#9080,#9077,#3215,.T.); +#14246=ADVANCED_FACE('',(#14245),#14236,.T.); +#14252=EDGE_CURVE('',#9077,#9078,#3183,.T.); +#14259=ADVANCED_FACE('',(#14258),#14251,.T.); +#14267=EDGE_CURVE('',#9004,#9082,#3192,.T.); +#14269=EDGE_CURVE('',#9004,#9002,#3196,.T.); +#14271=EDGE_CURVE('',#9001,#9002,#3201,.T.); +#14273=EDGE_CURVE('',#9001,#8998,#3316,.T.); +#14275=EDGE_CURVE('',#8997,#8998,#3206,.T.); +#14277=EDGE_CURVE('',#9006,#8997,#3295,.T.); +#14279=EDGE_CURVE('',#9080,#9006,#3211,.T.); +#14284=ADVANCED_FACE('',(#14283),#14264,.T.); +#14292=EDGE_CURVE('',#9057,#9014,#3237,.T.); +#14294=EDGE_CURVE('',#9010,#9014,#3225,.T.); +#14296=EDGE_CURVE('',#9004,#9010,#3337,.T.); +#14301=ADVANCED_FACE('',(#14300),#14289,.T.); +#14307=EDGE_CURVE('',#9057,#9058,#3241,.T.); +#14309=EDGE_CURVE('',#9018,#9058,#3229,.T.); +#14311=EDGE_CURVE('',#9014,#9018,#3233,.T.); +#14316=ADVANCED_FACE('',(#14315),#14306,.F.); +#14324=EDGE_CURVE('',#8738,#8733,#3245,.T.); +#14327=EDGE_CURVE('',#8728,#8726,#3249,.T.); +#14332=ADVANCED_FACE('',(#14331),#14321,.T.); +#14346=EDGE_CURVE('',#8736,#8734,#3257,.T.); +#14352=ADVANCED_FACE('',(#14351),#14344,.T.); +#14371=ADVANCED_FACE('',(#14370),#14364,.T.); +#14387=EDGE_CURVE('',#8730,#8725,#3265,.T.); +#14391=ADVANCED_FACE('',(#14390),#14383,.T.); +#14404=EDGE_CURVE('',#8720,#8718,#3261,.T.); +#14411=ADVANCED_FACE('',(#14410),#14403,.T.); +#14427=EDGE_CURVE('',#8722,#8717,#3273,.T.); +#14431=ADVANCED_FACE('',(#14430),#14423,.T.); +#14450=ADVANCED_FACE('',(#14449),#14443,.T.); +#14465=EDGE_CURVE('',#9022,#9006,#3291,.T.); +#14467=EDGE_CURVE('',#9018,#9022,#3283,.T.); +#14473=ADVANCED_FACE('',(#14472),#14462,.T.); +#14479=EDGE_CURVE('',#9022,#9020,#3287,.T.); +#14483=EDGE_CURVE('',#8997,#9020,#3299,.T.); +#14487=ADVANCED_FACE('',(#14486),#14478,.T.); +#14493=EDGE_CURVE('',#9012,#9009,#3304,.T.); +#14495=EDGE_CURVE('',#9009,#9010,#3329,.T.); +#14501=EDGE_CURVE('',#9020,#9016,#3325,.T.); +#14503=EDGE_CURVE('',#9016,#9012,#3308,.T.); +#14507=EDGE_CURVE('',#9042,#9046,#3342,.T.); +#14509=EDGE_CURVE('',#9041,#9042,#3409,.T.); +#14511=EDGE_CURVE('',#9044,#9041,#3405,.T.); +#14513=EDGE_CURVE('',#9048,#9044,#3388,.T.); +#14515=EDGE_CURVE('',#9052,#9048,#3384,.T.); +#14517=EDGE_CURVE('',#9054,#9052,#3367,.T.); +#14519=EDGE_CURVE('',#9050,#9054,#3363,.T.); +#14521=EDGE_CURVE('',#9046,#9050,#3346,.T.); +#14525=ADVANCED_FACE('',(#14506,#14524),#14492,.T.); +#14532=EDGE_CURVE('',#9012,#9001,#3320,.T.); +#14535=EDGE_CURVE('',#9009,#9002,#3333,.T.); +#14539=ADVANCED_FACE('',(#14538),#14530,.T.); +#14546=EDGE_CURVE('',#8998,#9016,#3312,.T.); +#14552=ADVANCED_FACE('',(#14551),#14544,.T.); +#14564=ADVANCED_FACE('',(#14563),#14557,.T.); +#14576=ADVANCED_FACE('',(#14575),#14569,.T.); +#14583=EDGE_CURVE('',#9038,#9046,#3358,.T.); +#14585=EDGE_CURVE('',#9037,#9038,#3436,.T.); +#14587=EDGE_CURVE('',#9037,#9042,#3413,.T.); +#14591=ADVANCED_FACE('',(#14590),#14581,.F.); +#14598=EDGE_CURVE('',#9050,#9029,#3350,.T.); +#14600=EDGE_CURVE('',#9038,#9029,#3354,.T.); +#14605=ADVANCED_FACE('',(#14604),#14596,.T.); +#14612=EDGE_CURVE('',#9054,#9030,#3379,.T.); +#14614=EDGE_CURVE('',#9029,#9030,#3441,.T.); +#14619=ADVANCED_FACE('',(#14618),#14610,.F.); +#14626=EDGE_CURVE('',#9025,#9052,#3371,.T.); +#14628=EDGE_CURVE('',#9030,#9025,#3375,.T.); +#14633=ADVANCED_FACE('',(#14632),#14624,.F.); +#14640=EDGE_CURVE('',#9026,#9048,#3400,.T.); +#14642=EDGE_CURVE('',#9025,#9026,#3422,.T.); +#14647=ADVANCED_FACE('',(#14646),#14638,.F.); +#14654=EDGE_CURVE('',#9044,#9033,#3392,.T.); +#14656=EDGE_CURVE('',#9033,#9026,#3396,.T.); +#14661=ADVANCED_FACE('',(#14660),#14652,.F.); +#14668=EDGE_CURVE('',#9041,#9034,#3417,.T.); +#14670=EDGE_CURVE('',#9033,#9034,#3427,.T.); +#14675=ADVANCED_FACE('',(#14674),#14666,.F.); +#14683=EDGE_CURVE('',#9037,#9034,#3431,.T.); +#14688=ADVANCED_FACE('',(#14687),#14680,.F.); +#14704=ADVANCED_FACE('',(#14703),#14693,.F.); +#14710=EDGE_CURVE('',#9101,#9102,#3445,.T.); +#14712=EDGE_CURVE('',#9086,#9102,#3653,.T.); +#14715=EDGE_CURVE('',#9085,#9101,#3449,.T.); +#14719=ADVANCED_FACE('',(#14718),#14709,.T.); +#14726=EDGE_CURVE('',#9106,#9101,#3633,.T.); +#14728=EDGE_CURVE('',#9104,#9106,#3641,.T.); +#14730=EDGE_CURVE('',#9102,#9104,#3649,.T.); +#14734=EDGE_CURVE('',#9093,#9094,#3454,.T.); +#14736=EDGE_CURVE('',#9098,#9093,#3458,.T.); +#14738=EDGE_CURVE('',#9096,#9098,#3463,.T.); +#14740=EDGE_CURVE('',#9094,#9096,#3467,.T.); +#14744=ADVANCED_FACE('',(#14733,#14743),#14724,.T.); +#14750=EDGE_CURVE('',#9125,#9126,#3657,.T.); +#14752=EDGE_CURVE('',#9130,#9125,#3681,.T.); +#14754=EDGE_CURVE('',#9128,#9130,#3673,.T.); +#14756=EDGE_CURVE('',#9126,#9128,#3665,.T.); +#14760=EDGE_CURVE('',#9117,#9118,#3472,.T.); +#14762=EDGE_CURVE('',#9122,#9117,#3476,.T.); +#14764=EDGE_CURVE('',#9120,#9122,#3481,.T.); +#14766=EDGE_CURVE('',#9118,#9120,#3485,.T.); +#14770=ADVANCED_FACE('',(#14759,#14769),#14749,.T.); +#14776=EDGE_CURVE('',#9154,#9158,#3490,.T.); +#14778=EDGE_CURVE('',#9158,#9093,#3494,.T.); +#14781=EDGE_CURVE('',#9154,#9094,#3602,.T.); +#14785=ADVANCED_FACE('',(#14784),#14775,.T.); +#14791=EDGE_CURVE('',#9150,#9156,#3535,.T.); +#14793=EDGE_CURVE('',#9156,#9158,#3621,.T.); +#14796=EDGE_CURVE('',#9150,#9154,#3499,.T.); +#14800=ADVANCED_FACE('',(#14799),#14790,.T.); +#14806=EDGE_CURVE('',#9133,#9134,#3503,.T.); +#14808=EDGE_CURVE('',#9144,#9133,#3508,.T.); +#14810=EDGE_CURVE('',#9140,#9144,#3512,.T.); +#14812=EDGE_CURVE('',#9134,#9140,#3517,.T.); +#14816=ADVANCED_FACE('',(#14815),#14805,.T.); +#14822=EDGE_CURVE('',#9149,#9150,#3521,.T.); +#14824=EDGE_CURVE('',#9160,#9149,#3526,.T.); +#14826=EDGE_CURVE('',#9156,#9160,#3530,.T.); +#14831=ADVANCED_FACE('',(#14830),#14821,.T.); +#14838=EDGE_CURVE('',#9134,#9138,#3545,.T.); +#14840=EDGE_CURVE('',#9137,#9138,#3568,.T.); +#14842=EDGE_CURVE('',#9133,#9137,#3540,.T.); +#14846=ADVANCED_FACE('',(#14845),#14836,.T.); +#14853=EDGE_CURVE('',#9140,#9142,#3550,.T.); +#14855=EDGE_CURVE('',#9138,#9142,#3577,.T.); +#14860=ADVANCED_FACE('',(#14859),#14851,.T.); +#14867=EDGE_CURVE('',#9144,#9146,#3555,.T.); +#14869=EDGE_CURVE('',#9142,#9146,#3585,.T.); +#14874=ADVANCED_FACE('',(#14873),#14865,.T.); +#14882=EDGE_CURVE('',#9146,#9137,#3560,.T.); +#14887=ADVANCED_FACE('',(#14886),#14879,.T.); +#14894=EDGE_CURVE('',#9137,#9120,#3564,.T.); +#14897=EDGE_CURVE('',#9146,#9122,#3589,.T.); +#14901=ADVANCED_FACE('',(#14900),#14892,.T.); +#14908=EDGE_CURVE('',#9138,#9118,#3572,.T.); +#14914=ADVANCED_FACE('',(#14913),#14906,.F.); +#14921=EDGE_CURVE('',#9142,#9117,#3581,.T.); +#14927=ADVANCED_FACE('',(#14926),#14919,.T.); +#14939=ADVANCED_FACE('',(#14938),#14932,.F.); +#14947=EDGE_CURVE('',#9153,#9154,#3598,.T.); +#14949=EDGE_CURVE('',#9149,#9153,#3594,.T.); +#14953=ADVANCED_FACE('',(#14952),#14944,.T.); +#14962=EDGE_CURVE('',#9153,#9096,#3611,.T.); +#14966=ADVANCED_FACE('',(#14965),#14958,.F.); +#14972=EDGE_CURVE('',#9162,#9153,#3607,.T.); +#14976=EDGE_CURVE('',#9162,#9098,#3629,.T.); +#14980=ADVANCED_FACE('',(#14979),#14971,.T.); +#14989=EDGE_CURVE('',#9160,#9162,#3616,.T.); +#14993=ADVANCED_FACE('',(#14992),#14985,.T.); +#15001=EDGE_CURVE('',#9158,#9162,#3625,.T.); +#15006=ADVANCED_FACE('',(#15005),#14998,.T.); +#15018=ADVANCED_FACE('',(#15017),#15011,.F.); +#15027=EDGE_CURVE('',#9090,#9106,#3637,.T.); +#15031=ADVANCED_FACE('',(#15030),#15023,.T.); +#15040=EDGE_CURVE('',#9088,#9104,#3645,.T.); +#15044=ADVANCED_FACE('',(#15043),#15036,.T.); +#15056=ADVANCED_FACE('',(#15055),#15049,.T.); +#15063=EDGE_CURVE('',#9110,#9126,#3669,.T.); +#15066=EDGE_CURVE('',#9109,#9125,#3661,.T.); +#15070=ADVANCED_FACE('',(#15069),#15061,.T.); +#15077=EDGE_CURVE('',#9112,#9128,#3677,.T.); +#15083=ADVANCED_FACE('',(#15082),#15075,.T.); +#15090=EDGE_CURVE('',#9114,#9130,#3685,.T.); +#15096=ADVANCED_FACE('',(#15095),#15088,.T.); +#15108=ADVANCED_FACE('',(#15107),#15101,.T.); +#15115=EDGE_CURVE('',#9197,#9181,#3689,.T.); +#15117=EDGE_CURVE('',#9181,#9182,#3785,.T.); +#15119=EDGE_CURVE('',#9198,#9182,#3817,.T.); +#15123=ADVANCED_FACE('',(#15122),#15113,.T.); +#15130=EDGE_CURVE('',#9202,#9242,#3733,.T.); +#15132=EDGE_CURVE('',#9242,#9181,#3789,.T.); +#15137=ADVANCED_FACE('',(#15136),#15128,.T.); +#15143=EDGE_CURVE('',#9229,#9230,#3693,.T.); +#15145=EDGE_CURVE('',#9226,#9230,#3697,.T.); +#15148=EDGE_CURVE('',#9216,#9233,#3701,.T.); +#15150=EDGE_CURVE('',#9233,#9234,#3705,.T.); +#15152=EDGE_CURVE('',#9218,#9234,#3709,.T.); +#15155=EDGE_CURVE('',#9208,#9237,#3713,.T.); +#15157=EDGE_CURVE('',#9237,#9238,#3717,.T.); +#15159=EDGE_CURVE('',#9210,#9238,#3721,.T.); +#15162=EDGE_CURVE('',#9200,#9241,#3725,.T.); +#15164=EDGE_CURVE('',#9241,#9242,#3729,.T.); +#15168=EDGE_CURVE('',#9178,#9165,#3737,.T.); +#15170=EDGE_CURVE('',#9165,#9166,#3881,.T.); +#15172=EDGE_CURVE('',#9173,#9166,#3877,.T.); +#15175=EDGE_CURVE('',#9224,#9229,#3741,.T.); +#15179=ADVANCED_FACE('',(#15178),#15142,.T.); +#15186=EDGE_CURVE('',#9194,#9229,#3745,.T.); +#15188=EDGE_CURVE('',#9193,#9194,#3749,.T.); +#15190=EDGE_CURVE('',#9230,#9193,#3753,.T.); +#15194=ADVANCED_FACE('',(#15193),#15184,.T.); +#15201=EDGE_CURVE('',#9190,#9233,#3757,.T.); +#15203=EDGE_CURVE('',#9189,#9190,#3761,.T.); +#15205=EDGE_CURVE('',#9234,#9189,#3765,.T.); +#15209=ADVANCED_FACE('',(#15208),#15199,.T.); +#15216=EDGE_CURVE('',#9186,#9237,#3769,.T.); +#15218=EDGE_CURVE('',#9185,#9186,#3773,.T.); +#15220=EDGE_CURVE('',#9238,#9185,#3777,.T.); +#15224=ADVANCED_FACE('',(#15223),#15214,.T.); +#15231=EDGE_CURVE('',#9182,#9241,#3781,.T.); +#15237=ADVANCED_FACE('',(#15236),#15229,.T.); +#15244=EDGE_CURVE('',#9222,#9194,#3793,.T.); +#15250=ADVANCED_FACE('',(#15249),#15242,.T.); +#15257=EDGE_CURVE('',#9221,#9193,#3797,.T.); +#15263=ADVANCED_FACE('',(#15262),#15255,.T.); +#15275=ADVANCED_FACE('',(#15274),#15268,.T.); +#15282=EDGE_CURVE('',#9214,#9190,#3801,.T.); +#15288=ADVANCED_FACE('',(#15287),#15280,.T.); +#15295=EDGE_CURVE('',#9213,#9189,#3805,.T.); +#15301=ADVANCED_FACE('',(#15300),#15293,.T.); +#15313=ADVANCED_FACE('',(#15312),#15306,.T.); +#15320=EDGE_CURVE('',#9206,#9186,#3809,.T.); +#15326=ADVANCED_FACE('',(#15325),#15318,.T.); +#15333=EDGE_CURVE('',#9205,#9185,#3813,.T.); +#15339=ADVANCED_FACE('',(#15338),#15331,.T.); +#15351=ADVANCED_FACE('',(#15350),#15344,.T.); +#15363=ADVANCED_FACE('',(#15362),#15356,.T.); +#15370=EDGE_CURVE('',#9176,#9170,#3821,.T.); +#15372=EDGE_CURVE('',#9170,#9165,#3885,.T.); +#15377=ADVANCED_FACE('',(#15376),#15368,.T.); +#15384=EDGE_CURVE('',#9174,#9168,#3825,.T.); +#15386=EDGE_CURVE('',#9168,#9170,#3889,.T.); +#15391=EDGE_CURVE('',#9269,#9270,#3829,.T.); +#15393=EDGE_CURVE('',#9270,#9272,#3833,.T.); +#15395=EDGE_CURVE('',#9272,#9253,#3837,.T.); +#15397=EDGE_CURVE('',#9253,#9254,#3841,.T.); +#15399=EDGE_CURVE('',#9254,#9275,#3845,.T.); +#15401=EDGE_CURVE('',#9275,#9276,#3849,.T.); +#15403=EDGE_CURVE('',#9276,#9278,#3853,.T.); +#15405=EDGE_CURVE('',#9278,#9280,#3857,.T.); +#15407=EDGE_CURVE('',#9280,#9257,#3861,.T.); +#15409=EDGE_CURVE('',#9257,#9258,#3865,.T.); +#15411=EDGE_CURVE('',#9258,#9282,#3869,.T.); +#15413=EDGE_CURVE('',#9282,#9269,#3873,.T.); +#15417=ADVANCED_FACE('',(#15390,#15416),#15382,.T.); +#15425=EDGE_CURVE('',#9166,#9168,#3893,.T.); +#15430=ADVANCED_FACE('',(#15429),#15422,.T.); +#15442=ADVANCED_FACE('',(#15441),#15435,.T.); +#15449=EDGE_CURVE('',#9261,#9269,#3897,.T.); +#15451=EDGE_CURVE('',#9261,#9262,#3945,.T.); +#15453=EDGE_CURVE('',#9262,#9270,#3909,.T.); +#15457=ADVANCED_FACE('',(#15456),#15447,.F.); +#15464=EDGE_CURVE('',#9266,#9278,#3901,.T.); +#15466=EDGE_CURVE('',#9266,#9290,#3917,.T.); +#15468=EDGE_CURVE('',#9290,#9280,#3969,.T.); +#15472=ADVANCED_FACE('',(#15471),#15462,.F.); +#15479=EDGE_CURVE('',#9286,#9282,#3981,.T.); +#15481=EDGE_CURVE('',#9286,#9261,#3949,.T.); +#15486=ADVANCED_FACE('',(#15485),#15477,.F.); +#15493=EDGE_CURVE('',#9265,#9276,#3905,.T.); +#15495=EDGE_CURVE('',#9265,#9266,#3921,.T.); +#15500=ADVANCED_FACE('',(#15499),#15491,.F.); +#15508=EDGE_CURVE('',#9262,#9284,#3941,.T.); +#15510=EDGE_CURVE('',#9284,#9272,#3977,.T.); +#15514=ADVANCED_FACE('',(#15513),#15505,.F.); +#15521=EDGE_CURVE('',#9288,#9275,#3961,.T.); +#15523=EDGE_CURVE('',#9288,#9265,#3925,.T.); +#15528=ADVANCED_FACE('',(#15527),#15519,.F.); +#15534=EDGE_CURVE('',#9290,#9249,#3913,.T.); +#15539=EDGE_CURVE('',#9246,#9288,#3929,.T.); +#15541=EDGE_CURVE('',#9245,#9246,#3933,.T.); +#15543=EDGE_CURVE('',#9284,#9245,#3937,.T.); +#15548=EDGE_CURVE('',#9250,#9286,#3953,.T.); +#15550=EDGE_CURVE('',#9249,#9250,#3957,.T.); +#15554=ADVANCED_FACE('',(#15553),#15533,.T.); +#15562=EDGE_CURVE('',#9254,#9246,#3965,.T.); +#15567=ADVANCED_FACE('',(#15566),#15559,.F.); +#15575=EDGE_CURVE('',#9257,#9249,#3989,.T.); +#15580=ADVANCED_FACE('',(#15579),#15572,.F.); +#15587=EDGE_CURVE('',#9253,#9245,#3973,.T.); +#15593=ADVANCED_FACE('',(#15592),#15585,.F.); +#15605=ADVANCED_FACE('',(#15604),#15598,.F.); +#15613=EDGE_CURVE('',#9258,#9250,#3985,.T.); +#15618=ADVANCED_FACE('',(#15617),#15610,.F.); +#15630=ADVANCED_FACE('',(#15629),#15623,.F.); +#15637=EDGE_CURVE('',#9321,#9314,#3993,.T.); +#15639=EDGE_CURVE('',#9314,#9342,#4229,.T.); +#15641=EDGE_CURVE('',#9322,#9342,#4017,.T.); +#15645=ADVANCED_FACE('',(#15644),#15635,.T.); +#15652=EDGE_CURVE('',#9326,#9313,#3997,.T.); +#15654=EDGE_CURVE('',#9313,#9314,#4233,.T.); +#15659=ADVANCED_FACE('',(#15658),#15650,.T.); +#15666=EDGE_CURVE('',#9325,#9341,#4025,.T.); +#15668=EDGE_CURVE('',#9341,#9313,#4237,.T.); +#15673=ADVANCED_FACE('',(#15672),#15664,.T.); +#15679=EDGE_CURVE('',#9350,#9352,#4001,.T.); +#15681=EDGE_CURVE('',#9360,#9352,#4005,.T.); +#15683=EDGE_CURVE('',#9360,#9358,#4209,.T.); +#15685=EDGE_CURVE('',#9358,#9355,#4009,.T.); +#15687=EDGE_CURVE('',#9355,#9356,#4013,.T.); +#15689=EDGE_CURVE('',#9305,#9356,#4201,.T.); +#15693=EDGE_CURVE('',#9341,#9342,#4021,.T.); +#15697=EDGE_CURVE('',#9330,#9338,#4029,.T.); +#15699=EDGE_CURVE('',#9337,#9338,#4033,.T.); +#15701=EDGE_CURVE('',#9333,#9337,#4037,.T.); +#15704=EDGE_CURVE('',#9302,#9350,#4041,.T.); +#15708=ADVANCED_FACE('',(#15707),#15678,.T.); +#15714=EDGE_CURVE('',#9345,#9346,#4053,.T.); +#15716=EDGE_CURVE('',#9352,#9345,#4045,.T.); +#15719=EDGE_CURVE('',#9348,#9350,#4069,.T.); +#15721=EDGE_CURVE('',#9346,#9348,#4061,.T.); +#15725=ADVANCED_FACE('',(#15724),#15713,.T.); +#15732=EDGE_CURVE('',#9366,#9355,#4049,.T.); +#15734=EDGE_CURVE('',#9364,#9366,#4181,.T.); +#15736=EDGE_CURVE('',#9362,#9364,#4189,.T.); +#15738=EDGE_CURVE('',#9356,#9362,#4197,.T.); +#15742=ADVANCED_FACE('',(#15741),#15730,.T.); +#15749=EDGE_CURVE('',#9298,#9346,#4065,.T.); +#15752=EDGE_CURVE('',#9296,#9345,#4057,.T.); +#15756=ADVANCED_FACE('',(#15755),#15747,.T.); +#15763=EDGE_CURVE('',#9300,#9348,#4073,.T.); +#15769=ADVANCED_FACE('',(#15768),#15761,.T.); +#15781=ADVANCED_FACE('',(#15780),#15774,.T.); +#15787=EDGE_CURVE('',#9373,#9374,#4077,.T.); +#15789=EDGE_CURVE('',#9369,#9374,#4081,.T.); +#15791=EDGE_CURVE('',#9369,#9360,#4085,.T.); +#15797=EDGE_CURVE('',#9294,#9373,#4089,.T.); +#15801=ADVANCED_FACE('',(#15800),#15786,.T.); +#15807=EDGE_CURVE('',#9389,#9396,#4093,.T.); +#15809=EDGE_CURVE('',#9377,#9389,#4133,.T.); +#15811=EDGE_CURVE('',#9377,#9378,#4165,.T.); +#15813=EDGE_CURVE('',#9396,#9378,#4097,.T.); +#15817=ADVANCED_FACE('',(#15816),#15806,.T.); +#15823=EDGE_CURVE('',#9394,#9398,#4101,.T.); +#15825=EDGE_CURVE('',#9374,#9398,#4105,.T.); +#15828=EDGE_CURVE('',#9394,#9373,#4125,.T.); +#15832=ADVANCED_FACE('',(#15831),#15822,.T.); +#15838=EDGE_CURVE('',#9389,#9390,#4113,.T.); +#15841=EDGE_CURVE('',#9396,#9381,#4109,.T.); +#15843=EDGE_CURVE('',#9381,#9382,#4141,.T.); +#15845=EDGE_CURVE('',#9390,#9382,#4137,.T.); +#15849=ADVANCED_FACE('',(#15848),#15837,.F.); +#15856=EDGE_CURVE('',#9390,#9392,#4117,.T.); +#15858=EDGE_CURVE('',#9394,#9392,#4121,.T.); +#15863=EDGE_CURVE('',#9293,#9377,#4129,.T.); +#15868=ADVANCED_FACE('',(#15867),#15854,.T.); +#15876=EDGE_CURVE('',#9382,#9384,#4153,.T.); +#15878=EDGE_CURVE('',#9392,#9384,#4213,.T.); +#15882=ADVANCED_FACE('',(#15881),#15873,.F.); +#15889=EDGE_CURVE('',#9386,#9381,#4145,.T.); +#15891=EDGE_CURVE('',#9384,#9386,#4149,.T.); +#15896=ADVANCED_FACE('',(#15895),#15887,.T.); +#15902=EDGE_CURVE('',#9398,#9396,#4161,.T.); +#15904=EDGE_CURVE('',#9398,#9386,#4157,.T.); +#15910=ADVANCED_FACE('',(#15909),#15901,.F.); +#15918=EDGE_CURVE('',#9370,#9378,#4177,.T.); +#15920=EDGE_CURVE('',#9369,#9370,#4205,.T.); +#15926=ADVANCED_FACE('',(#15925),#15915,.F.); +#15935=EDGE_CURVE('',#9310,#9366,#4169,.T.); +#15939=EDGE_CURVE('',#9358,#9370,#4173,.T.); +#15944=ADVANCED_FACE('',(#15943),#15931,.T.); +#15953=EDGE_CURVE('',#9308,#9364,#4185,.T.); +#15957=ADVANCED_FACE('',(#15956),#15949,.T.); +#15966=EDGE_CURVE('',#9306,#9362,#4193,.T.); +#15970=ADVANCED_FACE('',(#15969),#15962,.T.); +#15982=ADVANCED_FACE('',(#15981),#15975,.T.); +#15994=ADVANCED_FACE('',(#15993),#15987,.T.); +#16007=ADVANCED_FACE('',(#16006),#15999,.F.); +#16014=EDGE_CURVE('',#9318,#9338,#4217,.T.); +#16016=EDGE_CURVE('',#9317,#9318,#4221,.T.); +#16018=EDGE_CURVE('',#9337,#9317,#4225,.T.); +#16022=ADVANCED_FACE('',(#16021),#16012,.T.); +#16034=ADVANCED_FACE('',(#16033),#16027,.T.); +#16041=EDGE_CURVE('',#9329,#9318,#4241,.T.); +#16047=ADVANCED_FACE('',(#16046),#16039,.T.); +#16054=EDGE_CURVE('',#9334,#9317,#4245,.T.); +#16060=ADVANCED_FACE('',(#16059),#16052,.T.); +#16072=ADVANCED_FACE('',(#16071),#16065,.T.); +#16079=EDGE_CURVE('',#9440,#9437,#4287,.T.); +#16081=EDGE_CURVE('',#9437,#9444,#4255,.T.); +#16083=EDGE_CURVE('',#9446,#9444,#4395,.T.); +#16087=ADVANCED_FACE('',(#16086),#16077,.T.); +#16093=EDGE_CURVE('',#9430,#9434,#4275,.T.); +#16095=EDGE_CURVE('',#9430,#9402,#4279,.T.); +#16097=EDGE_CURVE('',#9402,#9404,#4411,.T.); +#16099=EDGE_CURVE('',#9438,#9404,#4391,.T.); +#16101=EDGE_CURVE('',#9437,#9438,#4283,.T.); +#16105=EDGE_CURVE('',#9442,#9434,#4291,.T.); +#16109=EDGE_CURVE('',#9421,#9422,#4259,.T.); +#16111=EDGE_CURVE('',#9422,#9424,#4263,.T.); +#16113=EDGE_CURVE('',#9424,#9426,#4267,.T.); +#16115=EDGE_CURVE('',#9426,#9421,#4271,.T.); +#16119=ADVANCED_FACE('',(#16108,#16118),#16092,.T.); +#16126=EDGE_CURVE('',#9421,#9413,#4295,.T.); +#16128=EDGE_CURVE('',#9413,#9414,#4311,.T.); +#16130=EDGE_CURVE('',#9422,#9414,#4307,.T.); +#16134=ADVANCED_FACE('',(#16133),#16124,.T.); +#16141=EDGE_CURVE('',#9426,#9418,#4299,.T.); +#16143=EDGE_CURVE('',#9418,#9413,#4315,.T.); +#16148=ADVANCED_FACE('',(#16147),#16139,.T.); +#16155=EDGE_CURVE('',#9424,#9416,#4303,.T.); +#16157=EDGE_CURVE('',#9416,#9418,#4319,.T.); +#16162=ADVANCED_FACE('',(#16161),#16153,.T.); +#16170=EDGE_CURVE('',#9414,#9416,#4323,.T.); +#16175=ADVANCED_FACE('',(#16174),#16167,.T.); +#16187=ADVANCED_FACE('',(#16186),#16180,.T.); +#16193=EDGE_CURVE('',#9429,#9430,#4327,.T.); +#16196=EDGE_CURVE('',#9429,#9434,#4345,.T.); +#16200=ADVANCED_FACE('',(#16199),#16192,.T.); +#16207=EDGE_CURVE('',#9438,#9444,#4387,.T.); +#16212=ADVANCED_FACE('',(#16211),#16205,.T.); +#16218=EDGE_CURVE('',#9449,#9450,#4349,.T.); +#16220=EDGE_CURVE('',#9449,#9450,#4378,.T.); +#16224=ADVANCED_FACE('',(#16223),#16217,.T.); +#16230=EDGE_CURVE('',#9453,#9454,#4365,.T.); +#16232=EDGE_CURVE('',#9453,#9454,#4416,.T.); +#16236=ADVANCED_FACE('',(#16235),#16229,.T.); +#16243=EDGE_CURVE('',#9432,#9429,#4331,.T.); +#16246=EDGE_CURVE('',#9408,#9401,#4335,.T.); +#16248=EDGE_CURVE('',#9401,#9402,#4399,.T.); +#16253=ADVANCED_FACE('',(#16252),#16241,.T.); +#16265=ADVANCED_FACE('',(#16264),#16258,.T.); +#16272=EDGE_CURVE('',#9456,#9449,#4353,.T.); +#16275=EDGE_CURVE('',#9410,#9406,#4357,.T.); +#16277=EDGE_CURVE('',#9406,#9401,#4403,.T.); +#16281=EDGE_CURVE('',#9462,#9454,#4361,.T.); +#16284=EDGE_CURVE('',#9460,#9453,#4369,.T.); +#16287=EDGE_CURVE('',#9458,#9450,#4373,.T.); +#16291=ADVANCED_FACE('',(#16290),#16270,.T.); +#16303=ADVANCED_FACE('',(#16302),#16296,.T.); +#16311=EDGE_CURVE('',#9404,#9406,#4407,.T.); +#16318=ADVANCED_FACE('',(#16317),#16308,.T.); +#16330=ADVANCED_FACE('',(#16329),#16323,.T.); +#16342=ADVANCED_FACE('',(#16341),#16335,.T.); +#16349=EDGE_CURVE('',#9473,#9465,#4425,.T.); +#16351=EDGE_CURVE('',#9465,#9466,#4569,.T.); +#16353=EDGE_CURVE('',#9474,#9466,#4501,.T.); +#16357=ADVANCED_FACE('',(#16356),#16347,.T.); +#16364=EDGE_CURVE('',#9478,#9470,#4429,.T.); +#16366=EDGE_CURVE('',#9470,#9465,#4573,.T.); +#16371=EDGE_CURVE('',#9673,#9674,#4433,.T.); +#16373=EDGE_CURVE('',#9673,#9689,#4437,.T.); +#16375=EDGE_CURVE('',#9689,#9690,#4441,.T.); +#16377=EDGE_CURVE('',#9674,#9690,#4445,.T.); +#16381=EDGE_CURVE('',#9677,#9678,#4449,.T.); +#16383=EDGE_CURVE('',#9677,#9693,#4453,.T.); +#16385=EDGE_CURVE('',#9693,#9694,#4457,.T.); +#16387=EDGE_CURVE('',#9678,#9694,#4461,.T.); +#16391=EDGE_CURVE('',#9681,#9682,#4465,.T.); +#16393=EDGE_CURVE('',#9681,#9697,#4469,.T.); +#16395=EDGE_CURVE('',#9697,#9698,#4473,.T.); +#16397=EDGE_CURVE('',#9682,#9698,#4477,.T.); +#16401=EDGE_CURVE('',#9685,#9686,#4481,.T.); +#16403=EDGE_CURVE('',#9685,#9701,#4485,.T.); +#16405=EDGE_CURVE('',#9701,#9702,#4489,.T.); +#16407=EDGE_CURVE('',#9686,#9702,#4493,.T.); +#16411=ADVANCED_FACE('',(#16370,#16380,#16390,#16400,#16410),#16362,.T.); +#16418=EDGE_CURVE('',#9476,#9468,#4497,.T.); +#16420=EDGE_CURVE('',#9468,#9470,#4577,.T.); +#16425=ADVANCED_FACE('',(#16424),#16416,.T.); +#16433=EDGE_CURVE('',#9466,#9468,#4581,.T.); +#16438=EDGE_CURVE('',#9641,#9642,#4505,.T.); +#16440=EDGE_CURVE('',#9642,#9658,#4509,.T.); +#16442=EDGE_CURVE('',#9657,#9658,#4513,.T.); +#16444=EDGE_CURVE('',#9641,#9657,#4517,.T.); +#16448=EDGE_CURVE('',#9645,#9646,#4521,.T.); +#16450=EDGE_CURVE('',#9646,#9662,#4525,.T.); +#16452=EDGE_CURVE('',#9661,#9662,#4529,.T.); +#16454=EDGE_CURVE('',#9645,#9661,#4533,.T.); +#16458=EDGE_CURVE('',#9649,#9650,#4537,.T.); +#16460=EDGE_CURVE('',#9650,#9666,#4541,.T.); +#16462=EDGE_CURVE('',#9665,#9666,#4545,.T.); +#16464=EDGE_CURVE('',#9649,#9665,#4549,.T.); +#16468=EDGE_CURVE('',#9653,#9654,#4553,.T.); +#16470=EDGE_CURVE('',#9654,#9670,#4557,.T.); +#16472=EDGE_CURVE('',#9669,#9670,#4561,.T.); +#16474=EDGE_CURVE('',#9653,#9669,#4565,.T.); +#16478=ADVANCED_FACE('',(#16437,#16447,#16457,#16467,#16477),#16430,.T.); +#16490=ADVANCED_FACE('',(#16489),#16483,.T.); +#16497=EDGE_CURVE('',#9641,#9706,#4585,.T.); +#16499=EDGE_CURVE('',#9705,#9706,#4789,.T.); +#16501=EDGE_CURVE('',#9705,#9642,#4589,.T.); +#16505=ADVANCED_FACE('',(#16504),#16495,.F.); +#16512=EDGE_CURVE('',#9645,#9710,#4593,.T.); +#16514=EDGE_CURVE('',#9709,#9710,#4981,.T.); +#16516=EDGE_CURVE('',#9709,#9646,#4597,.T.); +#16520=ADVANCED_FACE('',(#16519),#16510,.F.); +#16527=EDGE_CURVE('',#9649,#9714,#4601,.T.); +#16529=EDGE_CURVE('',#9713,#9714,#5049,.T.); +#16531=EDGE_CURVE('',#9713,#9650,#4605,.T.); +#16535=ADVANCED_FACE('',(#16534),#16525,.F.); +#16542=EDGE_CURVE('',#9653,#9718,#4609,.T.); +#16544=EDGE_CURVE('',#9717,#9718,#5125,.T.); +#16546=EDGE_CURVE('',#9717,#9654,#4613,.T.); +#16550=ADVANCED_FACE('',(#16549),#16540,.F.); +#16557=EDGE_CURVE('',#9674,#9722,#4617,.T.); +#16559=EDGE_CURVE('',#9721,#9722,#5153,.T.); +#16561=EDGE_CURVE('',#9721,#9673,#4621,.T.); +#16565=ADVANCED_FACE('',(#16564),#16555,.F.); +#16572=EDGE_CURVE('',#9678,#9726,#4625,.T.); +#16574=EDGE_CURVE('',#9725,#9726,#4989,.T.); +#16576=EDGE_CURVE('',#9725,#9677,#4629,.T.); +#16580=ADVANCED_FACE('',(#16579),#16570,.F.); +#16587=EDGE_CURVE('',#9682,#9730,#4633,.T.); +#16589=EDGE_CURVE('',#9729,#9730,#5057,.T.); +#16591=EDGE_CURVE('',#9729,#9681,#4637,.T.); +#16595=ADVANCED_FACE('',(#16594),#16585,.F.); +#16602=EDGE_CURVE('',#9686,#9734,#4641,.T.); +#16604=EDGE_CURVE('',#9733,#9734,#5133,.T.); +#16606=EDGE_CURVE('',#9733,#9685,#4645,.T.); +#16610=ADVANCED_FACE('',(#16609),#16600,.F.); +#16616=EDGE_CURVE('',#9577,#9637,#4669,.T.); +#16618=EDGE_CURVE('',#9577,#9509,#4813,.T.); +#16620=EDGE_CURVE('',#9541,#9509,#4797,.T.); +#16623=EDGE_CURVE('',#9546,#9706,#4653,.T.); +#16627=EDGE_CURVE('',#9657,#9637,#4685,.T.); +#16631=ADVANCED_FACE('',(#16630),#16615,.T.); +#16637=EDGE_CURVE('',#9593,#9634,#4657,.T.); +#16639=EDGE_CURVE('',#9634,#9689,#4725,.T.); +#16643=EDGE_CURVE('',#9561,#9721,#5157,.T.); +#16646=EDGE_CURVE('',#9514,#9482,#4665,.T.); +#16648=EDGE_CURVE('',#9482,#9593,#4869,.T.); +#16652=ADVANCED_FACE('',(#16651),#16636,.T.); +#16659=EDGE_CURVE('',#9637,#9638,#4681,.T.); +#16661=EDGE_CURVE('',#9638,#9578,#4673,.T.); +#16663=EDGE_CURVE('',#9577,#9578,#4801,.T.); +#16667=ADVANCED_FACE('',(#16666),#16657,.T.); +#16674=EDGE_CURVE('',#9638,#9658,#4677,.T.); +#16680=ADVANCED_FACE('',(#16679),#16672,.T.); +#16687=EDGE_CURVE('',#9630,#9662,#4689,.T.); +#16689=EDGE_CURVE('',#9629,#9630,#4693,.T.); +#16691=EDGE_CURVE('',#9661,#9629,#4697,.T.); +#16695=ADVANCED_FACE('',(#16694),#16685,.T.); +#16702=EDGE_CURVE('',#9622,#9666,#4701,.T.); +#16704=EDGE_CURVE('',#9621,#9622,#4705,.T.); +#16706=EDGE_CURVE('',#9665,#9621,#4709,.T.); +#16710=ADVANCED_FACE('',(#16709),#16700,.T.); +#16717=EDGE_CURVE('',#9614,#9670,#4713,.T.); +#16719=EDGE_CURVE('',#9613,#9614,#4717,.T.); +#16721=EDGE_CURVE('',#9669,#9613,#4721,.T.); +#16725=ADVANCED_FACE('',(#16724),#16715,.T.); +#16733=EDGE_CURVE('',#9633,#9634,#4729,.T.); +#16735=EDGE_CURVE('',#9690,#9633,#4733,.T.); +#16739=ADVANCED_FACE('',(#16738),#16730,.T.); +#16746=EDGE_CURVE('',#9626,#9693,#4737,.T.); +#16748=EDGE_CURVE('',#9625,#9626,#4741,.T.); +#16750=EDGE_CURVE('',#9694,#9625,#4745,.T.); +#16754=ADVANCED_FACE('',(#16753),#16744,.T.); +#16761=EDGE_CURVE('',#9618,#9697,#4749,.T.); +#16763=EDGE_CURVE('',#9617,#9618,#4753,.T.); +#16765=EDGE_CURVE('',#9698,#9617,#4757,.T.); +#16769=ADVANCED_FACE('',(#16768),#16759,.T.); +#16776=EDGE_CURVE('',#9610,#9701,#4761,.T.); +#16778=EDGE_CURVE('',#9609,#9610,#4765,.T.); +#16780=EDGE_CURVE('',#9702,#9609,#4769,.T.); +#16784=ADVANCED_FACE('',(#16783),#16774,.T.); +#16791=EDGE_CURVE('',#9545,#9705,#4793,.T.); +#16794=EDGE_CURVE('',#9542,#9510,#4777,.T.); +#16796=EDGE_CURVE('',#9510,#9578,#4805,.T.); +#16803=ADVANCED_FACE('',(#16802),#16789,.T.); +#16809=EDGE_CURVE('',#9562,#9722,#4781,.T.); +#16814=EDGE_CURVE('',#9594,#9633,#5145,.T.); +#16816=EDGE_CURVE('',#9594,#9481,#4877,.T.); +#16818=EDGE_CURVE('',#9513,#9481,#5149,.T.); +#16823=ADVANCED_FACE('',(#16822),#16808,.T.); +#16835=ADVANCED_FACE('',(#16834),#16828,.T.); +#16843=EDGE_CURVE('',#9509,#9510,#4809,.T.); +#16848=ADVANCED_FACE('',(#16847),#16840,.T.); +#16860=ADVANCED_FACE('',(#16859),#16853,.T.); +#16866=EDGE_CURVE('',#9581,#9582,#4817,.T.); +#16868=EDGE_CURVE('',#9498,#9582,#4821,.T.); +#16870=EDGE_CURVE('',#9497,#9498,#4825,.T.); +#16872=EDGE_CURVE('',#9581,#9497,#4829,.T.); +#16876=ADVANCED_FACE('',(#16875),#16865,.T.); +#16882=EDGE_CURVE('',#9585,#9586,#4833,.T.); +#16884=EDGE_CURVE('',#9502,#9586,#4837,.T.); +#16886=EDGE_CURVE('',#9501,#9502,#4841,.T.); +#16888=EDGE_CURVE('',#9585,#9501,#4845,.T.); +#16892=ADVANCED_FACE('',(#16891),#16881,.T.); +#16898=EDGE_CURVE('',#9589,#9590,#4849,.T.); +#16900=EDGE_CURVE('',#9506,#9589,#4853,.T.); +#16902=EDGE_CURVE('',#9505,#9506,#4857,.T.); +#16904=EDGE_CURVE('',#9590,#9505,#4861,.T.); +#16908=ADVANCED_FACE('',(#16907),#16897,.T.); +#16914=EDGE_CURVE('',#9593,#9594,#4865,.T.); +#16917=EDGE_CURVE('',#9481,#9482,#4873,.T.); +#16922=ADVANCED_FACE('',(#16921),#16913,.T.); +#16928=EDGE_CURVE('',#9597,#9598,#4881,.T.); +#16930=EDGE_CURVE('',#9486,#9598,#4885,.T.); +#16932=EDGE_CURVE('',#9485,#9486,#4889,.T.); +#16934=EDGE_CURVE('',#9597,#9485,#4893,.T.); +#16938=ADVANCED_FACE('',(#16937),#16927,.T.); +#16944=EDGE_CURVE('',#9601,#9602,#4897,.T.); +#16946=EDGE_CURVE('',#9490,#9602,#4901,.T.); +#16948=EDGE_CURVE('',#9489,#9490,#4905,.T.); +#16950=EDGE_CURVE('',#9601,#9489,#4909,.T.); +#16954=ADVANCED_FACE('',(#16953),#16943,.T.); +#16960=EDGE_CURVE('',#9605,#9606,#4913,.T.); +#16962=EDGE_CURVE('',#9494,#9606,#4917,.T.); +#16964=EDGE_CURVE('',#9493,#9494,#4921,.T.); +#16966=EDGE_CURVE('',#9605,#9493,#4925,.T.); +#16970=ADVANCED_FACE('',(#16969),#16959,.T.); +#16976=EDGE_CURVE('',#9581,#9629,#4929,.T.); +#16979=EDGE_CURVE('',#9582,#9630,#4965,.T.); +#16984=ADVANCED_FACE('',(#16983),#16975,.T.); +#16992=EDGE_CURVE('',#9529,#9497,#4953,.T.); +#16995=EDGE_CURVE('',#9550,#9710,#4937,.T.); +#17002=ADVANCED_FACE('',(#17001),#16989,.T.); +#17008=EDGE_CURVE('',#9598,#9626,#4941,.T.); +#17013=EDGE_CURVE('',#9565,#9725,#4993,.T.); +#17016=EDGE_CURVE('',#9518,#9486,#4949,.T.); +#17021=ADVANCED_FACE('',(#17020),#17007,.T.); +#17030=EDGE_CURVE('',#9530,#9498,#4961,.T.); +#17034=ADVANCED_FACE('',(#17033),#17026,.T.); +#17041=EDGE_CURVE('',#9549,#9709,#4985,.T.); +#17051=ADVANCED_FACE('',(#17050),#17039,.T.); +#17057=EDGE_CURVE('',#9566,#9726,#4969,.T.); +#17062=EDGE_CURVE('',#9625,#9597,#4973,.T.); +#17065=EDGE_CURVE('',#9517,#9485,#4997,.T.); +#17070=ADVANCED_FACE('',(#17069),#17056,.T.); +#17082=ADVANCED_FACE('',(#17081),#17075,.T.); +#17094=ADVANCED_FACE('',(#17093),#17087,.T.); +#17106=ADVANCED_FACE('',(#17105),#17099,.T.); +#17118=ADVANCED_FACE('',(#17117),#17111,.T.); +#17124=EDGE_CURVE('',#9585,#9621,#5001,.T.); +#17127=EDGE_CURVE('',#9622,#9586,#5005,.T.); +#17132=ADVANCED_FACE('',(#17131),#17123,.T.); +#17140=EDGE_CURVE('',#9533,#9501,#5029,.T.); +#17143=EDGE_CURVE('',#9554,#9714,#5013,.T.); +#17150=ADVANCED_FACE('',(#17149),#17137,.T.); +#17156=EDGE_CURVE('',#9602,#9618,#5017,.T.); +#17161=EDGE_CURVE('',#9569,#9729,#5061,.T.); +#17164=EDGE_CURVE('',#9522,#9490,#5025,.T.); +#17169=ADVANCED_FACE('',(#17168),#17155,.T.); +#17178=EDGE_CURVE('',#9534,#9502,#5037,.T.); +#17182=ADVANCED_FACE('',(#17181),#17174,.T.); +#17189=EDGE_CURVE('',#9553,#9713,#5053,.T.); +#17199=ADVANCED_FACE('',(#17198),#17187,.T.); +#17205=EDGE_CURVE('',#9570,#9730,#5041,.T.); +#17210=EDGE_CURVE('',#9601,#9617,#5065,.T.); +#17213=EDGE_CURVE('',#9521,#9489,#5069,.T.); +#17218=ADVANCED_FACE('',(#17217),#17204,.T.); +#17230=ADVANCED_FACE('',(#17229),#17223,.T.); +#17242=ADVANCED_FACE('',(#17241),#17235,.T.); +#17254=ADVANCED_FACE('',(#17253),#17247,.T.); +#17266=ADVANCED_FACE('',(#17265),#17259,.T.); +#17272=EDGE_CURVE('',#9590,#9613,#5073,.T.); +#17275=EDGE_CURVE('',#9589,#9614,#5109,.T.); +#17280=ADVANCED_FACE('',(#17279),#17271,.T.); +#17288=EDGE_CURVE('',#9537,#9505,#5097,.T.); +#17291=EDGE_CURVE('',#9558,#9718,#5081,.T.); +#17298=ADVANCED_FACE('',(#17297),#17285,.T.); +#17304=EDGE_CURVE('',#9606,#9610,#5085,.T.); +#17309=EDGE_CURVE('',#9573,#9733,#5137,.T.); +#17312=EDGE_CURVE('',#9526,#9494,#5093,.T.); +#17317=ADVANCED_FACE('',(#17316),#17303,.T.); +#17326=EDGE_CURVE('',#9538,#9506,#5105,.T.); +#17330=ADVANCED_FACE('',(#17329),#17322,.T.); +#17337=EDGE_CURVE('',#9557,#9717,#5129,.T.); +#17347=ADVANCED_FACE('',(#17346),#17335,.T.); +#17353=EDGE_CURVE('',#9574,#9734,#5113,.T.); +#17358=EDGE_CURVE('',#9609,#9605,#5117,.T.); +#17361=EDGE_CURVE('',#9525,#9493,#5141,.T.); +#17366=ADVANCED_FACE('',(#17365),#17352,.T.); +#17378=ADVANCED_FACE('',(#17377),#17371,.T.); +#17390=ADVANCED_FACE('',(#17389),#17383,.T.); +#17402=ADVANCED_FACE('',(#17401),#17395,.T.); +#17414=ADVANCED_FACE('',(#17413),#17407,.T.); +#17426=ADVANCED_FACE('',(#17425),#17419,.T.); +#17438=ADVANCED_FACE('',(#17437),#17431,.T.); +#17450=ADVANCED_FACE('',(#17449),#17443,.T.); +#17457=EDGE_CURVE('',#9769,#9754,#5161,.T.); +#17459=EDGE_CURVE('',#9754,#9810,#5209,.T.); +#17461=EDGE_CURVE('',#9770,#9810,#5181,.T.); +#17465=ADVANCED_FACE('',(#17464),#17455,.T.); +#17472=EDGE_CURVE('',#9774,#9753,#5165,.T.); +#17474=EDGE_CURVE('',#9753,#9754,#5213,.T.); +#17479=ADVANCED_FACE('',(#17478),#17470,.T.); +#17486=EDGE_CURVE('',#9773,#9809,#5173,.T.); +#17488=EDGE_CURVE('',#9809,#9753,#5217,.T.); +#17493=ADVANCED_FACE('',(#17492),#17484,.T.); +#17499=EDGE_CURVE('',#9809,#9810,#5169,.T.); +#17503=EDGE_CURVE('',#9748,#9740,#5177,.T.); +#17505=EDGE_CURVE('',#9740,#9742,#5289,.T.); +#17507=EDGE_CURVE('',#9750,#9742,#5265,.T.); +#17513=ADVANCED_FACE('',(#17512),#17498,.T.); +#17519=EDGE_CURVE('',#9801,#9802,#5233,.T.); +#17521=EDGE_CURVE('',#9762,#9802,#5185,.T.); +#17523=EDGE_CURVE('',#9761,#9762,#5189,.T.); +#17525=EDGE_CURVE('',#9801,#9761,#5193,.T.); +#17529=ADVANCED_FACE('',(#17528),#17518,.T.); +#17535=EDGE_CURVE('',#9805,#9806,#5297,.T.); +#17537=EDGE_CURVE('',#9758,#9806,#5197,.T.); +#17539=EDGE_CURVE('',#9757,#9758,#5201,.T.); +#17541=EDGE_CURVE('',#9805,#9757,#5205,.T.); +#17545=ADVANCED_FACE('',(#17544),#17534,.T.); +#17557=ADVANCED_FACE('',(#17556),#17550,.T.); +#17563=EDGE_CURVE('',#9813,#9814,#5257,.T.); +#17565=EDGE_CURVE('',#9766,#9814,#5221,.T.); +#17567=EDGE_CURVE('',#9765,#9766,#5225,.T.); +#17569=EDGE_CURVE('',#9813,#9765,#5229,.T.); +#17573=ADVANCED_FACE('',(#17572),#17562,.T.); +#17580=EDGE_CURVE('',#9789,#9801,#5237,.T.); +#17583=EDGE_CURVE('',#9744,#9737,#5241,.T.); +#17585=EDGE_CURVE('',#9737,#9738,#5281,.T.); +#17587=EDGE_CURVE('',#9746,#9738,#5305,.T.); +#17590=EDGE_CURVE('',#9786,#9802,#5245,.T.); +#17594=ADVANCED_FACE('',(#17593),#17578,.T.); +#17603=EDGE_CURVE('',#9790,#9761,#5249,.T.); +#17607=ADVANCED_FACE('',(#17606),#17599,.T.); +#17616=EDGE_CURVE('',#9785,#9762,#5253,.T.); +#17620=ADVANCED_FACE('',(#17619),#17612,.T.); +#17632=ADVANCED_FACE('',(#17631),#17625,.T.); +#17639=EDGE_CURVE('',#9797,#9813,#5261,.T.); +#17643=EDGE_CURVE('',#9742,#9737,#5285,.T.); +#17647=EDGE_CURVE('',#9794,#9814,#5269,.T.); +#17651=ADVANCED_FACE('',(#17650),#17637,.T.); +#17660=EDGE_CURVE('',#9798,#9765,#5273,.T.); +#17664=ADVANCED_FACE('',(#17663),#17656,.T.); +#17673=EDGE_CURVE('',#9793,#9766,#5277,.T.); +#17677=ADVANCED_FACE('',(#17676),#17669,.T.); +#17689=ADVANCED_FACE('',(#17688),#17682,.T.); +#17698=EDGE_CURVE('',#9738,#9740,#5293,.T.); +#17702=ADVANCED_FACE('',(#17701),#17694,.T.); +#17709=EDGE_CURVE('',#9781,#9805,#5301,.T.); +#17716=EDGE_CURVE('',#9778,#9806,#5309,.T.); +#17720=ADVANCED_FACE('',(#17719),#17707,.T.); +#17729=EDGE_CURVE('',#9782,#9757,#5313,.T.); +#17733=ADVANCED_FACE('',(#17732),#17725,.T.); +#17742=EDGE_CURVE('',#9777,#9758,#5317,.T.); +#17746=ADVANCED_FACE('',(#17745),#17738,.T.); +#17758=ADVANCED_FACE('',(#17757),#17751,.T.); +#17765=EDGE_CURVE('',#9841,#9833,#5321,.T.); +#17767=EDGE_CURVE('',#9833,#9834,#5341,.T.); +#17769=EDGE_CURVE('',#9842,#9834,#5333,.T.); +#17773=ADVANCED_FACE('',(#17772),#17763,.T.); +#17780=EDGE_CURVE('',#9850,#9838,#5325,.T.); +#17782=EDGE_CURVE('',#9838,#9862,#5349,.T.); +#17784=EDGE_CURVE('',#9852,#9862,#5393,.T.); +#17788=ADVANCED_FACE('',(#17787),#17778,.T.); +#17795=EDGE_CURVE('',#9854,#9858,#5365,.T.); +#17797=EDGE_CURVE('',#9858,#9833,#5345,.T.); +#17802=ADVANCED_FACE('',(#17801),#17793,.T.); +#17809=EDGE_CURVE('',#9848,#9837,#5329,.T.); +#17811=EDGE_CURVE('',#9837,#9838,#5353,.T.); +#17816=ADVANCED_FACE('',(#17815),#17807,.T.); +#17824=EDGE_CURVE('',#9834,#9857,#5337,.T.); +#17826=EDGE_CURVE('',#9844,#9857,#5373,.T.); +#17830=ADVANCED_FACE('',(#17829),#17821,.T.); +#17837=EDGE_CURVE('',#9847,#9861,#5385,.T.); +#17839=EDGE_CURVE('',#9861,#9837,#5357,.T.); +#17844=ADVANCED_FACE('',(#17843),#17835,.T.); +#17850=EDGE_CURVE('',#9857,#9858,#5361,.T.); +#17857=ADVANCED_FACE('',(#17856),#17849,.T.); +#17863=EDGE_CURVE('',#9861,#9862,#5381,.T.); +#17870=ADVANCED_FACE('',(#17869),#17862,.T.); +#17879=EDGE_CURVE('',#9830,#9817,#5369,.T.); +#17881=EDGE_CURVE('',#9817,#9818,#5401,.T.); +#17883=EDGE_CURVE('',#9825,#9818,#5397,.T.); +#17889=ADVANCED_FACE('',(#17888),#17875,.T.); +#17896=EDGE_CURVE('',#9829,#9822,#5377,.T.); +#17898=EDGE_CURVE('',#9822,#9817,#5405,.T.); +#17903=ADVANCED_FACE('',(#17902),#17894,.T.); +#17912=EDGE_CURVE('',#9826,#9820,#5389,.T.); +#17914=EDGE_CURVE('',#9820,#9822,#5409,.T.); +#17921=ADVANCED_FACE('',(#17920),#17908,.T.); +#17929=EDGE_CURVE('',#9818,#9820,#5413,.T.); +#17934=ADVANCED_FACE('',(#17933),#17926,.T.); +#17946=ADVANCED_FACE('',(#17945),#17939,.T.); +#17953=EDGE_CURVE('',#9873,#9865,#5417,.T.); +#17955=EDGE_CURVE('',#9865,#9866,#5433,.T.); +#17957=EDGE_CURVE('',#9874,#9866,#5429,.T.); +#17961=ADVANCED_FACE('',(#17960),#17951,.T.); +#17968=EDGE_CURVE('',#9878,#9870,#5421,.T.); +#17970=EDGE_CURVE('',#9870,#9865,#5437,.T.); +#17975=ADVANCED_FACE('',(#17974),#17966,.T.); +#17982=EDGE_CURVE('',#9876,#9868,#5425,.T.); +#17984=EDGE_CURVE('',#9868,#9870,#5441,.T.); +#17989=ADVANCED_FACE('',(#17988),#17980,.T.); +#17997=EDGE_CURVE('',#9866,#9868,#5445,.T.); +#18002=ADVANCED_FACE('',(#18001),#17994,.T.); +#18014=ADVANCED_FACE('',(#18013),#18007,.T.); +#18021=EDGE_CURVE('',#9919,#9944,#5453,.T.); +#18023=EDGE_CURVE('',#9944,#9907,#5473,.T.); +#18025=EDGE_CURVE('',#9920,#9907,#5505,.T.); +#18029=ADVANCED_FACE('',(#18028),#18019,.T.); +#18035=EDGE_CURVE('',#9943,#9944,#5449,.T.); +#18039=EDGE_CURVE('',#9890,#9881,#5457,.T.); +#18041=EDGE_CURVE('',#9881,#9882,#5545,.T.); +#18043=EDGE_CURVE('',#9892,#9882,#5517,.T.); +#18046=EDGE_CURVE('',#9924,#9943,#5461,.T.); +#18050=ADVANCED_FACE('',(#18049),#18034,.T.); +#18057=EDGE_CURVE('',#9908,#9943,#5465,.T.); +#18059=EDGE_CURVE('',#9907,#9908,#5469,.T.); +#18064=ADVANCED_FACE('',(#18063),#18055,.T.); +#18070=EDGE_CURVE('',#9947,#9948,#5509,.T.); +#18072=EDGE_CURVE('',#9916,#9948,#5477,.T.); +#18074=EDGE_CURVE('',#9915,#9916,#5481,.T.); +#18076=EDGE_CURVE('',#9947,#9915,#5485,.T.); +#18080=ADVANCED_FACE('',(#18079),#18069,.T.); +#18086=EDGE_CURVE('',#9951,#9952,#5557,.T.); +#18088=EDGE_CURVE('',#9912,#9952,#5489,.T.); +#18090=EDGE_CURVE('',#9911,#9912,#5493,.T.); +#18092=EDGE_CURVE('',#9951,#9911,#5497,.T.); +#18096=ADVANCED_FACE('',(#18095),#18085,.T.); +#18103=EDGE_CURVE('',#9922,#9908,#5501,.T.); +#18109=ADVANCED_FACE('',(#18108),#18101,.T.); +#18121=ADVANCED_FACE('',(#18120),#18114,.T.); +#18128=EDGE_CURVE('',#9940,#9947,#5513,.T.); +#18132=EDGE_CURVE('',#9882,#9884,#5541,.T.); +#18134=EDGE_CURVE('',#9894,#9884,#5565,.T.); +#18137=EDGE_CURVE('',#9938,#9948,#5521,.T.); +#18141=ADVANCED_FACE('',(#18140),#18126,.T.); +#18150=EDGE_CURVE('',#9935,#9915,#5525,.T.); +#18154=ADVANCED_FACE('',(#18153),#18146,.T.); +#18163=EDGE_CURVE('',#9936,#9916,#5529,.T.); +#18167=ADVANCED_FACE('',(#18166),#18159,.T.); +#18179=ADVANCED_FACE('',(#18178),#18172,.T.); +#18185=EDGE_CURVE('',#9886,#9904,#5533,.T.); +#18187=EDGE_CURVE('',#9884,#9886,#5537,.T.); +#18191=EDGE_CURVE('',#9904,#9881,#5549,.T.); +#18193=EDGE_CURVE('',#9904,#9901,#5591,.T.); +#18195=EDGE_CURVE('',#9901,#9902,#5596,.T.); +#18197=EDGE_CURVE('',#9902,#9904,#5582,.T.); +#18201=ADVANCED_FACE('',(#18200),#18184,.T.); +#18208=EDGE_CURVE('',#9889,#9886,#5553,.T.); +#18215=ADVANCED_FACE('',(#18214),#18206,.T.); +#18222=EDGE_CURVE('',#9927,#9951,#5561,.T.); +#18229=EDGE_CURVE('',#9932,#9952,#5569,.T.); +#18233=ADVANCED_FACE('',(#18232),#18220,.T.); +#18242=EDGE_CURVE('',#9928,#9911,#5573,.T.); +#18246=ADVANCED_FACE('',(#18245),#18238,.T.); +#18255=EDGE_CURVE('',#9930,#9912,#5577,.T.); +#18259=ADVANCED_FACE('',(#18258),#18251,.T.); +#18271=ADVANCED_FACE('',(#18270),#18264,.T.); +#18278=EDGE_CURVE('',#9902,#9897,#5586,.T.); +#18280=EDGE_CURVE('',#9897,#9898,#5605,.T.); +#18282=EDGE_CURVE('',#9901,#9898,#5600,.T.); +#18287=ADVANCED_FACE('',(#18286),#18276,.T.); +#18295=EDGE_CURVE('',#9898,#9897,#5610,.T.); +#18300=ADVANCED_FACE('',(#18299),#18292,.T.); +#18310=EDGE_CURVE('',#9963,#9964,#5615,.T.); +#18312=EDGE_CURVE('',#9964,#9963,#5620,.T.); +#18316=ADVANCED_FACE('',(#18309,#18315),#18305,.T.); +#18322=EDGE_CURVE('',#9967,#9968,#5625,.T.); +#18324=EDGE_CURVE('',#9968,#9967,#5630,.T.); +#18328=ADVANCED_FACE('',(#18327),#18321,.T.); +#18335=EDGE_CURVE('',#9955,#9963,#5634,.T.); +#18337=EDGE_CURVE('',#9955,#9956,#5643,.T.); +#18339=EDGE_CURVE('',#9956,#9964,#5638,.T.); +#18343=ADVANCED_FACE('',(#18342),#18333,.F.); +#18351=EDGE_CURVE('',#9956,#9955,#5648,.T.); +#18356=ADVANCED_FACE('',(#18355),#18348,.F.); +#18366=EDGE_CURVE('',#9959,#9960,#5653,.T.); +#18368=EDGE_CURVE('',#9960,#9959,#5658,.T.); +#18372=ADVANCED_FACE('',(#18365,#18371),#18361,.T.); +#18379=EDGE_CURVE('',#9959,#9968,#5662,.T.); +#18382=EDGE_CURVE('',#9960,#9967,#5666,.T.); +#18386=ADVANCED_FACE('',(#18385),#18377,.T.); +#18398=ADVANCED_FACE('',(#18397),#18391,.T.); +#18405=EDGE_CURVE('',#9987,#9971,#5670,.T.); +#18407=EDGE_CURVE('',#9971,#9972,#5686,.T.); +#18409=EDGE_CURVE('',#9988,#9972,#5682,.T.); +#18413=ADVANCED_FACE('',(#18412),#18403,.T.); +#18420=EDGE_CURVE('',#9992,#9976,#5674,.T.); +#18422=EDGE_CURVE('',#9976,#9971,#5690,.T.); +#18427=ADVANCED_FACE('',(#18426),#18418,.T.); +#18434=EDGE_CURVE('',#9990,#9974,#5678,.T.); +#18436=EDGE_CURVE('',#9974,#9976,#5694,.T.); +#18441=ADVANCED_FACE('',(#18440),#18432,.T.); +#18449=EDGE_CURVE('',#9972,#9974,#5698,.T.); +#18454=ADVANCED_FACE('',(#18453),#18446,.T.); +#18466=ADVANCED_FACE('',(#18465),#18459,.T.); +#18484=EDGE_CURVE('',#9979,#9980,#5702,.T.); +#18486=EDGE_CURVE('',#9984,#9979,#5706,.T.); +#18488=EDGE_CURVE('',#9982,#9984,#5710,.T.); +#18490=EDGE_CURVE('',#9980,#9982,#5714,.T.); +#18494=ADVANCED_FACE('',(#18493),#18483,.T.); +#18513=EDGE_CURVE('',#9995,#9979,#5718,.T.); +#18516=EDGE_CURVE('',#9996,#9980,#5730,.T.); +#18520=ADVANCED_FACE('',(#18519),#18511,.T.); +#18527=EDGE_CURVE('',#10000,#9984,#5722,.T.); +#18533=ADVANCED_FACE('',(#18532),#18525,.T.); +#18540=EDGE_CURVE('',#9998,#9982,#5726,.T.); +#18546=ADVANCED_FACE('',(#18545),#18538,.T.); +#18558=ADVANCED_FACE('',(#18557),#18551,.T.); +#18565=EDGE_CURVE('',#10163,#10003,#5734,.T.); +#18567=EDGE_CURVE('',#10003,#10004,#5750,.T.); +#18569=EDGE_CURVE('',#10164,#10004,#5746,.T.); +#18573=ADVANCED_FACE('',(#18572),#18563,.T.); +#18580=EDGE_CURVE('',#10168,#10008,#5738,.T.); +#18582=EDGE_CURVE('',#10008,#10003,#5754,.T.); +#18587=ADVANCED_FACE('',(#18586),#18578,.T.); +#18594=EDGE_CURVE('',#10166,#10006,#5742,.T.); +#18596=EDGE_CURVE('',#10006,#10008,#5758,.T.); +#18601=ADVANCED_FACE('',(#18600),#18592,.T.); +#18609=EDGE_CURVE('',#10004,#10006,#5762,.T.); +#18614=ADVANCED_FACE('',(#18613),#18606,.T.); +#18626=ADVANCED_FACE('',(#18625),#18619,.T.); +#18632=EDGE_CURVE('',#10011,#10012,#5766,.T.); +#18634=EDGE_CURVE('',#10016,#10011,#5770,.T.); +#18636=EDGE_CURVE('',#10014,#10016,#5774,.T.); +#18638=EDGE_CURVE('',#10012,#10014,#5778,.T.); +#18642=ADVANCED_FACE('',(#18641),#18631,.T.); +#18648=EDGE_CURVE('',#10019,#10020,#5782,.T.); +#18650=EDGE_CURVE('',#10024,#10019,#5786,.T.); +#18652=EDGE_CURVE('',#10022,#10024,#5790,.T.); +#18654=EDGE_CURVE('',#10020,#10022,#5794,.T.); +#18658=ADVANCED_FACE('',(#18657),#18647,.T.); +#18664=EDGE_CURVE('',#10027,#10028,#5798,.T.); +#18666=EDGE_CURVE('',#10032,#10027,#5802,.T.); +#18668=EDGE_CURVE('',#10030,#10032,#5806,.T.); +#18670=EDGE_CURVE('',#10028,#10030,#5810,.T.); +#18674=ADVANCED_FACE('',(#18673),#18663,.T.); +#18680=EDGE_CURVE('',#10035,#10036,#5814,.T.); +#18682=EDGE_CURVE('',#10040,#10035,#5818,.T.); +#18684=EDGE_CURVE('',#10038,#10040,#5822,.T.); +#18686=EDGE_CURVE('',#10036,#10038,#5826,.T.); +#18690=ADVANCED_FACE('',(#18689),#18679,.T.); +#18696=EDGE_CURVE('',#10043,#10044,#5830,.T.); +#18698=EDGE_CURVE('',#10048,#10043,#5834,.T.); +#18700=EDGE_CURVE('',#10046,#10048,#5838,.T.); +#18702=EDGE_CURVE('',#10044,#10046,#5842,.T.); +#18706=ADVANCED_FACE('',(#18705),#18695,.T.); +#18712=EDGE_CURVE('',#10051,#10052,#5846,.T.); +#18714=EDGE_CURVE('',#10056,#10051,#5850,.T.); +#18716=EDGE_CURVE('',#10054,#10056,#5854,.T.); +#18718=EDGE_CURVE('',#10052,#10054,#5858,.T.); +#18722=ADVANCED_FACE('',(#18721),#18711,.T.); +#18728=EDGE_CURVE('',#10059,#10060,#5862,.T.); +#18730=EDGE_CURVE('',#10064,#10059,#5866,.T.); +#18732=EDGE_CURVE('',#10062,#10064,#5870,.T.); +#18734=EDGE_CURVE('',#10060,#10062,#5874,.T.); +#18738=ADVANCED_FACE('',(#18737),#18727,.T.); +#18744=EDGE_CURVE('',#10067,#10068,#5878,.T.); +#18746=EDGE_CURVE('',#10072,#10067,#5882,.T.); +#18748=EDGE_CURVE('',#10070,#10072,#5886,.T.); +#18750=EDGE_CURVE('',#10068,#10070,#5890,.T.); +#18754=ADVANCED_FACE('',(#18753),#18743,.T.); +#18760=EDGE_CURVE('',#10075,#10076,#5894,.T.); +#18762=EDGE_CURVE('',#10080,#10075,#5898,.T.); +#18764=EDGE_CURVE('',#10078,#10080,#5902,.T.); +#18766=EDGE_CURVE('',#10076,#10078,#5906,.T.); +#18770=ADVANCED_FACE('',(#18769),#18759,.T.); +#18776=EDGE_CURVE('',#10083,#10084,#5910,.T.); +#18778=EDGE_CURVE('',#10088,#10083,#5914,.T.); +#18780=EDGE_CURVE('',#10086,#10088,#5918,.T.); +#18782=EDGE_CURVE('',#10084,#10086,#5922,.T.); +#18786=ADVANCED_FACE('',(#18785),#18775,.T.); +#18792=EDGE_CURVE('',#10091,#10092,#5926,.T.); +#18794=EDGE_CURVE('',#10096,#10091,#5930,.T.); +#18796=EDGE_CURVE('',#10094,#10096,#5934,.T.); +#18798=EDGE_CURVE('',#10092,#10094,#5938,.T.); +#18802=ADVANCED_FACE('',(#18801),#18791,.T.); +#18808=EDGE_CURVE('',#10099,#10100,#5942,.T.); +#18810=EDGE_CURVE('',#10104,#10099,#5946,.T.); +#18812=EDGE_CURVE('',#10102,#10104,#5950,.T.); +#18814=EDGE_CURVE('',#10100,#10102,#5954,.T.); +#18818=ADVANCED_FACE('',(#18817),#18807,.T.); +#18824=EDGE_CURVE('',#10107,#10108,#5958,.T.); +#18826=EDGE_CURVE('',#10112,#10107,#5962,.T.); +#18828=EDGE_CURVE('',#10110,#10112,#5966,.T.); +#18830=EDGE_CURVE('',#10108,#10110,#5970,.T.); +#18834=ADVANCED_FACE('',(#18833),#18823,.T.); +#18840=EDGE_CURVE('',#10115,#10116,#5974,.T.); +#18842=EDGE_CURVE('',#10120,#10115,#5978,.T.); +#18844=EDGE_CURVE('',#10118,#10120,#5982,.T.); +#18846=EDGE_CURVE('',#10116,#10118,#5986,.T.); +#18850=ADVANCED_FACE('',(#18849),#18839,.T.); +#18856=EDGE_CURVE('',#10123,#10124,#5990,.T.); +#18858=EDGE_CURVE('',#10128,#10123,#5994,.T.); +#18860=EDGE_CURVE('',#10126,#10128,#5998,.T.); +#18862=EDGE_CURVE('',#10124,#10126,#6002,.T.); +#18866=ADVANCED_FACE('',(#18865),#18855,.T.); +#18872=EDGE_CURVE('',#10131,#10132,#6006,.T.); +#18874=EDGE_CURVE('',#10136,#10131,#6010,.T.); +#18876=EDGE_CURVE('',#10134,#10136,#6014,.T.); +#18878=EDGE_CURVE('',#10132,#10134,#6018,.T.); +#18882=ADVANCED_FACE('',(#18881),#18871,.T.); +#18888=EDGE_CURVE('',#10139,#10140,#6022,.T.); +#18890=EDGE_CURVE('',#10144,#10139,#6026,.T.); +#18892=EDGE_CURVE('',#10142,#10144,#6030,.T.); +#18894=EDGE_CURVE('',#10140,#10142,#6034,.T.); +#18898=ADVANCED_FACE('',(#18897),#18887,.T.); +#18904=EDGE_CURVE('',#10147,#10148,#6038,.T.); +#18906=EDGE_CURVE('',#10152,#10147,#6042,.T.); +#18908=EDGE_CURVE('',#10150,#10152,#6046,.T.); +#18910=EDGE_CURVE('',#10148,#10150,#6050,.T.); +#18914=ADVANCED_FACE('',(#18913),#18903,.T.); +#18920=EDGE_CURVE('',#10155,#10156,#6054,.T.); +#18922=EDGE_CURVE('',#10160,#10155,#6058,.T.); +#18924=EDGE_CURVE('',#10158,#10160,#6062,.T.); +#18926=EDGE_CURVE('',#10156,#10158,#6066,.T.); +#18930=ADVANCED_FACE('',(#18929),#18919,.T.); +#18937=EDGE_CURVE('',#10171,#10011,#6070,.T.); +#18940=EDGE_CURVE('',#10172,#10012,#6082,.T.); +#18944=ADVANCED_FACE('',(#18943),#18935,.T.); +#18951=EDGE_CURVE('',#10176,#10016,#6074,.T.); +#18957=ADVANCED_FACE('',(#18956),#18949,.T.); +#18964=EDGE_CURVE('',#10174,#10014,#6078,.T.); +#18970=ADVANCED_FACE('',(#18969),#18962,.T.); +#18982=ADVANCED_FACE('',(#18981),#18975,.T.); +#18989=EDGE_CURVE('',#10179,#10019,#6086,.T.); +#18992=EDGE_CURVE('',#10180,#10020,#6098,.T.); +#18996=ADVANCED_FACE('',(#18995),#18987,.T.); +#19003=EDGE_CURVE('',#10184,#10024,#6090,.T.); +#19009=ADVANCED_FACE('',(#19008),#19001,.T.); +#19016=EDGE_CURVE('',#10182,#10022,#6094,.T.); +#19022=ADVANCED_FACE('',(#19021),#19014,.T.); +#19034=ADVANCED_FACE('',(#19033),#19027,.T.); +#19041=EDGE_CURVE('',#10187,#10027,#6102,.T.); +#19044=EDGE_CURVE('',#10188,#10028,#6114,.T.); +#19048=ADVANCED_FACE('',(#19047),#19039,.T.); +#19055=EDGE_CURVE('',#10192,#10032,#6106,.T.); +#19061=ADVANCED_FACE('',(#19060),#19053,.T.); +#19068=EDGE_CURVE('',#10190,#10030,#6110,.T.); +#19074=ADVANCED_FACE('',(#19073),#19066,.T.); +#19086=ADVANCED_FACE('',(#19085),#19079,.T.); +#19093=EDGE_CURVE('',#10195,#10035,#6118,.T.); +#19096=EDGE_CURVE('',#10196,#10036,#6130,.T.); +#19100=ADVANCED_FACE('',(#19099),#19091,.T.); +#19107=EDGE_CURVE('',#10200,#10040,#6122,.T.); +#19113=ADVANCED_FACE('',(#19112),#19105,.T.); +#19120=EDGE_CURVE('',#10198,#10038,#6126,.T.); +#19126=ADVANCED_FACE('',(#19125),#19118,.T.); +#19138=ADVANCED_FACE('',(#19137),#19131,.T.); +#19145=EDGE_CURVE('',#10203,#10043,#6134,.T.); +#19148=EDGE_CURVE('',#10204,#10044,#6146,.T.); +#19152=ADVANCED_FACE('',(#19151),#19143,.T.); +#19159=EDGE_CURVE('',#10208,#10048,#6138,.T.); +#19165=ADVANCED_FACE('',(#19164),#19157,.T.); +#19172=EDGE_CURVE('',#10206,#10046,#6142,.T.); +#19178=ADVANCED_FACE('',(#19177),#19170,.T.); +#19190=ADVANCED_FACE('',(#19189),#19183,.T.); +#19197=EDGE_CURVE('',#10211,#10051,#6150,.T.); +#19200=EDGE_CURVE('',#10212,#10052,#6162,.T.); +#19204=ADVANCED_FACE('',(#19203),#19195,.T.); +#19211=EDGE_CURVE('',#10216,#10056,#6154,.T.); +#19217=ADVANCED_FACE('',(#19216),#19209,.T.); +#19224=EDGE_CURVE('',#10214,#10054,#6158,.T.); +#19230=ADVANCED_FACE('',(#19229),#19222,.T.); +#19242=ADVANCED_FACE('',(#19241),#19235,.T.); +#19249=EDGE_CURVE('',#10219,#10059,#6166,.T.); +#19252=EDGE_CURVE('',#10220,#10060,#6178,.T.); +#19256=ADVANCED_FACE('',(#19255),#19247,.T.); +#19263=EDGE_CURVE('',#10224,#10064,#6170,.T.); +#19269=ADVANCED_FACE('',(#19268),#19261,.T.); +#19276=EDGE_CURVE('',#10222,#10062,#6174,.T.); +#19282=ADVANCED_FACE('',(#19281),#19274,.T.); +#19294=ADVANCED_FACE('',(#19293),#19287,.T.); +#19301=EDGE_CURVE('',#10227,#10067,#6182,.T.); +#19304=EDGE_CURVE('',#10228,#10068,#6194,.T.); +#19308=ADVANCED_FACE('',(#19307),#19299,.T.); +#19315=EDGE_CURVE('',#10232,#10072,#6186,.T.); +#19321=ADVANCED_FACE('',(#19320),#19313,.T.); +#19328=EDGE_CURVE('',#10230,#10070,#6190,.T.); +#19334=ADVANCED_FACE('',(#19333),#19326,.T.); +#19346=ADVANCED_FACE('',(#19345),#19339,.T.); +#19353=EDGE_CURVE('',#10235,#10075,#6198,.T.); +#19356=EDGE_CURVE('',#10236,#10076,#6210,.T.); +#19360=ADVANCED_FACE('',(#19359),#19351,.T.); +#19367=EDGE_CURVE('',#10240,#10080,#6202,.T.); +#19373=ADVANCED_FACE('',(#19372),#19365,.T.); +#19380=EDGE_CURVE('',#10238,#10078,#6206,.T.); +#19386=ADVANCED_FACE('',(#19385),#19378,.T.); +#19398=ADVANCED_FACE('',(#19397),#19391,.T.); +#19405=EDGE_CURVE('',#10243,#10083,#6214,.T.); +#19408=EDGE_CURVE('',#10244,#10084,#6226,.T.); +#19412=ADVANCED_FACE('',(#19411),#19403,.T.); +#19419=EDGE_CURVE('',#10248,#10088,#6218,.T.); +#19425=ADVANCED_FACE('',(#19424),#19417,.T.); +#19432=EDGE_CURVE('',#10246,#10086,#6222,.T.); +#19438=ADVANCED_FACE('',(#19437),#19430,.T.); +#19450=ADVANCED_FACE('',(#19449),#19443,.T.); +#19457=EDGE_CURVE('',#10251,#10091,#6230,.T.); +#19460=EDGE_CURVE('',#10252,#10092,#6242,.T.); +#19464=ADVANCED_FACE('',(#19463),#19455,.T.); +#19471=EDGE_CURVE('',#10256,#10096,#6234,.T.); +#19477=ADVANCED_FACE('',(#19476),#19469,.T.); +#19484=EDGE_CURVE('',#10254,#10094,#6238,.T.); +#19490=ADVANCED_FACE('',(#19489),#19482,.T.); +#19502=ADVANCED_FACE('',(#19501),#19495,.T.); +#19509=EDGE_CURVE('',#10259,#10099,#6246,.T.); +#19512=EDGE_CURVE('',#10260,#10100,#6258,.T.); +#19516=ADVANCED_FACE('',(#19515),#19507,.T.); +#19523=EDGE_CURVE('',#10264,#10104,#6250,.T.); +#19529=ADVANCED_FACE('',(#19528),#19521,.T.); +#19536=EDGE_CURVE('',#10262,#10102,#6254,.T.); +#19542=ADVANCED_FACE('',(#19541),#19534,.T.); +#19554=ADVANCED_FACE('',(#19553),#19547,.T.); +#19561=EDGE_CURVE('',#10267,#10107,#6262,.T.); +#19564=EDGE_CURVE('',#10268,#10108,#6274,.T.); +#19568=ADVANCED_FACE('',(#19567),#19559,.T.); +#19575=EDGE_CURVE('',#10272,#10112,#6266,.T.); +#19581=ADVANCED_FACE('',(#19580),#19573,.T.); +#19588=EDGE_CURVE('',#10270,#10110,#6270,.T.); +#19594=ADVANCED_FACE('',(#19593),#19586,.T.); +#19606=ADVANCED_FACE('',(#19605),#19599,.T.); +#19613=EDGE_CURVE('',#10275,#10115,#6278,.T.); +#19616=EDGE_CURVE('',#10276,#10116,#6290,.T.); +#19620=ADVANCED_FACE('',(#19619),#19611,.T.); +#19627=EDGE_CURVE('',#10280,#10120,#6282,.T.); +#19633=ADVANCED_FACE('',(#19632),#19625,.T.); +#19640=EDGE_CURVE('',#10278,#10118,#6286,.T.); +#19646=ADVANCED_FACE('',(#19645),#19638,.T.); +#19658=ADVANCED_FACE('',(#19657),#19651,.T.); +#19665=EDGE_CURVE('',#10283,#10123,#6294,.T.); +#19668=EDGE_CURVE('',#10284,#10124,#6306,.T.); +#19672=ADVANCED_FACE('',(#19671),#19663,.T.); +#19679=EDGE_CURVE('',#10288,#10128,#6298,.T.); +#19685=ADVANCED_FACE('',(#19684),#19677,.T.); +#19692=EDGE_CURVE('',#10286,#10126,#6302,.T.); +#19698=ADVANCED_FACE('',(#19697),#19690,.T.); +#19710=ADVANCED_FACE('',(#19709),#19703,.T.); +#19717=EDGE_CURVE('',#10291,#10131,#6310,.T.); +#19720=EDGE_CURVE('',#10292,#10132,#6322,.T.); +#19724=ADVANCED_FACE('',(#19723),#19715,.T.); +#19731=EDGE_CURVE('',#10296,#10136,#6314,.T.); +#19737=ADVANCED_FACE('',(#19736),#19729,.T.); +#19744=EDGE_CURVE('',#10294,#10134,#6318,.T.); +#19750=ADVANCED_FACE('',(#19749),#19742,.T.); +#19762=ADVANCED_FACE('',(#19761),#19755,.T.); +#19769=EDGE_CURVE('',#10299,#10139,#6326,.T.); +#19772=EDGE_CURVE('',#10300,#10140,#6338,.T.); +#19776=ADVANCED_FACE('',(#19775),#19767,.T.); +#19783=EDGE_CURVE('',#10304,#10144,#6330,.T.); +#19789=ADVANCED_FACE('',(#19788),#19781,.T.); +#19796=EDGE_CURVE('',#10302,#10142,#6334,.T.); +#19802=ADVANCED_FACE('',(#19801),#19794,.T.); +#19814=ADVANCED_FACE('',(#19813),#19807,.T.); +#19821=EDGE_CURVE('',#10307,#10147,#6342,.T.); +#19824=EDGE_CURVE('',#10308,#10148,#6354,.T.); +#19828=ADVANCED_FACE('',(#19827),#19819,.T.); +#19835=EDGE_CURVE('',#10312,#10152,#6346,.T.); +#19841=ADVANCED_FACE('',(#19840),#19833,.T.); +#19848=EDGE_CURVE('',#10310,#10150,#6350,.T.); +#19854=ADVANCED_FACE('',(#19853),#19846,.T.); +#19866=ADVANCED_FACE('',(#19865),#19859,.T.); +#19873=EDGE_CURVE('',#10315,#10155,#6358,.T.); +#19876=EDGE_CURVE('',#10316,#10156,#6370,.T.); +#19880=ADVANCED_FACE('',(#19879),#19871,.T.); +#19887=EDGE_CURVE('',#10320,#10160,#6362,.T.); +#19893=ADVANCED_FACE('',(#19892),#19885,.T.); +#19900=EDGE_CURVE('',#10318,#10158,#6366,.T.); +#19906=ADVANCED_FACE('',(#19905),#19898,.T.); +#19918=ADVANCED_FACE('',(#19917),#19911,.T.); +#19925=EDGE_CURVE('',#10467,#10323,#6374,.T.); +#19927=EDGE_CURVE('',#10323,#10324,#6390,.T.); +#19929=EDGE_CURVE('',#10468,#10324,#6386,.T.); +#19933=ADVANCED_FACE('',(#19932),#19923,.T.); +#19940=EDGE_CURVE('',#10472,#10328,#6378,.T.); +#19942=EDGE_CURVE('',#10328,#10323,#6394,.T.); +#19947=ADVANCED_FACE('',(#19946),#19938,.T.); +#19954=EDGE_CURVE('',#10470,#10326,#6382,.T.); +#19956=EDGE_CURVE('',#10326,#10328,#6398,.T.); +#19961=ADVANCED_FACE('',(#19960),#19952,.T.); +#19969=EDGE_CURVE('',#10324,#10326,#6402,.T.); +#19974=ADVANCED_FACE('',(#19973),#19966,.T.); +#19986=ADVANCED_FACE('',(#19985),#19979,.T.); +#19992=EDGE_CURVE('',#10331,#10332,#6406,.T.); +#19994=EDGE_CURVE('',#10336,#10331,#6410,.T.); +#19996=EDGE_CURVE('',#10334,#10336,#6414,.T.); +#19998=EDGE_CURVE('',#10332,#10334,#6418,.T.); +#20002=ADVANCED_FACE('',(#20001),#19991,.T.); +#20008=EDGE_CURVE('',#10339,#10340,#6422,.T.); +#20010=EDGE_CURVE('',#10344,#10339,#6426,.T.); +#20012=EDGE_CURVE('',#10342,#10344,#6430,.T.); +#20014=EDGE_CURVE('',#10340,#10342,#6434,.T.); +#20018=ADVANCED_FACE('',(#20017),#20007,.T.); +#20024=EDGE_CURVE('',#10347,#10348,#6438,.T.); +#20026=EDGE_CURVE('',#10352,#10347,#6442,.T.); +#20028=EDGE_CURVE('',#10350,#10352,#6446,.T.); +#20030=EDGE_CURVE('',#10348,#10350,#6450,.T.); +#20034=ADVANCED_FACE('',(#20033),#20023,.T.); +#20040=EDGE_CURVE('',#10355,#10356,#6454,.T.); +#20042=EDGE_CURVE('',#10360,#10355,#6458,.T.); +#20044=EDGE_CURVE('',#10358,#10360,#6462,.T.); +#20046=EDGE_CURVE('',#10356,#10358,#6466,.T.); +#20050=ADVANCED_FACE('',(#20049),#20039,.T.); +#20056=EDGE_CURVE('',#10363,#10364,#6470,.T.); +#20058=EDGE_CURVE('',#10368,#10363,#6474,.T.); +#20060=EDGE_CURVE('',#10366,#10368,#6478,.T.); +#20062=EDGE_CURVE('',#10364,#10366,#6482,.T.); +#20066=ADVANCED_FACE('',(#20065),#20055,.T.); +#20072=EDGE_CURVE('',#10371,#10372,#6486,.T.); +#20074=EDGE_CURVE('',#10376,#10371,#6490,.T.); +#20076=EDGE_CURVE('',#10374,#10376,#6494,.T.); +#20078=EDGE_CURVE('',#10372,#10374,#6498,.T.); +#20082=ADVANCED_FACE('',(#20081),#20071,.T.); +#20088=EDGE_CURVE('',#10379,#10380,#6502,.T.); +#20090=EDGE_CURVE('',#10384,#10379,#6506,.T.); +#20092=EDGE_CURVE('',#10382,#10384,#6510,.T.); +#20094=EDGE_CURVE('',#10380,#10382,#6514,.T.); +#20098=ADVANCED_FACE('',(#20097),#20087,.T.); +#20104=EDGE_CURVE('',#10387,#10388,#6518,.T.); +#20106=EDGE_CURVE('',#10392,#10387,#6522,.T.); +#20108=EDGE_CURVE('',#10390,#10392,#6526,.T.); +#20110=EDGE_CURVE('',#10388,#10390,#6530,.T.); +#20114=ADVANCED_FACE('',(#20113),#20103,.T.); +#20120=EDGE_CURVE('',#10395,#10396,#6534,.T.); +#20122=EDGE_CURVE('',#10400,#10395,#6538,.T.); +#20124=EDGE_CURVE('',#10398,#10400,#6542,.T.); +#20126=EDGE_CURVE('',#10396,#10398,#6546,.T.); +#20130=ADVANCED_FACE('',(#20129),#20119,.T.); +#20136=EDGE_CURVE('',#10403,#10404,#6550,.T.); +#20138=EDGE_CURVE('',#10408,#10403,#6554,.T.); +#20140=EDGE_CURVE('',#10406,#10408,#6558,.T.); +#20142=EDGE_CURVE('',#10404,#10406,#6562,.T.); +#20146=ADVANCED_FACE('',(#20145),#20135,.T.); +#20152=EDGE_CURVE('',#10411,#10412,#6566,.T.); +#20154=EDGE_CURVE('',#10416,#10411,#6570,.T.); +#20156=EDGE_CURVE('',#10414,#10416,#6574,.T.); +#20158=EDGE_CURVE('',#10412,#10414,#6578,.T.); +#20162=ADVANCED_FACE('',(#20161),#20151,.T.); +#20168=EDGE_CURVE('',#10419,#10420,#6582,.T.); +#20170=EDGE_CURVE('',#10424,#10419,#6586,.T.); +#20172=EDGE_CURVE('',#10422,#10424,#6590,.T.); +#20174=EDGE_CURVE('',#10420,#10422,#6594,.T.); +#20178=ADVANCED_FACE('',(#20177),#20167,.T.); +#20184=EDGE_CURVE('',#10427,#10428,#6598,.T.); +#20186=EDGE_CURVE('',#10432,#10427,#6602,.T.); +#20188=EDGE_CURVE('',#10430,#10432,#6606,.T.); +#20190=EDGE_CURVE('',#10428,#10430,#6610,.T.); +#20194=ADVANCED_FACE('',(#20193),#20183,.T.); +#20200=EDGE_CURVE('',#10435,#10436,#6614,.T.); +#20202=EDGE_CURVE('',#10440,#10435,#6618,.T.); +#20204=EDGE_CURVE('',#10438,#10440,#6622,.T.); +#20206=EDGE_CURVE('',#10436,#10438,#6626,.T.); +#20210=ADVANCED_FACE('',(#20209),#20199,.T.); +#20216=EDGE_CURVE('',#10443,#10444,#6630,.T.); +#20218=EDGE_CURVE('',#10448,#10443,#6634,.T.); +#20220=EDGE_CURVE('',#10446,#10448,#6638,.T.); +#20222=EDGE_CURVE('',#10444,#10446,#6642,.T.); +#20226=ADVANCED_FACE('',(#20225),#20215,.T.); +#20232=EDGE_CURVE('',#10451,#10452,#6646,.T.); +#20234=EDGE_CURVE('',#10456,#10451,#6650,.T.); +#20236=EDGE_CURVE('',#10454,#10456,#6654,.T.); +#20238=EDGE_CURVE('',#10452,#10454,#6658,.T.); +#20242=ADVANCED_FACE('',(#20241),#20231,.T.); +#20248=EDGE_CURVE('',#10459,#10460,#6662,.T.); +#20250=EDGE_CURVE('',#10464,#10459,#6666,.T.); +#20252=EDGE_CURVE('',#10462,#10464,#6670,.T.); +#20254=EDGE_CURVE('',#10460,#10462,#6674,.T.); +#20258=ADVANCED_FACE('',(#20257),#20247,.T.); +#20264=EDGE_CURVE('',#10611,#10612,#6678,.T.); +#20266=EDGE_CURVE('',#10616,#10611,#6682,.T.); +#20268=EDGE_CURVE('',#10614,#10616,#6686,.T.); +#20270=EDGE_CURVE('',#10612,#10614,#6690,.T.); +#20274=ADVANCED_FACE('',(#20273),#20263,.T.); +#20281=EDGE_CURVE('',#10475,#10331,#6694,.T.); +#20284=EDGE_CURVE('',#10476,#10332,#6706,.T.); +#20288=ADVANCED_FACE('',(#20287),#20279,.T.); +#20295=EDGE_CURVE('',#10480,#10336,#6698,.T.); +#20301=ADVANCED_FACE('',(#20300),#20293,.T.); +#20308=EDGE_CURVE('',#10478,#10334,#6702,.T.); +#20314=ADVANCED_FACE('',(#20313),#20306,.T.); +#20326=ADVANCED_FACE('',(#20325),#20319,.T.); +#20333=EDGE_CURVE('',#10483,#10339,#6710,.T.); +#20336=EDGE_CURVE('',#10484,#10340,#6722,.T.); +#20340=ADVANCED_FACE('',(#20339),#20331,.T.); +#20347=EDGE_CURVE('',#10488,#10344,#6714,.T.); +#20353=ADVANCED_FACE('',(#20352),#20345,.T.); +#20360=EDGE_CURVE('',#10486,#10342,#6718,.T.); +#20366=ADVANCED_FACE('',(#20365),#20358,.T.); +#20378=ADVANCED_FACE('',(#20377),#20371,.T.); +#20385=EDGE_CURVE('',#10491,#10347,#6726,.T.); +#20388=EDGE_CURVE('',#10492,#10348,#6738,.T.); +#20392=ADVANCED_FACE('',(#20391),#20383,.T.); +#20399=EDGE_CURVE('',#10496,#10352,#6730,.T.); +#20405=ADVANCED_FACE('',(#20404),#20397,.T.); +#20412=EDGE_CURVE('',#10494,#10350,#6734,.T.); +#20418=ADVANCED_FACE('',(#20417),#20410,.T.); +#20430=ADVANCED_FACE('',(#20429),#20423,.T.); +#20437=EDGE_CURVE('',#10499,#10355,#6742,.T.); +#20440=EDGE_CURVE('',#10500,#10356,#6754,.T.); +#20444=ADVANCED_FACE('',(#20443),#20435,.T.); +#20451=EDGE_CURVE('',#10504,#10360,#6746,.T.); +#20457=ADVANCED_FACE('',(#20456),#20449,.T.); +#20464=EDGE_CURVE('',#10502,#10358,#6750,.T.); +#20470=ADVANCED_FACE('',(#20469),#20462,.T.); +#20482=ADVANCED_FACE('',(#20481),#20475,.T.); +#20489=EDGE_CURVE('',#10507,#10363,#6758,.T.); +#20492=EDGE_CURVE('',#10508,#10364,#6770,.T.); +#20496=ADVANCED_FACE('',(#20495),#20487,.T.); +#20503=EDGE_CURVE('',#10512,#10368,#6762,.T.); +#20509=ADVANCED_FACE('',(#20508),#20501,.T.); +#20516=EDGE_CURVE('',#10510,#10366,#6766,.T.); +#20522=ADVANCED_FACE('',(#20521),#20514,.T.); +#20534=ADVANCED_FACE('',(#20533),#20527,.T.); +#20541=EDGE_CURVE('',#10515,#10371,#6774,.T.); +#20544=EDGE_CURVE('',#10516,#10372,#6786,.T.); +#20548=ADVANCED_FACE('',(#20547),#20539,.T.); +#20555=EDGE_CURVE('',#10520,#10376,#6778,.T.); +#20561=ADVANCED_FACE('',(#20560),#20553,.T.); +#20568=EDGE_CURVE('',#10518,#10374,#6782,.T.); +#20574=ADVANCED_FACE('',(#20573),#20566,.T.); +#20586=ADVANCED_FACE('',(#20585),#20579,.T.); +#20593=EDGE_CURVE('',#10523,#10379,#6790,.T.); +#20596=EDGE_CURVE('',#10524,#10380,#6802,.T.); +#20600=ADVANCED_FACE('',(#20599),#20591,.T.); +#20607=EDGE_CURVE('',#10528,#10384,#6794,.T.); +#20613=ADVANCED_FACE('',(#20612),#20605,.T.); +#20620=EDGE_CURVE('',#10526,#10382,#6798,.T.); +#20626=ADVANCED_FACE('',(#20625),#20618,.T.); +#20638=ADVANCED_FACE('',(#20637),#20631,.T.); +#20645=EDGE_CURVE('',#10531,#10387,#6806,.T.); +#20648=EDGE_CURVE('',#10532,#10388,#6818,.T.); +#20652=ADVANCED_FACE('',(#20651),#20643,.T.); +#20659=EDGE_CURVE('',#10536,#10392,#6810,.T.); +#20665=ADVANCED_FACE('',(#20664),#20657,.T.); +#20672=EDGE_CURVE('',#10534,#10390,#6814,.T.); +#20678=ADVANCED_FACE('',(#20677),#20670,.T.); +#20690=ADVANCED_FACE('',(#20689),#20683,.T.); +#20697=EDGE_CURVE('',#10539,#10395,#6822,.T.); +#20700=EDGE_CURVE('',#10540,#10396,#6834,.T.); +#20704=ADVANCED_FACE('',(#20703),#20695,.T.); +#20711=EDGE_CURVE('',#10544,#10400,#6826,.T.); +#20717=ADVANCED_FACE('',(#20716),#20709,.T.); +#20724=EDGE_CURVE('',#10542,#10398,#6830,.T.); +#20730=ADVANCED_FACE('',(#20729),#20722,.T.); +#20742=ADVANCED_FACE('',(#20741),#20735,.T.); +#20749=EDGE_CURVE('',#10547,#10403,#6838,.T.); +#20752=EDGE_CURVE('',#10548,#10404,#6850,.T.); +#20756=ADVANCED_FACE('',(#20755),#20747,.T.); +#20763=EDGE_CURVE('',#10552,#10408,#6842,.T.); +#20769=ADVANCED_FACE('',(#20768),#20761,.T.); +#20776=EDGE_CURVE('',#10550,#10406,#6846,.T.); +#20782=ADVANCED_FACE('',(#20781),#20774,.T.); +#20794=ADVANCED_FACE('',(#20793),#20787,.T.); +#20801=EDGE_CURVE('',#10555,#10411,#6854,.T.); +#20804=EDGE_CURVE('',#10556,#10412,#6866,.T.); +#20808=ADVANCED_FACE('',(#20807),#20799,.T.); +#20815=EDGE_CURVE('',#10560,#10416,#6858,.T.); +#20821=ADVANCED_FACE('',(#20820),#20813,.T.); +#20828=EDGE_CURVE('',#10558,#10414,#6862,.T.); +#20834=ADVANCED_FACE('',(#20833),#20826,.T.); +#20846=ADVANCED_FACE('',(#20845),#20839,.T.); +#20853=EDGE_CURVE('',#10563,#10419,#6870,.T.); +#20856=EDGE_CURVE('',#10564,#10420,#6882,.T.); +#20860=ADVANCED_FACE('',(#20859),#20851,.T.); +#20867=EDGE_CURVE('',#10568,#10424,#6874,.T.); +#20873=ADVANCED_FACE('',(#20872),#20865,.T.); +#20880=EDGE_CURVE('',#10566,#10422,#6878,.T.); +#20886=ADVANCED_FACE('',(#20885),#20878,.T.); +#20898=ADVANCED_FACE('',(#20897),#20891,.T.); +#20905=EDGE_CURVE('',#10571,#10427,#6886,.T.); +#20908=EDGE_CURVE('',#10572,#10428,#6898,.T.); +#20912=ADVANCED_FACE('',(#20911),#20903,.T.); +#20919=EDGE_CURVE('',#10576,#10432,#6890,.T.); +#20925=ADVANCED_FACE('',(#20924),#20917,.T.); +#20932=EDGE_CURVE('',#10574,#10430,#6894,.T.); +#20938=ADVANCED_FACE('',(#20937),#20930,.T.); +#20950=ADVANCED_FACE('',(#20949),#20943,.T.); +#20957=EDGE_CURVE('',#10579,#10435,#6902,.T.); +#20960=EDGE_CURVE('',#10580,#10436,#6914,.T.); +#20964=ADVANCED_FACE('',(#20963),#20955,.T.); +#20971=EDGE_CURVE('',#10584,#10440,#6906,.T.); +#20977=ADVANCED_FACE('',(#20976),#20969,.T.); +#20984=EDGE_CURVE('',#10582,#10438,#6910,.T.); +#20990=ADVANCED_FACE('',(#20989),#20982,.T.); +#21002=ADVANCED_FACE('',(#21001),#20995,.T.); +#21009=EDGE_CURVE('',#10587,#10443,#6918,.T.); +#21012=EDGE_CURVE('',#10588,#10444,#6930,.T.); +#21016=ADVANCED_FACE('',(#21015),#21007,.T.); +#21023=EDGE_CURVE('',#10592,#10448,#6922,.T.); +#21029=ADVANCED_FACE('',(#21028),#21021,.T.); +#21036=EDGE_CURVE('',#10590,#10446,#6926,.T.); +#21042=ADVANCED_FACE('',(#21041),#21034,.T.); +#21054=ADVANCED_FACE('',(#21053),#21047,.T.); +#21061=EDGE_CURVE('',#10595,#10451,#6934,.T.); +#21064=EDGE_CURVE('',#10596,#10452,#6946,.T.); +#21068=ADVANCED_FACE('',(#21067),#21059,.T.); +#21075=EDGE_CURVE('',#10600,#10456,#6938,.T.); +#21081=ADVANCED_FACE('',(#21080),#21073,.T.); +#21088=EDGE_CURVE('',#10598,#10454,#6942,.T.); +#21094=ADVANCED_FACE('',(#21093),#21086,.T.); +#21106=ADVANCED_FACE('',(#21105),#21099,.T.); +#21113=EDGE_CURVE('',#10603,#10459,#6950,.T.); +#21116=EDGE_CURVE('',#10604,#10460,#6962,.T.); +#21120=ADVANCED_FACE('',(#21119),#21111,.T.); +#21127=EDGE_CURVE('',#10608,#10464,#6954,.T.); +#21133=ADVANCED_FACE('',(#21132),#21125,.T.); +#21140=EDGE_CURVE('',#10606,#10462,#6958,.T.); +#21146=ADVANCED_FACE('',(#21145),#21138,.T.); +#21158=ADVANCED_FACE('',(#21157),#21151,.T.); +#21165=EDGE_CURVE('',#10619,#10611,#6966,.T.); +#21168=EDGE_CURVE('',#10620,#10612,#6978,.T.); +#21172=ADVANCED_FACE('',(#21171),#21163,.T.); +#21179=EDGE_CURVE('',#10624,#10616,#6970,.T.); +#21185=ADVANCED_FACE('',(#21184),#21177,.T.); +#21192=EDGE_CURVE('',#10622,#10614,#6974,.T.); +#21198=ADVANCED_FACE('',(#21197),#21190,.T.); +#21210=ADVANCED_FACE('',(#21209),#21203,.T.); +#21217=EDGE_CURVE('',#10691,#10627,#6982,.T.); +#21219=EDGE_CURVE('',#10627,#10628,#6998,.T.); +#21221=EDGE_CURVE('',#10692,#10628,#6994,.T.); +#21225=ADVANCED_FACE('',(#21224),#21215,.T.); +#21232=EDGE_CURVE('',#10696,#10632,#6986,.T.); +#21234=EDGE_CURVE('',#10632,#10627,#7002,.T.); +#21239=ADVANCED_FACE('',(#21238),#21230,.T.); +#21246=EDGE_CURVE('',#10694,#10630,#6990,.T.); +#21248=EDGE_CURVE('',#10630,#10632,#7006,.T.); +#21253=ADVANCED_FACE('',(#21252),#21244,.T.); +#21261=EDGE_CURVE('',#10628,#10630,#7010,.T.); +#21266=ADVANCED_FACE('',(#21265),#21258,.T.); +#21278=ADVANCED_FACE('',(#21277),#21271,.T.); +#21284=EDGE_CURVE('',#10635,#10636,#7014,.T.); +#21286=EDGE_CURVE('',#10640,#10635,#7018,.T.); +#21288=EDGE_CURVE('',#10638,#10640,#7022,.T.); +#21290=EDGE_CURVE('',#10636,#10638,#7026,.T.); +#21294=ADVANCED_FACE('',(#21293),#21283,.T.); +#21300=EDGE_CURVE('',#10643,#10644,#7030,.T.); +#21302=EDGE_CURVE('',#10648,#10643,#7034,.T.); +#21304=EDGE_CURVE('',#10646,#10648,#7038,.T.); +#21306=EDGE_CURVE('',#10644,#10646,#7042,.T.); +#21310=ADVANCED_FACE('',(#21309),#21299,.T.); +#21316=EDGE_CURVE('',#10651,#10652,#7046,.T.); +#21318=EDGE_CURVE('',#10656,#10651,#7050,.T.); +#21320=EDGE_CURVE('',#10654,#10656,#7054,.T.); +#21322=EDGE_CURVE('',#10652,#10654,#7058,.T.); +#21326=ADVANCED_FACE('',(#21325),#21315,.T.); +#21332=EDGE_CURVE('',#10659,#10660,#7062,.T.); +#21334=EDGE_CURVE('',#10664,#10659,#7066,.T.); +#21336=EDGE_CURVE('',#10662,#10664,#7070,.T.); +#21338=EDGE_CURVE('',#10660,#10662,#7074,.T.); +#21342=ADVANCED_FACE('',(#21341),#21331,.T.); +#21348=EDGE_CURVE('',#10667,#10668,#7078,.T.); +#21350=EDGE_CURVE('',#10672,#10667,#7082,.T.); +#21352=EDGE_CURVE('',#10670,#10672,#7086,.T.); +#21354=EDGE_CURVE('',#10668,#10670,#7090,.T.); +#21358=ADVANCED_FACE('',(#21357),#21347,.T.); +#21364=EDGE_CURVE('',#10675,#10676,#7094,.T.); +#21366=EDGE_CURVE('',#10680,#10675,#7098,.T.); +#21368=EDGE_CURVE('',#10678,#10680,#7102,.T.); +#21370=EDGE_CURVE('',#10676,#10678,#7106,.T.); +#21374=ADVANCED_FACE('',(#21373),#21363,.T.); +#21380=EDGE_CURVE('',#10683,#10684,#7110,.T.); +#21382=EDGE_CURVE('',#10688,#10683,#7114,.T.); +#21384=EDGE_CURVE('',#10686,#10688,#7118,.T.); +#21386=EDGE_CURVE('',#10684,#10686,#7122,.T.); +#21390=ADVANCED_FACE('',(#21389),#21379,.T.); +#21397=EDGE_CURVE('',#10699,#10635,#7126,.T.); +#21400=EDGE_CURVE('',#10700,#10636,#7138,.T.); +#21404=ADVANCED_FACE('',(#21403),#21395,.T.); +#21411=EDGE_CURVE('',#10704,#10640,#7130,.T.); +#21417=ADVANCED_FACE('',(#21416),#21409,.T.); +#21424=EDGE_CURVE('',#10702,#10638,#7134,.T.); +#21430=ADVANCED_FACE('',(#21429),#21422,.T.); +#21442=ADVANCED_FACE('',(#21441),#21435,.T.); +#21449=EDGE_CURVE('',#10707,#10643,#7142,.T.); +#21452=EDGE_CURVE('',#10708,#10644,#7154,.T.); +#21456=ADVANCED_FACE('',(#21455),#21447,.T.); +#21463=EDGE_CURVE('',#10712,#10648,#7146,.T.); +#21469=ADVANCED_FACE('',(#21468),#21461,.T.); +#21476=EDGE_CURVE('',#10710,#10646,#7150,.T.); +#21482=ADVANCED_FACE('',(#21481),#21474,.T.); +#21494=ADVANCED_FACE('',(#21493),#21487,.T.); +#21501=EDGE_CURVE('',#10715,#10651,#7158,.T.); +#21504=EDGE_CURVE('',#10716,#10652,#7170,.T.); +#21508=ADVANCED_FACE('',(#21507),#21499,.T.); +#21515=EDGE_CURVE('',#10720,#10656,#7162,.T.); +#21521=ADVANCED_FACE('',(#21520),#21513,.T.); +#21528=EDGE_CURVE('',#10718,#10654,#7166,.T.); +#21534=ADVANCED_FACE('',(#21533),#21526,.T.); +#21546=ADVANCED_FACE('',(#21545),#21539,.T.); +#21553=EDGE_CURVE('',#10723,#10659,#7174,.T.); +#21556=EDGE_CURVE('',#10724,#10660,#7186,.T.); +#21560=ADVANCED_FACE('',(#21559),#21551,.T.); +#21567=EDGE_CURVE('',#10728,#10664,#7178,.T.); +#21573=ADVANCED_FACE('',(#21572),#21565,.T.); +#21580=EDGE_CURVE('',#10726,#10662,#7182,.T.); +#21586=ADVANCED_FACE('',(#21585),#21578,.T.); +#21598=ADVANCED_FACE('',(#21597),#21591,.T.); +#21605=EDGE_CURVE('',#10731,#10667,#7190,.T.); +#21608=EDGE_CURVE('',#10732,#10668,#7202,.T.); +#21612=ADVANCED_FACE('',(#21611),#21603,.T.); +#21619=EDGE_CURVE('',#10736,#10672,#7194,.T.); +#21625=ADVANCED_FACE('',(#21624),#21617,.T.); +#21632=EDGE_CURVE('',#10734,#10670,#7198,.T.); +#21638=ADVANCED_FACE('',(#21637),#21630,.T.); +#21650=ADVANCED_FACE('',(#21649),#21643,.T.); +#21657=EDGE_CURVE('',#10739,#10675,#7206,.T.); +#21660=EDGE_CURVE('',#10740,#10676,#7218,.T.); +#21664=ADVANCED_FACE('',(#21663),#21655,.T.); +#21671=EDGE_CURVE('',#10744,#10680,#7210,.T.); +#21677=ADVANCED_FACE('',(#21676),#21669,.T.); +#21684=EDGE_CURVE('',#10742,#10678,#7214,.T.); +#21690=ADVANCED_FACE('',(#21689),#21682,.T.); +#21702=ADVANCED_FACE('',(#21701),#21695,.T.); +#21709=EDGE_CURVE('',#10747,#10683,#7222,.T.); +#21712=EDGE_CURVE('',#10748,#10684,#7234,.T.); +#21716=ADVANCED_FACE('',(#21715),#21707,.T.); +#21723=EDGE_CURVE('',#10752,#10688,#7226,.T.); +#21729=ADVANCED_FACE('',(#21728),#21721,.T.); +#21736=EDGE_CURVE('',#10750,#10686,#7230,.T.); +#21742=ADVANCED_FACE('',(#21741),#21734,.T.); +#21754=ADVANCED_FACE('',(#21753),#21747,.T.); +#21761=EDGE_CURVE('',#10795,#10755,#7238,.T.); +#21763=EDGE_CURVE('',#10755,#10756,#7254,.T.); +#21765=EDGE_CURVE('',#10796,#10756,#7250,.T.); +#21769=ADVANCED_FACE('',(#21768),#21759,.T.); +#21776=EDGE_CURVE('',#10800,#10760,#7242,.T.); +#21778=EDGE_CURVE('',#10760,#10755,#7258,.T.); +#21783=ADVANCED_FACE('',(#21782),#21774,.T.); +#21790=EDGE_CURVE('',#10798,#10758,#7246,.T.); +#21792=EDGE_CURVE('',#10758,#10760,#7262,.T.); +#21797=ADVANCED_FACE('',(#21796),#21788,.T.); +#21805=EDGE_CURVE('',#10756,#10758,#7266,.T.); +#21810=ADVANCED_FACE('',(#21809),#21802,.T.); +#21822=ADVANCED_FACE('',(#21821),#21815,.T.); +#21828=EDGE_CURVE('',#10763,#10764,#7270,.T.); +#21830=EDGE_CURVE('',#10768,#10763,#7274,.T.); +#21832=EDGE_CURVE('',#10766,#10768,#7278,.T.); +#21834=EDGE_CURVE('',#10764,#10766,#7282,.T.); +#21838=ADVANCED_FACE('',(#21837),#21827,.T.); +#21844=EDGE_CURVE('',#10771,#10772,#7286,.T.); +#21846=EDGE_CURVE('',#10776,#10771,#7290,.T.); +#21848=EDGE_CURVE('',#10774,#10776,#7294,.T.); +#21850=EDGE_CURVE('',#10772,#10774,#7298,.T.); +#21854=ADVANCED_FACE('',(#21853),#21843,.T.); +#21860=EDGE_CURVE('',#10779,#10780,#7302,.T.); +#21862=EDGE_CURVE('',#10784,#10779,#7306,.T.); +#21864=EDGE_CURVE('',#10782,#10784,#7310,.T.); +#21866=EDGE_CURVE('',#10780,#10782,#7314,.T.); +#21870=ADVANCED_FACE('',(#21869),#21859,.T.); +#21876=EDGE_CURVE('',#10787,#10788,#7318,.T.); +#21878=EDGE_CURVE('',#10792,#10787,#7322,.T.); +#21880=EDGE_CURVE('',#10790,#10792,#7326,.T.); +#21882=EDGE_CURVE('',#10788,#10790,#7330,.T.); +#21886=ADVANCED_FACE('',(#21885),#21875,.T.); +#21893=EDGE_CURVE('',#10803,#10763,#7334,.T.); +#21896=EDGE_CURVE('',#10804,#10764,#7346,.T.); +#21900=ADVANCED_FACE('',(#21899),#21891,.T.); +#21907=EDGE_CURVE('',#10808,#10768,#7338,.T.); +#21913=ADVANCED_FACE('',(#21912),#21905,.T.); +#21920=EDGE_CURVE('',#10806,#10766,#7342,.T.); +#21926=ADVANCED_FACE('',(#21925),#21918,.T.); +#21938=ADVANCED_FACE('',(#21937),#21931,.T.); +#21945=EDGE_CURVE('',#10811,#10771,#7350,.T.); +#21948=EDGE_CURVE('',#10812,#10772,#7362,.T.); +#21952=ADVANCED_FACE('',(#21951),#21943,.T.); +#21959=EDGE_CURVE('',#10816,#10776,#7354,.T.); +#21965=ADVANCED_FACE('',(#21964),#21957,.T.); +#21972=EDGE_CURVE('',#10814,#10774,#7358,.T.); +#21978=ADVANCED_FACE('',(#21977),#21970,.T.); +#21990=ADVANCED_FACE('',(#21989),#21983,.T.); +#21997=EDGE_CURVE('',#10819,#10779,#7366,.T.); +#22000=EDGE_CURVE('',#10820,#10780,#7378,.T.); +#22004=ADVANCED_FACE('',(#22003),#21995,.T.); +#22011=EDGE_CURVE('',#10824,#10784,#7370,.T.); +#22017=ADVANCED_FACE('',(#22016),#22009,.T.); +#22024=EDGE_CURVE('',#10822,#10782,#7374,.T.); +#22030=ADVANCED_FACE('',(#22029),#22022,.T.); +#22042=ADVANCED_FACE('',(#22041),#22035,.T.); +#22049=EDGE_CURVE('',#10827,#10787,#7382,.T.); +#22052=EDGE_CURVE('',#10828,#10788,#7394,.T.); +#22056=ADVANCED_FACE('',(#22055),#22047,.T.); +#22063=EDGE_CURVE('',#10832,#10792,#7386,.T.); +#22069=ADVANCED_FACE('',(#22068),#22061,.T.); +#22076=EDGE_CURVE('',#10830,#10790,#7390,.T.); +#22082=ADVANCED_FACE('',(#22081),#22074,.T.); +#22094=ADVANCED_FACE('',(#22093),#22087,.T.); +#22101=EDGE_CURVE('',#10851,#10835,#7398,.T.); +#22103=EDGE_CURVE('',#10835,#10836,#7414,.T.); +#22105=EDGE_CURVE('',#10852,#10836,#7410,.T.); +#22109=ADVANCED_FACE('',(#22108),#22099,.T.); +#22116=EDGE_CURVE('',#10856,#10840,#7402,.T.); +#22118=EDGE_CURVE('',#10840,#10835,#7418,.T.); +#22123=ADVANCED_FACE('',(#22122),#22114,.T.); +#22130=EDGE_CURVE('',#10854,#10838,#7406,.T.); +#22132=EDGE_CURVE('',#10838,#10840,#7422,.T.); +#22137=ADVANCED_FACE('',(#22136),#22128,.T.); +#22145=EDGE_CURVE('',#10836,#10838,#7426,.T.); +#22150=ADVANCED_FACE('',(#22149),#22142,.T.); +#22162=ADVANCED_FACE('',(#22161),#22155,.T.); +#22168=EDGE_CURVE('',#10843,#10844,#7430,.T.); +#22170=EDGE_CURVE('',#10848,#10843,#7434,.T.); +#22172=EDGE_CURVE('',#10846,#10848,#7438,.T.); +#22174=EDGE_CURVE('',#10844,#10846,#7442,.T.); +#22178=ADVANCED_FACE('',(#22177),#22167,.T.); +#22185=EDGE_CURVE('',#10859,#10843,#7446,.T.); +#22188=EDGE_CURVE('',#10860,#10844,#7458,.T.); +#22192=ADVANCED_FACE('',(#22191),#22183,.T.); +#22199=EDGE_CURVE('',#10864,#10848,#7450,.T.); +#22205=ADVANCED_FACE('',(#22204),#22197,.T.); +#22212=EDGE_CURVE('',#10862,#10846,#7454,.T.); +#22218=ADVANCED_FACE('',(#22217),#22210,.T.); +#22230=ADVANCED_FACE('',(#22229),#22223,.T.); +#22237=EDGE_CURVE('',#10915,#10899,#7462,.T.); +#22239=EDGE_CURVE('',#10899,#10900,#7482,.T.); +#22241=EDGE_CURVE('',#10916,#10900,#7474,.T.); +#22245=ADVANCED_FACE('',(#22244),#22235,.T.); +#22252=EDGE_CURVE('',#10924,#10904,#7466,.T.); +#22254=EDGE_CURVE('',#10904,#10952,#7490,.T.); +#22256=EDGE_CURVE('',#10926,#10952,#7558,.T.); +#22260=ADVANCED_FACE('',(#22259),#22250,.T.); +#22267=EDGE_CURVE('',#10928,#10948,#7530,.T.); +#22269=EDGE_CURVE('',#10948,#10899,#7486,.T.); +#22274=ADVANCED_FACE('',(#22273),#22265,.T.); +#22281=EDGE_CURVE('',#10922,#10903,#7470,.T.); +#22283=EDGE_CURVE('',#10903,#10904,#7494,.T.); +#22288=ADVANCED_FACE('',(#22287),#22279,.T.); +#22296=EDGE_CURVE('',#10900,#10947,#7478,.T.); +#22298=EDGE_CURVE('',#10918,#10947,#7538,.T.); +#22302=ADVANCED_FACE('',(#22301),#22293,.T.); +#22309=EDGE_CURVE('',#10921,#10951,#7550,.T.); +#22311=EDGE_CURVE('',#10951,#10903,#7498,.T.); +#22316=ADVANCED_FACE('',(#22315),#22307,.T.); +#22322=EDGE_CURVE('',#10947,#10948,#7526,.T.); +#22329=ADVANCED_FACE('',(#22328),#22321,.T.); +#22335=EDGE_CURVE('',#10951,#10952,#7546,.T.); +#22342=ADVANCED_FACE('',(#22341),#22334,.T.); +#22348=EDGE_CURVE('',#10955,#10956,#7598,.T.); +#22350=EDGE_CURVE('',#10908,#10956,#7502,.T.); +#22352=EDGE_CURVE('',#10907,#10908,#7506,.T.); +#22354=EDGE_CURVE('',#10955,#10907,#7510,.T.); +#22358=ADVANCED_FACE('',(#22357),#22347,.T.); +#22364=EDGE_CURVE('',#10959,#10960,#7630,.T.); +#22366=EDGE_CURVE('',#10912,#10959,#7514,.T.); +#22368=EDGE_CURVE('',#10911,#10912,#7518,.T.); +#22370=EDGE_CURVE('',#10960,#10911,#7522,.T.); +#22374=ADVANCED_FACE('',(#22373),#22363,.T.); +#22383=EDGE_CURVE('',#10888,#10867,#7534,.T.); +#22385=EDGE_CURVE('',#10867,#10868,#7566,.T.); +#22387=EDGE_CURVE('',#10883,#10868,#7562,.T.); +#22393=ADVANCED_FACE('',(#22392),#22379,.T.); +#22400=EDGE_CURVE('',#10887,#10872,#7542,.T.); +#22402=EDGE_CURVE('',#10872,#10867,#7570,.T.); +#22407=ADVANCED_FACE('',(#22406),#22398,.T.); +#22416=EDGE_CURVE('',#10884,#10870,#7554,.T.); +#22418=EDGE_CURVE('',#10870,#10872,#7574,.T.); +#22425=ADVANCED_FACE('',(#22424),#22412,.T.); +#22433=EDGE_CURVE('',#10868,#10870,#7578,.T.); +#22438=ADVANCED_FACE('',(#22437),#22430,.T.); +#22450=ADVANCED_FACE('',(#22449),#22443,.T.); +#22456=EDGE_CURVE('',#10875,#10876,#7582,.T.); +#22458=EDGE_CURVE('',#10880,#10875,#7586,.T.); +#22460=EDGE_CURVE('',#10878,#10880,#7590,.T.); +#22462=EDGE_CURVE('',#10876,#10878,#7594,.T.); +#22466=ADVANCED_FACE('',(#22465),#22455,.T.); +#22473=EDGE_CURVE('',#10935,#10955,#7602,.T.); +#22476=EDGE_CURVE('',#10896,#10875,#7606,.T.); +#22479=EDGE_CURVE('',#10891,#10876,#7646,.T.); +#22482=EDGE_CURVE('',#10940,#10956,#7610,.T.); +#22486=ADVANCED_FACE('',(#22485),#22471,.T.); +#22493=EDGE_CURVE('',#10931,#10912,#7614,.T.); +#22496=EDGE_CURVE('',#10932,#10959,#7642,.T.); +#22500=ADVANCED_FACE('',(#22499),#22491,.T.); +#22509=EDGE_CURVE('',#10936,#10907,#7626,.T.); +#22513=ADVANCED_FACE('',(#22512),#22505,.T.); +#22520=EDGE_CURVE('',#10944,#10911,#7618,.T.); +#22526=ADVANCED_FACE('',(#22525),#22518,.T.); +#22533=EDGE_CURVE('',#10938,#10908,#7622,.T.); +#22539=ADVANCED_FACE('',(#22538),#22531,.T.); +#22546=EDGE_CURVE('',#10943,#10960,#7634,.T.); +#22552=ADVANCED_FACE('',(#22551),#22544,.T.); +#22564=ADVANCED_FACE('',(#22563),#22557,.T.); +#22573=EDGE_CURVE('',#10892,#10878,#7638,.T.); +#22576=EDGE_CURVE('',#10895,#10880,#7650,.T.); +#22582=ADVANCED_FACE('',(#22581),#22569,.T.); +#22594=ADVANCED_FACE('',(#22593),#22587,.T.); +#22606=ADVANCED_FACE('',(#22605),#22599,.T.); +#22613=EDGE_CURVE('',#11075,#11027,#7654,.T.); +#22615=EDGE_CURVE('',#11027,#11028,#7786,.T.); +#22617=EDGE_CURVE('',#11076,#11028,#8162,.T.); +#22621=ADVANCED_FACE('',(#22620),#22611,.T.); +#22628=EDGE_CURVE('',#11080,#11203,#7678,.T.); +#22630=EDGE_CURVE('',#11203,#11027,#7790,.T.); +#22635=ADVANCED_FACE('',(#22634),#22626,.T.); +#22641=EDGE_CURVE('',#11199,#11200,#7658,.T.); +#22643=EDGE_CURVE('',#11087,#11199,#7662,.T.); +#22646=EDGE_CURVE('',#11016,#10984,#7666,.T.); +#22648=EDGE_CURVE('',#10984,#10979,#7934,.T.); +#22650=EDGE_CURVE('',#11011,#10979,#8038,.T.); +#22653=EDGE_CURVE('',#11078,#11204,#7670,.T.); +#22655=EDGE_CURVE('',#11203,#11204,#7674,.T.); +#22659=EDGE_CURVE('',#11084,#11200,#7682,.T.); +#22663=ADVANCED_FACE('',(#22662),#22640,.T.); +#22669=EDGE_CURVE('',#11171,#11172,#7830,.T.); +#22671=EDGE_CURVE('',#11068,#11172,#7686,.T.); +#22673=EDGE_CURVE('',#11067,#11068,#7690,.T.); +#22675=EDGE_CURVE('',#11171,#11067,#7694,.T.); +#22679=ADVANCED_FACE('',(#22678),#22668,.T.); +#22685=EDGE_CURVE('',#11175,#11176,#7846,.T.); +#22687=EDGE_CURVE('',#11072,#11176,#7698,.T.); +#22689=EDGE_CURVE('',#11071,#11072,#7702,.T.); +#22691=EDGE_CURVE('',#11175,#11071,#7706,.T.); +#22695=ADVANCED_FACE('',(#22694),#22684,.T.); +#22701=EDGE_CURVE('',#11179,#11180,#7870,.T.); +#22703=EDGE_CURVE('',#11064,#11179,#7710,.T.); +#22705=EDGE_CURVE('',#11063,#11064,#7714,.T.); +#22707=EDGE_CURVE('',#11180,#11063,#7718,.T.); +#22711=ADVANCED_FACE('',(#22710),#22700,.T.); +#22717=EDGE_CURVE('',#11183,#11184,#8014,.T.); +#22719=EDGE_CURVE('',#11052,#11184,#7722,.T.); +#22721=EDGE_CURVE('',#11051,#11052,#7726,.T.); +#22723=EDGE_CURVE('',#11183,#11051,#7730,.T.); +#22727=ADVANCED_FACE('',(#22726),#22716,.T.); +#22733=EDGE_CURVE('',#11187,#11188,#7966,.T.); +#22735=EDGE_CURVE('',#11056,#11187,#7734,.T.); +#22737=EDGE_CURVE('',#11055,#11056,#7738,.T.); +#22739=EDGE_CURVE('',#11188,#11055,#7742,.T.); +#22743=ADVANCED_FACE('',(#22742),#22732,.T.); +#22749=EDGE_CURVE('',#11191,#11192,#7978,.T.); +#22751=EDGE_CURVE('',#11060,#11191,#7746,.T.); +#22753=EDGE_CURVE('',#11059,#11060,#7750,.T.); +#22755=EDGE_CURVE('',#11192,#11059,#7754,.T.); +#22759=ADVANCED_FACE('',(#22758),#22748,.T.); +#22765=EDGE_CURVE('',#11195,#11196,#8042,.T.); +#22767=EDGE_CURVE('',#11036,#11196,#7758,.T.); +#22769=EDGE_CURVE('',#11035,#11036,#7762,.T.); +#22771=EDGE_CURVE('',#11195,#11035,#7766,.T.); +#22775=ADVANCED_FACE('',(#22774),#22764,.T.); +#22782=EDGE_CURVE('',#11032,#11200,#7770,.T.); +#22784=EDGE_CURVE('',#11031,#11032,#7774,.T.); +#22786=EDGE_CURVE('',#11199,#11031,#7778,.T.); +#22790=ADVANCED_FACE('',(#22789),#22780,.T.); +#22797=EDGE_CURVE('',#11028,#11204,#7782,.T.); +#22803=ADVANCED_FACE('',(#22802),#22795,.T.); +#22809=EDGE_CURVE('',#11207,#11208,#8114,.T.); +#22811=EDGE_CURVE('',#11048,#11207,#7794,.T.); +#22813=EDGE_CURVE('',#11047,#11048,#7798,.T.); +#22815=EDGE_CURVE('',#11208,#11047,#7802,.T.); +#22819=ADVANCED_FACE('',(#22818),#22808,.T.); +#22825=EDGE_CURVE('',#11211,#11212,#8074,.T.); +#22827=EDGE_CURVE('',#11044,#11212,#7806,.T.); +#22829=EDGE_CURVE('',#11043,#11044,#7810,.T.); +#22831=EDGE_CURVE('',#11211,#11043,#7814,.T.); +#22835=ADVANCED_FACE('',(#22834),#22824,.T.); +#22841=EDGE_CURVE('',#11215,#11216,#8090,.T.); +#22843=EDGE_CURVE('',#11040,#11216,#7818,.T.); +#22845=EDGE_CURVE('',#11039,#11040,#7822,.T.); +#22847=EDGE_CURVE('',#11215,#11039,#7826,.T.); +#22851=ADVANCED_FACE('',(#22850),#22840,.T.); +#22858=EDGE_CURVE('',#11160,#11171,#7834,.T.); +#22861=EDGE_CURVE('',#11000,#10963,#7838,.T.); +#22863=EDGE_CURVE('',#10963,#10964,#7898,.T.); +#22865=EDGE_CURVE('',#10995,#10964,#7894,.T.); +#22868=EDGE_CURVE('',#11166,#11176,#7842,.T.); +#22871=EDGE_CURVE('',#11168,#11175,#7850,.T.); +#22874=EDGE_CURVE('',#11158,#11172,#7854,.T.); +#22878=ADVANCED_FACE('',(#22877),#22856,.T.); +#22887=EDGE_CURVE('',#11155,#11067,#7858,.T.); +#22891=ADVANCED_FACE('',(#22890),#22883,.T.); +#22900=EDGE_CURVE('',#11156,#11068,#7862,.T.); +#22904=ADVANCED_FACE('',(#22903),#22896,.T.); +#22916=ADVANCED_FACE('',(#22915),#22909,.T.); +#22923=EDGE_CURVE('',#10999,#10968,#7866,.T.); +#22925=EDGE_CURVE('',#10968,#10963,#7902,.T.); +#22930=ADVANCED_FACE('',(#22929),#22921,.T.); +#22937=EDGE_CURVE('',#11151,#11180,#7874,.T.); +#22940=EDGE_CURVE('',#10996,#10966,#7878,.T.); +#22942=EDGE_CURVE('',#10966,#10968,#7906,.T.); +#22946=EDGE_CURVE('',#11148,#11179,#7882,.T.); +#22950=ADVANCED_FACE('',(#22949),#22935,.T.); +#22959=EDGE_CURVE('',#11152,#11063,#7886,.T.); +#22963=ADVANCED_FACE('',(#22962),#22955,.T.); +#22972=EDGE_CURVE('',#11147,#11064,#7890,.T.); +#22976=ADVANCED_FACE('',(#22975),#22968,.T.); +#22988=ADVANCED_FACE('',(#22987),#22981,.T.); +#22996=EDGE_CURVE('',#10964,#10966,#7910,.T.); +#23001=ADVANCED_FACE('',(#23000),#22993,.T.); +#23013=ADVANCED_FACE('',(#23012),#23006,.T.); +#23019=EDGE_CURVE('',#10971,#10972,#7914,.T.); +#23021=EDGE_CURVE('',#10976,#10971,#7918,.T.); +#23023=EDGE_CURVE('',#10974,#10976,#7922,.T.); +#23025=EDGE_CURVE('',#10972,#10974,#7926,.T.); +#23029=ADVANCED_FACE('',(#23028),#23018,.T.); +#23035=EDGE_CURVE('',#10979,#10980,#7930,.T.); +#23038=EDGE_CURVE('',#10982,#10984,#7938,.T.); +#23040=EDGE_CURVE('',#10980,#10982,#7942,.T.); +#23044=ADVANCED_FACE('',(#23043),#23034,.T.); +#23050=EDGE_CURVE('',#10987,#10988,#7946,.T.); +#23052=EDGE_CURVE('',#10992,#10987,#7950,.T.); +#23054=EDGE_CURVE('',#10990,#10992,#7954,.T.); +#23056=EDGE_CURVE('',#10988,#10990,#7958,.T.); +#23060=ADVANCED_FACE('',(#23059),#23049,.T.); +#23067=EDGE_CURVE('',#11003,#10971,#7962,.T.); +#23070=EDGE_CURVE('',#11004,#10972,#8022,.T.); +#23074=ADVANCED_FACE('',(#23073),#23065,.T.); +#23081=EDGE_CURVE('',#11135,#11188,#7970,.T.); +#23084=EDGE_CURVE('',#11140,#11191,#7974,.T.); +#23087=EDGE_CURVE('',#11143,#11192,#7982,.T.); +#23090=EDGE_CURVE('',#11008,#10976,#7986,.T.); +#23095=EDGE_CURVE('',#11132,#11187,#7990,.T.); +#23099=ADVANCED_FACE('',(#23098),#23079,.T.); +#23108=EDGE_CURVE('',#11136,#11055,#7994,.T.); +#23112=ADVANCED_FACE('',(#23111),#23104,.T.); +#23121=EDGE_CURVE('',#11131,#11056,#7998,.T.); +#23125=ADVANCED_FACE('',(#23124),#23117,.T.); +#23137=ADVANCED_FACE('',(#23136),#23130,.T.); +#23144=EDGE_CURVE('',#11139,#11060,#8002,.T.); +#23150=ADVANCED_FACE('',(#23149),#23142,.T.); +#23157=EDGE_CURVE('',#11144,#11059,#8006,.T.); +#23163=ADVANCED_FACE('',(#23162),#23155,.T.); +#23175=ADVANCED_FACE('',(#23174),#23168,.T.); +#23182=EDGE_CURVE('',#11007,#10974,#8010,.T.); +#23188=ADVANCED_FACE('',(#23187),#23180,.T.); +#23195=EDGE_CURVE('',#11128,#11183,#8018,.T.); +#23202=EDGE_CURVE('',#11126,#11184,#8026,.T.); +#23206=ADVANCED_FACE('',(#23205),#23193,.T.); +#23215=EDGE_CURVE('',#11123,#11051,#8030,.T.); +#23219=ADVANCED_FACE('',(#23218),#23211,.T.); +#23228=EDGE_CURVE('',#11124,#11052,#8034,.T.); +#23232=ADVANCED_FACE('',(#23231),#23224,.T.); +#23244=ADVANCED_FACE('',(#23243),#23237,.T.); +#23253=EDGE_CURVE('',#11012,#10980,#8050,.T.); +#23257=ADVANCED_FACE('',(#23256),#23249,.T.); +#23264=EDGE_CURVE('',#11096,#11195,#8046,.T.); +#23269=EDGE_CURVE('',#11015,#10982,#8066,.T.); +#23272=EDGE_CURVE('',#11094,#11196,#8054,.T.); +#23276=ADVANCED_FACE('',(#23275),#23262,.T.); +#23285=EDGE_CURVE('',#11091,#11035,#8058,.T.); +#23289=ADVANCED_FACE('',(#23288),#23281,.T.); +#23298=EDGE_CURVE('',#11092,#11036,#8062,.T.); +#23302=ADVANCED_FACE('',(#23301),#23294,.T.); +#23314=ADVANCED_FACE('',(#23313),#23307,.T.); +#23326=ADVANCED_FACE('',(#23325),#23319,.T.); +#23333=EDGE_CURVE('',#11019,#10987,#8070,.T.); +#23336=EDGE_CURVE('',#11020,#10988,#8122,.T.); +#23340=ADVANCED_FACE('',(#23339),#23331,.T.); +#23347=EDGE_CURVE('',#11111,#11211,#8078,.T.); +#23350=EDGE_CURVE('',#11024,#10992,#8082,.T.); +#23355=EDGE_CURVE('',#11100,#11216,#8086,.T.); +#23358=EDGE_CURVE('',#11103,#11215,#8094,.T.); +#23361=EDGE_CURVE('',#11108,#11212,#8098,.T.); +#23365=ADVANCED_FACE('',(#23364),#23345,.T.); +#23374=EDGE_CURVE('',#11112,#11043,#8102,.T.); +#23378=ADVANCED_FACE('',(#23377),#23370,.T.); +#23387=EDGE_CURVE('',#11107,#11044,#8106,.T.); +#23391=ADVANCED_FACE('',(#23390),#23383,.T.); +#23403=ADVANCED_FACE('',(#23402),#23396,.T.); +#23410=EDGE_CURVE('',#11023,#10990,#8110,.T.); +#23416=ADVANCED_FACE('',(#23415),#23408,.T.); +#23423=EDGE_CURVE('',#11120,#11208,#8118,.T.); +#23430=EDGE_CURVE('',#11118,#11207,#8126,.T.); +#23434=ADVANCED_FACE('',(#23433),#23421,.T.); +#23443=EDGE_CURVE('',#11115,#11047,#8130,.T.); +#23447=ADVANCED_FACE('',(#23446),#23439,.T.); +#23456=EDGE_CURVE('',#11116,#11048,#8134,.T.); +#23460=ADVANCED_FACE('',(#23459),#23452,.T.); +#23472=ADVANCED_FACE('',(#23471),#23465,.T.); +#23479=EDGE_CURVE('',#11099,#11040,#8138,.T.); +#23485=ADVANCED_FACE('',(#23484),#23477,.T.); +#23492=EDGE_CURVE('',#11104,#11039,#8142,.T.); +#23498=ADVANCED_FACE('',(#23497),#23490,.T.); +#23510=ADVANCED_FACE('',(#23509),#23503,.T.); +#23517=EDGE_CURVE('',#11164,#11072,#8146,.T.); +#23523=ADVANCED_FACE('',(#23522),#23515,.T.); +#23530=EDGE_CURVE('',#11163,#11071,#8150,.T.); +#23536=ADVANCED_FACE('',(#23535),#23528,.T.); +#23548=ADVANCED_FACE('',(#23547),#23541,.T.); +#23555=EDGE_CURVE('',#11083,#11032,#8154,.T.); +#23561=ADVANCED_FACE('',(#23560),#23553,.T.); +#23568=EDGE_CURVE('',#11088,#11031,#8158,.T.); +#23574=ADVANCED_FACE('',(#23573),#23566,.T.); +#23586=ADVANCED_FACE('',(#23585),#23579,.T.); +#23598=ADVANCED_FACE('',(#23597),#23591,.T.); +#23605=EDGE_CURVE('',#11243,#11240,#8166,.T.); +#23607=EDGE_CURVE('',#11240,#11263,#8194,.T.); +#23609=EDGE_CURVE('',#11244,#11263,#8238,.T.); +#23613=ADVANCED_FACE('',(#23612),#23603,.T.); +#23620=EDGE_CURVE('',#11247,#11259,#8210,.T.); +#23622=EDGE_CURVE('',#11259,#11235,#8190,.T.); +#23624=EDGE_CURVE('',#11248,#11235,#8178,.T.); +#23628=ADVANCED_FACE('',(#23627),#23618,.T.); +#23635=EDGE_CURVE('',#11256,#11239,#8170,.T.); +#23637=EDGE_CURVE('',#11239,#11240,#8198,.T.); +#23642=ADVANCED_FACE('',(#23641),#23633,.T.); +#23649=EDGE_CURVE('',#11250,#11236,#8174,.T.); +#23651=EDGE_CURVE('',#11236,#11260,#8182,.T.); +#23653=EDGE_CURVE('',#11252,#11260,#8218,.T.); +#23657=ADVANCED_FACE('',(#23656),#23647,.T.); +#23664=EDGE_CURVE('',#11255,#11264,#8230,.T.); +#23666=EDGE_CURVE('',#11264,#11239,#8202,.T.); +#23671=ADVANCED_FACE('',(#23670),#23662,.T.); +#23679=EDGE_CURVE('',#11235,#11236,#8186,.T.); +#23684=ADVANCED_FACE('',(#23683),#23676,.T.); +#23690=EDGE_CURVE('',#11259,#11260,#8206,.T.); +#23697=ADVANCED_FACE('',(#23696),#23689,.T.); +#23703=EDGE_CURVE('',#11263,#11264,#8226,.T.); +#23710=ADVANCED_FACE('',(#23709),#23702,.T.); +#23719=EDGE_CURVE('',#11228,#11220,#8214,.T.); +#23721=EDGE_CURVE('',#11220,#11222,#8258,.T.); +#23723=EDGE_CURVE('',#11231,#11222,#8242,.T.); +#23729=ADVANCED_FACE('',(#23728),#23715,.T.); +#23736=EDGE_CURVE('',#11227,#11219,#8222,.T.); +#23738=EDGE_CURVE('',#11219,#11220,#8246,.T.); +#23743=ADVANCED_FACE('',(#23742),#23734,.T.); +#23752=EDGE_CURVE('',#11232,#11224,#8234,.T.); +#23754=EDGE_CURVE('',#11224,#11219,#8250,.T.); +#23761=ADVANCED_FACE('',(#23760),#23748,.T.); +#23769=EDGE_CURVE('',#11222,#11224,#8254,.T.); +#23774=ADVANCED_FACE('',(#23773),#23766,.T.); +#23786=ADVANCED_FACE('',(#23785),#23779,.T.); +#23793=EDGE_CURVE('',#11303,#11288,#8262,.T.); +#23795=EDGE_CURVE('',#11288,#11355,#8314,.T.); +#23797=EDGE_CURVE('',#11304,#11355,#8406,.T.); +#23801=ADVANCED_FACE('',(#23800),#23791,.T.); +#23808=EDGE_CURVE('',#11307,#11343,#8342,.T.); +#23810=EDGE_CURVE('',#11343,#11283,#8286,.T.); +#23812=EDGE_CURVE('',#11308,#11283,#8274,.T.); +#23816=ADVANCED_FACE('',(#23815),#23806,.T.); +#23823=EDGE_CURVE('',#11316,#11287,#8266,.T.); +#23825=EDGE_CURVE('',#11287,#11288,#8318,.T.); +#23830=ADVANCED_FACE('',(#23829),#23821,.T.); +#23837=EDGE_CURVE('',#11310,#11284,#8270,.T.); +#23839=EDGE_CURVE('',#11284,#11344,#8278,.T.); +#23841=EDGE_CURVE('',#11312,#11344,#8374,.T.); +#23845=ADVANCED_FACE('',(#23844),#23835,.T.); +#23852=EDGE_CURVE('',#11315,#11356,#8386,.T.); +#23854=EDGE_CURVE('',#11356,#11287,#8322,.T.); +#23859=ADVANCED_FACE('',(#23858),#23850,.T.); +#23867=EDGE_CURVE('',#11283,#11284,#8282,.T.); +#23872=ADVANCED_FACE('',(#23871),#23864,.T.); +#23878=EDGE_CURVE('',#11343,#11344,#8338,.T.); +#23885=ADVANCED_FACE('',(#23884),#23877,.T.); +#23891=EDGE_CURVE('',#11347,#11348,#8366,.T.); +#23893=EDGE_CURVE('',#11292,#11348,#8290,.T.); +#23895=EDGE_CURVE('',#11291,#11292,#8294,.T.); +#23897=EDGE_CURVE('',#11347,#11291,#8298,.T.); +#23901=ADVANCED_FACE('',(#23900),#23890,.T.); +#23907=EDGE_CURVE('',#11351,#11352,#8354,.T.); +#23909=EDGE_CURVE('',#11296,#11352,#8302,.T.); +#23911=EDGE_CURVE('',#11295,#11296,#8306,.T.); +#23913=EDGE_CURVE('',#11351,#11295,#8310,.T.); +#23917=ADVANCED_FACE('',(#23916),#23906,.T.); +#23923=EDGE_CURVE('',#11355,#11356,#8382,.T.); +#23930=ADVANCED_FACE('',(#23929),#23922,.T.); +#23936=EDGE_CURVE('',#11359,#11360,#8394,.T.); +#23938=EDGE_CURVE('',#11300,#11359,#8326,.T.); +#23940=EDGE_CURVE('',#11299,#11300,#8330,.T.); +#23942=EDGE_CURVE('',#11360,#11299,#8334,.T.); +#23946=ADVANCED_FACE('',(#23945),#23935,.T.); +#23955=EDGE_CURVE('',#11276,#11268,#8346,.T.); +#23957=EDGE_CURVE('',#11268,#11270,#8442,.T.); +#23959=EDGE_CURVE('',#11279,#11270,#8426,.T.); +#23962=EDGE_CURVE('',#11330,#11352,#8350,.T.); +#23965=EDGE_CURVE('',#11340,#11351,#8358,.T.); +#23968=EDGE_CURVE('',#11322,#11348,#8362,.T.); +#23971=EDGE_CURVE('',#11324,#11347,#8370,.T.); +#23977=ADVANCED_FACE('',(#23976),#23951,.T.); +#23984=EDGE_CURVE('',#11275,#11267,#8378,.T.); +#23986=EDGE_CURVE('',#11267,#11268,#8430,.T.); +#23991=ADVANCED_FACE('',(#23990),#23982,.T.); +#24000=EDGE_CURVE('',#11338,#11359,#8390,.T.); +#24003=EDGE_CURVE('',#11333,#11360,#8398,.T.); +#24006=EDGE_CURVE('',#11280,#11272,#8402,.T.); +#24008=EDGE_CURVE('',#11272,#11267,#8434,.T.); +#24015=ADVANCED_FACE('',(#24014),#23996,.T.); +#24022=EDGE_CURVE('',#11336,#11300,#8410,.T.); +#24028=ADVANCED_FACE('',(#24027),#24020,.T.); +#24037=EDGE_CURVE('',#11327,#11295,#8422,.T.); +#24041=ADVANCED_FACE('',(#24040),#24033,.T.); +#24048=EDGE_CURVE('',#11334,#11299,#8414,.T.); +#24054=ADVANCED_FACE('',(#24053),#24046,.T.); +#24061=EDGE_CURVE('',#11328,#11296,#8418,.T.); +#24067=ADVANCED_FACE('',(#24066),#24059,.T.); +#24079=ADVANCED_FACE('',(#24078),#24072,.T.); +#24091=ADVANCED_FACE('',(#24090),#24084,.T.); +#24099=EDGE_CURVE('',#11270,#11272,#8438,.T.); +#24104=ADVANCED_FACE('',(#24103),#24096,.T.); +#24116=ADVANCED_FACE('',(#24115),#24109,.T.); +#24123=EDGE_CURVE('',#11320,#11292,#8446,.T.); +#24129=ADVANCED_FACE('',(#24128),#24121,.T.); +#24136=EDGE_CURVE('',#11319,#11291,#8450,.T.); +#24142=ADVANCED_FACE('',(#24141),#24134,.T.); +#24154=ADVANCED_FACE('',(#24153),#24147,.T.); +#24161=EDGE_CURVE('',#11371,#11363,#8454,.T.); +#24163=EDGE_CURVE('',#11363,#11364,#8470,.T.); +#24165=EDGE_CURVE('',#11372,#11364,#8466,.T.); +#24169=ADVANCED_FACE('',(#24168),#24159,.T.); +#24176=EDGE_CURVE('',#11376,#11368,#8458,.T.); +#24178=EDGE_CURVE('',#11368,#11363,#8474,.T.); +#24183=ADVANCED_FACE('',(#24182),#24174,.T.); +#24190=EDGE_CURVE('',#11374,#11366,#8462,.T.); +#24192=EDGE_CURVE('',#11366,#11368,#8478,.T.); +#24197=ADVANCED_FACE('',(#24196),#24188,.T.); +#24205=EDGE_CURVE('',#11364,#11366,#8482,.T.); +#24210=ADVANCED_FACE('',(#24209),#24202,.T.); +#24222=ADVANCED_FACE('',(#24221),#24215,.T.); +#24229=EDGE_CURVE('',#11387,#11379,#8486,.T.); +#24231=EDGE_CURVE('',#11379,#11380,#8502,.T.); +#24233=EDGE_CURVE('',#11388,#11380,#8498,.T.); +#24237=ADVANCED_FACE('',(#24236),#24227,.T.); +#24244=EDGE_CURVE('',#11392,#11384,#8490,.T.); +#24246=EDGE_CURVE('',#11384,#11379,#8506,.T.); +#24251=ADVANCED_FACE('',(#24250),#24242,.T.); +#24258=EDGE_CURVE('',#11390,#11382,#8494,.T.); +#24260=EDGE_CURVE('',#11382,#11384,#8510,.T.); +#24265=ADVANCED_FACE('',(#24264),#24256,.T.); +#24273=EDGE_CURVE('',#11380,#11382,#8514,.T.); +#24278=ADVANCED_FACE('',(#24277),#24270,.T.); +#24290=ADVANCED_FACE('',(#24289),#24283,.T.); +#24297=EDGE_CURVE('',#11431,#11411,#8518,.T.); +#24299=EDGE_CURVE('',#11411,#11412,#8550,.T.); +#24301=EDGE_CURVE('',#11432,#11412,#8530,.T.); +#24305=ADVANCED_FACE('',(#24304),#24295,.T.); +#24312=EDGE_CURVE('',#11440,#11416,#8522,.T.); +#24314=EDGE_CURVE('',#11416,#11488,#8582,.T.); +#24316=EDGE_CURVE('',#11442,#11488,#8650,.T.); +#24320=ADVANCED_FACE('',(#24319),#24310,.T.); +#24327=EDGE_CURVE('',#11444,#11476,#8610,.T.); +#24329=EDGE_CURVE('',#11476,#11411,#8554,.T.); +#24334=ADVANCED_FACE('',(#24333),#24325,.T.); +#24341=EDGE_CURVE('',#11438,#11415,#8526,.T.); +#24343=EDGE_CURVE('',#11415,#11416,#8586,.T.); +#24348=ADVANCED_FACE('',(#24347),#24339,.T.); +#24356=EDGE_CURVE('',#11412,#11475,#8546,.T.); +#24358=EDGE_CURVE('',#11434,#11475,#8602,.T.); +#24362=ADVANCED_FACE('',(#24361),#24353,.T.); +#24369=EDGE_CURVE('',#11437,#11487,#8658,.T.); +#24371=EDGE_CURVE('',#11487,#11415,#8590,.T.); +#24376=ADVANCED_FACE('',(#24375),#24367,.T.); +#24382=EDGE_CURVE('',#11471,#11472,#8594,.T.); +#24384=EDGE_CURVE('',#11424,#11471,#8534,.T.); +#24386=EDGE_CURVE('',#11423,#11424,#8538,.T.); +#24388=EDGE_CURVE('',#11472,#11423,#8542,.T.); +#24392=ADVANCED_FACE('',(#24391),#24381,.T.); +#24398=EDGE_CURVE('',#11475,#11476,#8606,.T.); +#24405=ADVANCED_FACE('',(#24404),#24397,.T.); +#24411=EDGE_CURVE('',#11479,#11480,#8638,.T.); +#24413=EDGE_CURVE('',#11428,#11480,#8558,.T.); +#24415=EDGE_CURVE('',#11427,#11428,#8562,.T.); +#24417=EDGE_CURVE('',#11479,#11427,#8566,.T.); +#24421=ADVANCED_FACE('',(#24420),#24410,.T.); +#24427=EDGE_CURVE('',#11483,#11484,#8666,.T.); +#24429=EDGE_CURVE('',#11420,#11484,#8570,.T.); +#24431=EDGE_CURVE('',#11419,#11420,#8574,.T.); +#24433=EDGE_CURVE('',#11483,#11419,#8578,.T.); +#24437=ADVANCED_FACE('',(#24436),#24426,.T.); +#24443=EDGE_CURVE('',#11487,#11488,#8654,.T.); +#24450=ADVANCED_FACE('',(#24449),#24442,.T.); +#24457=EDGE_CURVE('',#11468,#11472,#8598,.T.); +#24464=EDGE_CURVE('',#11408,#11395,#8614,.T.); +#24466=EDGE_CURVE('',#11395,#11396,#8682,.T.); +#24468=EDGE_CURVE('',#11403,#11396,#8678,.T.); +#24471=EDGE_CURVE('',#11458,#11471,#8618,.T.); +#24475=ADVANCED_FACE('',(#24474),#24455,.T.); +#24482=EDGE_CURVE('',#11464,#11428,#8622,.T.); +#24485=EDGE_CURVE('',#11466,#11480,#8674,.T.); +#24489=ADVANCED_FACE('',(#24488),#24480,.T.); +#24498=EDGE_CURVE('',#11455,#11423,#8634,.T.); +#24502=ADVANCED_FACE('',(#24501),#24494,.T.); +#24509=EDGE_CURVE('',#11462,#11427,#8626,.T.); +#24515=ADVANCED_FACE('',(#24514),#24507,.T.); +#24522=EDGE_CURVE('',#11456,#11424,#8630,.T.); +#24528=ADVANCED_FACE('',(#24527),#24520,.T.); +#24535=EDGE_CURVE('',#11461,#11479,#8642,.T.); +#24541=ADVANCED_FACE('',(#24540),#24533,.T.); +#24553=ADVANCED_FACE('',(#24552),#24546,.T.); +#24562=EDGE_CURVE('',#11404,#11398,#8646,.T.); +#24564=EDGE_CURVE('',#11398,#11400,#8690,.T.); +#24566=EDGE_CURVE('',#11407,#11400,#8698,.T.); +#24573=EDGE_CURVE('',#11448,#11484,#8662,.T.); +#24576=EDGE_CURVE('',#11451,#11483,#8670,.T.); +#24582=ADVANCED_FACE('',(#24581),#24558,.T.); +#24590=EDGE_CURVE('',#11396,#11398,#8694,.T.); +#24595=ADVANCED_FACE('',(#24594),#24587,.T.); +#24602=EDGE_CURVE('',#11400,#11395,#8686,.T.); +#24608=ADVANCED_FACE('',(#24607),#24600,.T.); +#24620=ADVANCED_FACE('',(#24619),#24613,.T.); +#24627=EDGE_CURVE('',#11447,#11420,#8702,.T.); +#24633=ADVANCED_FACE('',(#24632),#24625,.T.); +#24640=EDGE_CURVE('',#11452,#11419,#8706,.T.); +#24646=ADVANCED_FACE('',(#24645),#24638,.T.); +#24658=ADVANCED_FACE('',(#24657),#24651,.T.); +#24661=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#24662=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); +#24665=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); +#24667=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( +#24666))GLOBAL_UNIT_ASSIGNED_CONTEXT((#24661,#24664,#24665))REPRESENTATION_CONTEXT('ID1','3')); +#24668=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#24660),#24667); +#24676=PRODUCT_DEFINITION('part definition','',#24675,#24672); +#24677=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR T_DISPLAY_S3.',#24676); +#24678=SHAPE_ASPECT('','solid data associated with T_DISPLAY_S3',#24677,.F.); +#24679=PROPERTY_DEFINITION('', +'shape for solid data with which properties are associated',#24678); +#24680=SHAPE_REPRESENTATION('',(#24660),#24667); +#24681=SHAPE_DEFINITION_REPRESENTATION(#24679,#24680); +#24682=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#24686=PROPERTY_DEFINITION('geometric validation property', +'area of T_DISPLAY_S3',#24678); +#24687=REPRESENTATION('surface area',(#24685),#24667); +#24688=PROPERTY_DEFINITION_REPRESENTATION(#24686,#24687); +#24689=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#24693=PROPERTY_DEFINITION('geometric validation property', +'volume of T_DISPLAY_S3',#24678); +#24694=REPRESENTATION('volume',(#24692),#24667); +#24695=PROPERTY_DEFINITION_REPRESENTATION(#24693,#24694); +#24697=PROPERTY_DEFINITION('geometric validation property', +'centroid of T_DISPLAY_S3',#24678); +#24698=REPRESENTATION('centroid',(#24696),#24667); +#24699=PROPERTY_DEFINITION_REPRESENTATION(#24697,#24698); +#24700=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#24704=PROPERTY_DEFINITION('geometric validation property', +'area of T_DISPLAY_S3',#24677); +#24705=REPRESENTATION('surface area',(#24703),#24667); +#24706=PROPERTY_DEFINITION_REPRESENTATION(#24704,#24705); +#24707=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); +#24711=PROPERTY_DEFINITION('geometric validation property', +'volume of T_DISPLAY_S3',#24677); +#24712=REPRESENTATION('volume',(#24710),#24667); +#24713=PROPERTY_DEFINITION_REPRESENTATION(#24711,#24712); +#24715=PROPERTY_DEFINITION('geometric validation property', +'centroid of T_DISPLAY_S3',#24677); +#24716=REPRESENTATION('centroid',(#24714),#24667); +#24717=PROPERTY_DEFINITION_REPRESENTATION(#24715,#24716); +#24718=SHAPE_DEFINITION_REPRESENTATION(#24677,#24668); +ENDSEC; +END-ISO-10303-21;